repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
alan4186/Hardware-CNN
|
DE2_115_CAMERA/v/SEG7_LUT_8.v
| 2,219 |
module MODULE1 ( VAR18,VAR12,VAR2,VAR10,VAR9,VAR8,VAR17,VAR1,VAR15 );
input [31:0] VAR15;
output [6:0] VAR18,VAR12,VAR2,VAR10,VAR9,VAR8,VAR17,VAR1;
VAR5 VAR3 ( VAR18,VAR15[3:0] );
VAR5 VAR13 ( VAR12,VAR15[7:4] );
VAR5 VAR4 ( VAR2,VAR15[11:8] );
VAR5 VAR14 ( VAR10,VAR15[15:12] );
VAR5 VAR7 ( VAR9,VAR15[19:16] );
VAR5 VAR6 ( VAR8,VAR15[23:20] );
VAR5 VAR11 ( VAR17,VAR15[27:24] );
VAR5 VAR16 ( VAR1,VAR15[31:28] );
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/synth/design_1_auto_us_0.v
| 16,097 |
module MODULE1 (
VAR45,
VAR27,
VAR5,
VAR6,
VAR9,
VAR69,
VAR94,
VAR47,
VAR40,
VAR57,
VAR30,
VAR26,
VAR54,
VAR22,
VAR43,
VAR18,
VAR87,
VAR32,
VAR25,
VAR50,
VAR56,
VAR72,
VAR19,
VAR46,
VAR29,
VAR35,
VAR81,
VAR80,
VAR91,
VAR60,
VAR37,
VAR70,
VAR12,
VAR75,
VAR85,
VAR4,
VAR31,
VAR64,
VAR83,
VAR48,
VAR39,
VAR93,
VAR11,
VAR76,
VAR84,
VAR82,
VAR53,
VAR65,
VAR78,
VAR51,
VAR13,
VAR7,
VAR77,
VAR62,
VAR92,
VAR74,
VAR58,
VAR3,
VAR15,
VAR16,
VAR98,
VAR41,
VAR88,
VAR79,
VAR42,
VAR36,
VAR2,
VAR71,
VAR28,
VAR17,
VAR68,
VAR44
);
input wire VAR45;
input wire VAR27;
input wire [31 : 0] VAR5;
input wire [7 : 0] VAR6;
input wire [2 : 0] VAR9;
input wire [1 : 0] VAR69;
input wire [0 : 0] VAR94;
input wire [3 : 0] VAR47;
input wire [2 : 0] VAR40;
input wire [3 : 0] VAR57;
input wire [3 : 0] VAR30;
input wire VAR26;
output wire VAR54;
input wire [31 : 0] VAR22;
input wire [3 : 0] VAR43;
input wire VAR18;
input wire VAR87;
output wire VAR32;
output wire [1 : 0] VAR25;
output wire VAR50;
input wire VAR56;
input wire [31 : 0] VAR72;
input wire [7 : 0] VAR19;
input wire [2 : 0] VAR46;
input wire [1 : 0] VAR29;
input wire [0 : 0] VAR35;
input wire [3 : 0] VAR81;
input wire [2 : 0] VAR80;
input wire [3 : 0] VAR91;
input wire [3 : 0] VAR60;
input wire VAR37;
output wire VAR70;
output wire [31 : 0] VAR12;
output wire [1 : 0] VAR75;
output wire VAR85;
output wire VAR4;
input wire VAR31;
output wire [31 : 0] VAR64;
output wire [7 : 0] VAR83;
output wire [2 : 0] VAR48;
output wire [1 : 0] VAR39;
output wire [0 : 0] VAR93;
output wire [3 : 0] VAR11;
output wire [2 : 0] VAR76;
output wire [3 : 0] VAR84;
output wire [3 : 0] VAR82;
output wire VAR53;
input wire VAR65;
output wire [63 : 0] VAR78;
output wire [7 : 0] VAR51;
output wire VAR13;
output wire VAR7;
input wire VAR77;
input wire [1 : 0] VAR62;
input wire VAR92;
output wire VAR74;
output wire [31 : 0] VAR58;
output wire [7 : 0] VAR3;
output wire [2 : 0] VAR15;
output wire [1 : 0] VAR16;
output wire [0 : 0] VAR98;
output wire [3 : 0] VAR41;
output wire [2 : 0] VAR88;
output wire [3 : 0] VAR79;
output wire [3 : 0] VAR42;
output wire VAR36;
input wire VAR2;
input wire [63 : 0] VAR71;
input wire [1 : 0] VAR28;
input wire VAR17;
input wire VAR68;
output wire VAR44;
VAR89 #(
.VAR95("VAR97"),
.VAR38(0),
.VAR14(1),
.VAR86(0),
.VAR96(32),
.VAR21(32),
.VAR55(64),
.VAR63(1),
.VAR23(1),
.VAR52(0),
.VAR59(1),
.VAR61(2),
.VAR8(0),
.VAR49(16),
.VAR67(1),
.VAR34(3)
) VAR33 (
.VAR45(VAR45),
.VAR27(VAR27),
.VAR24(1'VAR66),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR69(VAR69),
.VAR94(VAR94),
.VAR47(VAR47),
.VAR40(VAR40),
.VAR57(VAR57),
.VAR30(VAR30),
.VAR26(VAR26),
.VAR54(VAR54),
.VAR22(VAR22),
.VAR43(VAR43),
.VAR18(VAR18),
.VAR87(VAR87),
.VAR32(VAR32),
.VAR73(),
.VAR25(VAR25),
.VAR50(VAR50),
.VAR56(VAR56),
.VAR90(1'VAR66),
.VAR72(VAR72),
.VAR19(VAR19),
.VAR46(VAR46),
.VAR29(VAR29),
.VAR35(VAR35),
.VAR81(VAR81),
.VAR80(VAR80),
.VAR91(VAR91),
.VAR60(VAR60),
.VAR37(VAR37),
.VAR70(VAR70),
.VAR10(),
.VAR12(VAR12),
.VAR75(VAR75),
.VAR85(VAR85),
.VAR4(VAR4),
.VAR31(VAR31),
.VAR1(1'VAR66),
.VAR20(1'VAR66),
.VAR64(VAR64),
.VAR83(VAR83),
.VAR48(VAR48),
.VAR39(VAR39),
.VAR93(VAR93),
.VAR11(VAR11),
.VAR76(VAR76),
.VAR84(VAR84),
.VAR82(VAR82),
.VAR53(VAR53),
.VAR65(VAR65),
.VAR78(VAR78),
.VAR51(VAR51),
.VAR13(VAR13),
.VAR7(VAR7),
.VAR77(VAR77),
.VAR62(VAR62),
.VAR92(VAR92),
.VAR74(VAR74),
.VAR58(VAR58),
.VAR3(VAR3),
.VAR15(VAR15),
.VAR16(VAR16),
.VAR98(VAR98),
.VAR41(VAR41),
.VAR88(VAR88),
.VAR79(VAR79),
.VAR42(VAR42),
.VAR36(VAR36),
.VAR2(VAR2),
.VAR71(VAR71),
.VAR28(VAR28),
.VAR17(VAR17),
.VAR68(VAR68),
.VAR44(VAR44)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/bufbuf/sky130_fd_sc_ms__bufbuf.blackbox.v
| 1,224 |
module MODULE1 (
VAR1,
VAR5
);
output VAR1;
input VAR5;
supply1 VAR3;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
Sobel/ip/Sobel/vfabric_multiport_counter.v
| 7,362 |
module MODULE1(
VAR51, VAR35, VAR13, VAR50,
VAR44, VAR47, VAR49, VAR58,
VAR39, VAR5,
VAR59, VAR70, VAR78,
VAR74, VAR62, VAR61,
VAR31, VAR53, VAR16,
VAR46, VAR21, VAR54,
VAR48, VAR27, VAR68,
VAR32, VAR8, VAR63,
VAR22, VAR65, VAR69,
VAR33, VAR77, VAR23,
VAR15, VAR42, VAR12,
VAR14, VAR67, VAR20,
VAR34, VAR2, VAR71
);
parameter VAR17=32;
input VAR51;
input VAR35;
input VAR13;
input VAR50, VAR5;
input [VAR17-1:0] VAR39;
input [3*VAR17-1:0] VAR44;
input [3*VAR17-1:0] VAR47;
input [3*VAR17-1:0] VAR49;
input [VAR17-1:0] VAR58;
output [VAR17-1:0] VAR59, VAR74, VAR31;
output VAR70, VAR62, VAR53;
input VAR78, VAR61, VAR16;
output [VAR17-1:0] VAR46, VAR48, VAR32, VAR22;
output VAR21, VAR27, VAR8, VAR65;
input VAR54, VAR68, VAR63, VAR69;
output [VAR17-1:0] VAR33, VAR15, VAR14;
output VAR77, VAR42, VAR67;
input VAR23, VAR12, VAR20;
output [VAR17-1:0] VAR34;
output VAR2;
input VAR71;
wire [VAR17-1:0] VAR3[2:0];
wire [VAR17-1:0] VAR38[2:0];
wire [VAR17-1:0] VAR9[2:0];
wire [VAR17-1:0] VAR10[2:0];
wire [VAR17-1:0] VAR76[2:0];
wire [VAR17-1:0] VAR56[2:0];
wire [VAR17-1:0] VAR24;
wire [VAR17-1:0] VAR19;
wire [VAR17-1:0] VAR4;
wire VAR72;
wire VAR11;
assign VAR3[2] = VAR44[3*VAR17-1:2*VAR17];
assign VAR3[1] = VAR44[2*VAR17-1:VAR17];
assign VAR3[0] = VAR44[VAR17-1:0];
assign VAR38[2] = VAR47[3*VAR17-1:2*VAR17];
assign VAR38[1] = VAR47[2*VAR17-1:VAR17];
assign VAR38[0] = VAR47[VAR17-1:0];
assign VAR9[2] = VAR49[3*VAR17-1:2*VAR17];
assign VAR9[1] = VAR49[2*VAR17-1:VAR17];
assign VAR9[0] = VAR49[VAR17-1:0];
assign VAR4 = {VAR19[2:0], VAR24[28:0]};
VAR45 VAR60(
.VAR51(VAR51),
.VAR35(VAR35),
.VAR13(VAR13),
.VAR50(VAR50),
.VAR47(VAR38),
.VAR44(VAR3),
.VAR49(VAR9),
.VAR57(VAR76),
.VAR7(VAR10),
.VAR40(VAR56),
.VAR55(VAR24),
.VAR66(VAR19),
.VAR18(VAR72),
.VAR43(VAR11)
);
wire [VAR17-1:0] VAR26;
wire VAR64, VAR25;
wire [12*VAR17-1:0] VAR29;
wire [12*VAR17-1:0] VAR1;
assign VAR29 = {VAR4, VAR4,
VAR10[2], VAR10[1], VAR10[0],
VAR76[2], VAR76[1], VAR76[0],
VAR56[2], VAR56[1], VAR56[0],
VAR19};
VAR73 VAR28(.VAR51(VAR51), .VAR35(VAR35),
.VAR79(VAR29), .VAR75(VAR72),
.VAR6(VAR11), .VAR52(VAR1),
.VAR43({VAR69, VAR25,
VAR78, VAR61, VAR16,
VAR54, VAR68, VAR63,
VAR23, VAR12, VAR20,
VAR71}),
.VAR18({VAR65, VAR64,
VAR70, VAR62, VAR53,
VAR21, VAR27, VAR8,
VAR77, VAR42, VAR67,
VAR2}));
assign VAR34 = VAR1[VAR17-1:0];
assign VAR14 = VAR1[2*VAR17-1:VAR17];
assign VAR15 = VAR1[3*VAR17-1:2*VAR17];
assign VAR33 = VAR1[4*VAR17-1:3*VAR17];
assign VAR32 = VAR1[5*VAR17-1:4*VAR17];
assign VAR48 = VAR1[6*VAR17-1:5*VAR17];
assign VAR46 = VAR1[7*VAR17-1:6*VAR17];
assign VAR31 = VAR1[8*VAR17-1:7*VAR17];
assign VAR74 = VAR1[9*VAR17-1:8*VAR17];
assign VAR59 = VAR1[10*VAR17-1:9*VAR17];
assign VAR26 = VAR1[11*VAR17-1:10*VAR17];
assign VAR22 = VAR1[12*VAR17-1:11*VAR17];
VAR36 VAR30(.VAR51(VAR51), .VAR35(VAR35),
.VAR79(VAR26), .VAR75(VAR64),
.VAR6(VAR25),
.VAR39(VAR39), .VAR5(VAR5),
.VAR50(VAR50), .VAR58(VAR58));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/diode/sky130_fd_sc_hdll__diode.blackbox.v
| 1,222 |
module MODULE1 (
VAR1
);
input VAR1;
supply1 VAR4;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Computer_System/synthesis/submodules/hps_sdram_p0_clock_pair_generator.v
| 4,028 |
module MODULE1
(
VAR15,
VAR19,
VAR18) ;
input [0:0] VAR15;
output [0:0] VAR19;
output [0:0] VAR18;
wire [0:0] VAR3;
wire [0:0] VAR6;
wire [0:0] VAR31;
wire [0:0] VAR32;
wire [0:0] VAR30;
wire [0:0] VAR2;
wire [0:0] VAR27;
wire [0:0] VAR24;
wire [0:0] VAR26;
wire [0:0] VAR8;
VAR5 VAR17
(
.VAR23(VAR2),
.VAR28(VAR3[0:0]),
.VAR12(),
.VAR35(VAR6[0:0])
,
.VAR33(1'b0),
.VAR14({16{1'b0}}),
.VAR9({16{1'b0}})
,
.VAR4(1'b1)
);
VAR17.VAR10 = "false",
VAR17.VAR11 = "false",
VAR17.VAR20 = "VAR5";
assign
VAR6 = {(~ VAR27[0])};
VAR5 VAR1
(
.VAR23(VAR30),
.VAR28(VAR31[0:0]),
.VAR12(),
.VAR35(VAR32[0:0])
,
.VAR33(1'b0),
.VAR14({16{1'b0}}),
.VAR9({16{1'b0}})
,
.VAR4(1'b1)
);
VAR1.VAR10 = "false",
VAR1.VAR11 = "false",
VAR1.VAR20 = "VAR5";
assign
VAR32 = {(~ VAR26[0])};
VAR25 VAR34
(
.VAR13(),
.VAR16(),
.VAR23(VAR15),
.VAR28(VAR30[0:0]),
.VAR12(VAR2[0:0]),
.VAR22(VAR27[0:0]),
.VAR21(VAR24[0:0]),
.VAR7(VAR26[0:0])
,
.VAR29(1'b0)
);
assign
VAR24 = {(~ VAR8[0])};
assign
VAR19 = VAR31,
VAR18 = VAR3,
VAR8 = 1'b1;
endmodule
|
mit
|
kmod/processor
|
ipcore_dir/dcm.v
| 5,473 |
module MODULE1
( input VAR44,
output VAR18
);
VAR2 VAR42
(.VAR15 (VAR1),
.VAR28 (VAR44));
wire VAR14;
wire VAR9;
wire VAR38;
wire VAR37;
VAR20
.VAR46 (20),
.VAR33 (2),
.VAR45 ("VAR21"),
.VAR16 (10.0),
.VAR8 ("VAR40"),
.VAR23 ("1X"),
.VAR11 ("VAR19"),
.VAR39 (0),
.VAR7 ("VAR21"))
VAR5
(.VAR44 (VAR1),
.VAR10 (VAR9),
.VAR36 (VAR38),
.VAR29 (),
.VAR26 (),
.VAR27 (),
.VAR6 (),
.VAR13 (),
.VAR32 (VAR37),
.VAR17 (),
.VAR12 (),
.VAR30 (1'b0),
.VAR34 (1'b0),
.VAR4 (1'b0),
.VAR3 (),
.VAR22 (),
.VAR35 (),
.VAR31 (1'b0),
.VAR41 (1'b0));
VAR25 VAR43
(.VAR15 (VAR9),
.VAR28 (VAR38));
VAR25 VAR24
(.VAR15 (VAR18),
.VAR28 (VAR37));
endmodule
|
mit
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/acme/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/FIFO_image_filter_img_1_data_stream_2_V.v
| 3,017 |
module MODULE1 (
clk,
VAR11,
VAR26,
VAR27,
VAR6);
parameter VAR8 = 32'd8;
parameter VAR18 = 32'd1;
parameter VAR19 = 32'd2;
input clk;
input [VAR8-1:0] VAR11;
input VAR26;
input [VAR18-1:0] VAR27;
output [VAR8-1:0] VAR6;
reg[VAR8-1:0] VAR24 [0:VAR19-1];
integer VAR21;
always @ (posedge clk)
begin
if (VAR26)
begin
for (VAR21=0;VAR21<VAR19-1;VAR21=VAR21+1)
VAR24[VAR21+1] <= VAR24[VAR21];
VAR24[0] <= VAR11;
end
end
assign VAR6 = VAR24[VAR27];
endmodule
module MODULE2 (
clk,
reset,
VAR20,
VAR4,
VAR2,
VAR3,
VAR13,
VAR16,
VAR22,
VAR14);
parameter VAR1 = "VAR10";
parameter VAR8 = 32'd8;
parameter VAR18 = 32'd1;
parameter VAR19 = 32'd2;
input clk;
input reset;
output VAR20;
input VAR4;
input VAR2;
output[VAR8 - 1:0] VAR3;
output VAR13;
input VAR16;
input VAR22;
input[VAR8 - 1:0] VAR14;
wire[VAR18 - 1:0] VAR7 ;
wire[VAR8 - 1:0] VAR15, VAR5;
reg[VAR18:0] VAR17 = {(VAR18+1){1'b1}};
reg VAR23 = 0, VAR12 = 1;
assign VAR20 = VAR23;
assign VAR13 = VAR12;
assign VAR15 = VAR14;
assign VAR3 = VAR5;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR17 <= ~{VAR18+1{1'b0}};
VAR23 <= 1'b0;
VAR12 <= 1'b1;
end
else begin
if (((VAR2 & VAR4) == 1 & VAR23 == 1) &&
((VAR22 & VAR16) == 0 | VAR12 == 0))
begin
VAR17 <= VAR17 -1;
if (VAR17 == 0)
VAR23 <= 1'b0;
VAR12 <= 1'b1;
end
else if (((VAR2 & VAR4) == 0 | VAR23 == 0) &&
((VAR22 & VAR16) == 1 & VAR12 == 1))
begin
VAR17 <= VAR17 +1;
VAR23 <= 1'b1;
if (VAR17 == VAR19-2)
VAR12 <= 1'b0;
end
end
end
assign VAR7 = VAR17[VAR18] == 1'b0 ? VAR17[VAR18-1:0]:{VAR18{1'b0}};
assign VAR25 = (VAR22 & VAR16) & VAR12;
MODULE1
.VAR8(VAR8),
.VAR18(VAR18),
.VAR19(VAR19))
VAR9 (
.clk(clk),
.VAR11(VAR15),
.VAR26(VAR25),
.VAR27(VAR7),
.VAR6(VAR5));
endmodule
|
gpl-3.0
|
chipsalliance/yosys-f4pga-plugins
|
ql-qlf-plugin/qlf_k6n10/ffs_map.v
| 4,108 |
module \VAR30 (VAR7, VAR8, VAR11);
input VAR7;
input VAR8;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR4 VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8));
endmodule
module \VAR31 (VAR7, VAR8, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR29 VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2));
endmodule
module \VAR24 (VAR7, VAR8, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR2;
output VAR11;
VAR26 VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR9(VAR2));
endmodule
module \VAR17 (VAR7, VAR8, VAR23, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR19 VAR33 (.VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR23(VAR23), .VAR2(VAR2));
endmodule
module \VAR5 (VAR7, VAR8, VAR23, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR15 VAR33 (.VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR23(VAR23), .VAR9(VAR9));
endmodule
module \VAR3 (VAR7, VAR8, VAR2, VAR9, VAR11);
input VAR7;
input VAR8;
input VAR2;
input VAR9;
output VAR11;
VAR28 VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2), .VAR9(VAR9));
endmodule
module \VAR21 (VAR7, VAR11, VAR8, VAR23, VAR2, VAR9);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
input VAR9;
output VAR11;
VAR12 VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR23(VAR23), .VAR2(VAR2), .VAR9(VAR9));
endmodule
module \VAR18 (input VAR23, VAR9, VAR2, VAR7, output VAR11);
parameter VAR6 = 1'VAR13;
VAR1 VAR33 (.VAR7(VAR7), .VAR11(VAR11), .VAR23(1'b1), .VAR32(VAR23), .VAR2(VAR2), .VAR9(VAR9));
endmodule
module \VAR10 (VAR7, VAR8, VAR11);
input VAR7;
input VAR8;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR4 #(.VAR25(1'b1)) VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8));
endmodule
module \VAR27 (VAR7, VAR8, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR29 #(.VAR25(1'b1)) VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2));
endmodule
module \VAR22 (VAR7, VAR8, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR2;
output VAR11;
VAR26 #(.VAR25(1'b1)) VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR9(VAR2));
endmodule
module \VAR20 (VAR7, VAR8, VAR23, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR19 #(.VAR25(1'b1)) VAR33 (.VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR23(VAR23), .VAR2(VAR2));
endmodule
module \VAR16 (VAR7, VAR8, VAR23, VAR2, VAR11);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
output VAR11;
parameter VAR6 = 1'VAR13;
VAR15 #(.VAR25(1'b1)) VAR33 (.VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR23(VAR23), .VAR9(VAR9));
endmodule
module \VAR14 (VAR7, VAR8, VAR2, VAR9, VAR11);
input VAR7;
input VAR8;
input VAR2;
input VAR9;
output VAR11;
VAR28 #(.VAR25(1'b1)) VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2), .VAR9(VAR9));
endmodule
module \VAR21 (VAR7, VAR8, VAR23, VAR2, VAR9, VAR11);
input VAR7;
input VAR8;
input VAR23;
input VAR2;
input VAR9;
output VAR11;
VAR12 #(.VAR25(1'b1)) VAR33 (.VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR23(VAR23), .VAR2(VAR2), .VAR9(VAR9));
endmodule
|
apache-2.0
|
antmicro/yosys
|
passes/sat/example.v
| 1,190 |
module MODULE4(VAR7, VAR8);
input [15:0] VAR7;
output VAR8;
wire VAR12 = VAR7 > 12345;
wire VAR17 = VAR7 < 12345;
assign VAR8 = !VAR12 && !VAR17;
endmodule
module MODULE3(VAR7, VAR8);
input [3:0] VAR7;
output VAR8;
reg [1:0] VAR20, VAR19;
always @* begin
casex (VAR7)
16'VAR3:
VAR20 <= 1;
16'VAR13:
VAR20 <= 2;
16'VAR6:
VAR20 <= 3;
16'VAR9:
VAR20 <= 4;
default:
VAR20 <= 0;
endcase
casex (VAR7)
16'VAR3:
VAR19 <= 1;
16'VAR2:
VAR19 <= 2;
16'VAR21:
VAR19 <= 3;
16'b0001:
VAR19 <= 4;
default:
VAR19 <= 0;
endcase
end
assign VAR8 = VAR20 != VAR19;
endmodule
module MODULE1(VAR1, VAR14, VAR5, VAR10, VAR11, VAR15, VAR18, VAR4, VAR16);
input [7:0] VAR1, VAR14;
input signed [7:0] VAR5, VAR10;
input [3:0] VAR11;
output [7:0] VAR15 = VAR1 << VAR11, VAR18 = VAR14 >> VAR11;
output signed [7:0] VAR4 = VAR5 <<< VAR11, VAR16 = VAR10 >>> VAR11;
endmodule
module MODULE2(clk, rst, VAR8);
input clk, rst;
output VAR8;
reg [3:0] counter;
always @(posedge clk)
case (1'b1)
rst, counter == 9:
counter <= 0;
default:
counter <= counter+1;
endcase
assign VAR8 = counter == 12;
endmodule
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/edfxbp/sky130_fd_sc_lp__edfxbp.behavioral.pp.v
| 2,321 |
module MODULE1 (
VAR15 ,
VAR3 ,
VAR11 ,
VAR9 ,
VAR5 ,
VAR12,
VAR6,
VAR8 ,
VAR20
);
output VAR15 ;
output VAR3 ;
input VAR11 ;
input VAR9 ;
input VAR5 ;
input VAR12;
input VAR6;
input VAR8 ;
input VAR20 ;
wire VAR2 ;
reg VAR18 ;
wire VAR21 ;
wire VAR19 ;
wire VAR14;
wire VAR10 ;
wire VAR22 ;
wire VAR4 ;
VAR1 VAR16 (VAR10, VAR2, VAR21, VAR19 );
VAR7 VAR17 (VAR2 , VAR10, VAR14, VAR18, VAR12, VAR6);
assign VAR22 = ( VAR12 === 1'b1 );
assign VAR4 = ( VAR22 && ( VAR19 === 1'b1 ) );
buf VAR23 (VAR15 , VAR2 );
not VAR13 (VAR3 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a221oi/sky130_fd_sc_lp__a221oi.blackbox.v
| 1,403 |
module MODULE1 (
VAR9 ,
VAR4,
VAR10,
VAR6,
VAR8,
VAR2
);
output VAR9 ;
input VAR4;
input VAR10;
input VAR6;
input VAR8;
input VAR2;
supply1 VAR7;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a21oi/sky130_fd_sc_ms__a21oi_2.v
| 2,261 |
module MODULE2 (
VAR6 ,
VAR4 ,
VAR2 ,
VAR7 ,
VAR1,
VAR8,
VAR9 ,
VAR5
);
output VAR6 ;
input VAR4 ;
input VAR2 ;
input VAR7 ;
input VAR1;
input VAR8;
input VAR9 ;
input VAR5 ;
VAR10 VAR3 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR6 ,
VAR4,
VAR2,
VAR7
);
output VAR6 ;
input VAR4;
input VAR2;
input VAR7;
supply1 VAR1;
supply0 VAR8;
supply1 VAR9 ;
supply0 VAR5 ;
VAR10 VAR3 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/sdfxbp/sky130_fd_sc_hvl__sdfxbp.behavioral.v
| 2,389 |
module MODULE1 (
VAR19 ,
VAR8,
VAR11,
VAR10 ,
VAR4,
VAR14
);
output VAR19 ;
output VAR8;
input VAR11;
input VAR10 ;
input VAR4;
input VAR14;
supply1 VAR15;
supply0 VAR7;
supply1 VAR12 ;
supply0 VAR18 ;
wire VAR26 ;
wire VAR3 ;
reg VAR13 ;
wire VAR1 ;
wire VAR23 ;
wire VAR25 ;
wire VAR2 ;
wire VAR17;
wire VAR22;
wire VAR9;
VAR6 VAR24 (VAR3, VAR2, VAR17, VAR22 );
VAR20 VAR21 (VAR26 , VAR3, VAR9, VAR13, VAR15, VAR7);
assign VAR1 = ( VAR22 === 1'b0 );
assign VAR23 = ( VAR22 === 1'b1 );
assign VAR25 = ( VAR2 !== VAR17 );
buf VAR5 (VAR19 , VAR26 );
not VAR16 (VAR8 , VAR26 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v
| 1,585 |
module MODULE1 (
VAR3 ,
VAR15,
VAR6,
VAR2,
VAR5,
VAR10
);
output VAR3 ;
input VAR15;
input VAR6;
input VAR2;
input VAR5;
input VAR10;
supply1 VAR11;
supply0 VAR12;
supply1 VAR13 ;
supply0 VAR8 ;
wire VAR4 ;
wire VAR14;
and VAR1 (VAR4 , VAR15, VAR6 );
or VAR9 (VAR14, VAR5, VAR2, VAR4, VAR10);
buf VAR7 (VAR3 , VAR14 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/inv/sky130_fd_sc_lp__inv_4.v
| 1,995 |
module MODULE1 (
VAR4 ,
VAR5 ,
VAR7,
VAR1,
VAR3 ,
VAR8
);
output VAR4 ;
input VAR5 ;
input VAR7;
input VAR1;
input VAR3 ;
input VAR8 ;
VAR6 VAR2 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR4,
VAR5
);
output VAR4;
input VAR5;
supply1 VAR7;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR8 ;
VAR6 VAR2 (
.VAR4(VAR4),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
Gifts/descrypt-ztex-bruteforcer
|
user_cores/des/src/xor_32.v
| 1,115 |
module MODULE1(
input [31:0] VAR3,
input [31:0] VAR4,
output reg [31:0] VAR2,
input VAR1
);
always @(posedge VAR1)
begin
VAR2 <= VAR3 ^ VAR4;
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/inv/sky130_fd_sc_ms__inv.behavioral.pp.v
| 1,748 |
module MODULE1 (
VAR11 ,
VAR12 ,
VAR4,
VAR7,
VAR5 ,
VAR9
);
output VAR11 ;
input VAR12 ;
input VAR4;
input VAR7;
input VAR5 ;
input VAR9 ;
wire VAR8 ;
wire VAR6;
not VAR2 (VAR8 , VAR12 );
VAR10 VAR1 (VAR6, VAR8, VAR4, VAR7);
buf VAR3 (VAR11 , VAR6 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/latrnq/gf180mcu_fd_sc_mcu9t5v0__latrnq_4.behavioral.pp.v
| 2,914 |
module MODULE1( VAR24, VAR1, VAR3, VAR20, VAR13, VAR27 );
input VAR3, VAR24, VAR1;
inout VAR13, VAR27;
output VAR20;
reg VAR10;
VAR18 VAR4(.VAR24(VAR24),.VAR1(VAR1),.VAR3(VAR3),.VAR20(VAR20),.VAR13(VAR13),.VAR27(VAR27),.VAR10(VAR10));
VAR18 VAR22(.VAR24(VAR24),.VAR1(VAR1),.VAR3(VAR3),.VAR20(VAR20),.VAR13(VAR13),.VAR27(VAR27),.VAR10(VAR10));
buf VAR23(VAR9,VAR1);
not VAR6(VAR16,VAR3);
and VAR7(VAR8,VAR1,VAR16);
and VAR19(VAR28,VAR1,VAR3);
not VAR11(VAR15,VAR3);
not VAR14(VAR5,VAR24);
and VAR2(VAR21,VAR5,VAR15);
not VAR17(VAR26,VAR24);
and VAR12(VAR25,VAR26,VAR3);
|
apache-2.0
|
slongfield/StereoCensus
|
verilog/lib/fifo.v
| 1,392 |
module MODULE1#(
parameter VAR4=1,
parameter VAR3=1
) (
input wire clk,
input wire rst,
input wire [VAR4-1:0] VAR6,
output wire [VAR4-1:0] VAR2
);
reg [VAR4-1:0] VAR9[VAR3];
assign VAR2 = VAR9[VAR3-1];
VAR10#(VAR4) VAR8(clk, rst, VAR6, VAR9[0]);
genvar VAR7;
generate
for (VAR7 = 0; VAR7 < VAR3-1; VAR7++) begin : VAR5
VAR10#(VAR4) VAR1(clk, rst, VAR9[VAR7], VAR9[VAR7+1]);
end
endgenerate
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21a/sky130_fd_sc_hdll__o21a.pp.symbol.v
| 1,352 |
module MODULE1 (
input VAR3 ,
input VAR1 ,
input VAR2 ,
output VAR7 ,
input VAR8 ,
input VAR6,
input VAR4,
input VAR5
);
endmodule
|
apache-2.0
|
ultraembedded/riscv
|
top_cache_axi/src_v/riscv_top.v
| 9,345 |
module MODULE1
parameter VAR161 = 0
,parameter VAR8 = 0
,parameter VAR143 = 32'hffffffff
)
(
input VAR32
,input VAR37
,input VAR27
,input VAR10
,input VAR60
,input [ 1:0] VAR135
,input [ 3:0] VAR98
,input VAR120
,input VAR130
,input [ 31:0] VAR126
,input [ 1:0] VAR127
,input [ 3:0] VAR48
,input VAR136
,input VAR106
,input VAR54
,input VAR129
,input [ 1:0] VAR82
,input [ 3:0] VAR148
,input VAR88
,input VAR160
,input [ 31:0] VAR38
,input [ 1:0] VAR122
,input [ 3:0] VAR62
,input VAR114
,input VAR7
,input [ 31:0] VAR63
,output VAR97
,output [ 31:0] VAR134
,output [ 3:0] VAR140
,output [ 7:0] VAR162
,output [ 1:0] VAR146
,output VAR19
,output [ 31:0] VAR132
,output [ 3:0] VAR85
,output VAR45
,output VAR112
,output VAR77
,output [ 31:0] VAR52
,output [ 3:0] VAR59
,output [ 7:0] VAR147
,output [ 1:0] VAR67
,output VAR155
,output VAR71
,output [ 31:0] VAR152
,output [ 3:0] VAR17
,output [ 7:0] VAR11
,output [ 1:0] VAR79
,output VAR149
,output [ 31:0] VAR94
,output [ 3:0] VAR31
,output VAR111
,output VAR42
,output VAR128
,output [ 31:0] VAR102
,output [ 3:0] VAR14
,output [ 7:0] VAR47
,output [ 1:0] VAR36
,output VAR75
);
wire VAR159;
wire VAR30;
wire VAR40;
wire VAR95;
wire VAR89;
wire [ 10:0] VAR49;
wire [ 31:0] VAR64;
wire [ 31:0] VAR131 = VAR161;
wire VAR104;
wire [ 31:0] VAR4;
wire VAR116;
wire VAR133;
wire VAR50;
wire [ 10:0] VAR3;
wire VAR70;
wire VAR26;
wire [ 31:0] VAR15;
wire VAR65;
wire [ 3:0] VAR34;
wire [ 31:0] VAR137;
wire VAR69;
wire VAR100;
wire [ 31:0] VAR53;
VAR145
VAR78
(
.VAR32(VAR32)
,.VAR37(VAR37)
,.VAR57(VAR4)
,.VAR12(VAR53)
,.VAR5(VAR104)
,.VAR9(VAR34)
,.VAR157(VAR70)
,.VAR84(VAR3)
,.VAR24(VAR95)
,.VAR6(VAR50)
,.VAR92(VAR40)
,.VAR55(VAR106)
,.VAR141(VAR54)
,.VAR110(VAR129)
,.VAR154(VAR82)
,.VAR142(VAR148)
,.VAR107(VAR88)
,.VAR124(VAR160)
,.VAR74(VAR38)
,.VAR58(VAR122)
,.VAR29(VAR62)
,.VAR87(VAR114)
,.VAR80(VAR15)
,.VAR150(VAR116)
,.VAR46(VAR89)
,.VAR44(VAR100)
,.VAR138(VAR49)
,.VAR121(VAR71)
,.VAR41(VAR152)
,.VAR90(VAR17)
,.VAR101(VAR11)
,.VAR33(VAR79)
,.VAR81(VAR149)
,.VAR22(VAR94)
,.VAR18(VAR31)
,.VAR25(VAR111)
,.VAR158(VAR42)
,.VAR99(VAR128)
,.VAR76(VAR102)
,.VAR108(VAR14)
,.VAR35(VAR47)
,.VAR43(VAR36)
,.VAR125(VAR75)
);
VAR118
.VAR8(VAR8)
,.VAR143(VAR143)
)
VAR105
(
.VAR32(VAR32)
,.VAR37(VAR37)
,.VAR93(VAR15)
,.VAR61(VAR116)
,.VAR20(VAR89)
,.VAR96(VAR100)
,.VAR144(VAR49)
,.VAR86(VAR65)
,.VAR23(VAR159)
,.VAR1(VAR26)
,.VAR68(VAR64)
,.VAR7(VAR7)
,.VAR63(VAR63)
,.VAR109(VAR131)
,.VAR139(VAR4)
,.VAR151(VAR53)
,.VAR51(VAR104)
,.VAR156(VAR34)
,.VAR73(VAR70)
,.VAR123(VAR3)
,.VAR103(VAR95)
,.VAR2(VAR50)
,.VAR153(VAR40)
,.VAR16(VAR69)
,.VAR66(VAR30)
,.VAR115(VAR133)
,.VAR21(VAR137)
);
VAR119
VAR28
(
.VAR32(VAR32)
,.VAR37(VAR37)
,.VAR91(VAR69)
,.VAR13(VAR30)
,.VAR39(VAR133)
,.VAR56(VAR137)
,.VAR55(VAR27)
,.VAR141(VAR10)
,.VAR110(VAR60)
,.VAR154(VAR135)
,.VAR142(VAR98)
,.VAR107(VAR120)
,.VAR124(VAR130)
,.VAR74(VAR126)
,.VAR58(VAR127)
,.VAR29(VAR48)
,.VAR87(VAR136)
,.VAR117(VAR65)
,.VAR113(VAR159)
,.VAR83(VAR26)
,.VAR72(VAR64)
,.VAR121(VAR97)
,.VAR41(VAR134)
,.VAR90(VAR140)
,.VAR101(VAR162)
,.VAR33(VAR146)
,.VAR81(VAR19)
,.VAR22(VAR132)
,.VAR18(VAR85)
,.VAR25(VAR45)
,.VAR158(VAR112)
,.VAR99(VAR77)
,.VAR76(VAR52)
,.VAR108(VAR59)
,.VAR35(VAR147)
,.VAR43(VAR67)
,.VAR125(VAR155)
);
endmodule
|
bsd-3-clause
|
peteasa/parallella-fpga
|
AdiHDLLib/library/common/up_hdmi_tx.v
| 12,618 |
module MODULE1 (
VAR7,
VAR16,
VAR3,
VAR34,
VAR1,
VAR31,
VAR65,
VAR22,
VAR71,
VAR12,
VAR42,
VAR69,
VAR78,
VAR27,
VAR41,
VAR21,
VAR80,
VAR9,
VAR52,
VAR64,
VAR67,
VAR2,
VAR19,
VAR37,
VAR32,
VAR11,
VAR40,
VAR5,
VAR45,
VAR48,
VAR50,
VAR35,
VAR46,
VAR61,
VAR60);
localparam VAR87 = 32'h00040063;
parameter VAR53 = 0;
input VAR7;
output VAR16;
output VAR3;
output VAR34;
output VAR1;
output [ 1:0] VAR31;
output [23:0] VAR65;
output [15:0] VAR22;
output [15:0] VAR71;
output [15:0] VAR12;
output [15:0] VAR42;
output [15:0] VAR69;
output [15:0] VAR78;
output [15:0] VAR27;
output [15:0] VAR41;
output [15:0] VAR21;
output [15:0] VAR80;
input VAR9;
input VAR52;
input [31:0] VAR64;
input VAR67;
output VAR2;
input VAR19;
input VAR37;
input VAR32;
input VAR11;
input VAR40;
input VAR5;
input [13:0] VAR45;
input [31:0] VAR48;
output VAR50;
input VAR35;
input [13:0] VAR46;
output [31:0] VAR61;
output VAR60;
reg VAR51 = 'd0;
reg VAR50 = 'd0;
reg [31:0] VAR24 = 'd0;
reg VAR15 = 'd0;
reg VAR18 = 'd0;
reg VAR13 = 'd0;
reg VAR84 = 'd0;
reg [ 1:0] VAR44 = 'd1;
reg [23:0] VAR6 = 'd0;
reg VAR4 = 'd0;
reg VAR66 = 'd0;
reg VAR74 = 'd0;
reg VAR83 = 'd0;
reg [15:0] VAR85 = 'd0;
reg [15:0] VAR57 = 'd0;
reg [15:0] VAR36 = 'd0;
reg [15:0] VAR20 = 'd0;
reg [15:0] VAR70 = 'd0;
reg [15:0] VAR79 = 'd0;
reg [15:0] VAR81 = 'd0;
reg [15:0] VAR73 = 'd0;
reg [15:0] VAR59 = 'd0;
reg [15:0] VAR77 = 'd0;
reg VAR60 = 'd0;
reg [31:0] VAR61 = 'd0;
wire VAR38;
wire VAR55;
wire VAR47;
wire VAR86;
wire [31:0] VAR33;
wire VAR58;
wire VAR8;
wire VAR49;
assign VAR38 = (VAR45[13:12] == 2'd0) ? VAR5 : 1'b0;
assign VAR55 = (VAR46[13:12] == 2'd0) ? VAR35 : 1'b0;
always @(negedge VAR11 or posedge VAR40) begin
if (VAR11 == 0) begin
VAR51 <= 1'd1;
VAR50 <= 'd0;
VAR24 <= 'd0;
VAR15 <= 'd0;
VAR18 <= 'd0;
VAR13 <= 'd0;
VAR84 <= 'd0;
VAR44 <= 'd1;
VAR6 <= 'd0;
VAR4 <= 'd0;
VAR66 <= 'd0;
VAR74 <= 'd0;
VAR83 <= 'd0;
VAR85 <= 'd0;
VAR57 <= 'd0;
VAR36 <= 'd0;
VAR20 <= 'd0;
VAR70 <= 'd0;
VAR79 <= 'd0;
VAR81 <= 'd0;
VAR73 <= 'd0;
VAR59 <= 'd0;
VAR77 <= 'd0;
end else begin
VAR51 <= ~VAR15;
VAR50 <= VAR38;
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h002)) begin
VAR24 <= VAR48;
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h010)) begin
VAR15 <= VAR48[0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h011)) begin
VAR84 <= VAR48[2];
VAR18 <= VAR48[1];
VAR13 <= VAR48[0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h012)) begin
VAR44 <= VAR48[1:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h013)) begin
VAR6 <= VAR48[23:0];
end
if (VAR58 == 1'b1) begin
VAR4 <= 1'b1;
end else if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h018)) begin
VAR4 <= VAR4 & ~VAR48[1];
end
if (VAR8 == 1'b1) begin
VAR66 <= 1'b1;
end else if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h018)) begin
VAR66 <= VAR66 & ~VAR48[0];
end
if (VAR86 == 1'b1) begin
VAR74 <= 1'b1;
end else if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h019)) begin
VAR74 <= VAR74 & ~VAR48[1];
end
if (VAR49 == 1'b1) begin
VAR83 <= 1'b1;
end else if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h019)) begin
VAR83 <= VAR83 & ~VAR48[0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h100)) begin
VAR85 <= VAR48[31:16];
VAR57 <= VAR48[15:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h101)) begin
VAR36 <= VAR48[15:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h102)) begin
VAR20 <= VAR48[31:16];
VAR70 <= VAR48[15:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h110)) begin
VAR79 <= VAR48[31:16];
VAR81 <= VAR48[15:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h111)) begin
VAR73 <= VAR48[15:0];
end
if ((VAR38 == 1'b1) && (VAR45[11:0] == 12'h112)) begin
VAR59 <= VAR48[31:16];
VAR77 <= VAR48[15:0];
end
end
end
always @(negedge VAR11 or posedge VAR40) begin
if (VAR11 == 0) begin
VAR60 <= 'd0;
VAR61 <= 'd0;
end else begin
VAR60 <= VAR55;
if (VAR55 == 1'b1) begin
case (VAR46[11:0])
12'h000: VAR61 <= VAR87;
12'h001: VAR61 <= VAR53;
12'h002: VAR61 <= VAR24;
12'h010: VAR61 <= {31'd0, VAR15};
12'h011: VAR61 <= {29'd0, VAR84, VAR18, VAR13};
12'h012: VAR61 <= {30'd0, VAR44};
12'h013: VAR61 <= {8'd0, VAR6};
12'h015: VAR61 <= VAR33;
12'h016: VAR61 <= VAR64;
12'h017: VAR61 <= {31'd0, VAR47};
12'h018: VAR61 <= {30'd0, VAR4, VAR66};
12'h019: VAR61 <= {30'd0, VAR74, VAR83};
12'h100: VAR61 <= {VAR85, VAR57};
12'h101: VAR61 <= {16'd0, VAR36};
12'h102: VAR61 <= {VAR20, VAR70};
12'h110: VAR61 <= {VAR79, VAR81};
12'h111: VAR61 <= {16'd0, VAR73};
12'h112: VAR61 <= {VAR59, VAR77};
default: VAR61 <= 0;
endcase
end else begin
VAR61 <= 32'd0;
end
end
end
VAR63 VAR75 (.VAR25(VAR51), .clk(VAR7), .rst(VAR16));
VAR63 VAR30 (.VAR25(VAR51), .clk(VAR67), .rst(VAR2));
VAR68 #(.VAR17(189)) VAR72 (
.VAR11 (VAR11),
.VAR40 (VAR40),
.VAR54 ({ VAR84,
VAR18,
VAR13,
VAR44,
VAR6,
VAR85,
VAR57,
VAR36,
VAR20,
VAR70,
VAR79,
VAR81,
VAR73,
VAR59,
VAR77}),
.VAR23 (),
.VAR56 (VAR16),
.VAR39 (VAR7),
.VAR14 ({ VAR1,
VAR3,
VAR34,
VAR31,
VAR65,
VAR22,
VAR71,
VAR12,
VAR42,
VAR69,
VAR78,
VAR27,
VAR41,
VAR21,
VAR80}));
VAR29 #(.VAR17(2)) VAR76 (
.VAR11 (VAR11),
.VAR40 (VAR40),
.VAR43 ({VAR47,
VAR86}),
.VAR56 (VAR16),
.VAR39 (VAR7),
.VAR10 ({ VAR9,
VAR52}));
VAR26 VAR28 (
.VAR11 (VAR11),
.VAR40 (VAR40),
.VAR82 (VAR33),
.VAR56 (VAR16),
.VAR39 (VAR7));
VAR29 #(.VAR17(3)) VAR62 (
.VAR11 (VAR11),
.VAR40 (VAR40),
.VAR43 ({VAR58,
VAR8,
VAR49}),
.VAR56 (VAR2),
.VAR39 (VAR67),
.VAR10 ({ VAR19,
VAR37,
VAR32}));
endmodule
|
lgpl-3.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/ifu/rtl/sparc_ifu_thrfsm.v
| 7,178 |
module MODULE1(
VAR34, VAR28,
VAR1, VAR16, VAR20, VAR4, VAR6, VAR15,
VAR24, VAR23, VAR22, VAR17, VAR33,
VAR2, VAR3, clk, VAR30, VAR13, reset
);
input VAR1, VAR16, VAR20, VAR4, VAR6, VAR15, VAR2,
VAR24, VAR22,
VAR23,
VAR17;
input VAR33, VAR3;
input clk, VAR30, VAR13, reset;
output VAR34;
output [4:0] VAR28;
reg [4:0] VAR19;
always @ ( VAR1
or VAR2 or VAR15 or VAR4 or VAR22
or VAR17 or VAR16 or VAR20 or VAR6
or VAR24 or VAR3 or VAR33 or VAR23
or VAR28)
begin
case (VAR28[4:0])
if (VAR17 | VAR23)
VAR19 = VAR36;
end
else if (VAR24)
VAR19 = VAR7;
else VAR19 = VAR28[4:0];
end
if (VAR22)
VAR19 = VAR5;
else if (VAR17 | VAR23)
VAR19 = VAR36;
else if (VAR15 | VAR24)
VAR19 = VAR7;
else
VAR19 = VAR28[4:0];
end
if (VAR6)
VAR19 = VAR36;
else if (VAR16)
VAR19 = VAR14;
else
VAR19 = VAR28[4:0];
end
if (VAR6 | VAR3)
VAR19 = VAR36;
else if (VAR33)
VAR19 = VAR7;
else
VAR19 = VAR28[4:0];
end
if (VAR22)
VAR19 = VAR5;
else if (VAR2) VAR19 = VAR12;
else if (VAR6) VAR19 = VAR36;
else if (VAR20) VAR19 = VAR25;
else if (VAR1 & ~VAR2)
VAR19 = VAR7;
else
VAR19 = VAR28[4:0];
end
if (VAR6)
VAR19 = VAR36;
else if (VAR16 & ~VAR4) VAR19 = VAR18;
else if (VAR16 & VAR4) VAR19 = VAR14;
else if (VAR4)
VAR19 = VAR7;
else
VAR19 = VAR28[4:0];
end
if (VAR6 | VAR3)
VAR19 = VAR36;
else if ((VAR4) & VAR33)
VAR19 = VAR7;
else if ((VAR4) & ~VAR33)
VAR19 = VAR14;
else if (~(VAR4) & VAR33)
VAR19 = VAR25;
else
VAR19 = VAR28[4:0];
end
default:
begin
("VAR27", "VAR32.VAR11: VAR35! VAR8 VAR21 %VAR29\VAR26", VAR28);
if (VAR17)
VAR19 = VAR36;
end
else if (VAR22)
VAR19 = VAR5;
else
VAR19 = VAR28[4:0];
end
endcase end
VAR9 #(5) VAR10(.din (VAR19),
.clk (clk),
.VAR31 (VAR28),
.rst (reset),
.VAR30 (VAR30), .VAR34(), .VAR13());
endmodule
|
gpl-2.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/components/hq_dac.v
| 3,053 |
module MODULE1
(
input reset,
input clk,
input VAR1,
input [19:0] VAR12,
output reg VAR14
);
wire [23:0] VAR10;
wire [23:0] VAR3;
wire [23:0] VAR15;
reg [23:0] VAR9;
assign VAR10 = { {4{VAR12[19]}}, VAR12 };
assign VAR3 = VAR10 - VAR2;
assign VAR15 = { {3{VAR3[23]}}, VAR3[22:2] } + VAR9;
always @(posedge reset or posedge clk)
if (reset)
VAR9 <= 24'd0;
else if (VAR1)
VAR9 <= VAR15;
wire [23:0] VAR8;
wire [23:0] VAR13;
wire [23:0] VAR5;
reg [23:0] VAR11;
assign VAR8 = { {3{VAR9[23]}}, VAR9[22:2] } - { {3{VAR2[23]}}, VAR2[22:2] };
assign VAR13 = VAR8
- { {14{VAR6[23]}}, VAR6[22:13] };
assign VAR5 = VAR13 + VAR11;
always @(posedge reset or posedge clk)
if (reset)
VAR11 <= 24'd0;
else if (VAR1)
VAR11 <= VAR5;
wire [23:0] VAR4;
wire [23:0] VAR7;
reg [23:0] VAR6;
assign VAR4 = { {2{VAR5[23]}}, VAR5[22:1] } - { {2{VAR2[23]}}, VAR2[22:1] };
assign VAR7 = VAR4 + VAR6;
always @(posedge reset or posedge clk)
if (reset)
VAR6 <= 24'd0;
else if (VAR1)
VAR6 <= VAR7;
wire [23:0] VAR2;
assign VAR2 = (VAR6[23]) ? 24'hF00000 : 24'h100000;
always @(posedge reset or posedge clk)
if (reset)
VAR14 <= 1'b0;
else if (VAR1)
VAR14 <= ~VAR6[23];
endmodule
|
gpl-3.0
|
Alexoner/RiscCPU
|
cputop.v
| 6,720 |
module MODULE1(
reg VAR4,VAR8;
reg [(3*8):0] VAR12; reg [12:0] VAR10,VAR11;
wire [7:0] VAR18;
wire [12:0] addr;
wire rd,wr,VAR16,VAR14,VAR7;
VAR15 VAR5 (.clk(VAR8),.reset(VAR4),.VAR16(VAR16),.rd(rd),
.wr(wr),.addr(addr),.VAR18(VAR18));
VAR3 VAR13 (.addr(addr[9:0]),.read(rd),.write(wr),.VAR1(VAR14),.VAR18(VAR18));
VAR2 VAR6 (.addr(addr),.read(rd),.VAR1(VAR7),.VAR18(VAR18));
VAR17 VAR9 (.addr(addr),.VAR14(VAR14),.VAR7(VAR7));
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-2.0
|
kyzhai/NUNY
|
src/hardware/exam_bb.v
| 4,966 |
module MODULE1 (
address,
VAR2,
VAR1);
input [11:0] address;
input VAR2;
output [11:0] VAR1;
tri1 VAR2;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand2/sky130_fd_sc_ls__nand2.behavioral.pp.v
| 1,792 |
module MODULE1 (
VAR2 ,
VAR1 ,
VAR7 ,
VAR13,
VAR6,
VAR10 ,
VAR3
);
output VAR2 ;
input VAR1 ;
input VAR7 ;
input VAR13;
input VAR6;
input VAR10 ;
input VAR3 ;
wire VAR11 ;
wire VAR4;
nand VAR8 (VAR11 , VAR7, VAR1 );
VAR5 VAR9 (VAR4, VAR11, VAR13, VAR6);
buf VAR12 (VAR2 , VAR4 );
endmodule
|
apache-2.0
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_auto_us_1/synth/triangle_intersect_auto_us_1.v
| 10,521 |
module MODULE1 (
VAR37,
VAR91,
VAR63,
VAR85,
VAR27,
VAR73,
VAR22,
VAR100,
VAR78,
VAR15,
VAR54,
VAR67,
VAR33,
VAR102,
VAR77,
VAR55,
VAR28,
VAR18,
VAR71,
VAR34,
VAR96,
VAR98,
VAR52,
VAR64,
VAR75,
VAR43,
VAR87,
VAR31,
VAR41,
VAR8,
VAR17,
VAR7,
VAR72,
VAR36,
VAR95,
VAR59,
VAR92,
VAR56,
VAR60,
VAR29
);
input wire VAR37;
input wire VAR91;
input wire [31 : 0] VAR63;
input wire [7 : 0] VAR85;
input wire [2 : 0] VAR27;
input wire [1 : 0] VAR73;
input wire [0 : 0] VAR22;
input wire [3 : 0] VAR100;
input wire [2 : 0] VAR78;
input wire [3 : 0] VAR15;
input wire [3 : 0] VAR54;
input wire VAR67;
output wire VAR33;
input wire [31 : 0] VAR102;
input wire [3 : 0] VAR77;
input wire VAR55;
input wire VAR28;
output wire VAR18;
output wire [1 : 0] VAR71;
output wire VAR34;
input wire VAR96;
output wire [31 : 0] VAR98;
output wire [7 : 0] VAR52;
output wire [2 : 0] VAR64;
output wire [1 : 0] VAR75;
output wire [0 : 0] VAR43;
output wire [3 : 0] VAR87;
output wire [2 : 0] VAR31;
output wire [3 : 0] VAR41;
output wire [3 : 0] VAR8;
output wire VAR17;
input wire VAR7;
output wire [63 : 0] VAR72;
output wire [7 : 0] VAR36;
output wire VAR95;
output wire VAR59;
input wire VAR92;
input wire [1 : 0] VAR56;
input wire VAR60;
output wire VAR29;
VAR2 #(
.VAR11("VAR79"),
.VAR32(0),
.VAR84(1),
.VAR25(0),
.VAR9(32),
.VAR99(32),
.VAR68(64),
.VAR80(1),
.VAR39(0),
.VAR44(0),
.VAR4(1),
.VAR101(2),
.VAR10(0),
.VAR21(16),
.VAR19(1),
.VAR14(3)
) VAR57 (
.VAR37(VAR37),
.VAR91(VAR91),
.VAR1(1'VAR47),
.VAR63(VAR63),
.VAR85(VAR85),
.VAR27(VAR27),
.VAR73(VAR73),
.VAR22(VAR22),
.VAR100(VAR100),
.VAR78(VAR78),
.VAR15(VAR15),
.VAR54(VAR54),
.VAR67(VAR67),
.VAR33(VAR33),
.VAR102(VAR102),
.VAR77(VAR77),
.VAR55(VAR55),
.VAR28(VAR28),
.VAR18(VAR18),
.VAR89(),
.VAR71(VAR71),
.VAR34(VAR34),
.VAR96(VAR96),
.VAR49(1'VAR47),
.VAR61(32'VAR20),
.VAR5(8'VAR66),
.VAR46(3'VAR47),
.VAR50(2'VAR47),
.VAR82(1'VAR47),
.VAR13(4'VAR47),
.VAR30(3'VAR47),
.VAR23(4'VAR47),
.VAR74(4'VAR47),
.VAR53(1'VAR47),
.VAR93(),
.VAR45(),
.VAR51(),
.VAR24(),
.VAR69(),
.VAR40(),
.VAR3(1'VAR47),
.VAR6(1'VAR47),
.VAR26(1'VAR47),
.VAR98(VAR98),
.VAR52(VAR52),
.VAR64(VAR64),
.VAR75(VAR75),
.VAR43(VAR43),
.VAR87(VAR87),
.VAR31(VAR31),
.VAR41(VAR41),
.VAR8(VAR8),
.VAR17(VAR17),
.VAR7(VAR7),
.VAR72(VAR72),
.VAR36(VAR36),
.VAR95(VAR95),
.VAR59(VAR59),
.VAR92(VAR92),
.VAR56(VAR56),
.VAR60(VAR60),
.VAR29(VAR29),
.VAR97(),
.VAR83(),
.VAR86(),
.VAR70(),
.VAR38(),
.VAR48(),
.VAR58(),
.VAR65(),
.VAR94(),
.VAR81(),
.VAR90(1'VAR47),
.VAR16(64'VAR88),
.VAR35(2'VAR47),
.VAR62(1'VAR12),
.VAR76(1'VAR47),
.VAR42()
);
endmodule
|
mit
|
binary-logic/vj-uart
|
rtl/DE0_Comm.v
| 2,456 |
module MODULE1(
input VAR12,
input VAR14,
output [7:0] VAR8
);
wire VAR3, VAR6;
wire VAR13;
assign VAR8 = {7'b0,VAR13};
VAR16 VAR11 (
.VAR7 ( VAR12 ),
.VAR4 ( VAR3 ),
.VAR14( VAR14 ),
.VAR14(),
.VAR9 ( )
);
reset reset(
.VAR17( VAR3 ),
.VAR15( VAR6 )
);
VAR13 hb(
.VAR17( VAR3 ),
.VAR2( VAR6 ),
.VAR5( VAR13 )
);
VAR10 VAR1(
.VAR17(VAR3),
.VAR2(VAR6)
);
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/vga/crt_misc.v
| 5,182 |
module MODULE1
(
input VAR22,
input VAR16,
input VAR13,
input VAR11,
input VAR4, input VAR6, input VAR14, input [15:0] VAR18, input [5:0] VAR9, input [7:0] VAR1, input VAR27, input VAR29, input VAR10, input VAR8, input VAR2, input [15:0] VAR23,
output [7:0] VAR17,
output [7:0] VAR5,
output [7:0] VAR26,
output reg [7:0] VAR28,
output VAR12,
output VAR24,
output VAR20,
output VAR25,
output VAR7,
output VAR15,
output VAR3,
output VAR19
);
reg VAR21;
always @(posedge VAR11 or negedge VAR13)
if (!VAR13) begin
VAR21 <= 1'b0;
VAR28 <= 8'b0;
end else if (VAR14) begin
case (VAR18)
16'h03b4: begin
if (!VAR4)
if (VAR6) begin
case (VAR9[5:0])
6'h17: VAR28 <= {VAR23[15:13], 1'b0, VAR23[11:8]};
endcase end
end
16'h03b5: begin
if (!VAR4) begin
case (VAR9[5:0])
6'h17: VAR28 <= {VAR23[15:13], 1'b0, VAR23[11:8]};
endcase end
end
16'h03ba: if (!VAR4) VAR21 <= VAR23[3];
16'h03d4: begin
if (VAR4)
if (VAR6) begin
case (VAR9[5:0])
6'h17: VAR28 <= {VAR23[15:13], 1'b0, VAR23[11:8]};
endcase end
end
16'h03d5: begin
if (VAR4) begin
case (VAR9[5:0])
6'h17: VAR28 <= {VAR23[15:13], 1'b0, VAR23[11:8]};
endcase end
end
16'h03da: if (VAR4) VAR21 <= VAR23[3];
endcase end
assign VAR26 = {4'b0, VAR21, 3'b0};
assign VAR19 = VAR21;
assign VAR17 = {VAR29, 2'b00, VAR27, 4'b0000};
assign VAR5 = {2'b00, VAR10, VAR8, VAR16,
2'b00, VAR22 };
assign VAR12 = VAR28[0];
assign VAR24 = VAR28[1];
assign VAR20 = VAR28[2];
assign VAR25 = VAR28[3];
assign VAR7 = VAR28[5];
assign VAR15 = VAR28[6];
assign VAR3 = VAR28[7];
endmodule
|
gpl-3.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_52.v
| 32,962 |
module MODULE5 (
clk,
reset,
VAR32,
VAR48,
VAR278,
VAR294,
VAR183
);
parameter VAR119 = 18;
parameter VAR164 = 52;
parameter VAR233 = 26;
localparam VAR96 = 53;
input clk;
input reset;
input VAR32;
input VAR48;
input [VAR119-1:0] VAR278; output VAR294;
output [VAR119-1:0] VAR183;
localparam VAR201 = 18; localparam VAR246 = 36; localparam VAR179 = 17;
localparam VAR39 = 52;
reg [VAR119-1:0] VAR134;
reg [VAR119-1:0] VAR77;
reg [VAR119-1:0] VAR264;
reg [VAR119-1:0] VAR112;
reg [VAR119-1:0] VAR177;
reg [VAR119-1:0] VAR190;
reg [VAR119-1:0] VAR217;
reg [VAR119-1:0] VAR106;
reg [VAR119-1:0] VAR256;
reg [VAR119-1:0] VAR128;
reg [VAR119-1:0] VAR9;
reg [VAR119-1:0] VAR263;
reg [VAR119-1:0] VAR267;
reg [VAR119-1:0] VAR220;
reg [VAR119-1:0] VAR5;
reg [VAR119-1:0] VAR94;
reg [VAR119-1:0] VAR28;
reg [VAR119-1:0] VAR207;
reg [VAR119-1:0] VAR150;
reg [VAR119-1:0] VAR50;
reg [VAR119-1:0] VAR7;
reg [VAR119-1:0] VAR226;
reg [VAR119-1:0] VAR16;
reg [VAR119-1:0] VAR108;
reg [VAR119-1:0] VAR184;
reg [VAR119-1:0] VAR248;
always@(posedge clk) begin
VAR134 <= 18'd88;
VAR77 <= 18'd0;
VAR264 <= -18'd97;
VAR112 <= -18'd197;
VAR177 <= -18'd294;
VAR190 <= -18'd380;
VAR217 <= -18'd447;
VAR106 <= -18'd490;
VAR256 <= -18'd504;
VAR128 <= -18'd481;
VAR9 <= -18'd420;
VAR263 <= -18'd319;
VAR267 <= -18'd178;
VAR220 <= 18'd0;
VAR5 <= 18'd212;
VAR94 <= 18'd451;
VAR28 <= 18'd710;
VAR207 <= 18'd980;
VAR150 <= 18'd1252;
VAR50 <= 18'd1514;
VAR7 <= 18'd1756;
VAR226 <= 18'd1971;
VAR16 <= 18'd2147;
VAR108 <= 18'd2278;
VAR184 <= 18'd2360;
VAR248 <= 18'd2387;
end
reg [VAR96-1:0] VAR67;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR67 <= 0;
end else begin
if(VAR32) begin
VAR67 <= {VAR67[VAR96-2:0], VAR48};
end else begin
VAR67 <= VAR67;
end
end
end
wire [VAR119-1:0] VAR53;
wire [VAR119-1:0] VAR57;
wire [VAR119-1:0] VAR225;
wire [VAR119-1:0] VAR73;
wire [VAR119-1:0] VAR98;
wire [VAR119-1:0] VAR173;
wire [VAR119-1:0] VAR265;
wire [VAR119-1:0] VAR56;
wire [VAR119-1:0] VAR115;
wire [VAR119-1:0] VAR152;
wire [VAR119-1:0] VAR20;
wire [VAR119-1:0] VAR290;
wire [VAR119-1:0] VAR163;
wire [VAR119-1:0] VAR43;
wire [VAR119-1:0] VAR75;
wire [VAR119-1:0] VAR227;
wire [VAR119-1:0] VAR266;
wire [VAR119-1:0] VAR24;
wire [VAR119-1:0] VAR205;
wire [VAR119-1:0] VAR195;
wire [VAR119-1:0] VAR69;
wire [VAR119-1:0] VAR68;
wire [VAR119-1:0] VAR188;
wire [VAR119-1:0] VAR306;
wire [VAR119-1:0] VAR239;
wire [VAR119-1:0] VAR210;
wire [VAR119-1:0] VAR126;
wire [VAR119-1:0] VAR83;
wire [VAR119-1:0] VAR81;
wire [VAR119-1:0] VAR187;
wire [VAR119-1:0] VAR46;
wire [VAR119-1:0] VAR104;
wire [VAR119-1:0] VAR144;
wire [VAR119-1:0] VAR222;
wire [VAR119-1:0] VAR258;
wire [VAR119-1:0] VAR130;
wire [VAR119-1:0] VAR125;
wire [VAR119-1:0] VAR262;
wire [VAR119-1:0] VAR236;
wire [VAR119-1:0] VAR2;
wire [VAR119-1:0] VAR178;
wire [VAR119-1:0] VAR231;
wire [VAR119-1:0] VAR133;
wire [VAR119-1:0] VAR149;
wire [VAR119-1:0] VAR82;
wire [VAR119-1:0] VAR216;
wire [VAR119-1:0] VAR302;
wire [VAR119-1:0] VAR307;
wire [VAR119-1:0] VAR84;
wire [VAR119-1:0] VAR176;
wire [VAR119-1:0] VAR103;
wire [VAR119-1:0] VAR71;
MODULE1 MODULE41(
.clk(clk), .VAR32(VAR32),
.VAR287(VAR278),
.VAR249(VAR53),
.VAR52(VAR57),
.VAR280(VAR225),
.VAR298(VAR73),
.VAR38(VAR98),
.VAR276(VAR173),
.VAR141(VAR265),
.VAR123(VAR56),
.VAR295(VAR115),
.VAR86(VAR152),
.VAR214(VAR20),
.VAR41(VAR290),
.VAR111(VAR163),
.VAR122(VAR43),
.VAR230(VAR75),
.VAR168(VAR227),
.VAR165(VAR266),
.VAR243(VAR24),
.VAR202(VAR205),
.VAR206(VAR195),
.VAR286(VAR69),
.VAR252(VAR68),
.VAR93(VAR188),
.VAR137(VAR306),
.VAR90(VAR239),
.VAR40(VAR210),
.VAR194(VAR126),
.VAR131(VAR83),
.VAR181(VAR81),
.VAR120(VAR187),
.VAR42(VAR46),
.VAR27(VAR104),
.VAR289(VAR144),
.VAR196(VAR222),
.VAR242(VAR258),
.VAR274(VAR130),
.VAR192(VAR125),
.VAR147(VAR262),
.VAR132(VAR236),
.VAR291(VAR2),
.VAR110(VAR178),
.VAR299(VAR231),
.VAR11(VAR133),
.VAR235(VAR149),
.VAR288(VAR82),
.VAR65(VAR216),
.VAR254(VAR302),
.VAR257(VAR307),
.VAR224(VAR84),
.VAR237(VAR176),
.VAR55(VAR103),
.VAR200(VAR71),
.reset(reset) );
wire [VAR119-1:0] VAR78;
wire [VAR119-1:0] VAR272;
wire [VAR119-1:0] VAR63;
wire [VAR119-1:0] VAR301;
wire [VAR119-1:0] VAR114;
wire [VAR119-1:0] VAR95;
wire [VAR119-1:0] VAR182;
wire [VAR119-1:0] VAR76;
wire [VAR119-1:0] VAR62;
wire [VAR119-1:0] VAR203;
wire [VAR119-1:0] VAR159;
wire [VAR119-1:0] VAR244;
wire [VAR119-1:0] VAR253;
wire [VAR119-1:0] VAR85;
wire [VAR119-1:0] VAR21;
wire [VAR119-1:0] VAR140;
wire [VAR119-1:0] VAR293;
wire [VAR119-1:0] VAR15;
wire [VAR119-1:0] VAR189;
wire [VAR119-1:0] VAR209;
wire [VAR119-1:0] VAR193;
wire [VAR119-1:0] VAR146;
wire [VAR119-1:0] VAR303;
wire [VAR119-1:0] VAR304;
wire [VAR119-1:0] VAR174;
wire [VAR119-1:0] VAR35;
MODULE2 VAR22(
.VAR211 (VAR53),
.VAR297 (VAR71),
.VAR284(VAR78)
);
MODULE2 VAR191(
.VAR211 (VAR57),
.VAR297 (VAR103),
.VAR284(VAR272)
);
MODULE2 VAR100(
.VAR211 (VAR225),
.VAR297 (VAR176),
.VAR284(VAR63)
);
MODULE2 VAR14(
.VAR211 (VAR73),
.VAR297 (VAR84),
.VAR284(VAR301)
);
MODULE2 VAR197(
.VAR211 (VAR98),
.VAR297 (VAR307),
.VAR284(VAR114)
);
MODULE2 VAR283(
.VAR211 (VAR173),
.VAR297 (VAR302),
.VAR284(VAR95)
);
MODULE2 VAR72(
.VAR211 (VAR265),
.VAR297 (VAR216),
.VAR284(VAR182)
);
MODULE2 VAR153(
.VAR211 (VAR56),
.VAR297 (VAR82),
.VAR284(VAR76)
);
MODULE2 VAR79(
.VAR211 (VAR115),
.VAR297 (VAR149),
.VAR284(VAR62)
);
MODULE2 VAR229(
.VAR211 (VAR152),
.VAR297 (VAR133),
.VAR284(VAR203)
);
MODULE2 VAR45(
.VAR211 (VAR20),
.VAR297 (VAR231),
.VAR284(VAR159)
);
MODULE2 VAR279(
.VAR211 (VAR290),
.VAR297 (VAR178),
.VAR284(VAR244)
);
MODULE2 VAR300(
.VAR211 (VAR163),
.VAR297 (VAR2),
.VAR284(VAR253)
);
MODULE2 VAR156(
.VAR211 (VAR43),
.VAR297 (VAR236),
.VAR284(VAR85)
);
MODULE2 VAR155(
.VAR211 (VAR75),
.VAR297 (VAR262),
.VAR284(VAR21)
);
MODULE2 VAR296(
.VAR211 (VAR227),
.VAR297 (VAR125),
.VAR284(VAR140)
);
MODULE2 VAR305(
.VAR211 (VAR266),
.VAR297 (VAR130),
.VAR284(VAR293)
);
MODULE2 VAR228(
.VAR211 (VAR24),
.VAR297 (VAR258),
.VAR284(VAR15)
);
MODULE2 VAR172(
.VAR211 (VAR205),
.VAR297 (VAR222),
.VAR284(VAR189)
);
MODULE2 VAR180(
.VAR211 (VAR195),
.VAR297 (VAR144),
.VAR284(VAR209)
);
MODULE2 VAR101(
.VAR211 (VAR69),
.VAR297 (VAR104),
.VAR284(VAR193)
);
MODULE2 VAR170(
.VAR211 (VAR68),
.VAR297 (VAR46),
.VAR284(VAR146)
);
MODULE2 VAR107(
.VAR211 (VAR188),
.VAR297 (VAR187),
.VAR284(VAR303)
);
MODULE2 VAR270(
.VAR211 (VAR306),
.VAR297 (VAR81),
.VAR284(VAR304)
);
MODULE2 VAR204(
.VAR211 (VAR239),
.VAR297 (VAR83),
.VAR284(VAR174)
);
MODULE2 VAR169(
.VAR211 (VAR210),
.VAR297 (VAR126),
.VAR284(VAR35)
);
wire [VAR119-1:0] VAR212;
wire [VAR119-1:0] VAR117;
wire [VAR119-1:0] VAR255;
wire [VAR119-1:0] VAR47;
wire [VAR119-1:0] VAR26;
wire [VAR119-1:0] VAR18;
wire [VAR119-1:0] VAR66;
wire [VAR119-1:0] VAR99;
wire [VAR119-1:0] VAR37;
wire [VAR119-1:0] VAR166;
wire [VAR119-1:0] VAR269;
wire [VAR119-1:0] VAR91;
wire [VAR119-1:0] VAR247;
wire [VAR119-1:0] VAR1;
wire [VAR119-1:0] VAR162;
wire [VAR119-1:0] VAR213;
wire [VAR119-1:0] VAR31;
wire [VAR119-1:0] VAR245;
wire [VAR119-1:0] VAR175;
wire [VAR119-1:0] VAR118;
wire [VAR119-1:0] VAR241;
wire [VAR119-1:0] VAR143;
wire [VAR119-1:0] VAR33;
wire [VAR119-1:0] VAR10;
wire [VAR119-1:0] VAR198;
wire [VAR119-1:0] VAR292;
MODULE3 VAR161(
.VAR211 (VAR78),
.VAR297 (VAR134),
.VAR284(VAR212)
);
MODULE3 VAR23(
.VAR211 (VAR272),
.VAR297 (VAR77),
.VAR284(VAR117)
);
MODULE3 VAR13(
.VAR211 (VAR63),
.VAR297 (VAR264),
.VAR284(VAR255)
);
MODULE3 VAR139(
.VAR211 (VAR301),
.VAR297 (VAR112),
.VAR284(VAR47)
);
MODULE3 VAR113(
.VAR211 (VAR114),
.VAR297 (VAR177),
.VAR284(VAR26)
);
MODULE3 VAR6(
.VAR211 (VAR95),
.VAR297 (VAR190),
.VAR284(VAR18)
);
MODULE3 VAR199(
.VAR211 (VAR182),
.VAR297 (VAR217),
.VAR284(VAR66)
);
MODULE3 VAR59(
.VAR211 (VAR76),
.VAR297 (VAR106),
.VAR284(VAR99)
);
MODULE3 VAR282(
.VAR211 (VAR62),
.VAR297 (VAR256),
.VAR284(VAR37)
);
MODULE3 VAR97(
.VAR211 (VAR203),
.VAR297 (VAR128),
.VAR284(VAR166)
);
MODULE3 VAR116(
.VAR211 (VAR159),
.VAR297 (VAR9),
.VAR284(VAR269)
);
MODULE3 VAR64(
.VAR211 (VAR244),
.VAR297 (VAR263),
.VAR284(VAR91)
);
MODULE3 VAR308(
.VAR211 (VAR253),
.VAR297 (VAR267),
.VAR284(VAR247)
);
MODULE3 VAR70(
.VAR211 (VAR85),
.VAR297 (VAR220),
.VAR284(VAR1)
);
MODULE3 VAR135(
.VAR211 (VAR21),
.VAR297 (VAR5),
.VAR284(VAR162)
);
MODULE3 VAR221(
.VAR211 (VAR140),
.VAR297 (VAR94),
.VAR284(VAR213)
);
MODULE3 VAR124(
.VAR211 (VAR293),
.VAR297 (VAR28),
.VAR284(VAR31)
);
MODULE3 VAR80(
.VAR211 (VAR15),
.VAR297 (VAR207),
.VAR284(VAR245)
);
MODULE3 VAR3(
.VAR211 (VAR189),
.VAR297 (VAR150),
.VAR284(VAR175)
);
MODULE3 VAR60(
.VAR211 (VAR209),
.VAR297 (VAR50),
.VAR284(VAR118)
);
MODULE3 VAR148(
.VAR211 (VAR193),
.VAR297 (VAR7),
.VAR284(VAR241)
);
MODULE3 VAR154(
.VAR211 (VAR146),
.VAR297 (VAR226),
.VAR284(VAR143)
);
MODULE3 VAR87(
.VAR211 (VAR303),
.VAR297 (VAR16),
.VAR284(VAR33)
);
MODULE3 VAR8(
.VAR211 (VAR304),
.VAR297 (VAR108),
.VAR284(VAR10)
);
MODULE3 VAR58(
.VAR211 (VAR174),
.VAR297 (VAR184),
.VAR284(VAR198)
);
MODULE3 VAR275(
.VAR211 (VAR35),
.VAR297 (VAR248),
.VAR284(VAR292)
);
wire [VAR119-1:0] VAR167;
wire [VAR119-1:0] VAR223;
wire [VAR119-1:0] VAR277;
wire [VAR119-1:0] VAR142;
wire [VAR119-1:0] VAR285;
wire [VAR119-1:0] VAR238;
wire [VAR119-1:0] VAR61;
wire [VAR119-1:0] VAR49;
wire [VAR119-1:0] VAR234;
wire [VAR119-1:0] VAR29;
wire [VAR119-1:0] VAR102;
wire [VAR119-1:0] VAR17;
wire [VAR119-1:0] VAR12;
MODULE2 VAR136(
.VAR211 (VAR212),
.VAR297 (VAR117),
.VAR284(VAR167)
);
MODULE2 VAR157(
.VAR211 (VAR255),
.VAR297 (VAR47),
.VAR284(VAR223)
);
MODULE2 VAR271(
.VAR211 (VAR26),
.VAR297 (VAR18),
.VAR284(VAR277)
);
MODULE2 VAR151(
.VAR211 (VAR66),
.VAR297 (VAR99),
.VAR284(VAR142)
);
MODULE2 VAR219(
.VAR211 (VAR37),
.VAR297 (VAR166),
.VAR284(VAR285)
);
MODULE2 VAR109(
.VAR211 (VAR269),
.VAR297 (VAR91),
.VAR284(VAR238)
);
MODULE2 VAR51(
.VAR211 (VAR247),
.VAR297 (VAR1),
.VAR284(VAR61)
);
MODULE2 VAR160(
.VAR211 (VAR162),
.VAR297 (VAR213),
.VAR284(VAR49)
);
MODULE2 VAR208(
.VAR211 (VAR31),
.VAR297 (VAR245),
.VAR284(VAR234)
);
MODULE2 VAR158(
.VAR211 (VAR175),
.VAR297 (VAR118),
.VAR284(VAR29)
);
MODULE2 VAR273(
.VAR211 (VAR241),
.VAR297 (VAR143),
.VAR284(VAR102)
);
MODULE2 VAR186(
.VAR211 (VAR33),
.VAR297 (VAR10),
.VAR284(VAR17)
);
MODULE2 VAR19(
.VAR211 (VAR198),
.VAR297 (VAR292),
.VAR284(VAR12)
);
wire [VAR119-1:0] VAR34;
wire [VAR119-1:0] VAR260;
wire [VAR119-1:0] VAR259;
wire [VAR119-1:0] VAR89;
wire [VAR119-1:0] VAR25;
wire [VAR119-1:0] VAR171;
wire [VAR119-1:0] VAR215;
MODULE2 VAR92(
.VAR211 (VAR167),
.VAR297 (VAR223),
.VAR284(VAR34)
);
MODULE2 VAR250(
.VAR211 (VAR277),
.VAR297 (VAR142),
.VAR284(VAR260)
);
MODULE2 VAR30(
.VAR211 (VAR285),
.VAR297 (VAR238),
.VAR284(VAR259)
);
MODULE2 VAR105(
.VAR211 (VAR61),
.VAR297 (VAR49),
.VAR284(VAR89)
);
MODULE2 VAR145(
.VAR211 (VAR234),
.VAR297 (VAR29),
.VAR284(VAR25)
);
MODULE2 VAR185(
.VAR211 (VAR102),
.VAR297 (VAR17),
.VAR284(VAR171)
);
MODULE4 VAR88(
.VAR211 (VAR12),
.VAR284(VAR215)
);
wire [VAR119-1:0] VAR121;
wire [VAR119-1:0] VAR74;
wire [VAR119-1:0] VAR251;
wire [VAR119-1:0] VAR54;
MODULE2 VAR4(
.VAR211 (VAR34),
.VAR297 (VAR260),
.VAR284(VAR121)
);
MODULE2 VAR127(
.VAR211 (VAR259),
.VAR297 (VAR89),
.VAR284(VAR74)
);
MODULE2 VAR261(
.VAR211 (VAR25),
.VAR297 (VAR171),
.VAR284(VAR251)
);
MODULE4 VAR281(
.VAR211 (VAR215),
.VAR284(VAR54)
);
wire [VAR119-1:0] VAR129;
wire [VAR119-1:0] VAR232;
MODULE2 VAR44(
.VAR211 (VAR121),
.VAR297 (VAR74),
.VAR284(VAR129)
);
MODULE2 VAR36(
.VAR211 (VAR251),
.VAR297 (VAR54),
.VAR284(VAR232)
);
wire [VAR119-1:0] VAR240;
MODULE2 VAR268(
.VAR211 (VAR129),
.VAR297 (VAR232),
.VAR284(VAR240)
);
reg [17:0] VAR183;
always @(posedge clk) begin
if(VAR32) begin
VAR183 <= VAR240;
end
end
assign VAR294 = VAR67[VAR96-1];
endmodule
module MODULE1 (
clk,
VAR32,
VAR287,
VAR249,
VAR52,
VAR280,
VAR298,
VAR38,
VAR276,
VAR141,
VAR123,
VAR295,
VAR86,
VAR214,
VAR41,
VAR111,
VAR122,
VAR230,
VAR168,
VAR165,
VAR243,
VAR202,
VAR206,
VAR286,
VAR252,
VAR93,
VAR137,
VAR90,
VAR40,
VAR194,
VAR131,
VAR181,
VAR120,
VAR42,
VAR27,
VAR289,
VAR196,
VAR242,
VAR274,
VAR192,
VAR147,
VAR132,
VAR291,
VAR110,
VAR299,
VAR11,
VAR235,
VAR288,
VAR65,
VAR254,
VAR257,
VAR224,
VAR237,
VAR55,
VAR200,
reset);
parameter VAR218 = 1;
input clk;
input VAR32;
input [VAR218-1:0] VAR287;
output [VAR218-1:0] VAR249;
output [VAR218-1:0] VAR52;
output [VAR218-1:0] VAR280;
output [VAR218-1:0] VAR298;
output [VAR218-1:0] VAR38;
output [VAR218-1:0] VAR276;
output [VAR218-1:0] VAR141;
output [VAR218-1:0] VAR123;
output [VAR218-1:0] VAR295;
output [VAR218-1:0] VAR86;
output [VAR218-1:0] VAR214;
output [VAR218-1:0] VAR41;
output [VAR218-1:0] VAR111;
output [VAR218-1:0] VAR122;
output [VAR218-1:0] VAR230;
output [VAR218-1:0] VAR168;
output [VAR218-1:0] VAR165;
output [VAR218-1:0] VAR243;
output [VAR218-1:0] VAR202;
output [VAR218-1:0] VAR206;
output [VAR218-1:0] VAR286;
output [VAR218-1:0] VAR252;
output [VAR218-1:0] VAR93;
output [VAR218-1:0] VAR137;
output [VAR218-1:0] VAR90;
output [VAR218-1:0] VAR40;
output [VAR218-1:0] VAR194;
output [VAR218-1:0] VAR131;
output [VAR218-1:0] VAR181;
output [VAR218-1:0] VAR120;
output [VAR218-1:0] VAR42;
output [VAR218-1:0] VAR27;
output [VAR218-1:0] VAR289;
output [VAR218-1:0] VAR196;
output [VAR218-1:0] VAR242;
output [VAR218-1:0] VAR274;
output [VAR218-1:0] VAR192;
output [VAR218-1:0] VAR147;
output [VAR218-1:0] VAR132;
output [VAR218-1:0] VAR291;
output [VAR218-1:0] VAR110;
output [VAR218-1:0] VAR299;
output [VAR218-1:0] VAR11;
output [VAR218-1:0] VAR235;
output [VAR218-1:0] VAR288;
output [VAR218-1:0] VAR65;
output [VAR218-1:0] VAR254;
output [VAR218-1:0] VAR257;
output [VAR218-1:0] VAR224;
output [VAR218-1:0] VAR237;
output [VAR218-1:0] VAR55;
output [VAR218-1:0] VAR200;
reg [VAR218-1:0] VAR249;
reg [VAR218-1:0] VAR52;
reg [VAR218-1:0] VAR280;
reg [VAR218-1:0] VAR298;
reg [VAR218-1:0] VAR38;
reg [VAR218-1:0] VAR276;
reg [VAR218-1:0] VAR141;
reg [VAR218-1:0] VAR123;
reg [VAR218-1:0] VAR295;
reg [VAR218-1:0] VAR86;
reg [VAR218-1:0] VAR214;
reg [VAR218-1:0] VAR41;
reg [VAR218-1:0] VAR111;
reg [VAR218-1:0] VAR122;
reg [VAR218-1:0] VAR230;
reg [VAR218-1:0] VAR168;
reg [VAR218-1:0] VAR165;
reg [VAR218-1:0] VAR243;
reg [VAR218-1:0] VAR202;
reg [VAR218-1:0] VAR206;
reg [VAR218-1:0] VAR286;
reg [VAR218-1:0] VAR252;
reg [VAR218-1:0] VAR93;
reg [VAR218-1:0] VAR137;
reg [VAR218-1:0] VAR90;
reg [VAR218-1:0] VAR40;
reg [VAR218-1:0] VAR194;
reg [VAR218-1:0] VAR131;
reg [VAR218-1:0] VAR181;
reg [VAR218-1:0] VAR120;
reg [VAR218-1:0] VAR42;
reg [VAR218-1:0] VAR27;
reg [VAR218-1:0] VAR289;
reg [VAR218-1:0] VAR196;
reg [VAR218-1:0] VAR242;
reg [VAR218-1:0] VAR274;
reg [VAR218-1:0] VAR192;
reg [VAR218-1:0] VAR147;
reg [VAR218-1:0] VAR132;
reg [VAR218-1:0] VAR291;
reg [VAR218-1:0] VAR110;
reg [VAR218-1:0] VAR299;
reg [VAR218-1:0] VAR11;
reg [VAR218-1:0] VAR235;
reg [VAR218-1:0] VAR288;
reg [VAR218-1:0] VAR65;
reg [VAR218-1:0] VAR254;
reg [VAR218-1:0] VAR257;
reg [VAR218-1:0] VAR224;
reg [VAR218-1:0] VAR237;
reg [VAR218-1:0] VAR55;
reg [VAR218-1:0] VAR200;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR249 <= 0;
VAR52 <= 0;
VAR280 <= 0;
VAR298 <= 0;
VAR38 <= 0;
VAR276 <= 0;
VAR141 <= 0;
VAR123 <= 0;
VAR295 <= 0;
VAR86 <= 0;
VAR214 <= 0;
VAR41 <= 0;
VAR111 <= 0;
VAR122 <= 0;
VAR230 <= 0;
VAR168 <= 0;
VAR165 <= 0;
VAR243 <= 0;
VAR202 <= 0;
VAR206 <= 0;
VAR286 <= 0;
VAR252 <= 0;
VAR93 <= 0;
VAR137 <= 0;
VAR90 <= 0;
VAR40 <= 0;
VAR194 <= 0;
VAR131 <= 0;
VAR181 <= 0;
VAR120 <= 0;
VAR42 <= 0;
VAR27 <= 0;
VAR289 <= 0;
VAR196 <= 0;
VAR242 <= 0;
VAR274 <= 0;
VAR192 <= 0;
VAR147 <= 0;
VAR132 <= 0;
VAR291 <= 0;
VAR110 <= 0;
VAR299 <= 0;
VAR11 <= 0;
VAR235 <= 0;
VAR288 <= 0;
VAR65 <= 0;
VAR254 <= 0;
VAR257 <= 0;
VAR224 <= 0;
VAR237 <= 0;
VAR55 <= 0;
VAR200 <= 0;
end else begin
if(VAR32) begin
VAR249 <= VAR287;
VAR52 <= VAR249;
VAR280 <= VAR52;
VAR298 <= VAR280;
VAR38 <= VAR298;
VAR276 <= VAR38;
VAR141 <= VAR276;
VAR123 <= VAR141;
VAR295 <= VAR123;
VAR86 <= VAR295;
VAR214 <= VAR86;
VAR41 <= VAR214;
VAR111 <= VAR41;
VAR122 <= VAR111;
VAR230 <= VAR122;
VAR168 <= VAR230;
VAR165 <= VAR168;
VAR243 <= VAR165;
VAR202 <= VAR243;
VAR206 <= VAR202;
VAR286 <= VAR206;
VAR252 <= VAR286;
VAR93 <= VAR252;
VAR137 <= VAR93;
VAR90 <= VAR137;
VAR40 <= VAR90;
VAR194 <= VAR40;
VAR131 <= VAR194;
VAR181 <= VAR131;
VAR120 <= VAR181;
VAR42 <= VAR120;
VAR27 <= VAR42;
VAR289 <= VAR27;
VAR196 <= VAR289;
VAR242 <= VAR196;
VAR274 <= VAR242;
VAR192 <= VAR274;
VAR147 <= VAR192;
VAR132 <= VAR147;
VAR291 <= VAR132;
VAR110 <= VAR291;
VAR299 <= VAR110;
VAR11 <= VAR299;
VAR235 <= VAR11;
VAR288 <= VAR235;
VAR65 <= VAR288;
VAR254 <= VAR65;
VAR257 <= VAR254;
VAR224 <= VAR257;
VAR237 <= VAR224;
VAR55 <= VAR237;
VAR200 <= VAR55;
end end
end
endmodule
module MODULE2 (
VAR211,
VAR297,
VAR284);
input clk;
input VAR32;
input [17:0] VAR211;
input [17:0] VAR297;
output [17:0] VAR284;
assign VAR284 = VAR211 + VAR297;
endmodule
module MODULE3 (
VAR211,
VAR297,
VAR284);
input clk;
input VAR32;
input [17:0] VAR211;
input [17:0] VAR297;
output [17:0] VAR284;
assign VAR284 = VAR211 * VAR297;
endmodule
module MODULE4 (
VAR211,
VAR284);
input clk;
input VAR32;
input [17:0] VAR211;
output [17:0] VAR284;
assign VAR284 = VAR211;
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9680/axi_ad9680_pnmon.v
| 9,954 |
module MODULE1 (
VAR7,
VAR4,
VAR8,
VAR13,
VAR11);
input VAR7;
input [55:0] VAR4;
output VAR8;
output VAR13;
input [ 3:0] VAR11;
reg [55:0] VAR10 = 'd0;
reg [55:0] VAR5 = 'd0;
wire [55:0] VAR16;
function [55:0] VAR9;
input [55:0] din;
reg [55:0] dout;
begin
dout[55] = din[22] ^ din[17];
dout[54] = din[21] ^ din[16];
dout[53] = din[20] ^ din[15];
dout[52] = din[19] ^ din[14];
dout[51] = din[18] ^ din[13];
dout[50] = din[17] ^ din[12];
dout[49] = din[16] ^ din[11];
dout[48] = din[15] ^ din[10];
dout[47] = din[14] ^ din[ 9];
dout[46] = din[13] ^ din[ 8];
dout[45] = din[12] ^ din[ 7];
dout[44] = din[11] ^ din[ 6];
dout[43] = din[10] ^ din[ 5];
dout[42] = din[ 9] ^ din[ 4];
dout[41] = din[ 8] ^ din[ 3];
dout[40] = din[ 7] ^ din[ 2];
dout[39] = din[ 6] ^ din[ 1];
dout[38] = din[ 5] ^ din[ 0];
dout[37] = din[ 4] ^ din[22] ^ din[17];
dout[36] = din[ 3] ^ din[21] ^ din[16];
dout[35] = din[ 2] ^ din[20] ^ din[15];
dout[34] = din[ 1] ^ din[19] ^ din[14];
dout[33] = din[ 0] ^ din[18] ^ din[13];
dout[32] = din[22] ^ din[12];
dout[31] = din[21] ^ din[11];
dout[30] = din[20] ^ din[10];
dout[29] = din[19] ^ din[ 9];
dout[28] = din[18] ^ din[ 8];
dout[27] = din[17] ^ din[ 7];
dout[26] = din[16] ^ din[ 6];
dout[25] = din[15] ^ din[ 5];
dout[24] = din[14] ^ din[ 4];
dout[23] = din[13] ^ din[ 3];
dout[22] = din[12] ^ din[ 2];
dout[21] = din[11] ^ din[ 1];
dout[20] = din[10] ^ din[ 0];
dout[19] = din[ 9] ^ din[22] ^ din[17];
dout[18] = din[ 8] ^ din[21] ^ din[16];
dout[17] = din[ 7] ^ din[20] ^ din[15];
dout[16] = din[ 6] ^ din[19] ^ din[14];
dout[15] = din[ 5] ^ din[18] ^ din[13];
dout[14] = din[ 4] ^ din[17] ^ din[12];
dout[13] = din[ 3] ^ din[16] ^ din[11];
dout[12] = din[ 2] ^ din[15] ^ din[10];
dout[11] = din[ 1] ^ din[14] ^ din[ 9];
dout[10] = din[ 0] ^ din[13] ^ din[ 8];
dout[ 9] = din[22] ^ din[12] ^ din[17] ^ din[ 7];
dout[ 8] = din[21] ^ din[11] ^ din[16] ^ din[ 6];
dout[ 7] = din[20] ^ din[10] ^ din[15] ^ din[ 5];
dout[ 6] = din[19] ^ din[ 9] ^ din[14] ^ din[ 4];
dout[ 5] = din[18] ^ din[ 8] ^ din[13] ^ din[ 3];
dout[ 4] = din[17] ^ din[ 7] ^ din[12] ^ din[ 2];
dout[ 3] = din[16] ^ din[ 6] ^ din[11] ^ din[ 1];
dout[ 2] = din[15] ^ din[ 5] ^ din[10] ^ din[ 0];
dout[ 1] = din[14] ^ din[ 4] ^ din[ 9] ^ din[22] ^ din[17];
dout[ 0] = din[13] ^ din[ 3] ^ din[ 8] ^ din[21] ^ din[16];
VAR9 = dout;
end
endfunction
function [55:0] VAR14;
input [55:0] din;
reg [55:0] dout;
begin
dout[55] = din[ 8] ^ din[ 4];
dout[54] = din[ 7] ^ din[ 3];
dout[53] = din[ 6] ^ din[ 2];
dout[52] = din[ 5] ^ din[ 1];
dout[51] = din[ 4] ^ din[ 0];
dout[50] = din[ 3] ^ din[ 8] ^ din[ 4];
dout[49] = din[ 2] ^ din[ 7] ^ din[ 3];
dout[48] = din[ 1] ^ din[ 6] ^ din[ 2];
dout[47] = din[ 0] ^ din[ 5] ^ din[ 1];
dout[46] = din[ 8] ^ din[ 0];
dout[45] = din[ 7] ^ din[ 8] ^ din[ 4];
dout[44] = din[ 6] ^ din[ 7] ^ din[ 3];
dout[43] = din[ 5] ^ din[ 6] ^ din[ 2];
dout[42] = din[ 4] ^ din[ 5] ^ din[ 1];
dout[41] = din[ 3] ^ din[ 4] ^ din[ 0];
dout[40] = din[ 2] ^ din[ 3] ^ din[ 8] ^ din[ 4];
dout[39] = din[ 1] ^ din[ 2] ^ din[ 7] ^ din[ 3];
dout[38] = din[ 0] ^ din[ 1] ^ din[ 6] ^ din[ 2];
dout[37] = din[ 8] ^ din[ 0] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[36] = din[ 7] ^ din[ 8] ^ din[ 3] ^ din[ 0];
dout[35] = din[ 6] ^ din[ 7] ^ din[ 2] ^ din[ 8] ^ din[ 4];
dout[34] = din[ 5] ^ din[ 6] ^ din[ 1] ^ din[ 7] ^ din[ 3];
dout[33] = din[ 4] ^ din[ 5] ^ din[ 0] ^ din[ 6] ^ din[ 2];
dout[32] = din[ 3] ^ din[ 8] ^ din[ 5] ^ din[ 1];
dout[31] = din[ 2] ^ din[ 4] ^ din[ 7] ^ din[ 0];
dout[30] = din[ 1] ^ din[ 3] ^ din[ 6] ^ din[ 8] ^ din[ 4];
dout[29] = din[ 0] ^ din[ 2] ^ din[ 5] ^ din[ 7] ^ din[ 3];
dout[28] = din[ 8] ^ din[ 1] ^ din[ 6] ^ din[ 2];
dout[27] = din[ 7] ^ din[ 0] ^ din[ 5] ^ din[ 1];
dout[26] = din[ 6] ^ din[ 8] ^ din[ 0];
dout[25] = din[ 5] ^ din[ 7] ^ din[ 8] ^ din[ 4];
dout[24] = din[ 4] ^ din[ 6] ^ din[ 7] ^ din[ 3];
dout[23] = din[ 3] ^ din[ 5] ^ din[ 6] ^ din[ 2];
dout[22] = din[ 2] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[21] = din[ 1] ^ din[ 3] ^ din[ 4] ^ din[ 0];
dout[20] = din[ 0] ^ din[ 2] ^ din[ 3] ^ din[ 8] ^ din[ 4];
dout[19] = din[ 8] ^ din[ 1] ^ din[ 2] ^ din[ 4] ^ din[ 7] ^ din[ 3];
dout[18] = din[ 7] ^ din[ 0] ^ din[ 1] ^ din[ 3] ^ din[ 6] ^ din[ 2];
dout[17] = din[ 6] ^ din[ 8] ^ din[ 0] ^ din[ 2] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[16] = din[ 5] ^ din[ 7] ^ din[ 8] ^ din[ 1] ^ din[ 3] ^ din[ 0];
dout[15] = din[ 6] ^ din[ 7] ^ din[ 0] ^ din[ 2] ^ din[ 8];
dout[14] = din[ 5] ^ din[ 6] ^ din[ 8] ^ din[ 1] ^ din[ 4] ^ din[ 7];
dout[13] = din[ 4] ^ din[ 5] ^ din[ 7] ^ din[ 0] ^ din[ 3] ^ din[ 6];
dout[12] = din[ 3] ^ din[ 6] ^ din[ 8] ^ din[ 2] ^ din[ 5];
dout[11] = din[ 2] ^ din[ 4] ^ din[ 5] ^ din[ 7] ^ din[ 1];
dout[10] = din[ 1] ^ din[ 4] ^ din[ 3] ^ din[ 6] ^ din[ 0];
dout[ 9] = din[ 0] ^ din[ 3] ^ din[ 2] ^ din[ 5] ^ din[ 8] ^ din[ 4];
dout[ 8] = din[ 8] ^ din[ 2] ^ din[ 1] ^ din[ 7] ^ din[ 3];
dout[ 7] = din[ 7] ^ din[ 1] ^ din[ 0] ^ din[ 6] ^ din[ 2];
dout[ 6] = din[ 6] ^ din[ 0] ^ din[ 8] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[ 5] = din[ 5] ^ din[ 8] ^ din[ 7] ^ din[ 3] ^ din[ 0];
dout[ 4] = din[ 7] ^ din[ 6] ^ din[ 2] ^ din[ 8];
dout[ 3] = din[ 6] ^ din[ 5] ^ din[ 1] ^ din[ 7];
dout[ 2] = din[ 4] ^ din[ 5] ^ din[ 0] ^ din[ 6];
dout[ 1] = din[ 3] ^ din[ 8] ^ din[ 5];
dout[ 0] = din[ 2] ^ din[ 4] ^ din[ 7];
VAR14 = dout;
end
endfunction
assign VAR16 = (VAR8 == 1'b1) ? VAR10 : VAR5;
always @(posedge VAR7) begin
VAR10 <= { ~VAR4[13], VAR4[12: 0],
~VAR4[27], VAR4[26:14],
~VAR4[41], VAR4[40:28],
~VAR4[55], VAR4[54:42]};
if (VAR11 == 4'd0) begin
VAR5 <= VAR14(VAR16);
end else begin
VAR5 <= VAR9(VAR16);
end
end
VAR3 #(.VAR12(56)) VAR6 (
.VAR7 (VAR7),
.VAR1 (1'b1),
.VAR15 (VAR10),
.VAR2 (VAR5),
.VAR8 (VAR8),
.VAR13 (VAR13));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/and4/sky130_fd_sc_hdll__and4_2.v
| 2,258 |
module MODULE2 (
VAR10 ,
VAR3 ,
VAR9 ,
VAR4 ,
VAR7 ,
VAR6,
VAR8,
VAR5 ,
VAR2
);
output VAR10 ;
input VAR3 ;
input VAR9 ;
input VAR4 ;
input VAR7 ;
input VAR6;
input VAR8;
input VAR5 ;
input VAR2 ;
VAR11 VAR1 (
.VAR10(VAR10),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR10,
VAR3,
VAR9,
VAR4,
VAR7
);
output VAR10;
input VAR3;
input VAR9;
input VAR4;
input VAR7;
supply1 VAR6;
supply0 VAR8;
supply1 VAR5 ;
supply0 VAR2 ;
VAR11 VAR1 (
.VAR10(VAR10),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/nor3/sky130_fd_sc_ms__nor3.symbol.v
| 1,308 |
module MODULE1 (
input VAR5,
input VAR8,
input VAR6,
output VAR2
);
supply1 VAR3;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/decapkapwr/sky130_fd_sc_lp__decapkapwr_4.v
| 2,020 |
module MODULE1 (
VAR7,
VAR6 ,
VAR2 ,
VAR1 ,
VAR3
);
input VAR7;
input VAR6 ;
input VAR2 ;
input VAR1 ;
input VAR3 ;
VAR5 VAR4 (
.VAR7(VAR7),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE1 ();
supply1 VAR7;
supply1 VAR6 ;
supply0 VAR2 ;
supply1 VAR1 ;
supply0 VAR3 ;
VAR5 VAR4 ();
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/xor2/sky130_fd_sc_hs__xor2_1.v
| 1,990 |
module MODULE1 (
VAR3 ,
VAR7 ,
VAR1 ,
VAR5,
VAR2
);
output VAR3 ;
input VAR7 ;
input VAR1 ;
input VAR5;
input VAR2;
VAR4 VAR6 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR3,
VAR7,
VAR1
);
output VAR3;
input VAR7;
input VAR1;
supply1 VAR5;
supply0 VAR2;
VAR4 VAR6 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_ad9361_v1_00_a/hdl/verilog/axi_ad9361.v
| 13,664 |
module MODULE1 (
VAR118,
VAR55,
VAR96,
VAR155,
VAR91,
VAR153,
VAR101,
VAR45,
VAR71,
VAR188,
VAR173,
VAR177,
VAR114,
clk,
VAR147,
VAR174,
VAR1,
VAR31,
VAR54,
VAR79,
VAR58,
VAR42,
VAR17,
VAR189,
VAR157,
VAR116,
VAR144,
VAR27,
VAR95,
VAR14,
VAR158,
VAR73,
VAR3,
VAR150,
VAR50,
VAR123,
VAR10,
VAR149,
VAR34,
VAR6,
VAR36,
VAR86,
VAR2,
VAR106,
VAR22);
parameter VAR100 = 0;
parameter VAR81 = 32'h00060061;
parameter VAR122 = 0;
parameter VAR28 = "VAR62";
parameter VAR111 = 0;
parameter VAR19 = 0;
parameter VAR82 = 32'hffff;
parameter VAR26 = 32'hffffffff;
parameter VAR162 = 32'h00000000;
input VAR118;
input VAR55;
input VAR96;
input VAR155;
input [ 5:0] VAR91;
input [ 5:0] VAR153;
output VAR101;
output VAR45;
output VAR71;
output VAR188;
output [ 5:0] VAR173;
output [ 5:0] VAR177;
input VAR114;
output clk;
output VAR147;
output [63:0] VAR174;
input VAR1;
output VAR31;
output VAR54;
input VAR79;
input [63:0] VAR58;
input VAR42;
input VAR17;
input VAR189;
input VAR157;
input [31:0] VAR116;
output VAR144;
input VAR27;
input [31:0] VAR95;
input [ 3:0] VAR14;
output VAR158;
output VAR73;
output [ 1:0] VAR3;
input VAR150;
input VAR50;
input [31:0] VAR123;
output VAR10;
output VAR149;
output [31:0] VAR34;
output [ 1:0] VAR6;
input VAR36;
output [ 1:0] VAR86;
output [116:0] VAR2;
output [ 3:0] VAR106;
output [297:0] VAR22;
reg [31:0] VAR169 = 'd0;
reg VAR171 = 'd0;
wire VAR160;
wire VAR90;
wire VAR41;
wire VAR87;
wire [11:0] VAR76;
wire [11:0] VAR170;
wire [11:0] VAR112;
wire [11:0] VAR13;
wire VAR124;
wire VAR134;
wire VAR115;
wire [11:0] VAR5;
wire [11:0] VAR137;
wire [11:0] VAR130;
wire [11:0] VAR43;
wire VAR30;
wire VAR127;
wire VAR92;
wire [ 7:0] VAR63;
wire [ 4:0] VAR108;
wire [ 4:0] VAR29;
wire VAR172;
wire VAR40;
wire VAR85;
wire [11:0] VAR143;
wire [11:0] VAR126;
wire [11:0] VAR168;
wire [11:0] VAR136;
wire VAR21;
wire VAR140;
wire VAR20;
wire VAR52;
wire VAR131;
wire VAR33;
wire VAR103;
wire VAR180;
wire VAR146;
wire VAR78;
wire VAR46;
wire VAR93;
wire VAR120;
wire VAR67;
wire VAR163;
wire VAR167;
wire VAR135;
wire VAR39;
wire [13:0] VAR66;
wire [31:0] VAR129;
wire [31:0] VAR109;
wire VAR165;
wire [31:0] VAR56;
wire VAR51;
assign VAR160 = VAR17;
assign VAR90 = VAR189;
always @(negedge VAR90 or posedge VAR160) begin
if (VAR90 == 0) begin
VAR169 <= 'd0;
VAR171 <= 'd0;
end else begin
VAR169 <= VAR109 | VAR56;
VAR171 <= VAR165 | VAR51;
end
end
VAR187 #(
.VAR122 (VAR122),
.VAR28 (VAR28))
VAR97 (
.VAR118 (VAR118),
.VAR55 (VAR55),
.VAR96 (VAR96),
.VAR155 (VAR155),
.VAR91 (VAR91),
.VAR153 (VAR153),
.VAR101 (VAR101),
.VAR45 (VAR45),
.VAR71 (VAR71),
.VAR188 (VAR188),
.VAR173 (VAR173),
.VAR177 (VAR177),
.clk (clk),
.VAR151 (VAR87),
.VAR104 (VAR76),
.VAR99 (VAR170),
.VAR4 (VAR112),
.VAR152 (VAR13),
.VAR88 (VAR124),
.VAR35 (VAR134),
.VAR11 (VAR115),
.VAR175 (VAR5),
.VAR80 (VAR137),
.VAR64 (VAR130),
.VAR182 (VAR43),
.VAR145 (VAR30),
.VAR114 (VAR114),
.VAR41 (VAR41),
.VAR57 (VAR127),
.VAR176 (VAR92),
.VAR77 (VAR63),
.VAR98 (VAR108),
.VAR9 (VAR29),
.VAR84 (VAR172),
.VAR61 (VAR40),
.VAR106 (VAR106),
.VAR22 (VAR22));
VAR44 VAR65 (
.clk (clk),
.VAR68 (VAR87),
.VAR161 (VAR76),
.VAR37 (VAR170),
.VAR38 (VAR112),
.VAR142 (VAR13),
.VAR8 (VAR85),
.VAR139 (VAR143),
.VAR59 (VAR126),
.VAR107 (VAR168),
.VAR132 (VAR136),
.VAR11 (VAR115),
.VAR175 (VAR5),
.VAR80 (VAR137),
.VAR64 (VAR130),
.VAR182 (VAR43),
.VAR75 (VAR21),
.VAR48 (VAR140),
.VAR89 (VAR20),
.VAR74 (VAR52),
.VAR69 (VAR131),
.VAR23 (VAR33),
.VAR25 (VAR103),
.VAR110 (VAR180),
.VAR184 (VAR146),
.VAR141 (VAR78),
.VAR113 (VAR46),
.VAR154 (VAR93),
.VAR117 (VAR120),
.VAR190 (VAR67),
.VAR12 (VAR163),
.VAR16 (VAR167));
VAR119 #(
.VAR100 (VAR100),
.VAR81 (VAR81),
.VAR159 (VAR19)
) VAR18 (
.VAR94 (clk),
.VAR151 (VAR87),
.VAR184 (VAR146),
.VAR141 (VAR78),
.VAR104 (VAR76),
.VAR113 (VAR46),
.VAR154 (VAR93),
.VAR99 (VAR170),
.VAR117 (VAR120),
.VAR190 (VAR67),
.VAR4 (VAR112),
.VAR12 (VAR163),
.VAR16 (VAR167),
.VAR152 (VAR13),
.VAR88 (VAR124),
.VAR35 (VAR134),
.VAR114 (VAR114),
.VAR41 (VAR41),
.VAR57 (VAR127),
.VAR176 (VAR92),
.VAR77 (VAR63),
.VAR98 (VAR108),
.VAR9 (VAR29),
.VAR84 (VAR172),
.VAR61 (VAR40),
.VAR147 (VAR147),
.VAR174 (VAR174),
.VAR1 (VAR1),
.VAR31 (VAR31),
.VAR90 (VAR90),
.VAR160 (VAR160),
.VAR121 (VAR135),
.VAR15 (VAR39),
.VAR24 (VAR66),
.VAR164 (VAR129),
.VAR169 (VAR109),
.VAR171 (VAR165),
.VAR86 (VAR86),
.VAR2 (VAR2));
VAR156 #(
.VAR100 (VAR100),
.VAR81 (VAR81),
.VAR159 (VAR111)
) VAR102 (
.VAR83 (clk),
.VAR11 (VAR85),
.VAR75 (VAR21),
.VAR48 (VAR140),
.VAR175 (VAR143),
.VAR89 (VAR20),
.VAR74 (VAR52),
.VAR80 (VAR126),
.VAR69 (VAR131),
.VAR23 (VAR33),
.VAR64 (VAR168),
.VAR25 (VAR103),
.VAR110 (VAR180),
.VAR182 (VAR136),
.VAR145 (VAR30),
.VAR54 (VAR54),
.VAR79 (VAR79),
.VAR58 (VAR58),
.VAR42 (VAR42),
.VAR90 (VAR90),
.VAR160 (VAR160),
.VAR121 (VAR135),
.VAR15 (VAR39),
.VAR24 (VAR66),
.VAR164 (VAR129),
.VAR169 (VAR56),
.VAR171 (VAR51));
VAR178 #(
.VAR185 (VAR26),
.VAR60 (VAR162))
VAR179 (
.VAR90 (VAR90),
.VAR160 (VAR160),
.VAR166 (VAR157),
.VAR125 (VAR116),
.VAR133 (VAR144),
.VAR53 (VAR27),
.VAR49 (VAR95),
.VAR7 (VAR14),
.VAR70 (VAR158),
.VAR181 (VAR73),
.VAR47 (VAR3),
.VAR186 (VAR150),
.VAR183 (VAR50),
.VAR148 (VAR123),
.VAR32 (VAR10),
.VAR128 (VAR149),
.VAR105 (VAR6),
.VAR72 (VAR34),
.VAR138 (VAR36),
.VAR121 (VAR135),
.VAR15 (VAR39),
.VAR24 (VAR66),
.VAR164 (VAR129),
.VAR169 (VAR169),
.VAR171 (VAR171));
endmodule
|
mit
|
545/Atari7800
|
core/ag_6502/trunk/fighter/ag_keyb.v
| 8,054 |
module MODULE4(input clk, input in, output reg out);
always @(posedge clk) begin
out <= in;
end
endmodule
module MODULE1(VAR4, VAR8, VAR21, VAR2, VAR23, VAR18);
input wire VAR4, VAR8;
output reg[7:0] VAR21 = 0;
output reg VAR2 = 0, VAR23 = 0, VAR18 = 0;
reg[10:0] VAR9 = 11'b11111111111;
wire[10:0] VAR3 = {VAR8, VAR9[10:1]};
wire VAR13 = VAR3[0], VAR11 = VAR3[10], VAR19 = VAR3[9];
wire[7:0] VAR17 = VAR3[8:1];
always @(negedge VAR4) begin
if (!VAR13 && VAR11 && (VAR19 == ~^VAR17)) begin
if (VAR17 == 8'hE0) begin
VAR23 <= 1;
end else if (VAR17 == 8'hF0) begin
VAR2 <= 1;
end else begin
VAR21 <= VAR17;
VAR18 <= 1;
end
VAR9 <= 11'b11111111111;
end else begin
if (VAR18) begin
VAR2 <= 0;
VAR23 <= 0;
VAR18 <= 0;
end
VAR9 <= VAR3;
end
end
endmodule
module MODULE2(VAR20, VAR16, VAR12, VAR22);
input wire[6:0] VAR20;
input wire VAR16, VAR12;
output wire[6:0] VAR22;
wire VAR1 = VAR20[6] && !VAR20[5];
wire VAR15 = !VAR20[6] && VAR20[5] && VAR20[3:0];
assign VAR22 =
VAR1?
(VAR16?{1'b1,1'b1,VAR20[4:0]}:
VAR12?{1'b0,1'b0,VAR20[4:0]}:
VAR20):
VAR15?
(VAR16?{1'b0,1'b1,~VAR20[4],VAR20[3:0]}:
VAR20):
VAR20;
endmodule
module MODULE3(VAR21, VAR23, VAR16, VAR12, VAR6, VAR10, VAR5);
input wire[7:0] VAR21;
input wire VAR23, VAR16, VAR12, VAR6, VAR10;
output wire[6:0] VAR5;
reg[6:0] VAR14[0:511]; integer VAR7;
wire[6:0] VAR20;
assign VAR20 = VAR14[{VAR10,VAR21}];
MODULE2 MODULE1(VAR20, VAR16, VAR12, VAR5);
end
|
gpl-2.0
|
lloves/Sora
|
FPGA/SISO/rtl/pcie_userapp_wrapper/Sora_Fast_Radio_Link/RCB_FRL_COUNT_TO_64.v
| 2,648 |
module MODULE1(clk, rst, VAR3, VAR1, VAR2);
input clk, rst, VAR3, VAR1;
output [5:0] VAR2;
wire [5:0] VAR4;
reg [5:0] VAR2;
always@(posedge clk or posedge rst)
begin
if(rst == 1'b1)
VAR2 = 6'h00;
end
else
begin
case({VAR3,VAR1})
2'b00: VAR2 = VAR4;
2'b01: VAR2 = VAR4;
2'b10: VAR2 = VAR4 - 1;
2'b11: VAR2 = VAR4 + 1;
default: VAR2 = 6'h00;
endcase
end
end
assign VAR4 = VAR2;
endmodule
|
bsd-2-clause
|
peteasa/oh
|
src/common/hdl/oh_8b10b_decode.v
| 5,782 |
module MODULE1(
input clk, input VAR15,
input [9:0] VAR20,
output reg VAR18, output reg [7:0] VAR11, output reg VAR3, output reg VAR12 );
wire [5:0] VAR9;
wire [3:0] VAR4;
reg [9:0] VAR10;
reg [4:0] VAR2;
reg [2:0] VAR19;
reg VAR1;
reg VAR8;
wire [2:0] VAR22;
wire [2:0] VAR21;
wire [7:0] VAR13;
wire VAR25;
wire VAR16;
wire VAR7;
wire VAR23;
reg VAR5;
wire VAR17;
wire VAR14;
wire VAR26;
wire VAR6;
reg VAR24;
assign VAR13 = {VAR19, VAR2};
assign VAR9 = VAR10[9:4];
assign VAR4 = VAR10[3:0];
assign VAR16 = ((((VAR2 == 5'd0) & (VAR1)) | ((VAR19 == 3'o0) & (~VAR8))));assign VAR25 = (VAR1 & VAR8 & VAR5);
assign VAR22 = (VAR9[5] + VAR9[4] + VAR9[3] + VAR9[2] + VAR9[1] + VAR9[0]);
assign VAR21 = (VAR4[3] + VAR4[2] + VAR4[1] + VAR4[0]);
assign VAR7 = (VAR22 > 'd3) & (VAR22 != 'd3);
assign VAR23 = (VAR21 > 'd2) & (VAR21 != 'd2);
assign VAR14 = (VAR22 == 'd3 & VAR21 == 'd2) ? VAR24 : ((VAR21 == 'd2) ? VAR7 : VAR23);
assign VAR26 = (VAR22 == 'd3 & VAR21 == 'd2);
assign VAR17 = (VAR21 == 'd2) ? VAR7 : VAR23;
assign VAR6 = (VAR21 == 'd2) ? (~VAR7) : (~VAR23);
always@(posedge clk)
begin
if(~VAR15)
begin
VAR24 <= 1'b0;
VAR11 <= 8'hBC;
VAR18 <= 1'b0;
VAR12 <= 1'b0;
VAR3 <= 1'b0;
VAR10 <= 10'd0;
end
else
begin
VAR11 <= VAR13;
VAR18 <= VAR25;
VAR10 <= VAR20;
if((VAR24 & (VAR26 | VAR17)) | ((~VAR24) & (VAR26 | VAR6)))
begin
VAR12 <= 1'b0;
end
else
begin
VAR12 <= 1'b1;
end
VAR24 <= VAR14;
VAR3 <= VAR16;
end
end
always@
begin
case(VAR4)
4'h4 , 4'hb : begin VAR19 = 3'o0 ; VAR8 = 1'b1; end
4'h9 : begin VAR19 = 3'o1 ; VAR8 = 1'b1; end
4'h5 : begin VAR19 = 3'o2 ; VAR8 = 1'b1; end
4'h3 , 4'hc : begin VAR19 = 3'o3 ; VAR8 = 1'b1; end
4'h2 , 4'hd : begin VAR19 = 3'o4 ; VAR8 = 1'b1; end
4'ha : begin VAR19 = 3'o5 ; VAR8 = 1'b1; end
4'h6 : begin VAR19 = 3'o6 ; VAR8 = 1'b1; end
4'h1 , 4'he : begin VAR19 = 3'o7 ; VAR8 = 1'b0; end
4'h8 , 4'h7 : begin VAR19 = 3'o7 ; VAR8 = 1'b1; end
default : begin VAR19 = 3'o0 ; VAR8 = 1'b0; end
endcase
end
always@(*)
begin
case(VAR13)
8'h1C : VAR5 = 1'b1;
8'h3C : VAR5 = 1'b1;
8'h5C : VAR5 = 1'b1;
8'h7C : VAR5 = 1'b1;
8'h9C : VAR5 = 1'b1;
8'hBC : VAR5 = 1'b1;
8'hDC : VAR5 = 1'b1;
8'hFC : VAR5 = 1'b1;
8'hF7 : VAR5 = 1'b1;
8'hFB : VAR5 = 1'b1;
8'hFD : VAR5 = 1'b1;
8'hFE : VAR5 = 1'b1;
default : VAR5 = 1'b0;
endcase
end
endmodule
|
mit
|
FPGA1988/udp_ip_stack
|
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_tx/MAC_tx_addr_add.v
| 5,860 |
module MODULE1 (
VAR4 ,
VAR24 ,
VAR1 ,
VAR22 ,
VAR12 ,
VAR3 ,
VAR6 ,
VAR23
);
input VAR4 ;
input VAR24 ;
input VAR22 ;
input VAR1 ;
output [7:0] VAR12 ;
input [7:0] VAR3 ;
input [2:0] VAR6 ;
input VAR23 ;
reg [2:0] VAR5;
wire[2:0] VAR2;
wire[7:0] din;
wire[7:0] dout;
wire VAR16;
reg VAR15;
reg VAR17;
always @ (posedge VAR24 or posedge VAR4)
if (VAR4)
begin
VAR15 <=0;
VAR17 <=0;
end
else
begin
VAR15 <=VAR23;
VAR17 <=VAR15;
end
assign # 2 VAR16 =VAR15&!VAR17;
assign # 2 VAR2 =VAR6;
assign # 2 din =VAR3;
always @ (posedge VAR24 or posedge VAR4)
if (VAR4)
VAR5 <=0;
else if (VAR1)
VAR5 <=0;
else if (VAR22)
VAR5 <=VAR5 + 1;
assign VAR12=dout;
VAR7 #(8,3,"VAR8","VAR19") VAR18(
.VAR14 (din ),
.VAR20 (VAR16 ),
.VAR21 (VAR2 ),
.VAR13 (VAR5 ),
.VAR9 (VAR24 ),
.VAR11 (VAR24 ),
.VAR10 (dout ));
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdfxtp/sky130_fd_sc_ms__sdfxtp.blackbox.v
| 1,344 |
module MODULE1 (
VAR5 ,
VAR7,
VAR4 ,
VAR9,
VAR6
);
output VAR5 ;
input VAR7;
input VAR4 ;
input VAR9;
input VAR6;
supply1 VAR8;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
SiLab-Bonn/basil
|
basil/firmware/modules/fei4_rx/receiver_logic.v
| 7,247 |
module MODULE1 #(
parameter VAR96 = 10
) (
input wire VAR68,
input wire VAR56,
input wire VAR30,
input wire VAR25,
input wire VAR48,
input wire VAR31,
input wire read,
output wire [23:0] VAR60,
output wire VAR88,
output reg VAR14,
output wire VAR24,
output reg [7:0] VAR64,
output reg [7:0] VAR90,
output reg [15:0] VAR54,
input wire VAR50,
input wire VAR91,
input wire VAR51
);
wire VAR11;
VAR32 VAR52 (
.VAR85(VAR48),
.VAR1(VAR56),
.VAR46(VAR68),
.VAR73(VAR11)
);
wire VAR83;
VAR32 VAR40 (
.VAR85(VAR48),
.VAR1(VAR30),
.VAR46(VAR68),
.VAR73(VAR83)
);
wire VAR10;
VAR32 VAR27 (
.VAR85(VAR48),
.VAR1(VAR51),
.VAR46(VAR68),
.VAR73(VAR10)
);
wire VAR26; VAR61 VAR76(
.clk(VAR30), .VAR39(VAR25), .VAR81(VAR31), .rst(VAR83), .VAR2(), .VAR7(), .VAR21(), .VAR42(), .VAR28(), .VAR100(VAR26)
);
reg VAR53, VAR89;
always @(posedge VAR30) begin
VAR53 <= VAR26;
VAR89 <= VAR53;
end
wire [9:0] VAR49;
reg VAR74;
VAR47 #(
.VAR96(VAR96)
) VAR84 (
.reset(VAR11),
.VAR81(VAR50 ? ~VAR89 : VAR89),
.VAR60(VAR49),
.VAR56(VAR56),
.VAR30(VAR30),
.VAR24(VAR24),
.VAR74(VAR74)
);
wire VAR44;
assign VAR44 = VAR24 & VAR91;
reg [9:0] VAR80;
integer VAR33;
always @(*) begin
for (VAR33=0; VAR33<10; VAR33=VAR33+1)
VAR80[(10-1)-VAR33] = VAR49[VAR33];
end
reg VAR57;
wire VAR19;
always @(posedge VAR56) begin
if(VAR11)
VAR57 <= 1'b0;
end
else VAR57 <= VAR19;
end
wire VAR43;
wire [7:0] VAR5;
wire VAR98, VAR93;
VAR35 VAR36 (
.VAR81(VAR80),
.VAR57(VAR57),
.VAR9({VAR43,VAR5}), .VAR19(VAR19),
.VAR98(VAR98),
.VAR93(VAR93)
);
always @(posedge VAR56) begin
if(VAR11)
VAR74 <= 1'b0;
end
else
VAR74 <= VAR98 | VAR93;
end
always @(posedge VAR56) begin
if(VAR11)
VAR90 <= 0;
end
else
if(VAR74 && VAR44 && VAR90 != 8'hff)
VAR90 <= VAR90 + 1;
end
reg [2:0] VAR72;
always @(posedge VAR56) begin
if(VAR11 || (VAR44 && VAR43) || (VAR44 && VAR43==0 && VAR72==2))
VAR72 <= 0;
end
else if(VAR44)
VAR72 <= VAR72 + 1;
end
reg [7:0] VAR59 [2:0];
always @(posedge VAR56) begin
for (VAR33=0; VAR33<3; VAR33=VAR33+1)
VAR59[VAR33] <= VAR59[VAR33];
if(VAR11)
for (VAR33=0; VAR33<3; VAR33=VAR33+1)
VAR59[VAR33] <= 8'b0;
end
else
if(VAR44 && VAR43==0)
VAR59[VAR72] <= VAR5;
end
reg VAR37;
always @(posedge VAR56) begin
if(VAR11)
VAR37 <= 0;
end
else
if(VAR44 && VAR43==0 && VAR72==2)
VAR37 <= 1;
else
VAR37 <= 0;
end
wire [23:0] VAR18;
wire [23:0] VAR63;
assign VAR63 = {VAR59[0], VAR59[1], VAR59[2]};
reg [3:0] VAR38;
reg VAR99;
always @(posedge VAR56) begin
if (VAR11)
end
VAR38 <= 4'b1111; else if (VAR38 != 0)
VAR38 <= VAR38 - 1;
VAR99 <= |VAR38;
end
reg [3:0] VAR79;
reg VAR12;
always @(posedge VAR51) begin
if (VAR10)
end
VAR79 <= 4'b1111; else if (VAR79 != 0)
VAR79 <= VAR79 - 1;
VAR12 <= |VAR79;
end
wire VAR29;
wire VAR34, VAR77;
VAR69 #(
.VAR96(24),
.VAR8(2)
) VAR78 (
.VAR86(VAR18),
.VAR29(VAR29),
.VAR4(VAR77),
.VAR63(VAR63),
.VAR20(VAR37),
.VAR6(VAR56),
.VAR41(VAR99),
.VAR94(!VAR34),
.VAR3(VAR51),
.VAR87(VAR12)
);
wire [10:0] VAR75;
VAR23 #(
.VAR97(24),
.VAR66(2048)
) VAR62 (
.clk(VAR51),
.reset(VAR12),
.write(!VAR77),
.read(read),
.VAR81(VAR18),
.VAR58(VAR34),
.VAR88(VAR88),
.VAR9(VAR60),
.VAR95(VAR75)
);
always @(posedge VAR56) begin
if (VAR29 && VAR37) begin VAR14 <= 1'b1;
end else if (!VAR29 && VAR37) begin VAR14 <= 1'b0;
end
end
always @(posedge VAR56) begin
if (VAR11)
VAR64 <= 0;
end
else
if (VAR29 && VAR37 && VAR64 != 8'b11111111)
VAR64 <= VAR64 + 1;
end
always @(posedge VAR51) begin
VAR54 <= {5'b0, VAR75};
end
wire [35:0] VAR55;
VAR45 VAR92
(
.VAR71(VAR55)
);
VAR15 VAR82
(
.VAR67(VAR55),
.VAR22(VAR30),
.VAR16({VAR43, VAR5, VAR80, VAR24, 1'b0, VAR17, VAR65, VAR70, VAR13, VAR26, VAR31})
);
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdfxbp/sky130_fd_sc_hd__sdfxbp.behavioral.pp.v
| 2,509 |
module MODULE1 (
VAR10 ,
VAR21 ,
VAR11 ,
VAR20 ,
VAR14 ,
VAR3 ,
VAR8,
VAR7,
VAR1 ,
VAR6
);
output VAR10 ;
output VAR21 ;
input VAR11 ;
input VAR20 ;
input VAR14 ;
input VAR3 ;
input VAR8;
input VAR7;
input VAR1 ;
input VAR6 ;
wire VAR15 ;
wire VAR4 ;
reg VAR18 ;
wire VAR22 ;
wire VAR2;
wire VAR16;
wire VAR23;
wire VAR27 ;
wire VAR9 ;
wire VAR25 ;
wire VAR19 ;
VAR17 VAR24 (VAR4, VAR22, VAR2, VAR16 );
VAR13 VAR5 (VAR15 , VAR4, VAR23, VAR18, VAR8, VAR7);
assign VAR27 = ( VAR8 === 1'b1 );
assign VAR9 = ( ( VAR16 === 1'b0 ) && VAR27 );
assign VAR25 = ( ( VAR16 === 1'b1 ) && VAR27 );
assign VAR19 = ( ( VAR22 !== VAR2 ) && VAR27 );
buf VAR12 (VAR10 , VAR15 );
not VAR26 (VAR21 , VAR15 );
endmodule
|
apache-2.0
|
campsandrew/ECE-474A-Program-1
|
Modules/CIRCUIT4.v
| 1,107 |
module MODULE1(VAR22, VAR16, VAR17, VAR13, VAR12, VAR10, VAR14, VAR2, VAR20, VAR45, VAR38, VAR5, VAR35, VAR49, VAR26, VAR44, VAR43, VAR37, final);
input VAR43, VAR37;
input [7:0] VAR22, VAR16, VAR17, VAR13, VAR12, VAR10, VAR14, VAR2, VAR20, VAR45, VAR38, VAR5, VAR35, VAR49, VAR26, VAR44;
output [31:0] final;
wire [31:0] VAR40, VAR41, VAR27, VAR47, VAR36, VAR9, VAR34, VAR21, VAR46, VAR25, VAR23, VAR8, VAR28, VAR42;
VAR1 #(8) VAR15(VAR22, VAR16, VAR40);
VAR1 #(32) VAR11(VAR40, VAR17, VAR41);
VAR1 #(32) VAR19(VAR41, VAR13, VAR27);
VAR1 #(32) VAR3(VAR27, VAR12, VAR47);
VAR1 #(32) VAR39(VAR47, VAR10, VAR36);
VAR1 #(32) VAR31(VAR36, VAR14, VAR9);
VAR1 #(32) VAR29(VAR9, VAR2, VAR34);
VAR1 #(32) VAR4(VAR34, VAR20, VAR21);
VAR1 #(32) VAR24(VAR21, VAR45, VAR46);
VAR1 #(32) VAR6(VAR46, VAR5, VAR25);
VAR1 #(32) VAR32(VAR25, VAR35, VAR23);
VAR1 #(32) VAR30(VAR23, VAR49, VAR8);
VAR1 #(32) VAR48(VAR8, VAR26, VAR28);
VAR1 #(32) VAR33(VAR28, VAR44, VAR42);
VAR7 #(32) VAR18(VAR42, VAR43, VAR37, final);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nand4bb/sky130_fd_sc_hs__nand4bb.pp.symbol.v
| 1,307 |
module MODULE1 (
input VAR4 ,
input VAR6 ,
input VAR7 ,
input VAR1 ,
output VAR2 ,
input VAR3,
input VAR5
);
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0_0/zynq_design_1_system_ila_0_0_stub.v
| 2,521 |
module MODULE1(clk, VAR12, VAR17,
VAR16, VAR5, VAR11, VAR10,
VAR2, VAR13, VAR14, VAR7,
VAR3, VAR15, VAR9, VAR1,
VAR8, VAR4, VAR6, VAR18)
;
input clk;
input [8:0]VAR12;
input VAR17;
input VAR16;
input [31:0]VAR5;
input [3:0]VAR11;
input VAR10;
input VAR2;
input [1:0]VAR13;
input VAR14;
input VAR7;
input [8:0]VAR3;
input VAR15;
input VAR9;
input [31:0]VAR1;
input [1:0]VAR8;
input VAR4;
input VAR6;
input VAR18;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o21a/sky130_fd_sc_ls__o21a.functional.pp.v
| 1,998 |
module MODULE1 (
VAR16 ,
VAR8 ,
VAR4 ,
VAR5 ,
VAR2,
VAR13,
VAR6 ,
VAR14
);
output VAR16 ;
input VAR8 ;
input VAR4 ;
input VAR5 ;
input VAR2;
input VAR13;
input VAR6 ;
input VAR14 ;
wire VAR11 ;
wire VAR15 ;
wire VAR3;
or VAR1 (VAR11 , VAR4, VAR8 );
and VAR9 (VAR15 , VAR11, VAR5 );
VAR7 VAR12 (VAR3, VAR15, VAR2, VAR13);
buf VAR10 (VAR16 , VAR3 );
endmodule
|
apache-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_core.v
| 4,788 |
module MODULE1 (
VAR3, VAR11, VAR18, VAR21, VAR8, VAR9,
VAR10, VAR2, VAR4, VAR1, VAR25, VAR13,
VAR27, VAR15, VAR16, VAR12, VAR20, VAR24,
VAR6, VAR7, VAR14
);
parameter VAR19 = 32;
parameter VAR17 = 32;
parameter VAR5 = 1;
parameter VAR22 = 1;
output [VAR17-1:2] VAR13; output [31:0] VAR25; output [3:0] VAR1; output VAR4; output VAR2; output [6:2] VAR10; output [31:0] VAR9; output VAR8; output [1:0] VAR21; output VAR18; output [VAR19-1:2] VAR11; output VAR3;
input VAR14; input [31:0] VAR7; input VAR6; input [31:0] VAR24; input VAR20; input [31:0] VAR12; input VAR16; input VAR15; input VAR27;
VAR23 #(VAR19, VAR17, VAR5, VAR22)
VAR26 (
.VAR13 (VAR13[VAR17-1:2]), .VAR25 (VAR25[31:0]),
.VAR1 (VAR1[3:0]),
.VAR4 (VAR4),
.VAR2 (VAR2),
.VAR10 (VAR10[6:2]),
.VAR9 (VAR9[31:0]),
.VAR8 (VAR8),
.VAR21 (VAR21[1:0]),
.VAR18 (VAR18),
.VAR11 (VAR11[VAR19-1:2]), .VAR3 (VAR3),
.VAR14 (VAR14),
.VAR7 (VAR7[31:0]),
.VAR6 (VAR6),
.VAR24 (VAR24[31:0]),
.VAR20 (VAR20),
.VAR12 (VAR12[31:0]),
.VAR15 (VAR15),
.VAR16 (VAR16),
.VAR27 (VAR27));
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or2/sky130_fd_sc_lp__or2_1.v
| 2,075 |
module MODULE1 (
VAR1 ,
VAR5 ,
VAR8 ,
VAR6,
VAR7,
VAR9 ,
VAR4
);
output VAR1 ;
input VAR5 ;
input VAR8 ;
input VAR6;
input VAR7;
input VAR9 ;
input VAR4 ;
VAR2 VAR3 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR1,
VAR5,
VAR8
);
output VAR1;
input VAR5;
input VAR8;
supply1 VAR6;
supply0 VAR7;
supply1 VAR9 ;
supply0 VAR4 ;
VAR2 VAR3 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
vipinkmenon/scas
|
hw/fpga/ipcore_dir/track_fifo.v
| 13,827 |
module MODULE1(
VAR266,
VAR228,
VAR286,
VAR85,
VAR410,
VAR329,
VAR217,
VAR374
);
input VAR266;
input VAR228;
input VAR286;
output VAR85;
input [7 : 0] VAR410;
output VAR329;
input VAR217;
output [7 : 0] VAR374;
VAR96 #(
.VAR160(0),
.VAR389(2),
.VAR99(0),
.VAR154(0),
.VAR310(0),
.VAR318(0),
.VAR151(0),
.VAR340(32),
.VAR48(1),
.VAR219(1),
.VAR195(1),
.VAR244(64),
.VAR202(4),
.VAR11(1),
.VAR108(0),
.VAR341(1),
.VAR306(8),
.VAR363(4),
.VAR237(8),
.VAR106(1),
.VAR231(1),
.VAR171(4),
.VAR362(0),
.VAR55(1),
.VAR301(0),
.VAR269(10),
.VAR124("VAR110"),
.VAR37(18),
.VAR387(8),
.VAR86(32),
.VAR158(64),
.VAR38(32),
.VAR368(64),
.VAR153(2),
.VAR402("0"),
.VAR50(18),
.VAR163(0),
.VAR338(1),
.VAR375(0),
.VAR376(0),
.VAR289(0),
.VAR1(0),
.VAR144(0),
.VAR145(0),
.VAR268(0),
.VAR366("VAR294"),
.VAR131(1),
.VAR251(0),
.VAR290(0),
.VAR220(0),
.VAR69(0),
.VAR409(0),
.VAR175(0),
.VAR209(0),
.VAR393(0),
.VAR94(0),
.VAR57(1),
.VAR287(0),
.VAR232(0),
.VAR167(0),
.VAR92(0),
.VAR201(1),
.VAR415(0),
.VAR278(0),
.VAR234(0),
.VAR98(0),
.VAR291(0),
.VAR33(0),
.VAR315(0),
.VAR143(0),
.VAR412(0),
.VAR235(0),
.VAR304(0),
.VAR250(0),
.VAR215(0),
.VAR59(0),
.VAR328(0),
.VAR425(0),
.VAR243(0),
.VAR254(0),
.VAR193(0),
.VAR336(0),
.VAR31(0),
.VAR93(0),
.VAR97(1),
.VAR191(0),
.VAR371(0),
.VAR333(0),
.VAR126(0),
.VAR20(0),
.VAR364(0),
.VAR316(0),
.VAR360(0),
.VAR317(2),
.VAR51(2),
.VAR172(1),
.VAR370(2),
.VAR14(1),
.VAR30(2),
.VAR239(0),
.VAR177(1),
.VAR105(1),
.VAR427("VAR110"),
.VAR186(1),
.VAR22(0),
.VAR75(0),
.VAR416(1),
.VAR19(0),
.VAR226("4kx4"),
.VAR104(2),
.VAR392(254),
.VAR68(14),
.VAR140(1022),
.VAR63(14),
.VAR112(1022),
.VAR44(14),
.VAR350(3),
.VAR258(0),
.VAR256(0),
.VAR224(0),
.VAR223(0),
.VAR354(0),
.VAR255(0),
.VAR332(0),
.VAR248(1022),
.VAR192(255),
.VAR138(15),
.VAR135(1023),
.VAR401(15),
.VAR32(1023),
.VAR222(15),
.VAR292(1021),
.VAR190(0),
.VAR428(0),
.VAR298(0),
.VAR7(0),
.VAR179(0),
.VAR207(0),
.VAR174(0),
.VAR313(0),
.VAR176(10),
.VAR422(1024),
.VAR271(1),
.VAR115(10),
.VAR394(0),
.VAR386(0),
.VAR263(0),
.VAR170(0),
.VAR196(0),
.VAR183(0),
.VAR34(0),
.VAR274(2),
.VAR309(0),
.VAR95(0),
.VAR385(0),
.VAR40(0),
.VAR9(1),
.VAR149(0),
.VAR413(0),
.VAR326(0),
.VAR388(0),
.VAR87(0),
.VAR390(0),
.VAR134(0),
.VAR23(0),
.VAR72(0),
.VAR64(0),
.VAR419(0),
.VAR76(0),
.VAR121(0),
.VAR260(0),
.VAR391(10),
.VAR238(1024),
.VAR89(256),
.VAR305(16),
.VAR29(1024),
.VAR60(16),
.VAR245(1024),
.VAR12(16),
.VAR39(1),
.VAR361(10),
.VAR264(8),
.VAR81(4),
.VAR194(10),
.VAR2(4),
.VAR320(10),
.VAR377(4),
.VAR21(1),
.VAR62(0)
)
VAR397 (
.VAR122(VAR266),
.VAR241(VAR228),
.VAR67(VAR286),
.VAR400(VAR85),
.VAR53(VAR410),
.VAR249(VAR329),
.VAR16(VAR217),
.VAR212(VAR374),
.VAR88(),
.VAR83(),
.VAR283(),
.VAR398(),
.VAR188(),
.VAR142(),
.VAR103(),
.VAR351(),
.VAR65(),
.VAR265(),
.VAR107(),
.VAR185(),
.VAR345(),
.VAR78(),
.VAR111(),
.VAR61(),
.VAR180(),
.VAR308(),
.VAR15(),
.VAR80(),
.VAR356(),
.VAR132(),
.VAR129(),
.VAR429(),
.VAR267(),
.VAR381(),
.VAR123(),
.VAR230(),
.VAR335(),
.VAR358(),
.VAR302(),
.VAR136(),
.VAR225(),
.VAR162(),
.VAR347(),
.VAR166(),
.VAR399(),
.VAR325(),
.VAR281(),
.VAR25(),
.VAR178(),
.VAR73(),
.VAR349(),
.VAR406(),
.VAR321(),
.VAR119(),
.VAR42(),
.VAR404(),
.VAR211(),
.VAR197(),
.VAR125(),
.VAR270(),
.VAR423(),
.VAR114(),
.VAR168(),
.VAR352(),
.VAR408(),
.VAR272(),
.VAR4(),
.VAR17(),
.VAR155(),
.VAR331(),
.VAR314(),
.VAR6(),
.VAR169(),
.VAR208(),
.VAR58(),
.VAR279(),
.VAR102(),
.VAR355(),
.VAR343(),
.VAR141(),
.VAR150(),
.VAR221(),
.VAR189(),
.VAR214(),
.VAR330(),
.VAR181(),
.VAR137(),
.VAR353(),
.VAR82(),
.VAR420(),
.VAR299(),
.VAR372(),
.VAR379(),
.VAR84(),
.VAR252(),
.VAR342(),
.VAR91(),
.VAR13(),
.VAR200(),
.VAR184(),
.VAR218(),
.VAR282(),
.VAR5(),
.VAR277(),
.VAR79(),
.VAR311(),
.VAR405(),
.VAR46(),
.VAR27(),
.VAR213(),
.VAR236(),
.VAR205(),
.VAR130(),
.VAR182(),
.VAR384(),
.VAR233(),
.VAR261(),
.VAR199(),
.VAR49(),
.VAR418(),
.VAR339(),
.VAR120(),
.VAR113(),
.VAR253(),
.VAR3(),
.VAR334(),
.VAR295(),
.VAR257(),
.VAR337(),
.VAR127(),
.VAR276(),
.VAR100(),
.VAR10(),
.VAR117(),
.VAR229(),
.VAR382(),
.VAR156(),
.VAR319(),
.VAR187(),
.VAR24(),
.VAR273(),
.VAR56(),
.VAR36(),
.VAR28(),
.VAR204(),
.VAR90(),
.VAR54(),
.VAR148(),
.VAR246(),
.VAR403(),
.VAR71(),
.VAR284(),
.VAR367(),
.VAR74(),
.VAR157(),
.VAR373(),
.VAR161(),
.VAR421(),
.VAR147(),
.VAR414(),
.VAR52(),
.VAR312(),
.VAR275(),
.VAR383(),
.VAR365(),
.VAR426(),
.VAR378(),
.VAR242(),
.VAR139(),
.VAR300(),
.VAR359(),
.VAR344(),
.VAR369(),
.VAR297(),
.VAR8(),
.VAR424(),
.VAR296(),
.VAR165(),
.VAR43(),
.VAR396(),
.VAR357(),
.VAR118(),
.VAR247(),
.VAR70(),
.VAR77(),
.VAR288(),
.VAR152(),
.VAR164(),
.VAR203(),
.VAR285(),
.VAR109(),
.VAR216(),
.VAR66(),
.VAR327(),
.VAR323(),
.VAR159(),
.VAR173(),
.VAR41(),
.VAR303(),
.VAR280(),
.VAR47(),
.VAR18(),
.VAR307(),
.VAR417(),
.VAR262(),
.VAR227(),
.VAR407(),
.VAR348(),
.VAR380(),
.VAR395(),
.VAR322(),
.VAR116(),
.VAR346(),
.VAR26(),
.VAR293(),
.VAR35(),
.VAR324(),
.VAR259(),
.VAR198(),
.VAR146(),
.VAR210(),
.VAR133(),
.VAR45(),
.VAR101(),
.VAR411(),
.VAR128(),
.VAR206(),
.VAR240()
);
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dlyc/gf180mcu_fd_sc_mcu9t5v0__dlyc_4.behavioral.pp.v
| 1,164 |
module MODULE1( VAR4, VAR5, VAR1, VAR6 );
input VAR4;
inout VAR1, VAR6;
output VAR5;
VAR3 VAR2(.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1),.VAR6(VAR6));
VAR3 VAR7(.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1),.VAR6(VAR6));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/or4b/sky130_fd_sc_hdll__or4b.symbol.v
| 1,325 |
module MODULE1 (
input VAR6 ,
input VAR4 ,
input VAR1 ,
input VAR7,
output VAR5
);
supply1 VAR9;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
kyzhai/NUNY
|
src/hardware/bell_bb.v
| 4,974 |
module MODULE1 (
address,
VAR1,
VAR2);
input [14:0] address;
input VAR1;
output [15:0] VAR2;
tri1 VAR1;
endmodule
|
gpl-2.0
|
tmolteno/TART
|
hardware/FPGA/tart_spi/verilog/tart_dcm.v
| 10,461 |
module MODULE1
(
input VAR55, input VAR16,
output VAR23, output VAR46, output VAR43, output VAR27, output VAR19, output VAR18 );
wire [7:0] VAR54;
wire VAR37, VAR51, VAR42, VAR41, VAR59, VAR22, VAR9;
wire VAR29;
wire VAR6;
wire VAR28;
assign VAR19 = VAR45 && VAR11;
VAR30
) VAR39
( .VAR53(VAR55),
.VAR56(VAR37)
);
VAR58
(
.VAR53(VAR37), .VAR1(VAR51), .VAR49(VAR49), .VAR26() );
VAR2
(
.VAR53(VAR23), .VAR56(VAR9) );
VAR7
) VAR24
( .VAR8 (VAR51), .VAR50 (VAR16), .VAR52(VAR45), .VAR44 (VAR9),
.VAR14 (VAR42), .VAR17 (VAR29), .VAR10(VAR6),
.VAR57(1'b0), .VAR33(1'b0), .VAR36(1'b0), .VAR47(1'b0) );
assign VAR18 = VAR54[2];
assign VAR59 = VAR42;
VAR48
.VAR40(61.095), .VAR12(12), .VAR38(1), .VAR32(2), .VAR15("VAR25") ) VAR3
( .VAR8 (VAR51), .VAR50 (VAR16), .VAR17 (VAR28), .VAR10(VAR20), .VAR21 (VAR5), .VAR54 (VAR54), .VAR52 (VAR11) );
assign VAR59 = VAR41;
VAR7
) VAR3
( .VAR8 (VAR51), .VAR50 (VAR16), .VAR52(VAR11), .VAR44 (VAR9),
.VAR14 (VAR41), .VAR17 (VAR28),
.VAR57(0), .VAR33(0), .VAR36(0), .VAR47(0) );
VAR13 VAR35 ( .VAR53(VAR59), .VAR56(VAR23) );
VAR13 VAR34 ( .VAR53( VAR29), .VAR56( VAR46) );
VAR13 VAR31 ( .VAR53( VAR6), .VAR56( VAR43) );
VAR13 VAR4 ( .VAR53(VAR28), .VAR56( VAR27) );
endmodule
|
lgpl-3.0
|
mosass/HexapodRobot
|
VIVADO/hexapod/hexapod.cache/ip/36950b996c10e220/design_1_processing_system7_0_0_stub.v
| 5,443 |
module MODULE1(VAR55, VAR73, VAR65, VAR5, VAR58,
VAR6, VAR46, VAR57, VAR31,
VAR9, VAR19, VAR36, VAR14,
VAR23, VAR10, VAR54, VAR63, VAR25,
VAR72, VAR26, VAR70, VAR3, VAR47,
VAR42, VAR2, VAR61, VAR15, VAR37,
VAR7, VAR43, VAR68, VAR66, VAR20,
VAR38, VAR11, VAR12, VAR52, VAR21,
VAR18, VAR62, VAR30, VAR59,
VAR56, VAR69, VAR17, VAR27, VAR48,
VAR39, VAR34, VAR44, VAR32, VAR29, VAR33, VAR28, VAR22,
VAR67, VAR4, VAR50, VAR35, VAR64, VAR8, VAR1, VAR24, VAR41,
VAR13, VAR49, VAR51, VAR71, VAR45, VAR40, VAR16, VAR60, VAR53)
;
input [63:0]VAR55;
output [63:0]VAR73;
output [63:0]VAR65;
input VAR5;
output VAR58;
output VAR6;
output VAR46;
output [1:0]VAR57;
output VAR31;
input VAR9;
output VAR19;
output VAR36;
output VAR14;
output VAR23;
output VAR10;
output VAR54;
output [11:0]VAR63;
output [11:0]VAR25;
output [11:0]VAR72;
output [1:0]VAR26;
output [1:0]VAR70;
output [2:0]VAR3;
output [1:0]VAR47;
output [1:0]VAR42;
output [2:0]VAR2;
output [2:0]VAR61;
output [2:0]VAR15;
output [31:0]VAR37;
output [31:0]VAR7;
output [31:0]VAR43;
output [3:0]VAR68;
output [3:0]VAR66;
output [3:0]VAR20;
output [3:0]VAR38;
output [3:0]VAR11;
output [3:0]VAR12;
output [3:0]VAR52;
input VAR21;
input VAR18;
input VAR62;
input VAR30;
input VAR59;
input VAR56;
input VAR69;
input [11:0]VAR17;
input [11:0]VAR27;
input [1:0]VAR48;
input [1:0]VAR39;
input [31:0]VAR34;
input [0:0]VAR44;
output VAR32;
output VAR29;
inout [53:0]VAR33;
inout VAR28;
inout VAR22;
inout VAR67;
inout VAR4;
inout VAR50;
inout VAR35;
inout VAR64;
inout VAR8;
inout VAR1;
inout [2:0]VAR24;
inout [14:0]VAR41;
inout VAR13;
inout VAR49;
inout [3:0]VAR51;
inout [31:0]VAR71;
inout [3:0]VAR45;
inout [3:0]VAR40;
inout VAR16;
inout VAR60;
inout VAR53;
endmodule
|
mit
|
ptracton/wb_soc_template
|
rtl/MOR1KX/rtl/verilog/mor1kx_lsu_cappuccino.v
| 26,804 |
module MODULE1
parameter VAR74 = "VAR150",
parameter VAR51 = 32,
parameter VAR20 = 5,
parameter VAR89 = 9,
parameter VAR53 = 2,
parameter VAR149 = 32,
parameter VAR157 = "VAR150",
parameter VAR138 = "VAR150",
parameter VAR97 = "VAR150",
parameter VAR156 = 6,
parameter VAR93 = 1,
parameter VAR33 = "VAR37",
parameter VAR28 = 8,
parameter VAR49 = "VAR37"
)
(
input clk,
input rst,
input VAR115,
input VAR124, input VAR48,
input [VAR51-1:0] VAR133,
input [VAR51-1:0] VAR147,
input [VAR51-1:0] VAR113,
input VAR79,
input VAR131,
input VAR119,
input VAR167,
input VAR121,
input VAR43,
input VAR91,
input [1:0] VAR50,
input VAR153,
input [VAR51-1:0] VAR101,
output [VAR51-1:0] VAR24,
output [VAR51-1:0] VAR59,
output VAR65,
output VAR106,
output VAR41,
output VAR19,
output VAR73,
output reg VAR104,
output VAR160,
output VAR130,
output VAR70,
input [15:0] VAR85,
input VAR135,
input VAR46,
input [VAR51-1:0] VAR55,
output [VAR51-1:0] VAR129,
output VAR126,
output [VAR51-1:0] VAR15,
output VAR4,
input VAR92,
input VAR71,
input VAR81,
output [VAR51-1:0] VAR117,
output reg VAR139,
output [VAR51-1:0] VAR127,
output reg [3:0] VAR137,
output VAR30,
output VAR132,
input VAR102,
input VAR10,
input [VAR51-1:0] VAR145,
input VAR136,
input [31:0] VAR39,
input VAR66
);
reg [VAR51-1:0] VAR143; reg [VAR51-1:0] VAR123;
reg VAR154;
wire VAR60;
wire VAR140;
wire VAR114;
wire VAR90;
reg VAR158;
reg VAR99;
reg VAR45;
reg [VAR51-1:0] VAR95;
reg [VAR51-1:0] VAR100;
wire [VAR51-1:0] VAR8;
reg VAR11;
reg [3:0] VAR54;
wire VAR88;
wire VAR7;
wire [VAR51-1:0] VAR80;
wire [VAR51-1:0] VAR31;
wire VAR16;
wire VAR155;
wire VAR13;
wire [31:0] VAR63;
wire [31:0] VAR64;
wire [31:0] VAR134;
wire [31:0] VAR83;
wire VAR57;
wire VAR23;
wire [3:0] VAR87;
wire VAR44;
wire VAR162;
wire VAR152;
wire VAR151;
wire VAR146;
reg VAR14;
wire VAR77;
wire VAR164;
wire VAR26;
wire VAR29;
wire [VAR51-1:0] VAR144;
wire VAR107;
reg VAR86;
wire VAR61;
reg VAR34;
wire VAR6;
wire VAR120;
wire VAR67;
wire [VAR51-1:0] VAR18;
wire VAR9;
reg VAR122;
reg [VAR51-1:0] VAR111;
wire VAR27;
reg VAR35;
wire VAR159;
wire VAR52;
wire VAR141;
wire VAR109;
wire [VAR51-1:0] VAR5;
wire [VAR51-1:0] VAR36;
wire [VAR51-1:0] VAR38;
wire [VAR51/8-1:0] VAR165;
wire VAR25;
reg VAR2;
reg VAR163;
reg VAR94;
reg VAR125;
reg [VAR51-1:0] VAR75;
reg VAR128;
wire VAR105;
wire VAR76;
wire VAR3;
assign VAR76 = (VAR157 != "VAR150") ?
VAR66 & !((VAR39 == VAR117) & VAR10) :
0;
assign VAR164 = VAR167 | VAR121;
assign VAR31 = (VAR50 == 2'b00) ? {VAR113[7:0],VAR113[7:0],
VAR113[7:0],VAR113[7:0]} :
(VAR50 == 2'b01) ? {VAR113[15:0],VAR113[15:0]} :
VAR113;
assign VAR60 = |VAR147[1:0];
assign VAR140 = VAR147[0];
assign VAR65 = (VAR16 | VAR154) & !VAR67 & !VAR3;
assign VAR106 = VAR158 | VAR104;
assign VAR114 = (VAR50 == 2'b10) & VAR60 |
(VAR50 == 2'b01) & VAR140;
assign VAR90 = VAR164 & VAR114;
assign VAR41 = VAR90 & !VAR136;
assign VAR107 = VAR164 & VAR26 & VAR71 &
!VAR67;
assign VAR19 = VAR107 & !VAR136;
assign VAR61 = VAR164 & VAR29 & VAR71 &
!VAR67 | VAR9;
assign VAR73 = VAR61 & !VAR136;
always @(posedge clk VAR40)
if (rst)
VAR154 <= 0;
else if (VAR115)
VAR154 <= 0;
else if (VAR16)
VAR154 <= 1;
always @(posedge clk VAR40)
if (rst)
VAR158 <= 0;
else if (VAR115 | VAR136)
VAR158 <= 0;
else if (VAR102)
VAR158 <= 1;
always @(posedge clk VAR40)
if (rst)
VAR86 <= 0;
else if (VAR115)
VAR86 <= 0;
else if (VAR107)
VAR86 <= 1;
always @(posedge clk VAR40)
if (rst)
VAR34 <= 0;
else if (VAR115)
VAR34 <= 0;
else if (VAR61)
VAR34 <= 1;
always @(posedge clk VAR40)
if (rst)
VAR104 <= 0;
else if (VAR136)
VAR104 <= 0;
else if (VAR102 & VAR30)
VAR104 <= 1;
always @
case({VAR153, VAR50})
3'b100: VAR123 = {24'd0,VAR143[31:24]};
3'b101: VAR123 = {16'd0,VAR143[31:16]};
3'b000: VAR123 = {{24{VAR143[31]}},
VAR143[31:24]};
3'b001: VAR123 = {{16{VAR143[31]}},
VAR143[31:16]};
default:
VAR123 = VAR143;
endcase
assign VAR59 = VAR123;
localparam [2:0]
VAR12 = 3'd0,
VAR68 = 3'd1,
VAR112 = 3'd2,
VAR58 = 3'd3,
VAR98 = 3'd4;
reg [2:0] state;
assign VAR88 = (!VAR44 | VAR67 | VAR121) &
(state != VAR98) | (state == VAR112);
reg VAR161;
always @(posedge clk)
VAR161 <= VAR152;
wire VAR142;
assign VAR142 = (VAR33!="VAR150") ?
VAR159 :
VAR125;
assign VAR16 = (VAR121 | state == VAR112) ?
(VAR142 & !VAR43 |
VAR125 & VAR43) :
(VAR88 ? VAR99 : VAR13);
assign VAR80 = VAR88 ? VAR95 : VAR63;
assign VAR117 = VAR100;
assign VAR127 = VAR95;
assign VAR132 = (state == VAR98) & !VAR146;
assign VAR30 = VAR11 & (!VAR163 | VAR128);
assign VAR8 = (VAR20 == 5) ?
{VAR100[31:5], VAR100[4:0] + 5'd4} : {VAR100[31:4], VAR100[3:0] + 4'd4};
always @(posedge clk VAR40)
if (rst)
VAR45 <= 0;
else
VAR45 <= VAR102;
always @(posedge clk) begin
VAR99 <= 0;
VAR125 <= 0;
VAR122 <= 0;
VAR35 <= 0;
case (state)
VAR12: begin
VAR139 <= 0;
VAR11 <= 0;
VAR100 <= 0;
VAR137 <= 4'hf;
VAR163 <= 0;
VAR94 <= 0;
if (VAR159 | !VAR109) begin
state <= VAR112;
end else if (VAR164 & VAR88 & !VAR152 & !VAR99 &
!VAR45 & !VAR158 & !VAR154 &
!VAR136) begin
if (VAR120) begin
VAR100 <= VAR18;
VAR139 <= 1;
state <= VAR58;
end else if (VAR71) begin
VAR100 <= VAR144;
if (!VAR26 & !VAR29 & !VAR90) begin
if (VAR167) begin
VAR139 <= 1;
VAR137 <= VAR54;
state <= VAR68;
end
end
end else if (!VAR90) begin
VAR100 <= VAR147;
if (VAR167) begin
VAR139 <= 1;
VAR137 <= VAR54;
state <= VAR68;
end
end
end else if (VAR151) begin
VAR139 <= 1;
VAR100 <= VAR83;
state <= VAR98;
end
end
VAR98: begin
VAR139 <= 1;
if (VAR10) begin
VAR100 <= VAR8;
if (VAR146) begin
VAR139 <= 0;
state <= VAR12;
end
end
if (VAR102 | VAR3) begin
VAR139 <= 0;
state <= VAR12;
end
end
VAR68: begin
VAR99 <= VAR10;
VAR95 <= VAR145;
if (VAR10 | VAR102) begin
VAR139 <= 0;
state <= VAR12;
end
end
VAR112: begin
VAR139 <= 1;
VAR11 <= 1;
if (!VAR139 | VAR10 & !VAR94) begin
VAR137 <= VAR165;
VAR100 <= VAR5;
VAR95 <= VAR38;
VAR163 <= VAR25;
VAR94 <= VAR109;
end
if (VAR159)
VAR94 <= 0;
if (VAR94 & VAR10 | VAR102) begin
VAR139 <= 0;
VAR11 <= 0;
if (!VAR159) begin
state <= VAR12;
VAR125 <= 1;
end
end
end
VAR58: begin
VAR100 <= VAR18;
VAR111 <= VAR145;
VAR122 <= VAR10 & VAR120;
if (!VAR120 | VAR102) begin
state <= VAR12;
VAR35 <= 1;
end
VAR139 <= VAR120;
if (VAR10 | VAR122)
VAR139 <= 0;
end
default:
state <= VAR12;
endcase
if (rst)
state <= VAR12;
end
assign VAR7 = VAR67 | VAR90 | VAR158 |
VAR107 | VAR61 |
VAR136;
assign VAR70 = VAR91 & (state == VAR112);
generate
if (VAR49!="VAR150") begin : VAR96
reg VAR82;
reg VAR47;
always @(posedge clk VAR40)
if (rst)
VAR128 <= 0;
end
else if (VAR136)
VAR128 <= 0;
end
else if (VAR121 & VAR43 & VAR125 ||
!VAR43 & VAR159 &
(VAR36 == VAR75) ||
(VAR76 & (VAR39 == VAR75)))
VAR128 <= 0;
end
else if (VAR167 & VAR43 & VAR124)
VAR128 <= !(VAR76 & (VAR39 == VAR83));
always @(posedge clk)
if (VAR167 & VAR43 & VAR124)
VAR75 <= VAR83;
assign VAR105 = VAR121 & VAR43 &
VAR128 & (VAR100 == VAR75);
always @(posedge clk)
if (VAR124)
VAR82 <= 0;
end
else if (VAR125)
VAR82 <= VAR105 & VAR65;
always @(posedge clk)
if (VAR124)
VAR47 <= 0;
end
else if (VAR125)
VAR47 <= !VAR105 & VAR65 &
VAR43 & VAR121;
assign VAR160 = VAR82;
assign VAR130 = VAR47;
end else begin
assign VAR160 = 0;
assign VAR130 = 0;
assign VAR105 = 0;
always @(posedge clk) begin
VAR75 <= 0;
VAR128 <= 0;
end
end
endgenerate
always @(posedge clk)
if (rst)
VAR2 <= 0;
else if (VAR159 | VAR136)
VAR2 <= 0;
else if (VAR121 & VAR124 & !VAR7 &
(VAR141 | VAR152 | VAR161 | VAR3))
VAR2 <= 1;
assign VAR159 = (VAR121 &
(VAR124 | VAR35) |
VAR2) &
!VAR141 & !VAR152 & !VAR161 &
!VAR7 & !VAR3;
generate
if (VAR33!="VAR150") begin : VAR17
assign VAR52 = (state == VAR12) & VAR159 |
(state == VAR12) & !VAR109 |
(state == VAR112) & (VAR10 | !VAR139) &
(!VAR109 | VAR159) &
!VAR94 |
(state == VAR112) & VAR94 &
VAR159;
VAR22
.VAR56(VAR28),
.VAR51(VAR51)
)
VAR22
(
.clk (clk),
.rst (rst),
.VAR166 (VAR101),
.VAR69 (VAR36),
.VAR21 (VAR31),
.VAR116 (VAR54),
.VAR72 (VAR43),
.VAR118 (VAR159),
.VAR62 (VAR24),
.VAR78 (VAR5),
.VAR42 (VAR38),
.VAR148 (VAR165),
.VAR108 (VAR25),
.VAR32 (VAR52),
.VAR84 (VAR141),
.VAR1 (VAR109)
);
end else begin
assign VAR24 = VAR101;
assign VAR5 = VAR36;
assign VAR38 = VAR31;
assign VAR165 = VAR54;
assign VAR109 = 1'b1;
reg VAR110;
always @(posedge clk)
if (VAR159)
VAR110 <= 1;
end
else if (VAR125)
VAR110 <= 0;
assign VAR141 = VAR110 & !VAR125;
end
endgenerate
assign VAR36 = VAR83;
always @(posedge clk VAR40)
if (rst)
VAR14 <= 0;
else if (VAR92 & !VAR139)
VAR14 <= 1;
else if (!VAR92 & !VAR152)
VAR14 <= 0;
assign VAR77 = VAR92 & VAR14;
assign VAR134 = VAR115 &
(VAR79 | VAR131) ?
VAR133 : VAR147;
assign VAR83 = VAR71 ?
{VAR144[VAR51-1:2],2'b0} :
{VAR147[VAR51-1:2],2'b0};
assign VAR57 = VAR164 & VAR44 & !VAR154 & !VAR7 &
!(VAR163 & VAR11 & !VAR128);
assign VAR162 = !(VAR121 | state == VAR112) &
!VAR3 & !VAR76;
generate
if (VAR74!="VAR150") begin : VAR103
if (VAR149 == VAR51) begin
assign VAR44 = VAR121 | VAR77 &
!(VAR6 & VAR71);
end else if (VAR149 < VAR51) begin
assign VAR44 = VAR121 | VAR77 &
VAR83[VAR51-1:
VAR149] == 0 &
!(VAR6 & VAR71);
end else begin
|
mit
|
peteasa/oh
|
src/common/hdl/oh_standby.v
| 1,734 |
module MODULE1 #( parameter VAR7 = 5, parameter VAR6 = 5) (
input VAR2, input VAR16, input [VAR6-1:0] VAR18, input VAR4, output VAR10 );
reg [VAR7-1:0] VAR9;
reg VAR1;
wire VAR15;
wire VAR12;
wire [VAR6-1:0] VAR14;
wire VAR8;
VAR17 #(.VAR3(VAR6))
VAR17 (.out (VAR14[VAR6-1:0]),
.clk (VAR2),
.VAR16 (VAR16),
.in (VAR18[VAR6-1:0]));
assign VAR8 = |(VAR14[VAR6-1:0]);
always @ (posedge VAR2)
VAR9[VAR7-1:0] <= {VAR9[VAR7-2:0], VAR8};
assign VAR12 = VAR8 | (|VAR9[VAR7-1:0]) | ~VAR4;
VAR13 VAR13 (.VAR11(VAR10),
.clk(VAR2),
.en(VAR12),
.VAR5(1'b0));
endmodule
|
mit
|
cybero/Verilog
|
src/UART + checker module/rtl/uart_tx.v
| 2,524 |
module MODULE1
parameter VAR8 = 8, VAR5 = 16 )
(
input wire clk,
input wire reset,
input wire [7:0] VAR21,
input wire VAR13,
input wire VAR7,
output reg VAR16,
output wire VAR18
);
localparam [1:0]
VAR17 = 2'b00,
VAR15 = 2'b01,
VAR6 = 2'b10,
VAR3 = 2'b11;
reg [1:0] VAR10, VAR2;
reg [3:0] VAR14, VAR4;
reg [2:0] VAR1, VAR11;
reg [7:0] VAR20, VAR19;
reg VAR12, VAR9;
always@(posedge clk, posedge reset)
if (reset)
begin
VAR10 <= VAR17;
VAR14 <= 0;
VAR1 <= 0;
VAR20 <= 0;
VAR12 <= 0;
end
else
begin
VAR10 <= VAR2;
VAR14 <= VAR4;
VAR1 <= VAR11;
VAR20 <= VAR19;
VAR12 <= VAR9;
end
always@*
begin
VAR2 = VAR10 ;
VAR4 = VAR14;
VAR11 = VAR1;
VAR19 = VAR20;
VAR9 = VAR12;
VAR16 = 1'b0;
case (VAR10)
VAR17:
begin
VAR9 = 1'b1;
if(VAR7)
begin
VAR2 = VAR15;
VAR4 = 0;
VAR19 = VAR21;
end
end
VAR15:
begin
VAR9 = 1'b0;
if (VAR13)
if(VAR14==15)
begin
VAR2=VAR6;
VAR4=0;
VAR11=0;
end
else
VAR4 = VAR14+1'b1;
end
VAR6:
begin
VAR9 = VAR20[0];
if(VAR13)
if(VAR14==15)
begin
VAR4=0;
VAR19 = VAR20 >> 1;
if(VAR1==(VAR8-1))
VAR2=VAR3;
end
else
VAR11=VAR1+1'b1;
end
else
VAR4=VAR14+1'b1;
end
VAR3:
begin
VAR9 = 1'b1;
if(VAR13)
if(VAR14==(VAR5-1))
begin
VAR2=VAR17;
VAR16=1'b1;
end
else
VAR4 = VAR14+1'b1;
end
endcase
end
assign VAR18 = VAR12;
endmodule
|
mit
|
csail-csg/recycle-bsv-lib
|
src/v/EHRU_8.v
| 3,545 |
module MODULE1 (
VAR17,
VAR24,
VAR26,
VAR3,
VAR29,
VAR18,
VAR33,
VAR6,
VAR11,
VAR21,
VAR35,
VAR2,
VAR32,
VAR31,
VAR36,
VAR20,
VAR5,
VAR34,
VAR9,
VAR22,
VAR19,
VAR37,
VAR10,
VAR25,
VAR15
);
parameter VAR1 = 1;
parameter VAR30 = 0;
input VAR17;
output [VAR1-1:0] VAR24;
input [VAR1-1:0] VAR26;
input VAR3;
output [VAR1-1:0] VAR29;
input [VAR1-1:0] VAR18;
input VAR33;
output [VAR1-1:0] VAR6;
input [VAR1-1:0] VAR11;
input VAR21;
output [VAR1-1:0] VAR35;
input [VAR1-1:0] VAR2;
input VAR32;
output [VAR1-1:0] VAR31;
input [VAR1-1:0] VAR36;
input VAR20;
output [VAR1-1:0] VAR5;
input [VAR1-1:0] VAR34;
input VAR9;
output [VAR1-1:0] VAR22;
input [VAR1-1:0] VAR19;
input VAR37;
output [VAR1-1:0] VAR10;
input [VAR1-1:0] VAR25;
input VAR15;
reg [VAR1-1:0] VAR12;
wire [VAR1-1:0] VAR28;
wire [VAR1-1:0] VAR8;
wire [VAR1-1:0] VAR13;
wire [VAR1-1:0] VAR14;
wire [VAR1-1:0] VAR23;
wire [VAR1-1:0] VAR16;
wire [VAR1-1:0] VAR27;
wire [VAR1-1:0] VAR4;
wire [VAR1-1:0] VAR7;
assign VAR28 = VAR12;
assign VAR8 = VAR3 ? VAR26 : VAR28;
assign VAR13 = VAR33 ? VAR18 : VAR8;
assign VAR14 = VAR21 ? VAR11 : VAR13;
assign VAR23 = VAR32 ? VAR2 : VAR14;
assign VAR16 = VAR20 ? VAR36 : VAR23;
assign VAR27 = VAR9 ? VAR34 : VAR16;
assign VAR4 = VAR37 ? VAR19 : VAR27;
assign VAR7 = VAR15 ? VAR25 : VAR4;
assign VAR24 = VAR28;
assign VAR29 = VAR8;
assign VAR6 = VAR13;
assign VAR35 = VAR14;
assign VAR31 = VAR23;
assign VAR5 = VAR16;
assign VAR22 = VAR27;
assign VAR10 = VAR4;
always @(posedge VAR17) begin
VAR12 <= VAR7;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a41oi/sky130_fd_sc_ms__a41oi.symbol.v
| 1,389 |
module MODULE1 (
input VAR9,
input VAR6,
input VAR7,
input VAR5,
input VAR10,
output VAR3
);
supply1 VAR1;
supply0 VAR2;
supply1 VAR8 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
lab1-ufba/Genius
|
rom_dispv_bb.v
| 5,051 |
module MODULE1 (
address,
VAR1,
VAR2);
input [5:0] address;
input VAR1;
output [5:0] VAR2;
tri1 VAR1;
endmodule
|
gpl-3.0
|
lokisz/openzcore
|
pippo-riscv/rtl/verilog/imx_uocm.v
| 1,363 |
module MODULE1(
clk,
VAR2, VAR5, VAR4,
addr, VAR8, VAR10
);
parameter VAR1 = VAR6; parameter VAR7 = VAR11;
input clk;
input VAR2;
input VAR4;
input VAR5;
input [VAR1-1:0] addr;
input [VAR7-1:0] VAR8;
output [VAR7-1:0] VAR10;
reg [VAR7-1:0] VAR3 [(1<<VAR1)-1:0] ;
reg [VAR1-1:0] VAR9;
|
gpl-2.0
|
lbl-cal/StanfordNoC
|
router/src/rtr_op_ctrl_mac.v
| 11,749 |
module MODULE1
(clk, reset, VAR31, VAR94, VAR49, VAR42,
VAR22, VAR25, VAR69, VAR76, VAR87,
VAR72, VAR23, VAR55);
parameter VAR52 = 32;
parameter VAR91 = 4;
parameter VAR66 = 5;
parameter VAR95 = VAR65;
parameter VAR3 = VAR4;
parameter VAR85 = 1;
parameter VAR81 = VAR10;
parameter VAR74 = 0;
parameter VAR73 = VAR39;
parameter VAR59 = 0;
parameter VAR58 = 1;
parameter VAR29 = 64;
parameter VAR53 = VAR27;
parameter VAR83 = VAR48;
localparam VAR33 = VAR62(VAR91);
localparam VAR51
= (VAR3 == VAR4) ? (1 + VAR33) :
-1;
localparam VAR79 = VAR58 ? 1 : 0;
localparam VAR54
= (VAR95 == VAR70) ?
(1 + VAR33 + 1 + 1) :
(VAR95 == VAR8) ?
(1 + VAR33 + 1) :
(VAR95 == VAR65) ?
(1 + VAR33 + 1) :
-1;
localparam VAR57
= VAR79 + VAR54 + VAR29;
localparam VAR13
= VAR85 &&
((VAR73 == VAR93) || VAR59);
input clk;
input reset;
input [0:VAR51-1] VAR31;
input VAR94;
input VAR49;
input VAR42;
input [0:VAR91-1] VAR22;
input [0:VAR29-1] VAR25;
output [0:VAR57-1] VAR69;
wire [0:VAR57-1] VAR69;
output [0:VAR91-1] VAR76;
wire [0:VAR91-1] VAR76;
output [0:VAR91-1] VAR87;
wire [0:VAR91-1] VAR87;
output [0:VAR91-1] VAR72;
wire [0:VAR91-1] VAR72;
output [0:VAR91-1] VAR23;
wire [0:VAR91-1] VAR23;
output VAR55;
wire VAR55;
wire VAR77;
wire VAR60;
wire [0:VAR91-1] VAR9;
VAR56
.VAR3(VAR3),
.VAR83(VAR83))
VAR19
(.clk(clk),
.reset(reset),
.VAR41(VAR77),
.VAR31(VAR31),
.VAR82(VAR60),
.VAR34(VAR9));
wire VAR38;
assign VAR38 = VAR94;
VAR92
.VAR95(VAR95),
.VAR58(VAR58),
.VAR29(VAR29),
.VAR83(VAR83))
VAR16
(.clk(clk),
.reset(reset),
.VAR41(VAR38),
.VAR94(VAR94),
.VAR49(VAR49),
.VAR42(VAR42),
.VAR25(VAR25),
.VAR22(VAR22),
.VAR69(VAR69));
wire VAR86;
assign VAR86 = VAR94 | VAR60;
wire VAR11;
wire [0:VAR91-1] VAR50;
wire [0:VAR91-1] VAR1;
wire [0:VAR91-1] VAR6;
wire [0:VAR91-1] VAR37;
wire [0:VAR91*2-1] VAR45;
VAR26
.VAR52(VAR52),
.VAR3(VAR3),
.VAR85(VAR85),
.VAR15(VAR81),
.VAR13(VAR13),
.VAR74(VAR74),
.VAR83(VAR83))
VAR20
(.clk(clk),
.reset(reset),
.VAR41(VAR86),
.VAR17(VAR94),
.VAR46(VAR49),
.VAR75(VAR42),
.VAR68(VAR22),
.VAR60(VAR60),
.VAR9(VAR9),
.VAR28(VAR11),
.VAR89(VAR50),
.VAR43(VAR1),
.VAR47(VAR6),
.VAR21(VAR37),
.VAR30(VAR45));
assign VAR87 = VAR50;
assign VAR72 = VAR1;
assign VAR23 = VAR6;
assign VAR77 = VAR11;
wire VAR88;
assign VAR88 = VAR94;
genvar VAR5;
generate
for(VAR5 = 0; VAR5 < VAR91; VAR5 = VAR5 + 1)
begin:VAR80
wire VAR12;
assign VAR12 = VAR22[VAR5];
wire VAR67;
assign VAR67 = VAR94 & VAR12;
wire VAR44;
assign VAR44 = VAR50[VAR5];
wire VAR18;
assign VAR18 = VAR6[VAR5];
wire VAR96;
wire VAR14, VAR90;
assign VAR14 = VAR96;
VAR36
.VAR83(VAR83))
VAR90
(.clk(clk),
.reset(reset),
.VAR41(VAR88),
.VAR63(VAR14),
.VAR32(VAR90));
assign VAR96 = VAR67 ? ~VAR42 : VAR90;
wire VAR2;
case(VAR73)
assign VAR2 = ~VAR96;
assign VAR2 = ~VAR96 & ~VAR18;
assign VAR2 = ~VAR96 & VAR44;
endcase
assign VAR76[VAR5] = VAR2;
end
endgenerate
generate
if(VAR53 != VAR64)
begin
wire [0:VAR91*2-1] VAR7, VAR24;
assign VAR7 = VAR45;
VAR40
.VAR35(VAR53),
.VAR83(VAR83))
VAR61
(.clk(clk),
.reset(reset),
.VAR41(1'b1),
.VAR84(VAR7),
.VAR78(VAR24));
assign VAR55 = |VAR24;
end
else
assign VAR55 = 1'VAR71;
endgenerate
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkmux2/sky130_fd_sc_hdll__clkmux2_1.v
| 2,223 |
module MODULE1 (
VAR6 ,
VAR1 ,
VAR9 ,
VAR2 ,
VAR7,
VAR4,
VAR3 ,
VAR8
);
output VAR6 ;
input VAR1 ;
input VAR9 ;
input VAR2 ;
input VAR7;
input VAR4;
input VAR3 ;
input VAR8 ;
VAR10 VAR5 (
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR6 ,
VAR1,
VAR9,
VAR2
);
output VAR6 ;
input VAR1;
input VAR9;
input VAR2 ;
supply1 VAR7;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR8 ;
VAR10 VAR5 (
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
AngelTerrones/Antares
|
Hardware/verilog/antares_memwb_register.v
| 2,879 |
module MODULE1 (
input clk, input rst, input [31:0] VAR8, input [31:0] VAR13, input [4:0] VAR7, input VAR5, input VAR4, input VAR3,
input VAR12, input VAR10, output reg [31:0] VAR11, output reg [31:0] VAR2, output reg [4:0] VAR6, output reg VAR1, output reg VAR9 );
always @(posedge clk) begin
VAR11 <= (rst) ? 32'b0 : ((VAR10) ? VAR11 : VAR8);
VAR2 <= (rst) ? 32'b0 : ((VAR10) ? VAR2 : VAR13);
VAR6 <= (rst) ? 5'b0 : ((VAR10) ? VAR6 : VAR7);
VAR1 <= (rst) ? 1'b0 : ((VAR10) ? VAR1 : VAR5);
VAR9 <= (rst) ? 1'b0 : ((VAR10) ? VAR9 : ((VAR12 | VAR3) ? 1'b0 : VAR4));
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/edfxtp/sky130_fd_sc_hd__edfxtp.functional.pp.v
| 1,947 |
module MODULE1 (
VAR2 ,
VAR7 ,
VAR6 ,
VAR3 ,
VAR13,
VAR10,
VAR16 ,
VAR5
);
output VAR2 ;
input VAR7 ;
input VAR6 ;
input VAR3 ;
input VAR13;
input VAR10;
input VAR16 ;
input VAR5 ;
wire VAR9 ;
wire VAR11;
VAR4 VAR8 (VAR11, VAR9, VAR6, VAR3 );
VAR15 VAR1 VAR14 (VAR9 , VAR11, VAR7, , VAR13, VAR10);
buf VAR12 (VAR2 , VAR9 );
endmodule
|
apache-2.0
|
silent-observer/RCPU
|
CPU/source/RAM.v
| 7,455 |
module MODULE1 (
input wire rst,
input wire clk,
input wire[31:0] VAR69,
input wire[15:0] write,
input wire VAR71,
output wire[15:0] read,
input wire VAR22,
input wire[1:0] VAR16,
input wire[1:0] VAR19,
output wire ready,
output wire[10:0] VAR6,
output wire[15:0] VAR13,
input wire[3:0] VAR24,
output wire[31:0] VAR33, VAR64, VAR56, VAR63, VAR77, VAR29, VAR50,
output wire VAR21, VAR30, VAR51, VAR34, VAR7, VAR43,
output wire[15:0] VAR26
);
wire[31:0] addr = (VAR22 || VAR71)? VAR69 : 32'h00000000;
wire VAR47 = addr <= 32'hD000FFFF && addr >= 32'hD0000000;
wire VAR44 = addr <= 32'h000FFFFF;
wire VAR1 = addr == 32'hFFFF0000 || addr == 32'hFFFF0001;
wire VAR62 = addr == 32'hFFFF0002;
wire VAR40 = addr >= 32'hFFFF1000 && addr <= 32'hFFFF101F;
wire VAR60 = addr >= 32'hFFFFF000 && addr <= 32'hFFFFF015;
wire VAR41 = addr >= 32'hFFFFFFF6 && addr <= 32'hFFFFFFFF;
wire VAR32 = addr <= 32'h8FFFFFFF && addr >= 32'h10000000;
wire[15:0] VAR12, VAR11, VAR3;
wire[1:0] VAR35 = {2{addr[0]}};
wire[7:0] VAR20 = (VAR16 == 2'b01)? write[7:0] :
(VAR16 == 2'b10)? write[15:8] :
write[7:0];
wire[15:0] VAR55 = VAR19 == (2'b01 ^ VAR35)? {8'h00, VAR20} :
VAR19 == (2'b10 ^ VAR35)? {VAR20, 8'h00} :
VAR35? {write[7:0], write[15:8]} : write;
wire[15:0] VAR61 = {{8{VAR75[1]}}, {8{VAR75[0]}}};
wire[1:0] VAR75 = VAR35? {VAR19[0], VAR19[1]}: VAR19;
VAR66 VAR25 (
.address (addr[10:1]),
.VAR28 (clk),
.VAR78 (VAR12)
);
wire[15:0] VAR72 = addr[15:0];
VAR52 VAR37 (
.address (VAR72[10:1]),
.VAR28 (clk),
.VAR59 (VAR55),
.VAR4 (VAR71 && VAR47),
.VAR27(VAR75),
.VAR78 (VAR11)
);
wire[30:0] VAR57 = addr[30:0];
VAR46 VAR53 (
.address (VAR57[12:1]),
.VAR28 (clk),
.VAR59 (VAR55),
.VAR4 (VAR71 && VAR32),
.VAR27(VAR75),
.VAR78 (VAR3)
);
reg[7:0] VAR8 = 8'h00;
reg[2:0] VAR68 = 3'b000;
assign VAR6 = {VAR68, VAR8};
assign VAR26 = ((VAR55 & VAR61) | ({5'b0, VAR68, VAR8} & ~VAR61));
always @ (posedge clk) begin
if (rst) begin
VAR8 <= 8'h00;
VAR68 <= 3'b000;
end else if (VAR71 && VAR1) begin
{VAR68, VAR8} <= VAR26[10:0];
end
end
reg[15:0] VAR38 = 16'h0000;
reg[15:0] VAR76 = 16'h0000;
reg VAR14 = 1;
assign VAR29 = {VAR38, VAR76};
assign VAR7 = VAR14;
reg[15:0] VAR17 = 16'h0000;
reg[15:0] VAR74 = 16'h0000;
reg VAR23 = 1;
assign VAR50 = {VAR17, VAR74};
assign VAR43 = VAR23;
wire[15:0] VAR48 = (VAR55 & VAR61) | ({7'b0, VAR14, 7'b0, VAR23} & ~VAR61);
always @ (posedge clk) begin
if (rst) begin
VAR38 <= 16'h0000;
VAR76 <= 16'h0000;
VAR14 <= 1'b0;
VAR17 <= 16'h0000;
VAR74 <= 16'h0000;
VAR23 <= 1'b0;
end else if (VAR71) begin
if (addr[31:1] == 31'h7FFFFFFB) begin VAR23 <= |(VAR48[7:0]);
VAR14 <= |(VAR48[15:8]);
end else if (addr[31:1] == 31'h7FFFFFFC) VAR74 <= (VAR55 & VAR61) | (VAR74 & ~VAR61);
end
else if (addr[31:1] == 31'h7FFFFFFD) VAR17 <= (VAR55 & VAR61) | (VAR17 & ~VAR61);
end
else if (addr[31:1] == 31'h7FFFFFFE) VAR76 <= (VAR55 & VAR61) | (VAR76 & ~VAR61);
end
else if (addr[31:1] == 31'h7FFFFFFF) VAR38 <= (VAR55 & VAR61) | (VAR38 & ~VAR61);
end
end
reg[15:0] VAR5, VAR73;
reg[15:0] VAR58, VAR67;
reg[15:0] VAR9, VAR54;
reg[15:0] VAR42, VAR65;
reg[15:0] VAR36, VAR2;
reg[3:0] VAR49;
assign VAR33 = {VAR5, VAR73};
assign VAR64 = {VAR58, VAR67};
assign VAR56 = {VAR9, VAR54};
assign VAR63 = {VAR42, VAR65};
assign VAR77 = {VAR36, VAR2};
assign VAR21 = VAR49[0];
assign VAR30 = VAR49[1];
assign VAR51 = VAR49[2];
assign VAR34 = VAR49[3];
wire[15:0] VAR39 = ((VAR55 & VAR61) | ({12'b0, VAR49} & ~VAR61));
always @ (posedge clk) begin
if (rst) begin
VAR5 <= 16'h0000;
VAR73 <= 16'h0000;
VAR58 <= 16'h0000;
VAR67 <= 16'h0000;
VAR9 <= 16'h0000;
VAR54 <= 16'h0000;
VAR42 <= 16'h0000;
VAR65 <= 16'h0000;
VAR36 <= 16'h0000;
VAR2 <= 16'h0000;
VAR49 <= 4'b0000;
end
else if (VAR71) begin
if (addr[31:1] == 31'h7FFFF800) VAR49 <= VAR39[3:0];
end
else if (addr[31:1] == 31'h7FFFF801) VAR73 <= (VAR55 & VAR61) | (VAR73 & ~VAR61);
end
else if (addr[31:1] == 31'h7FFFF802) VAR5 <= (VAR55 & VAR61) | (VAR5 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF803) VAR67 <= (VAR55 & VAR61) | (VAR67 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF804) VAR58 <= (VAR55 & VAR61) | (VAR58 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF805) VAR54 <= (VAR55 & VAR61) | (VAR54 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF806) VAR9 <= (VAR55 & VAR61) | (VAR9 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF807) VAR65 <= (VAR55 & VAR61) | (VAR65 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF808) VAR42 <= (VAR55 & VAR61) | (VAR42 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF809) VAR2 <= (VAR55 & VAR61) | (VAR2 & ~VAR61);
else if (addr[31:1] == 31'h7FFFF80A) VAR36 <= (VAR55 & VAR61) | (VAR36 & ~VAR61);
end
end
reg[15:0] VAR31 [0:14];
assign VAR13 = VAR31[0];
integer VAR10;
always @ (posedge clk) begin
if (rst) begin
for (VAR10 = 0; VAR10 <= 14; VAR10 = VAR10 + 1)
VAR31[VAR10] <= 16'b0;
end else if (VAR71 && VAR40)
VAR31[addr[4:1]] <= (VAR55 & VAR61) | (VAR31[addr[4:1]] & ~VAR61);;
end
wire[15:0] VAR70 = VAR47? VAR11 :
VAR32? VAR3 :
VAR44? VAR12 :
VAR62? VAR24 :
VAR40? VAR31[addr[3:0]] :
16'h0000;
assign read = addr[0]? {VAR70[7:0], VAR70[15:8]} : VAR70;
reg VAR45 = 0;
reg VAR15 = 0;
reg VAR18 = 0;
assign ready = 1;
endmodule
|
mit
|
545/Atari7800
|
Atari7800/Atari7800.srcs/sources_1/ip/BIOS_ROM/BIOS_ROM_stub.v
| 1,388 |
module MODULE1(VAR3, VAR5, VAR1, VAR2, VAR6, VAR4)
;
input VAR3;
input VAR5;
input [0:0]VAR1;
input [11:0]VAR2;
input [7:0]VAR6;
output [7:0]VAR4;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkinv/sky130_fd_sc_ms__clkinv_4.v
| 2,036 |
module MODULE2 (
VAR7 ,
VAR8 ,
VAR2,
VAR4,
VAR5 ,
VAR1
);
output VAR7 ;
input VAR8 ;
input VAR2;
input VAR4;
input VAR5 ;
input VAR1 ;
VAR6 VAR3 (
.VAR7(VAR7),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR7,
VAR8
);
output VAR7;
input VAR8;
supply1 VAR2;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR1 ;
VAR6 VAR3 (
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
linuxbest/lzs
|
encode/bench/verilog/data.v
| 4,134 |
module MODULE1(
clk, rst, VAR58, VAR49, VAR18, VAR16, VAR34, VAR2,
VAR43, VAR17
);
parameter VAR25 = 20;
parameter VAR22 = 512;
parameter VAR41 = "../../../../VAR56/01";
parameter VAR60 = 1;
parameter VAR44 = 20;
parameter VAR5 = 5;
output clk, rst, VAR58, VAR49, VAR18, VAR16;
output [63:0] VAR34;
output [VAR25-1:0] VAR2;
wire VAR16;
reg clk = 1'b1;
reg VAR49;
reg [VAR25-1:0] VAR2;
reg VAR18;
reg rst;
reg VAR58;
input VAR43, VAR17;
reg VAR3, VAR62;
wire VAR24, VAR53,
VAR50, VAR7;
reg [63:0] VAR14;
wire [63:0] VAR34;
wire [VAR5-1:0] VAR52, VAR46;
wire VAR42, VAR29;
reg VAR57;
VAR15 #(.VAR64(VAR5))
VAR51 (.VAR12(clk),
.VAR39(clk),
.VAR63(!VAR43),
.VAR67(VAR62),
.VAR31(rst),
.VAR21(rst),
.VAR66(VAR50),
.VAR19(VAR7),
.VAR8(VAR53),
.VAR38(VAR52),
.VAR70(VAR46),
.VAR37(VAR42),
.VAR40(VAR29),
.VAR45(VAR24));
VAR69 #(.VAR33(VAR5), .VAR48(65))
VAR36 (.VAR32(clk),
.VAR28(rst),
.VAR61(1'b1),
.VAR26(VAR29),
.VAR9(VAR52),
.VAR1({VAR57, VAR14}),
.VAR23(),
.VAR59(1'b1),
.VAR6(clk),
.VAR30(rst),
.VAR10(1'b1),
.VAR27(1'b0),
.VAR20(1'b1),
.VAR68(VAR46),
.VAR54({VAR16, VAR34}),
.VAR13(0));
always @(VAR53 or VAR50)
if (VAR50 == 0 && VAR53 == 0)
VAR58 = 0;
else
VAR58 = 1;
reg [63:0] VAR55[65535:0];
reg [7:0] VAR65;
integer VAR35, VAR11, VAR4, VAR47;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/dfstp/sky130_fd_sc_hvl__dfstp.behavioral.pp.v
| 2,307 |
module MODULE1 (
VAR5 ,
VAR17 ,
VAR21 ,
VAR10,
VAR20 ,
VAR8 ,
VAR3 ,
VAR2
);
output VAR5 ;
input VAR17 ;
input VAR21 ;
input VAR10;
input VAR20 ;
input VAR8 ;
input VAR3 ;
input VAR2 ;
wire VAR6 ;
wire VAR15 ;
reg VAR11 ;
wire VAR22 ;
wire VAR7 ;
wire VAR12;
wire VAR14 ;
wire VAR1 ;
not VAR19 (VAR15 , VAR12 );
VAR9 VAR13 (VAR6 , VAR7, VAR14, VAR15, VAR11, VAR20, VAR8);
assign VAR22 = ( VAR12 === 1'b1 );
buf VAR4 (VAR1, VAR6 );
VAR16 VAR18 (VAR5 , VAR1, VAR20, VAR8 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/maj3/sky130_fd_sc_ms__maj3.functional.pp.v
| 2,186 |
module MODULE1 (
VAR1 ,
VAR12 ,
VAR10 ,
VAR7 ,
VAR6,
VAR3,
VAR16 ,
VAR20
);
output VAR1 ;
input VAR12 ;
input VAR10 ;
input VAR7 ;
input VAR6;
input VAR3;
input VAR16 ;
input VAR20 ;
wire VAR15 ;
wire VAR14 ;
wire VAR13 ;
wire VAR4 ;
wire VAR8;
or VAR9 (VAR15 , VAR10, VAR12 );
and VAR18 (VAR14 , VAR15, VAR7 );
and VAR17 (VAR13 , VAR12, VAR10 );
or VAR19 (VAR4 , VAR13, VAR14 );
VAR5 VAR11 (VAR8, VAR4, VAR6, VAR3);
buf VAR2 (VAR1 , VAR8 );
endmodule
|
apache-2.0
|
UviDTE-UviSpace/UviSpace
|
DE1-SoC/FPGA_Design/uvispace_top.v
| 27,611 |
module MODULE1(
inout VAR98,
output VAR141,
input VAR39,
output VAR230,
input VAR152,
inout VAR225,
inout VAR246,
output VAR213,
inout VAR45,
output VAR170,
input VAR268,
input VAR283,
input VAR121,
input VAR301,
output [12:0] VAR286, output [1:0] VAR304, output VAR229, output VAR150, output VAR408, output VAR15, inout [15:0] VAR391, output VAR359, output VAR364, output VAR37, output VAR351, output VAR287,
output VAR297,
inout VAR160,
inout [35:0] VAR314,
inout [35:0] VAR376,
output [6:0] VAR115,
output [6:0] VAR153,
output [6:0] VAR154,
output [6:0] VAR257,
output [6:0] VAR347,
output [6:0] VAR237,
inout VAR178,
output [14:0] VAR279,
output [2:0] VAR382,
output VAR280,
output VAR259,
output VAR333,
output VAR28,
output VAR134,
output [3:0] VAR362,
inout [31:0] VAR117,
inout [3:0] VAR139,
inout [3:0] VAR35,
output VAR189,
output VAR381,
output VAR40,
input VAR8,
output VAR398,
output VAR232,
inout VAR289,
output VAR389,
inout VAR278,
input VAR31,
input [3:0] VAR300,
input VAR43,
output [3:0] VAR146,
output VAR343,
inout [3:0] VAR208,
output VAR266,
output VAR403,
inout VAR224,
inout VAR335,
inout VAR291,
inout VAR159,
inout VAR151,
inout VAR320,
inout VAR318,
inout VAR371,
inout VAR111,
output VAR226,
inout VAR296,
inout [3:0] VAR234,
output VAR388,
input VAR227,
output VAR405,
inout VAR244,
input VAR97,
output VAR59,
input VAR390,
inout [7:0] VAR122,
input VAR164,
input VAR273,
output VAR207,
input VAR265,
output VAR240,
input [3:0] VAR407,
output [9:0] VAR282,
inout VAR73,
inout VAR357,
inout VAR2,
inout VAR340,
input [9:0] VAR260,
input VAR57,
input [7:0] VAR64,
input VAR186,
output VAR74,
input VAR267,
output [7:0] VAR135,
output VAR86,
output VAR211,
output [7:0] VAR337,
output VAR365,
output [7:0] VAR155,
output VAR118,
output VAR174
);
wire VAR17;
wire VAR181;
wire VAR167;
wire VAR158;
wire VAR290;
wire VAR124;
wire VAR136;
wire VAR203;
integer VAR202;
integer VAR400;
wire [11:0] VAR354;
wire [11:0] VAR328; wire VAR199; wire [15:0] VAR129;
wire [15:0] VAR168;
reg [11:0] VAR110; reg VAR346; reg VAR177; wire VAR105;
wire VAR332;
wire [31:0] VAR231;
wire [11:0] VAR218;
wire [11:0] VAR80;
wire [11:0] VAR307;
wire VAR191; reg [15:0] VAR77;
reg [15:0] VAR253;
wire [15:0] VAR38;
wire [15:0] VAR182;
VAR88 VAR44 (
.VAR223 ( VAR301 ),
.VAR261 ( VAR105 ),
.VAR48 ( VAR158 ),
.VAR193 ( VAR290 ),
.VAR6 ( VAR136 ),
.VAR262 ( VAR17 ),
.VAR358 ( VAR113 ),
.VAR165 ( VAR334 ),
.VAR256 ( VAR119 ),
.VAR68 ( VAR125 ),
.VAR20 ( VAR85 ),
.VAR288 ( VAR329 ),
.VAR406 ( VAR387 ),
.VAR306 ( VAR108 ),
.VAR123 ( VAR104 ),
.VAR241 ( VAR383 ),
.VAR210 ( VAR89 ),
.VAR235 ( VAR9 ),
.VAR81 ( VAR33 ),
.VAR171 ( VAR184 ),
.VAR353 ( VAR181 ),
.VAR380 ( VAR363 ),
.VAR309 ( VAR190 ),
.VAR13 ( VAR96 ),
.VAR144 ( VAR183 ),
.VAR233 ( VAR132),
.VAR369 ( VAR173 ),
.VAR72 ( VAR279 ),
.VAR47 ( VAR382 ),
.VAR384 ( VAR28 ),
.VAR370 ( VAR333 ),
.VAR161 ( VAR259 ),
.VAR127 ( VAR134 ),
.VAR169 ( VAR381 ),
.VAR109 ( VAR280 ),
.VAR401 ( VAR398 ),
.VAR128 ( VAR40) ,
.VAR277 ( VAR117 ),
.VAR82 ( VAR139 ),
.VAR142 ( VAR35 ),
.VAR102 ( VAR189 ),
.VAR206 ( VAR362 ),
.VAR367 ( VAR8 ),
.VAR83 ( VAR232 ),
.VAR5 ( VAR146[0] ),
.VAR138 ( VAR146[1] ),
.VAR30 ( VAR146[2] ),
.VAR393 ( VAR146[3] ),
.VAR285 ( VAR300[0] ),
.VAR19 ( VAR278 ),
.VAR12 ( VAR389 ),
.VAR50 ( VAR43 ),
.VAR360 ( VAR343 ),
.VAR54 ( VAR31 ),
.VAR338 ( VAR300[1] ),
.VAR149 ( VAR300[2] ),
.VAR194 ( VAR300[3] ),
.VAR175 ( VAR208[0] ),
.VAR106 ( VAR208[1] ),
.VAR95 ( VAR208[2] ),
.VAR92 ( VAR208[3] ),
.VAR41 ( VAR403 ),
.VAR215 ( VAR266 ),
.VAR326 ( VAR296 ),
.VAR317 ( VAR234[0] ),
.VAR55 ( VAR234[1] ),
.VAR27 ( VAR226 ),
.VAR209 ( VAR234[2] ),
.VAR312 ( VAR234[3] ),
.VAR188 ( VAR122[0] ),
.VAR221 ( VAR122[1] ),
.VAR284 ( VAR122[2] ),
.VAR23 ( VAR122[3] ),
.VAR242 ( VAR122[4] ),
.VAR409 ( VAR122[5] ),
.VAR42 ( VAR122[6] ),
.VAR345 ( VAR122[7] ),
.VAR87 ( VAR390 ),
.VAR192 ( VAR207 ),
.VAR239 ( VAR164 ),
.VAR94 ( VAR273 ),
.VAR270 ( VAR388 ),
.VAR344 ( VAR405 ),
.VAR281 ( VAR227 ),
.VAR258 ( VAR244 ),
.VAR373 ( VAR97 ),
.VAR46 ( VAR59 ),
.VAR250 ( VAR291 ),
.VAR120 ( VAR335 ),
.VAR197 ( VAR151 ),
.VAR294 ( VAR159 ),
.VAR162 ( VAR178 ),
.VAR247 ( VAR289 ),
.VAR222 ( VAR111 ),
.VAR25 ( VAR320 ),
.VAR130 ( VAR371 ),
.VAR91 ( VAR318 ),
.VAR36 ( VAR224 ),
.VAR298 ( ),
.VAR7 ( VAR260 ),
.VAR243 ( VAR407 )
);
VAR61 VAR322(
.VAR303 (VAR328), .VAR379 (VAR199), .VAR313 (VAR236),
.VAR385 (VAR198),
.VAR308 (VAR231), .VAR386 (VAR110), .VAR397 (VAR346), .VAR166 (VAR177), .VAR255 (VAR260[9]),
.VAR272 (VAR105),
.VAR251 (VAR17 & VAR167),
.VAR329 (VAR329[11:0]),
.VAR387 (VAR387[11:0])
);
wire [15:0] VAR329;
wire [15:0] VAR387;
wire [11:0] VAR236;
wire [11:0] VAR198;
assign VAR129 = {4'd0, VAR236};
assign VAR168 = {4'd0, VAR198};
assign VAR354[0] = VAR376[13]; assign VAR354[1] = VAR376[12]; assign VAR354[2] = VAR376[11]; assign VAR354[3] = VAR376[10]; assign VAR354[4] = VAR376[9]; assign VAR354[5] = VAR376[8]; assign VAR354[6] = VAR376[7]; assign VAR354[7] = VAR376[6]; assign VAR354[8] = VAR376[5]; assign VAR354[9] = VAR376[4]; assign VAR354[10] = VAR376[3]; assign VAR354[11] = VAR376[1]; assign VAR376[16] = VAR136; assign VAR53 = VAR376[22]; assign VAR372 = VAR376[21]; assign VAR105= VAR376[0]; assign VAR376[19] = 1'b1; assign VAR376[17] = VAR17 & VAR167;
assign VAR314[0] = VAR17 & VAR167;
assign VAR314[1] = VAR105;
always@(posedge VAR105)
begin
VAR110 <= VAR354;
VAR177 <= VAR372;
VAR346 <= VAR53;
end
VAR263 VAR187(
.VAR274 (VAR105),
.VAR172 (VAR17 & VAR167),
.VAR137 (VAR328), .VAR56 (VAR199), .VAR131 (VAR218), .VAR4 (VAR80), .VAR311 (VAR307), .VAR133 (VAR191), .VAR245 (VAR129),
.VAR212 (VAR168)
);
always @(posedge VAR105) begin
if (!VAR17 & VAR167) begin
end
else begin
if (VAR260[3]) begin
VAR77 <= {1'b0, VAR218[11:7], VAR80[11:7],
VAR307[11:7]};
VAR220 <= VAR191;
end
else begin
VAR77 <= {8'h00, VAR34[7:0]};
VAR220 <= VAR107;
end
end
end
VAR62 VAR204(
.VAR272(VAR105),
.VAR251(VAR17 & VAR167),
.VAR179(VAR218[11:4]),
.VAR350(VAR80[11:4]),
.VAR71(VAR307[11:4]),
.VAR368(VAR191),
.VAR395(1'b1),
.VAR18(1'b1),
.VAR67(VAR126),
.VAR200(VAR394),
.VAR302(VAR22),
.VAR148(VAR34),
.VAR379(VAR107),
.VAR140(),
.VAR79()
);
wire [7:0] VAR34;
wire [7:0] VAR126;
wire [7:0] VAR394;
wire [7:0] VAR22;
wire VAR107;
VAR292 VAR78 (
.clk ( VAR105 ),
.VAR251 (VAR17 & VAR167),
.VAR156( VAR126 ),
.VAR276( VAR394 ),
.VAR84( VAR22 ),
.VAR65( VAR34 ),
.VAR377( VAR346 ),
.VAR402( VAR107 ),
.VAR113( VAR113 ),
.VAR295( VAR334 ),
.VAR93( VAR119 ),
.VAR125( VAR125 ),
.VAR321 ( VAR85 ),
.address ( VAR363 ),
.write ( VAR190 ),
.VAR11 ( VAR96 ),
.VAR375 ( VAR183 ),
.VAR271 ( VAR132 ),
.VAR14 ( VAR173 )
);
wire VAR113; wire [23:0] VAR334; wire [31:0] VAR119; wire VAR125; wire VAR85; wire [31:0]VAR363;
wire VAR190;
wire [15:0]VAR96;
wire [127:0]VAR183;
wire VAR132;
wire [6:0] VAR173;
VAR323 VAR275(
.VAR147(VAR301),
.VAR341(1'b1),
.VAR219(VAR77), .VAR330(VAR220),
.VAR69(0),
.VAR60(640*480), .VAR252(9'h80), .VAR195(!(VAR17 & VAR167)),
.VAR196(~VAR105),
.VAR399(VAR77), .VAR336(VAR220),
.VAR327(22'h100000),
.VAR116(22'h100000+640*480), .VAR264(9'h80), .VAR49(!(VAR17 & VAR167)),
.VAR217(~VAR105),
.VAR3(VAR38), .VAR325(VAR203), .VAR201(0),
.VAR269(640*480), .VAR112(9'h80), .VAR52(!(VAR17 & VAR167)),
.VAR75(~VAR158),
.VAR339(VAR182), .VAR293(VAR203), .VAR157(22'h100000),
.VAR66(22'h100000+640*480), .VAR349(9'h80), .VAR29(!(VAR17 & VAR167)),
.VAR316(~VAR158),
.VAR374(VAR286),
.VAR310(VAR304),
.VAR32(VAR15),
.VAR214(VAR150),
.VAR76(VAR364),
.VAR99(VAR229),
.VAR185(VAR351),
.VAR63(VAR391),
.VAR315({VAR37,VAR359}),
.VAR24(VAR408)
);
reg VAR220;
VAR342 VAR352(
.VAR254 ( VAR158 ),
.VAR251 ( VAR17 & VAR167 ),
.VAR319 ( VAR365 ),
.VAR58 ( VAR174 ),
.VAR180 ( VAR203 ),
.VAR396 (),
.VAR355 (),
.VAR51 ( VAR86 ),
.VAR392 ( VAR118 ),
.VAR249 ( VAR145 )
);
assign VAR155 = (!VAR203) ? 0 :
(!VAR260[3]) ? VAR38[7:0] :
(VAR260[0]) ? {VAR38[14:10], 3'd0} :
0;
assign VAR337 = (!VAR203) ? 0 :
(!VAR260[3]) ? VAR38[7:0] :
(VAR260[1]) ? {VAR38[9:5], 3'd0} :
0;
assign VAR135 = (!VAR203) ? 0 :
(!VAR260[3]) ? VAR38[7:0] :
(VAR260[2]) ? {VAR38[4:0], 3'd0} :
0;
assign VAR211 = VAR158;
VAR70 VAR205(
.VAR26 (VAR115),
.VAR21 (VAR153),
.VAR103 (VAR154),
.VAR100 (VAR257),
.VAR16 (VAR347),
.VAR331 (VAR237),
.VAR90 (),
.VAR101 (),
.VAR1 (VAR176)
);
wire [31:0] VAR176;
reg [31:0] VAR228;
reg [31:0] VAR216;
reg [31:0] VAR231;
reg VAR356;
reg pulse;
assign VAR282[0] = pulse;
assign VAR176 = (VAR260[8]) ? {16'h0, VAR89} : VAR216;
always @(posedge VAR301) begin
if (VAR228 < 50000000) begin
VAR228 = VAR228 + 1;
end
else begin
VAR228 = 0;
pulse = ~pulse;
VAR216 = VAR231 - VAR231;
VAR231 = VAR231;
end
end
VAR324 #(
.VAR248(25000000), .VAR143(20000) ) VAR238(
.VAR272(VAR105),
.VAR251(VAR17 & VAR167),
.VAR366(VAR89),
.VAR108(VAR163),
.VAR104(VAR404),
.VAR378(VAR9),
.VAR114(VAR33),
.VAR348(VAR184),
.VAR299(VAR383),
.VAR361(ready),
.VAR305(VAR376[24]),
.VAR10(VAR376[23])
);
wire ready;
wire [15:0] VAR89;
wire [15:0] VAR108;
wire [15:0] VAR104;
wire [15:0] VAR9;
wire [15:0] VAR33;
wire [15:0] VAR184;
wire [15:0] VAR383;
assign VAR167 = (VAR181 & VAR407[0]);
endmodule
|
gpl-3.0
|
SiLab-Bonn/basil
|
basil/firmware/modules/fei4_rx/fei4_rx_core.v
| 7,033 |
module MODULE1 #(
parameter VAR32 = 10,
parameter VAR63 = 0,
parameter VAR37 = 32
) (
input wire VAR50,
input wire VAR27,
input wire VAR66,
input wire VAR15,
output reg VAR58,
output reg VAR74,
output reg VAR81,
input wire VAR72,
input wire VAR18,
output wire VAR71,
output wire [31:0] VAR29,
output wire VAR1,
output wire VAR62,
input wire VAR65,
input wire [VAR37-1:0] VAR33,
input wire [7:0] VAR10,
output reg [7:0] VAR75,
input wire VAR78,
input wire VAR69,
input wire VAR57
);
localparam VAR16 = 3;
wire VAR25;
assign VAR25 = (VAR33 == 0 && VAR69);
reg VAR34, VAR40, VAR51, VAR30;
always @(posedge VAR65) begin
VAR34 <= VAR25;
VAR40 <= VAR34;
VAR51 <= VAR78;
VAR30 <= VAR51;
end
wire VAR47;
assign VAR47 = (VAR33==1 && VAR69);
reg VAR61, VAR80;
always @(posedge VAR65) begin
VAR61 <= VAR47;
VAR80 <= VAR61;
end
wire VAR68;
assign VAR68 = ~VAR40 & VAR34;
wire VAR36;
assign VAR36 = VAR30 & ~VAR51; wire VAR7;
assign VAR7 = VAR36 | VAR68;
wire VAR52;
assign VAR52 = ~VAR80 & VAR61;
reg VAR31;
always @(posedge VAR65) begin
VAR31 <= VAR7 | VAR52;
end
wire VAR79;
reg [15:0] VAR20; reg [7:0] VAR11; reg [7:0] VAR21; reg [7:0] VAR67;
reg [7:0] VAR46;
wire VAR64; assign VAR64 = VAR46[1];
wire VAR23; assign VAR23 = VAR46[2];
assign VAR62 = VAR23;
always @(posedge VAR65) begin
if(VAR7)
end
VAR46 <= 8'b00000000; else if(VAR69 && VAR33 == 2)
VAR46 <= VAR10;
end
always @(posedge VAR65) begin
if(VAR57) begin
if(VAR33 == 0)
VAR75 <= VAR16;
end
else if(VAR33 == 2)
VAR75 <= {VAR46[7:1], VAR79};
end
else if(VAR33 == 3)
VAR75 <= VAR20[7:0];
else if(VAR33 == 4)
VAR75 <= VAR11;
else if(VAR33 == 5)
VAR75 <= VAR21;
else if(VAR33 == 6)
VAR75 <= VAR67;
else
VAR75 <= 8'b0;
end
end
wire VAR77;
VAR6 VAR26 (
.VAR22(VAR50),
.VAR5(VAR64),
.VAR41(VAR77)
);
wire VAR17;
VAR6 VAR56 (
.VAR22(VAR66),
.VAR5(VAR23),
.VAR41(VAR17)
);
wire VAR19;
VAR6 VAR8 (
.VAR22(VAR65),
.VAR5(VAR19),
.VAR41(VAR79)
);
always @(posedge VAR66) begin
VAR58 <= VAR19;
end
wire [7:0] VAR59;
always @(posedge VAR66) begin
if(|VAR59) begin
VAR74 <= 1;
end else begin
VAR74 <= 0;
end
end
wire [7:0] VAR14;
always @(posedge VAR66) begin
if(|VAR14) begin
VAR81 <= 1;
end else begin
VAR81 <= 0;
end
end
wire [23:0] VAR55;
wire [7:0] VAR49;
assign VAR49 = VAR63;
assign VAR29 = {VAR49, VAR55};
wire [15:0] VAR44;
reg [15:0] VAR53;
always @(posedge VAR72)
VAR53 <= (VAR44>>1) ^ VAR44;
reg [15:0] VAR2, VAR24;
always @(posedge VAR65) begin
VAR2 <= VAR53;
VAR24 <= VAR2;
end
integer VAR35;
always @ begin
VAR21[7] = VAR73[7];
for(VAR43 = 6; VAR43 >= 0; VAR43 = VAR43 - 1) begin
VAR21[VAR43] = VAR73[VAR43] ^ VAR21[VAR43 + 1];
end
end
reg [7:0] VAR13;
always @(posedge VAR66)
VAR13 <= (VAR14>>1) ^ VAR14;
reg [7:0] VAR42, VAR60;
always @(posedge VAR65) begin
VAR42 <= VAR13;
VAR60 <= VAR42;
end
integer VAR9;
always @(*) begin
VAR67[7] = VAR60[7];
for(VAR9 = 6; VAR9 >= 0; VAR9 = VAR9 - 1) begin
VAR67[VAR9] = VAR60[VAR9] ^ VAR67[VAR9 + 1];
end
end
VAR39 #(
.VAR32(VAR32)
) VAR54 (
.VAR38(VAR31),
.VAR4(VAR66),
.VAR3(VAR50),
.VAR70(VAR27),
.VAR65(VAR65),
.VAR15(VAR15),
.read(VAR18),
.VAR12(VAR55),
.VAR28(VAR71),
.VAR76(VAR1),
.VAR19(VAR19),
.VAR14(VAR14),
.VAR59(VAR59),
.VAR44(VAR44),
.VAR48(VAR77),
.VAR45(VAR17),
.VAR72(VAR72)
);
endmodule
|
bsd-3-clause
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_clkgen_v1_00_a/hdl/verilog/cf_clkgen.v
| 13,841 |
module MODULE1 (
VAR18,
clk,
VAR9,
VAR7,
VAR60,
VAR41,
VAR91,
VAR93,
VAR33,
VAR30);
parameter VAR98 = 'd0;
parameter VAR28 = 'h0;
parameter VAR47 = 'h1;
parameter VAR71 = 'h2;
parameter VAR68 = 'h3;
parameter VAR54 = 'h4;
input VAR18;
output clk;
input VAR9;
input VAR7;
input VAR60;
input VAR41;
input [ 4:0] VAR91;
input [31:0] VAR93;
output [31:0] VAR33;
output VAR30;
reg VAR88;
reg VAR21;
reg VAR83;
reg [15:0] VAR82;
reg [15:0] VAR64;
reg [15:0] VAR86;
reg [15:0] VAR94;
reg [15:0] VAR95;
reg [15:0] VAR2;
reg [15:0] VAR89;
reg [15:0] VAR62;
reg [15:0] VAR66;
reg [15:0] VAR70;
reg [31:0] VAR33;
reg VAR51;
reg VAR81;
reg VAR30;
reg VAR13;
reg [ 6:0] VAR90;
reg VAR107;
reg [15:0] VAR103;
reg [15:0] VAR20;
reg VAR101;
reg [ 3:0] VAR105;
reg [ 2:0] VAR50;
wire VAR6;
wire VAR4;
wire VAR27;
wire [15:0] VAR61;
reg [38:0] VAR106;
wire [15:0] VAR108;
wire VAR97;
wire VAR57;
wire VAR37;
wire VAR74;
wire VAR15;
assign VAR6 = VAR60 & ~VAR41;
assign VAR4 = VAR51 & ~VAR81;
assign VAR27 = VAR21 & ~VAR83;
assign VAR61 = ~VAR106[31:16] & VAR106[15:0];
always @(VAR105 or VAR82 or VAR64 or VAR86 or
VAR94 or VAR95 or VAR2 or VAR89 or VAR62 or
VAR66 or VAR70) begin
case (VAR105)
4'b0101: VAR106 = {7'h28, 16'h0000, 16'hffff};
4'b0110: VAR106 = {7'h08, 16'h1000, VAR82};
4'b0111: VAR106 = {7'h09, 16'hfc00, VAR64};
4'b1000: VAR106 = {7'h16, 16'hc000, VAR86};
4'b1001: VAR106 = {7'h14, 16'h1000, VAR94};
4'b1010: VAR106 = {7'h15, 16'hfc00, VAR95};
4'b1011: VAR106 = {7'h18, 16'hfc00, VAR2};
4'b1100: VAR106 = {7'h19, 16'h8000, VAR89};
4'b1101: VAR106 = {7'h1a, 16'h8000, VAR62};
4'b1110: VAR106 = {7'h4e, 16'h66ff, VAR66};
4'b1111: VAR106 = {7'h4f, 16'h666f, VAR70};
default: VAR106 = 'd0;
endcase
end
always @(negedge VAR9 or posedge VAR7) begin
if (VAR9 == 0) begin
VAR88 <= 'd0;
VAR21 <= 'd0;
VAR83 <= 'd0;
VAR82 <= 'd0;
VAR64 <= 'd0;
VAR86 <= 'd0;
VAR94 <= 'd0;
VAR95 <= 'd0;
VAR2 <= 'd0;
VAR89 <= 'd0;
VAR62 <= 'd0;
VAR66 <= 'd0;
VAR70 <= 'd0;
end else begin
if ((VAR91 == 5'h01) && (VAR6 == 1'b1)) begin
VAR88 <= VAR93[1];
VAR21 <= VAR93[0];
end
VAR83 <= VAR21;
if ((VAR91 == 5'h02) && (VAR6 == 1'b1)) begin
VAR82 <= VAR93[15:0];
end
if ((VAR91 == 5'h03) && (VAR6 == 1'b1)) begin
VAR64 <= VAR93[15:0];
end
if ((VAR91 == 5'h04) && (VAR6 == 1'b1)) begin
VAR86 <= VAR93[15:0];
end
if ((VAR91 == 5'h05) && (VAR6 == 1'b1)) begin
VAR94 <= VAR93[15:0];
end
if ((VAR91 == 5'h06) && (VAR6 == 1'b1)) begin
VAR95 <= VAR93[15:0];
end
if ((VAR91 == 5'h07) && (VAR6 == 1'b1)) begin
VAR2 <= VAR93[15:0];
end
if ((VAR91 == 5'h08) && (VAR6 == 1'b1)) begin
VAR89 <= VAR93[15:0];
end
if ((VAR91 == 5'h09) && (VAR6 == 1'b1)) begin
VAR62 <= VAR93[15:0];
end
if ((VAR91 == 5'h0a) && (VAR6 == 1'b1)) begin
VAR66 <= VAR93[15:0];
end
if ((VAR91 == 5'h0b) && (VAR6 == 1'b1)) begin
VAR70 <= VAR93[15:0];
end
end
end
always @(negedge VAR9 or posedge VAR7) begin
if (VAR9 == 0) begin
VAR33 <= 'd0;
VAR51 <= 'd0;
VAR81 <= 'd0;
VAR30 <= 'd0;
end else begin
case (VAR91)
5'h00: VAR33 <= 32'h00010061;
5'h01: VAR33 <= {30'd0, VAR88, VAR21};
5'h02: VAR33 <= {16'd0, VAR82};
5'h03: VAR33 <= {16'd0, VAR64};
5'h04: VAR33 <= {16'd0, VAR86};
5'h05: VAR33 <= {16'd0, VAR94};
5'h06: VAR33 <= {16'd0, VAR95};
5'h07: VAR33 <= {16'd0, VAR2};
5'h08: VAR33 <= {16'd0, VAR89};
5'h09: VAR33 <= {16'd0, VAR62};
5'h0a: VAR33 <= {16'd0, VAR66};
5'h0b: VAR33 <= {16'd0, VAR70};
5'h1f: VAR33 <= {30'd0, VAR13, VAR57};
default: VAR33 <= 0;
endcase
VAR51 <= VAR60;
VAR81 <= VAR51;
VAR30 <= VAR4;
end
end
always @(negedge VAR9 or posedge VAR7) begin
if (VAR9 == 0) begin
VAR13 <= 'd1;
VAR90 <= 'd0;
VAR107 <= 'd0;
VAR103 <= 'd0;
VAR20 <= 'd0;
VAR101 <= 'd0;
VAR105 <= 'd0;
VAR50 <= 'd0;
end else begin
if (VAR50 == VAR28) begin
VAR13 <= VAR88;
end else begin
VAR13 <= 1'b1;
end
if (VAR50 == VAR47) begin
VAR90 <= VAR106[38:32];
end else if (VAR50 == VAR28) begin
VAR90 <= 'd0;
end
if ((VAR50 == VAR47) || (VAR50 == VAR68)) begin
VAR107 <= 1'b1;
end else begin
VAR107 <= 1'b0;
end
if ((VAR50 == VAR71) && (VAR97 == 1'b1)) begin
VAR103 <= VAR108;
end
if (VAR50 == VAR68) begin
VAR20 <= (VAR106[31:16] & VAR103) | VAR61;
end else begin
VAR20 <= 'd0;
end
if (VAR50 == VAR68) begin
VAR101 <= 1'b1;
end else begin
VAR101 <= 1'b0;
end
if (VAR50 == VAR28) begin
VAR105 <= 4'h5;
end else if (VAR50 == VAR68) begin
VAR105 <= VAR105 + 1'b1;
end
case (VAR50)
VAR28: begin
if ((VAR57 == 1'b1) && (VAR27 == 1'b1)) begin
VAR50 <= VAR47; end else begin
VAR50 <= VAR28;
end
end
VAR47: begin
VAR50 <= VAR71;
end
VAR71: begin
if (VAR97 == 1'b1) begin
VAR50 <= VAR68; end else begin
VAR50 <= VAR71;
end
end
VAR68: begin
VAR50 <= VAR54;
end
VAR54: begin
if (VAR97 == 1'b0) begin
VAR50 <= VAR54;
end else if (VAR105 == 0) begin
VAR50 <= VAR28;
end else begin
VAR50 <= VAR47;
end
end
default: begin
VAR50 <= VAR28;
end
endcase
end
end
generate
if (VAR98 == 1) begin
VAR85 #(
.VAR16 ("VAR78"),
.VAR46 ("VAR53"),
.VAR77 ("VAR53"),
.VAR19 ("VAR45"),
.VAR84 ("VAR53"),
.VAR35 (11),
.VAR80 (49.000),
.VAR31 (0.000),
.VAR73 ("VAR53"),
.VAR87 (6.000),
.VAR69 (0.000),
.VAR40 (0.500),
.VAR36 ("VAR53"),
.VAR100 (5.000),
.VAR5 (0.010))
VAR42 (
.VAR8 (VAR74),
.VAR79 (VAR15),
.VAR52 (),
.VAR12 (VAR37),
.VAR29 (),
.VAR44 (),
.VAR10 (),
.VAR65 (),
.VAR32 (),
.VAR43 (),
.VAR11 (),
.VAR3 (),
.VAR39 (),
.VAR99 (),
.VAR38 (VAR18),
.VAR104 (1'b0),
.VAR17 (1'b1),
.VAR75 (VAR7),
.VAR34 (VAR90),
.VAR25 (VAR107),
.VAR58 (VAR20),
.VAR63 (VAR108),
.VAR1 (VAR97),
.VAR59 (VAR101),
.VAR67 (1'b0),
.VAR92 (1'b0),
.VAR26 (1'b0),
.VAR48 (),
.VAR56 (VAR57),
.VAR14 (),
.VAR76 (),
.VAR102 (1'b0),
.VAR55 (VAR13));
end else begin
VAR24 #(
.VAR16 ("VAR78"),
.VAR46 ("VAR53"),
.VAR19 ("VAR45"),
.VAR84 ("VAR53"),
.VAR35 (11),
.VAR80 (49.000),
.VAR31 (0.000),
.VAR73 ("VAR53"),
.VAR87 (6.000),
.VAR69 (0.000),
.VAR40 (0.500),
.VAR36 ("VAR53"),
.VAR100 (5.000),
.VAR5 (0.010))
VAR42 (
.VAR8 (VAR74),
.VAR79 (VAR15),
.VAR52 (),
.VAR12 (VAR37),
.VAR29 (),
.VAR44 (),
.VAR10 (),
.VAR65 (),
.VAR32 (),
.VAR43 (),
.VAR11 (),
.VAR3 (),
.VAR39 (),
.VAR99 (),
.VAR38 (VAR18),
.VAR104 (1'b0),
.VAR17 (1'b1),
.VAR75 (VAR7),
.VAR34 (VAR90),
.VAR25 (VAR107),
.VAR58 (VAR20),
.VAR63 (VAR108),
.VAR1 (VAR97),
.VAR59 (VAR101),
.VAR67 (1'b0),
.VAR92 (1'b0),
.VAR26 (1'b0),
.VAR48 (),
.VAR56 (VAR57),
.VAR14 (),
.VAR76 (),
.VAR102 (1'b0),
.VAR55 (VAR13));
end
endgenerate
VAR96 VAR72 (
.VAR49 (VAR74),
.VAR23 (VAR15));
VAR96 VAR22 (
.VAR49 (VAR37),
.VAR23 (clk));
endmodule
|
mit
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6t_CKINVDC_RVT_SS_210930.v
| 11,788 |
module MODULE1 (VAR2, VAR1);
output VAR2;
input VAR1;
not (VAR2, VAR1);
|
bsd-3-clause
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/acl_fp_convert_with_rounding.v
| 11,095 |
module MODULE1(VAR19, VAR9, VAR42, VAR5, VAR22, VAR1, VAR36, VAR26, enable);
parameter VAR33 = 1;
parameter VAR27 = 0;
parameter VAR12 = 1;
input VAR19;
input enable, VAR9;
input [31:0] VAR42;
output [31:0] VAR5;
input VAR22, VAR36;
output VAR26, VAR1;
wire VAR24;
wire [7:0] VAR30;
wire [22:0] VAR10;
wire [23:0] VAR31;
assign {VAR24, VAR30, VAR10} = VAR42;
assign VAR31 = (|VAR30) ? {1'b1, VAR10} : 24'd0;
reg VAR40;
reg [31:0] VAR17;
reg [8:0] VAR7;
reg [7:0] VAR18;
reg VAR35;
wire VAR21;
wire VAR43;
assign VAR26 = VAR21 & VAR35;
assign VAR43 = (VAR12 == 1) ? (~VAR21 | ~VAR35) : enable;
always @( posedge VAR19 or negedge VAR9)
begin
if( ~VAR9 )
begin
VAR40 <= 1'VAR34;
VAR17 <= 32'VAR11;
VAR7 <= 9'VAR11;
VAR18 <= 8'VAR11;
VAR35 <= 1'b0;
end
else if (VAR43)
begin
VAR40 <= VAR24;
VAR35 <= VAR22;
if (VAR33 == 1)
begin
VAR17 <= {VAR31, 8'd0};
VAR7 <= 9'd158 - VAR30;
end
else
begin
VAR17 <= {1'b0, VAR31, 7'd0};
VAR7 <= 9'd157 - VAR30;
end
VAR18 <= VAR30;
end
end
reg VAR29;
reg [34:0] VAR32;
reg [4:0] VAR38;
reg VAR20;
wire VAR41;
wire VAR37 = (VAR12 == 1) ? (~VAR41 | ~VAR20) : enable;
assign VAR21 = VAR41 & VAR20;
always @( posedge VAR19 or negedge VAR9)
begin
if (~VAR9)
begin
VAR29 <= 1'VAR34;
VAR32 <= 35'VAR11;
VAR38 <= 5'VAR11;
VAR20 <= 1'b0;
end
else if (VAR37)
begin
VAR29 <= VAR40;
VAR20 <= VAR35;
VAR38 <= (VAR7[4:0]) & {5{(~(&VAR18)) & ~VAR7[8]}};
if ((VAR33 == 1) && (VAR18 == 8'hff))
VAR32 <= {32'hffffffff, 3'd0};
end
else if ((VAR33 == 0) && (VAR18 == 8'hff))
VAR32 <= {32'h7fffffff + VAR40, 3'd0};
end
else if (VAR7[8])
VAR32 <= {(VAR33 == 0) ? 32'h7fffffff + VAR40 : 32'hffffffff, 3'd0}; else if (|VAR7[7:6])
begin
VAR29 <= VAR40 & (|VAR17[31:8]);
VAR32 <= {34'd0, |VAR17[31:8]};
end
else if (|VAR7[5])
begin
VAR32 <= {32'd0, VAR17[31:30], |VAR17[29:8]};
end
else
VAR32 <= {VAR17, 3'd0};
end
end
reg [34:0] VAR8;
reg [2:0] VAR45;
reg VAR4;
reg VAR28;
wire VAR23;
wire VAR39 = (VAR12 == 1) ? (~VAR4 | ~VAR23) : enable;
assign VAR41 = VAR4 & VAR23;
always @( posedge VAR19 or negedge VAR9)
begin
if (~VAR9)
begin
VAR8 <= 35'VAR11;
VAR28 <= 1'VAR34;
VAR45 <= 3'VAR11;
VAR4 <= 1'b0;
end
else if (VAR39)
begin
VAR4 <= VAR20;
VAR28 <= VAR29;
VAR45 <= VAR38[2:0];
case (VAR38[4:3])
2'b11: VAR8 <= {24'd0, VAR32[34:25], |VAR32[24:0]};
2'b10: VAR8 <= {16'd0, VAR32[34:17], |VAR32[16:0]};
2'b01: VAR8 <= {8'd0, VAR32[34:9], |VAR32[8:0]};
2'b00: VAR8 <= VAR32;
endcase
end
end
reg [34:0] VAR44;
reg VAR25;
reg VAR13;
wire VAR16;
wire VAR14 = (VAR12 == 1) ? (~VAR13 | ~VAR16) : enable;
assign VAR23 = VAR13 & VAR16;
always @( posedge VAR19 or negedge VAR9)
begin
if (~VAR9)
begin
VAR44 <= 35'VAR11;
VAR25 <= 1'VAR11;
VAR13 <= 1'b0;
end
else if (VAR14)
begin
VAR13 <= VAR4;
VAR25 <= VAR28;
case (VAR45)
3'b111: VAR44 <= {7'd0, VAR8[34:8], |VAR8[7:0]};
3'b110: VAR44 <= {6'd0, VAR8[34:7], |VAR8[6:0]};
3'b101: VAR44 <= {5'd0, VAR8[34:6], |VAR8[5:0]};
3'b100: VAR44 <= {4'd0, VAR8[34:5], |VAR8[4:0]};
3'b011: VAR44 <= {3'd0, VAR8[34:4], |VAR8[3:0]};
3'b010: VAR44 <= {2'd0, VAR8[34:3], |VAR8[2:0]};
3'b001: VAR44 <= {1'd0, VAR8[34:2], |VAR8[1:0]};
3'b000: VAR44 <= VAR8;
endcase
end
end
reg [32:0] VAR3;
reg VAR6;
wire VAR2;
wire VAR15 = (VAR12 == 1) ? (~VAR6 | ~VAR2) : enable;
assign VAR16 = VAR6 & VAR2;
assign VAR2 = VAR36;
always @( posedge VAR19 or negedge VAR9)
begin
if (~VAR9)
begin
VAR3 <= 33'VAR11;
VAR6 <= 1'b0;
end
else if (VAR15)
begin
VAR6 <= VAR13;
case(VAR27)
2:
begin if (VAR33 == 0)
begin
VAR3 <= ({33{VAR25}} ^ ({1'b0, VAR44[34:3]})) + {1'b0, VAR25};
end
else
begin
VAR3 <= (VAR25) ? 33'd0 : {1'b0, VAR44[34:3]};
end
end
4:
begin if (|VAR44[2:0])
begin
if (VAR33 == 0)
begin
VAR3 <= (VAR25) ? (({33{VAR25}} ^ (VAR44[34:3] + 1'b1)) + 1'b1) : VAR44[34:3];
end
else
begin
VAR3 <= (VAR25) ? 32'd0 : VAR44[34:3];
end
end
else
begin
if (VAR33 == 0)
VAR3 <= ({33{VAR25}} ^ VAR44[34:3]) + VAR25;
end
else
VAR3 <= {32{~VAR25}} & VAR44[34:3];
end
end
3:
begin if (|VAR44[2:0])
begin
if (VAR33 == 0)
begin
VAR3 <= (VAR25) ? (({33{VAR25}} ^ VAR44[34:3]) + 1'b1) : (VAR44[34:3] + 1'b1);
end
else
begin
VAR3 <= (VAR25) ? 32'd0 : VAR44[34:3] + 1'b1;
end
end
else
begin
if (VAR33 == 0)
VAR3 <= ({33{VAR25}} ^ VAR44[34:3]) + VAR25;
end
else
VAR3 <= {32{~VAR25}} & VAR44[34:3];
end
end
1:
begin if (VAR44[2])
begin
if (VAR33 == 0)
begin
VAR3 <= ({33{VAR25}} ^ (VAR44[34:3] + 1'b1)) + VAR25;
end
else
begin
VAR3 <= (VAR25) ? 32'd0 : VAR44[34:3] + 1'b1;
end
end
else
begin
if (VAR33 == 0)
VAR3 <= ({33{VAR25}} ^ VAR44[34:3]) + VAR25;
end
else
VAR3 <= {32{~VAR25}} & VAR44[34:3];
end
end
default:
begin if (VAR33 == 0)
begin
if ((VAR44[3:0] == 4'hc) | (VAR44[2] & (|VAR44[1:0])))
VAR3 <= ({33{VAR25}} ^ {1'b0, VAR44[34:3]}) + {1'b0,~VAR25};
end
else
VAR3 <= ({33{VAR25}} ^ {1'b0, VAR44[34:3]}) + VAR25;
end
else
begin
if ((VAR44[3:0] == 4'hc) | (VAR44[2] & (|VAR44[1:0])))
VAR3 <= (VAR25) ? 33'd0 : {1'b0, VAR44[34:3]} + 1'b1;
end
else
VAR3 <= {33{~VAR25}} & {1'b0, VAR44[34:3]};
end
end
endcase
end
end
assign VAR5 = (VAR33 == 1) ? ({32{VAR3[32]}} | VAR3[31:0]) : ((VAR3[32] ^ VAR3[31]) ? {VAR3[32], {31{~VAR3[32]}}} : VAR3[31:0]);
assign VAR1 = VAR6;
endmodule
|
mit
|
borti4938/sd2snes
|
verilog/sd2snes_base/dac.v
| 7,312 |
module MODULE1(
input VAR53,
input VAR46,
input VAR54,
input[10:0] VAR41,
input[7:0] VAR24,
input[7:0] VAR4,
input VAR18,
input [2:0] VAR34,
input [8:0] VAR47,
input VAR26,
input reset,
input VAR43,
output VAR48,
output VAR25,
output VAR22,
output VAR6,
output VAR13
);
reg[8:0] VAR52;
reg[8:0] VAR21;
wire[8:0] VAR3 = VAR21;
wire[31:0] VAR39;
assign VAR13 = VAR52[8];
reg[10:0] VAR30;
reg[10:0] VAR23;
reg[1:0] VAR44;
reg VAR8;
reg[2:0] VAR59;
wire VAR31 = (VAR59[2:1] == 2'b01);
always @(posedge VAR53) begin
VAR59 <= {VAR59[1:0], VAR46};
end
VAR20 VAR19 (
.VAR58(VAR53),
.VAR16(~VAR54), .VAR42(VAR41), .VAR27(VAR24), .VAR1(VAR53),
.VAR28(VAR3), .VAR57(VAR39)); VAR9
VAR20 VAR19 (
.VAR37(VAR53),
.VAR36(~VAR54), .VAR45(VAR41), .VAR38(VAR24), .VAR11(VAR3), .VAR14(VAR39)); VAR9
reg [10:0] VAR49;
reg [15:0] VAR10;
reg [1:0] VAR56;
reg [15:0] VAR50;
wire VAR40 = VAR49[2]; wire VAR51 = VAR49[8]; wire VAR32 = VAR49[3];
reg [2:0] VAR5;
reg [2:0] VAR35;
reg [1:0] VAR12;
assign VAR25 = ~VAR5[2];
assign VAR22 = VAR35[2];
assign VAR6 = VAR12[1];
wire VAR55 = ({VAR35[0],VAR51} == 2'b01);
wire VAR29 = ({VAR35[0],VAR51} == 2'b10);
wire VAR33 = ({VAR12[0],VAR32} == 2'b01);
wire VAR17 = ({VAR12[0],VAR32} == 2'b10);
wire VAR7 = (VAR44[1:0] == 2'b01);
reg VAR2;
assign VAR48 = VAR2;
reg VAR15;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dfrtn/sky130_fd_sc_ls__dfrtn.symbol.v
| 1,431 |
module MODULE1 (
input VAR5 ,
output VAR7 ,
input VAR1,
input VAR4
);
supply1 VAR2;
supply0 VAR6;
supply1 VAR8 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
LSaldyt/qnp
|
output/vs/var14_multi.v
| 1,201 |
module MODULE1 (VAR16, VAR4, VAR14, VAR20, VAR8, VAR17, VAR1, VAR15, VAR11, VAR6, VAR12, VAR19, VAR7, VAR2, valid);
input VAR16, VAR4, VAR14, VAR20, VAR8, VAR17, VAR1, VAR15, VAR11, VAR6, VAR12, VAR19, VAR7, VAR2;
output valid;
wire [7:0] VAR13 = 8'd120;
wire [7:0] VAR3 = 8'd60;
wire [7:0] VAR10 = 8'd60;
wire [7:0] VAR9 =
VAR16 * 8'd4
+ VAR4 * 8'd8
+ VAR14 * 8'd0
+ VAR20 * 8'd20
+ VAR8 * 8'd10
+ VAR17 * 8'd12
+ VAR1 * 8'd18
+ VAR15 * 8'd14
+ VAR11 * 8'd6
+ VAR6 * 8'd15
+ VAR12 * 8'd30
+ VAR19 * 8'd8
+ VAR7 * 8'd16
+ VAR2 * 8'd18;
wire [7:0] VAR5 =
VAR16 * 8'd28
+ VAR4 * 8'd8
+ VAR14 * 8'd27
+ VAR20 * 8'd18
+ VAR8 * 8'd27
+ VAR17 * 8'd28
+ VAR1 * 8'd6
+ VAR15 * 8'd1
+ VAR11 * 8'd20
+ VAR6 * 8'd0
+ VAR12 * 8'd5
+ VAR19 * 8'd13
+ VAR7 * 8'd8
+ VAR2 * 8'd14;
wire [7:0] VAR18 =
VAR16 * 8'd27
+ VAR4 * 8'd27
+ VAR14 * 8'd4
+ VAR20 * 8'd4
+ VAR8 * 8'd0
+ VAR17 * 8'd24
+ VAR1 * 8'd4
+ VAR15 * 8'd20
+ VAR11 * 8'd12
+ VAR6 * 8'd15
+ VAR12 * 8'd5
+ VAR19 * 8'd2
+ VAR7 * 8'd9
+ VAR2 * 8'd28;
assign valid = ((VAR9 >= VAR13) && (VAR5 <= VAR3) && (VAR18 <= VAR10));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o21a/sky130_fd_sc_ls__o21a_1.v
| 2,248 |
module MODULE1 (
VAR5 ,
VAR8 ,
VAR3 ,
VAR4 ,
VAR6,
VAR10,
VAR7 ,
VAR1
);
output VAR5 ;
input VAR8 ;
input VAR3 ;
input VAR4 ;
input VAR6;
input VAR10;
input VAR7 ;
input VAR1 ;
VAR2 VAR9 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR5 ,
VAR8,
VAR3,
VAR4
);
output VAR5 ;
input VAR8;
input VAR3;
input VAR4;
supply1 VAR6;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR1 ;
VAR2 VAR9 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
bangonkali/quartus-sockit
|
soc_system/synthesis/submodules/alt_vipitc131_IS2Vid_calculate_mode.v
| 3,972 |
module MODULE1(
input [3:0] VAR38,
input VAR34,
input VAR23,
input [15:0] VAR2,
input [15:0] VAR51,
input [15:0] VAR8,
input [15:0] VAR25,
input [15:0] VAR42,
input [15:0] VAR5,
input [15:0] VAR33,
input [15:0] VAR9,
input [15:0] VAR11,
input [15:0] VAR26,
input [15:0] VAR4,
input [15:0] VAR6,
input [15:0] VAR16,
input [15:0] VAR45,
input [15:0] VAR3,
input [15:0] VAR44,
input [15:0] VAR21,
input [15:0] VAR30,
input [15:0] VAR27,
output VAR40,
output VAR36,
output [15:0] VAR41,
output [15:0] VAR14,
output [15:0] VAR37,
output [15:0] VAR12,
output [15:0] VAR13,
output [15:0] VAR28,
output [15:0] VAR53,
output [15:0] VAR7,
output [15:0] VAR24,
output [15:0] VAR48,
output [15:0] VAR35,
output [15:0] VAR50,
output [15:0] VAR22,
output [15:0] VAR29,
output [15:0] VAR10,
output [15:0] VAR17,
output [15:0] VAR32,
output [12:0] VAR1,
output [12:0] VAR31,
output [15:0] VAR52,
output [15:0] VAR19
);
wire [15:0] VAR49;
wire [15:0] VAR47;
wire [15:0] VAR15;
wire [15:0] VAR46;
wire [15:0] VAR43;
wire [15:0] VAR39;
wire [15:0] VAR20;
wire [15:0] VAR18;
assign VAR49 = (VAR34 ? VAR25 : 16'd0) + VAR51;
assign VAR47 = VAR49 + (VAR34 ? VAR16 : 16'd0) + VAR26;
assign VAR15 = VAR3 - VAR45;
assign VAR46 = VAR49 + (VAR34 ? VAR16 : 16'd0);
assign VAR43 = VAR15 + VAR4;
assign VAR39 = VAR46 + VAR9;
assign VAR40 = VAR34;
assign VAR36 = VAR23;
assign VAR41 = VAR2 + VAR33 - 16'd1;
assign VAR14 = VAR47 - 16'd1;
assign VAR37 = VAR45;
assign VAR12 = VAR47 - VAR45;
assign VAR13 = VAR33;
assign VAR28 = VAR33 - VAR38;
assign VAR53 = VAR42;
assign VAR7 = VAR42 + VAR5;
assign VAR24 = VAR46;
assign VAR48 = VAR15;
assign VAR35 = VAR15 + VAR16;
assign VAR50 = VAR39;
assign VAR22 = VAR39 + VAR11;
assign VAR29 = VAR43;
assign VAR10 = VAR43 + VAR6;
assign VAR17 = VAR44 - VAR45;
assign VAR32 = VAR47 - (VAR45 - VAR21);
assign VAR20 = VAR51 + (VAR26 - VAR9 + VAR4) - 16'd1;
assign VAR18 = VAR25 + (VAR16 - VAR4 + VAR9) - 16'd1;
assign VAR1 = VAR20[12:0];
assign VAR31 = VAR18[12:0];
assign VAR52 = VAR47 - (VAR45 - VAR30);
assign VAR19 = VAR27 - VAR45;
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/aoi211/gf180mcu_fd_sc_mcu9t5v0__aoi211_2.behavioral.v
| 1,802 |
module MODULE1( VAR5, VAR8, VAR3, VAR1, VAR7 );
input VAR3, VAR5, VAR1, VAR7;
output VAR8;
VAR2 VAR4(.VAR5(VAR5),.VAR8(VAR8),.VAR3(VAR3),.VAR1(VAR1),.VAR7(VAR7));
VAR2 VAR6(.VAR5(VAR5),.VAR8(VAR8),.VAR3(VAR3),.VAR1(VAR1),.VAR7(VAR7));
|
apache-2.0
|
ankitshah009/High-Radix-Adaptive-CORDIC
|
HCORDIC_Verilog/SpecialCases.v
| 6,454 |
module MODULE1(
input [31:0] VAR3,
input [31:0] VAR9,
input [31:0] VAR26,
input [1:0] VAR25,
input VAR2,
input VAR12,
input [7:0] VAR7,
input reset,
input VAR30,
output reg [1:0] VAR16 = 1'b00,
output reg [32:0] VAR6,
output reg [32:0] VAR10,
output reg [35:0] VAR8,
output reg [35:0] VAR27,
output reg [31:0] VAR28,
output reg [1:0] VAR18,
output reg VAR14,
output reg VAR29,
output reg [7:0] VAR24
);
wire VAR22;
wire [7:0] VAR21;
wire [23:0] VAR11;
wire VAR4;
wire [7:0] VAR20;
wire [23:0] VAR17;
wire VAR5;
wire [7:0] VAR1;
wire [26:0] VAR23;
assign VAR22 = VAR3[31];
assign VAR21 = {VAR3[30:23] - 127};
assign VAR11 = {1'b0, VAR3[22:0]};
assign VAR4 = {VAR9[31]};
assign VAR20 = {VAR9[30:23] - 127};
assign VAR17 = {1'b0, VAR9[22:0]};
assign VAR5 = {VAR26[31]};
assign VAR1 = {VAR26[30:23] - 127};
assign VAR23 = {VAR26[22:0],3'd0};
parameter VAR19 = 2'b00,
VAR15 = 2'b01,
VAR13 = 2'b10;
always @ (posedge VAR30)
begin
if(reset == 1'b1) begin
VAR16 <= 1'b00;
end
else begin
VAR24 <= VAR7;
VAR18 <= VAR25;
VAR14 <= VAR2;
VAR29 <= VAR12;
if ((VAR21 == 128 && VAR11 != 0) || (VAR20 == 128 && VAR17 != 0)) begin
VAR16 <= VAR15;
VAR6 <= {VAR22,VAR21+127,VAR11};
VAR10 <= {VAR4,VAR20+127,VAR17};
VAR8 <= {VAR5,VAR1+127,VAR23};
VAR27 <= {1'b1,8'd255,1'b1,26'd0};
VAR28 <= 0;
end else if (VAR21 == 128) begin
VAR16 <= VAR15;
if ((VAR20 == -127) && (VAR17 == 0)) begin
VAR16 <= VAR15;
VAR6 <= {VAR22,VAR21,VAR11};
VAR10 <= {VAR4,VAR20,VAR17};
VAR8 <= {VAR5,VAR1,VAR23};
VAR27 <= {1'b1,8'd255,1'b1,26'd0};
VAR28 <= 0;
end
else begin
VAR6 <= {VAR22,VAR21,VAR11};
VAR10 <= {VAR4,VAR20,VAR17};
VAR8 <= {VAR5,VAR1,VAR23};
VAR27 <= {VAR22 ^ VAR4,8'd255,27'd0};
VAR28 <= 0;
end
end else if (VAR20 == 128) begin
VAR16 <= VAR15;
VAR6 <= {VAR22,VAR21,VAR11};
VAR10 <= {VAR4,VAR20,VAR17};
VAR8 <= {VAR5,VAR1,VAR23};
VAR27 <= {VAR22 ^ VAR4,8'd255,27'd0};
VAR28 <= 0;
end else if (((VAR21) == -127) && (VAR11 == 0)) begin
VAR16 <= VAR13;
VAR6[32] <= VAR22;
VAR6[31:24] <= VAR21+127;
VAR6[23] <= 1'b1;
VAR6[22:0] <= VAR11[22:0];
VAR10[32] <= VAR4;
VAR10[31:24] <= VAR20+127;
VAR10[23] <= 1'b1;
VAR10[22:0] <= VAR17[22:0];
VAR8[35] <= VAR5;
VAR8[34:27] <= VAR1+127;
VAR8[26:0] <= VAR23[26:0];
VAR27 <= {VAR22 ^ VAR4,8'd0,27'd0};
VAR28 <= {VAR5,VAR1 + 127,VAR23[25:3]};
end else if (((VAR20) == -127) && (VAR17 == 0)) begin
VAR6[32] <= VAR22;
VAR6[31:24] <= VAR21+127;
VAR6[23] <= 1'b1;
VAR6[22:0] <= VAR11[22:0];
VAR10[32] <= VAR4;
VAR10[31:24] <= VAR20+127;
VAR10[23] <= 1'b1;
VAR10[22:0] <= VAR17[22:0];
VAR8[35] <= VAR5;
VAR8[35] <= VAR5;
VAR8[34:27] <= VAR1+127;
VAR8[26:0] <= VAR23[26:0];
VAR27 <= {VAR22 ^ VAR4,8'd0,27'd0};
VAR28 <= {VAR5,VAR1 + 127,VAR23[25:3]};
VAR16 <= VAR13;
end else if (VAR25 == 2'b00) begin
VAR16 <= VAR13;
VAR6[32] <= VAR22;
VAR6[31:24] <= VAR21+127;
VAR6[23] <= 1'b1;
VAR6[22:0] <= VAR11[22:0];
VAR10[32] <= VAR4;
VAR10[31:24] <= VAR20+127;
VAR10[23] <= 1'b1;
VAR10[22:0] <= VAR17[22:0];
VAR8[35] <= VAR5;
VAR8[34:27] <= VAR1+127;
VAR8[26:0] <= VAR23[26:0];
VAR27 <= {VAR22 ^ VAR4,8'd0,27'd0};
VAR28 <= {VAR5,VAR1 + 127,VAR23[25:3]};
end else begin
VAR8[35] <= VAR5;
VAR8[34:27] <= VAR1+127;
VAR8[26:0] <= VAR23[26:0];
VAR27 <= 0;
VAR28 <= 0;
VAR16 <= VAR19;
if ((VAR21) == -127) begin
VAR6 <= {VAR22,-126,VAR11};
end else begin
VAR6[32] <= VAR22;
VAR6[31:24] <= VAR21+127;
VAR6[23] <= 1'b1;
VAR6[22:0] <= VAR11[22:0];
end
if ((VAR20) == -127) begin
VAR10 <= {VAR4,-126,VAR17};
end else begin
VAR10[32] <= VAR4;
VAR10[31:24] <= VAR20+127;
VAR10[23] <= 1'b1;
VAR10[22:0] <= VAR17[22:0];
end
end
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o22ai/sky130_fd_sc_ls__o22ai.functional.pp.v
| 2,159 |
module MODULE1 (
VAR5 ,
VAR2 ,
VAR12 ,
VAR11 ,
VAR6 ,
VAR15,
VAR8,
VAR10 ,
VAR13
);
output VAR5 ;
input VAR2 ;
input VAR12 ;
input VAR11 ;
input VAR6 ;
input VAR15;
input VAR8;
input VAR10 ;
input VAR13 ;
wire VAR7 ;
wire VAR9 ;
wire VAR17 ;
wire VAR19;
nor VAR16 (VAR7 , VAR11, VAR6 );
nor VAR3 (VAR9 , VAR2, VAR12 );
or VAR18 (VAR17 , VAR9, VAR7 );
VAR4 VAR1 (VAR19, VAR17, VAR15, VAR8);
buf VAR14 (VAR5 , VAR19 );
endmodule
|
apache-2.0
|
cliffordwolf/yosys
|
techlibs/intel/cyclone10lp/cells_map.v
| 3,442 |
module \VAR22 (input VAR15, output VAR12);
VAR19 VAR10 (.VAR16(VAR12), .VAR9(VAR15), .VAR5(1'b0));
endmodule
module \VAR18 (input VAR15, output VAR12);
VAR14 VAR10 (.VAR16(VAR12), .VAR9(VAR15), .VAR17(1'b1));
endmodule
module MODULE1 (VAR6, VAR24);
parameter VAR7 = 0;
parameter VAR1 = 0;
input [VAR7-1:0] VAR6;
output VAR24;
generate
if (VAR7 == 1) begin
assign VAR24 = ~VAR6[0]; end else
if (VAR7 == 2) begin
VAR11 #(.VAR20({4{VAR1}}),
.VAR3("VAR23")) VAR10 (.VAR4(VAR24),
.VAR13(VAR6[0]),
.VAR21(VAR6[1]),
.VAR23(1'b1),
.VAR8(1'b1));
end else
if(VAR7 == 3) begin
VAR11 #(.VAR20({2{VAR1}}),
.VAR3("VAR23")) VAR10 (.VAR4(VAR24),
.VAR13(VAR6[0]),
.VAR21(VAR6[1]),
.VAR23(VAR6[2]),
.VAR8(1'b1));
end else
if(VAR7 == 4) begin
VAR11 #(.VAR20(VAR1),
.VAR3("VAR23")) VAR10 (.VAR4(VAR24),
.VAR13(VAR6[0]),
.VAR21(VAR6[1]),
.VAR23(VAR6[2]),
.VAR8(VAR6[3]));
end else
wire VAR2 = 1;
endgenerate
endmodule
|
isc
|
hydai/Verilog-Practice
|
DigitalDesign/Final/final/final_101062124/Processor.v
| 5,592 |
module MODULE1 (
output reg [31:0] VAR50,
output reg [31:0] VAR42,
output reg [31:0] address,
output reg VAR38,
output reg VAR49,
input [31:0] VAR46,
input [31:0] VAR14,
input clk,
input VAR44
);
reg [31:0] VAR20, counter, VAR23, VAR34, VAR5;
reg [31:0] VAR6[0:31];
reg VAR13;
wire [31:0] VAR15, VAR54, VAR43, VAR27, VAR26, VAR24, VAR31, VAR56, VAR7;
wire [14:0] VAR11;
wire [4:0] VAR29, VAR17, VAR3, VAR16;
wire [6:0] VAR52;
wire [3:0] VAR40;
parameter VAR9 = 7'b0000000;
parameter VAR21 = 7'b1000000;
parameter VAR39 = 7'b0000010;
parameter VAR30 = 7'b0000101;
parameter VAR45 = 7'b0001000;
parameter VAR19 = 7'b0001001;
parameter VAR41 = 7'b0001010;
parameter VAR53 = 7'b0001011;
parameter VAR2 = 7'b0100010;
parameter VAR22 = 7'b0100101;
parameter VAR37 = 7'b0101000;
parameter VAR1 = 7'b0101001;
parameter VAR51 = 7'b0101010;
parameter VAR8 = 7'b1000010;
parameter VAR33 = 7'b1000101;
parameter VAR47 = 7'b0001100;
parameter VAR25 = 7'b0001101;
parameter VAR4 = 7'b0001110;
parameter VAR35 = 7'b0010000;
parameter VAR12 = 7'b0100000;
parameter VAR28 = 7'b1110000;
parameter VAR10 = 7'b1100101;
parameter VAR55 = 7'b1100000;
parameter VAR48 = 7'b1001000;
parameter VAR18 = 7'b1101000;
parameter VAR32 = 7'b0110000;
integer VAR36;
always @(posedge clk or negedge VAR44) begin
if (!VAR44) begin
counter <= 0;
VAR50 <= 0;
for (VAR36 = 0; VAR36 < 32; VAR36 = VAR36 + 1) begin
VAR6[VAR36] <= 0;
end
end else begin
if (counter < 3) begin
counter <= counter + 1;
VAR50 <= VAR20;
end else begin
counter <= 0;
VAR50 <= VAR20;
if (VAR13 == 1'b1 && VAR3 != 0) begin
VAR6[VAR3] <= VAR23;
end
end
end
end
assign VAR15 = VAR6[1];
assign VAR54 = VAR6[2];
assign VAR43 = VAR6[3];
assign VAR27 = VAR6[4];
assign VAR26 = VAR6[5];
assign VAR24 = VAR6[6];
assign VAR31 = VAR6[7];
assign VAR56 = VAR6[16];
assign VAR7 = VAR6[17];
assign VAR29 = VAR46[19:15];
assign VAR17 = VAR46[14:10];
assign VAR3 = VAR46[24:20];
assign VAR52 = VAR46[31:25];
assign VAR11 = VAR46[14:0];
assign VAR16 = VAR46[4:0];
always @ begin
VAR13 = 1'b0;
VAR38 = 1'b1;
VAR49 = 1'b1;
VAR23 = 0;
address = 0;
VAR42 = 0;
VAR20 = VAR50 + 1;
if (counter == 3) begin
case(VAR52)
VAR21: begin
VAR23 = VAR6[VAR29];
VAR13 = 1'b1;
end
VAR39: begin
VAR23 = VAR6[VAR29] + VAR6[VAR17];
VAR13 = 1'b1;
end
VAR30: begin
VAR23 = VAR6[VAR29] - VAR6[VAR17];
VAR13 = 1'b1;
end
VAR45: begin
VAR23 = VAR6[VAR29] & VAR6[VAR17];
VAR13 = 1'b1;
end
VAR19: begin
VAR23 = VAR6[VAR29] | VAR6[VAR17];
VAR13 = 1'b1;
end
VAR41: begin
VAR23 = VAR6[VAR29] ^ VAR6[VAR17];
VAR13 = 1'b1;
end
VAR53: begin
VAR23 = ~VAR6[VAR29];
VAR13 = 1'b1;
end
VAR2: begin
VAR23 = VAR6[VAR29] + VAR34;
VAR13 = 1'b1;
end
VAR22: begin
VAR23 = VAR6[VAR29] + (~VAR34) + 1;
VAR13 = 1'b1;
end
VAR37: begin
VAR23 = VAR6[VAR29] & VAR5;
VAR13 = 1'b1;
end
VAR1: begin
VAR23 = VAR6[VAR29] | VAR5;
VAR13 = 1'b1;
end
VAR51: begin
VAR23 = VAR6[VAR29] ^ VAR5;
VAR13 = 1'b1;
end
VAR8: begin
VAR23 = VAR6[VAR29] + VAR5;
VAR13 = 1'b1;
end
VAR33: begin
VAR23 = VAR6[VAR29] + (~VAR5) + 1;
VAR13 = 1'b1;
end
VAR47: begin
VAR23 = VAR6[VAR17];
VAR13 = 1'b1;
end
VAR25: begin
VAR23 = VAR6[VAR29] >> VAR16;
VAR13 = 1'b1;
end
VAR4: begin
VAR23 = VAR6[VAR29] << VAR16;
VAR13 = 1'b1;
end
VAR35: begin
VAR23 = VAR14;
VAR13 = 1'b1;
end
VAR12: begin
address = VAR6[VAR29];
VAR42 = VAR6[VAR17];
VAR38 = 1'b0;
end
VAR10: begin
if ((VAR6[VAR29]) < (VAR6[VAR17])) begin
VAR23 = 1;
VAR13 = 1'b1;
end else begin
VAR23 = 0;
VAR13 = 1'b1;
end
end
VAR28: begin
VAR20 = VAR6[VAR29];
end
VAR18: begin
VAR20 = VAR50 + 1 + VAR34;
end
VAR32: begin
VAR20 = VAR50 + 1 + VAR34;
end
VAR55: begin
if (VAR6[VAR29] == 0)
VAR20 = VAR50 + 1 + VAR34;
end
else
VAR20 = VAR50 + 1;
end
VAR48: begin
if (VAR6[VAR29] != 0)
VAR20 = VAR50 + 1 + VAR34;
end
else
VAR20 = VAR50 + 1;
end
default: begin
VAR20 = VAR50 + 1;
end
endcase
end else begin
if (VAR52 == VAR35) begin
address = VAR6[VAR29];
VAR49 = 1'b0;
end
VAR20 = VAR50;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fah/sky130_fd_sc_ls__fah.pp.symbol.v
| 1,291 |
module MODULE1 (
input VAR7 ,
input VAR9 ,
input VAR8 ,
output VAR5,
output VAR6 ,
input VAR1 ,
input VAR3,
input VAR4,
input VAR2
);
endmodule
|
apache-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/perips/sirv_pwm8_core.v
| 12,343 |
module MODULE1(
input VAR185,
input reset,
input VAR30,
input [31:0] VAR64,
output [31:0] VAR188,
input VAR184,
input [31:0] VAR86,
output [31:0] VAR39,
input VAR124,
input [31:0] VAR96,
output [31:0] VAR79,
input VAR177,
input [7:0] VAR182,
output [7:0] VAR43,
input VAR105,
input [7:0] VAR27,
output [7:0] VAR58,
input VAR153,
input [7:0] VAR4,
output [7:0] VAR183,
input VAR69,
input [7:0] VAR154,
output [7:0] VAR134,
input VAR152,
input [7:0] VAR157,
output [7:0] VAR44,
input VAR13,
input [31:0] VAR24,
output [31:0] VAR74,
input VAR191,
input [31:0] VAR179,
output [31:0] VAR16,
output VAR189,
output VAR12,
output VAR87,
output VAR166,
output VAR59,
output VAR131,
output VAR139,
output VAR95
);
wire [3:0] VAR138;
reg [3:0] VAR10;
reg [31:0] VAR97;
wire [3:0] VAR80;
reg [7:0] VAR71;
reg [31:0] VAR156;
wire [7:0] VAR142;
reg [7:0] VAR32;
reg [31:0] VAR140;
wire [7:0] VAR162;
reg [7:0] VAR37;
reg [31:0] VAR180;
wire [7:0] VAR146;
reg [7:0] VAR89;
reg [31:0] VAR61;
wire [7:0] VAR88;
wire VAR102;
reg [4:0] VAR115;
reg [31:0] VAR117;
wire [4:0] VAR56;
wire [5:0] VAR172;
reg [17:0] VAR70;
reg [31:0] VAR36;
wire VAR107;
wire [18:0] VAR141;
wire [18:0] VAR65;
wire [22:0] VAR46;
wire [32:0] VAR130;
wire [27:0] VAR161;
wire [32:0] VAR178;
wire [27:0] VAR49;
wire [22:0] VAR55;
wire [7:0] VAR99;
wire VAR119;
wire [3:0] VAR98;
reg [3:0] VAR181;
reg [31:0] VAR143;
wire [3:0] VAR78;
wire VAR53;
wire VAR121;
wire [7:0] VAR81;
wire [7:0] VAR126;
wire VAR19;
wire VAR148;
wire VAR174;
wire [7:0] VAR41;
wire VAR163;
wire VAR111;
wire VAR137;
wire [7:0] VAR8;
wire VAR21;
wire VAR25;
wire VAR5;
wire [7:0] VAR175;
wire VAR132;
wire [5:0] VAR123;
wire [5:0] VAR100;
wire [4:0] VAR76;
wire [18:0] VAR60;
wire [18:0] VAR164;
wire [18:0] VAR33;
wire [17:0] VAR35;
wire [22:0] VAR51;
wire [4:0] VAR186;
wire [3:0] VAR151;
wire [22:0] VAR7;
wire VAR47;
wire VAR83;
reg VAR171;
reg [31:0] VAR125;
wire VAR14;
wire VAR116;
wire VAR68;
wire [32:0] VAR67;
wire [27:0] VAR26;
wire VAR127;
reg VAR135;
reg [31:0] VAR165;
wire VAR158;
wire VAR82;
wire VAR28;
wire VAR40;
reg VAR103;
reg [31:0] VAR155;
wire VAR112;
wire VAR3;
reg VAR173;
reg [31:0] VAR38;
wire [1:0] VAR144;
wire [1:0] VAR149;
wire [3:0] VAR160;
reg [3:0] VAR29;
reg [31:0] VAR169;
wire [1:0] VAR150;
wire [1:0] VAR72;
wire [3:0] VAR23;
wire [3:0] VAR167;
wire [3:0] VAR62;
wire [3:0] VAR45;
wire [3:0] VAR92;
wire [3:0] VAR129;
wire [3:0] VAR90;
wire [3:0] VAR20;
wire [3:0] VAR31;
wire [3:0] VAR93;
wire [3:0] VAR18;
reg [3:0] VAR6;
reg [31:0] VAR75;
wire [3:0] VAR104;
wire VAR128;
wire VAR133;
wire VAR48;
reg VAR108;
reg [31:0] VAR50;
wire VAR109;
wire VAR190;
reg VAR11;
reg [31:0] VAR54;
wire VAR63;
wire [4:0] VAR52;
wire [8:0] VAR84;
wire [1:0] VAR118;
wire [2:0] VAR91;
wire [11:0] VAR147;
wire [2:0] VAR57;
wire [3:0] VAR176;
wire [7:0] VAR101;
wire [7:0] VAR122;
wire [15:0] VAR9;
wire [19:0] VAR1;
wire [31:0] VAR15;
wire VAR120;
wire VAR159;
wire VAR85;
wire VAR110;
wire VAR168;
wire VAR170;
wire VAR66;
wire VAR106;
wire [2:0] VAR77;
wire [3:0] VAR22;
wire [3:0] VAR192;
wire [3:0] VAR73;
wire [3:0] VAR113;
wire VAR42;
wire VAR136;
wire VAR2;
wire VAR114;
wire VAR17;
wire VAR145;
wire VAR187;
wire VAR94;
wire VAR34;
assign VAR188 = VAR15;
assign VAR39 = {{9'd0}, VAR46};
assign VAR79 = 32'h0;
assign VAR43 = VAR99;
assign VAR58 = VAR71;
assign VAR183 = VAR32;
assign VAR134 = VAR37;
assign VAR44 = VAR89;
assign VAR74 = 32'h0;
assign VAR16 = 32'h1;
assign VAR189 = VAR120;
assign VAR12 = VAR159;
assign VAR87 = VAR85;
assign VAR166 = VAR110;
assign VAR59 = VAR42;
assign VAR131 = VAR136;
assign VAR139 = VAR2;
assign VAR95 = VAR114;
assign VAR138 = VAR64[3:0];
assign VAR80 = VAR30 ? VAR138 : VAR10;
assign VAR142 = VAR105 ? VAR27 : VAR71;
assign VAR162 = VAR153 ? VAR4 : VAR32;
assign VAR146 = VAR69 ? VAR154 : VAR37;
assign VAR88 = VAR152 ? VAR157 : VAR89;
assign VAR102 = VAR34;
assign VAR56 = {{4'd0}, VAR102};
assign VAR172 = VAR115 + VAR56;
assign VAR107 = VAR172[5];
assign VAR141 = VAR70 + 18'h1;
assign VAR65 = VAR107 ? VAR141 : {{1'd0}, VAR70};
assign VAR46 = {VAR70,VAR115};
assign VAR130 = {1'h0,VAR86};
assign VAR161 = VAR130[32:5];
assign VAR178 = VAR184 ? VAR130 : {{27'd0}, VAR172};
assign VAR49 = VAR184 ? VAR161 : {{9'd0}, VAR65};
assign VAR55 = VAR46 >> VAR10;
assign VAR99 = VAR55[7:0];
assign VAR119 = VAR99[7];
assign VAR98 = VAR64[19:16];
assign VAR78 = VAR30 ? VAR98 : VAR181;
assign VAR53 = VAR181[0];
assign VAR121 = VAR119 & VAR53;
assign VAR81 = ~ VAR99;
assign VAR126 = VAR121 ? VAR81 : VAR99;
assign VAR19 = VAR126 >= VAR71;
assign VAR148 = VAR181[1];
assign VAR174 = VAR119 & VAR148;
assign VAR41 = VAR174 ? VAR81 : VAR99;
assign VAR163 = VAR41 >= VAR32;
assign VAR111 = VAR181[2];
assign VAR137 = VAR119 & VAR111;
assign VAR8 = VAR137 ? VAR81 : VAR99;
assign VAR21 = VAR8 >= VAR37;
assign VAR25 = VAR181[3];
assign VAR5 = VAR119 & VAR25;
assign VAR175 = VAR5 ? VAR81 : VAR99;
assign VAR132 = VAR175 >= VAR89;
assign VAR123 = {{1'd0}, VAR115};
assign VAR100 = VAR123 ^ VAR172;
assign VAR76 = VAR100[5:1];
assign VAR60 = {{1'd0}, VAR70};
assign VAR164 = VAR60 ^ VAR141;
assign VAR33 = VAR107 ? VAR164 : 19'h0;
assign VAR35 = VAR33[18:1];
assign VAR51 = {VAR35,VAR76};
assign VAR186 = VAR10 + 4'h8;
assign VAR151 = VAR186[3:0];
assign VAR7 = VAR51 >> VAR151;
assign VAR47 = VAR7[0];
assign VAR83 = VAR64[9];
assign VAR14 = VAR30 ? VAR83 : VAR171;
assign VAR116 = VAR171 & VAR19;
assign VAR68 = VAR47 | VAR116;
assign VAR67 = VAR68 ? 33'h0 : VAR178;
assign VAR26 = VAR68 ? 28'h0 : VAR49;
assign VAR127 = VAR64[10];
assign VAR158 = VAR30 ? VAR127 : VAR135;
assign VAR82 = VAR68 == 1'h0;
assign VAR28 = VAR135 & VAR82;
assign VAR40 = VAR64[8];
assign VAR112 = VAR30 ? VAR40 : VAR103;
assign VAR3 = VAR28 | VAR103;
assign VAR144 = {VAR174,VAR121};
assign VAR149 = {VAR5,VAR137};
assign VAR160 = {VAR149,VAR144};
assign VAR150 = {VAR163,VAR19};
assign VAR72 = {VAR132,VAR21};
assign VAR23 = {VAR72,VAR150};
assign VAR167 = VAR160 & VAR23;
assign VAR62 = ~ VAR160;
assign VAR45 = VAR173 ? 4'hf : 4'h0;
assign VAR92 = VAR45 & VAR29;
assign VAR129 = VAR23 | VAR92;
assign VAR90 = VAR62 & VAR129;
assign VAR20 = VAR167 | VAR90;
assign VAR31 = VAR64[31:28];
assign VAR93 = VAR30 ? VAR31 : VAR20;
assign VAR18 = VAR64[27:24];
assign VAR104 = VAR30 ? VAR18 : VAR6;
assign VAR128 = VAR64[13];
assign VAR133 = VAR128 & VAR82;
assign VAR48 = VAR30 | VAR68;
assign VAR109 = VAR48 ? VAR133 : VAR108;
assign VAR190 = VAR64[12];
assign VAR63 = VAR30 ? VAR190 : VAR11;
assign VAR52 = {VAR103,4'h0};
assign VAR84 = {VAR52,VAR10};
assign VAR118 = {1'h0,VAR135};
assign VAR91 = {VAR118,VAR171};
assign VAR147 = {VAR91,VAR84};
assign VAR57 = {2'h0,VAR108};
assign VAR176 = {VAR57,VAR11};
assign VAR101 = {4'h0,VAR181};
assign VAR122 = {VAR29,VAR6};
assign VAR9 = {VAR122,VAR101};
assign VAR1 = {VAR9,VAR176};
assign VAR15 = {VAR1,VAR147};
assign VAR120 = VAR168;
assign VAR159 = VAR170;
assign VAR85 = VAR66;
assign VAR110 = VAR106;
assign VAR168 = VAR29[0];
assign VAR170 = VAR29[1];
assign VAR66 = VAR29[2];
assign VAR106 = VAR29[3];
assign VAR77 = VAR29[3:1];
assign VAR22 = {VAR168,VAR77};
assign VAR192 = VAR6 & VAR22;
assign VAR73 = ~ VAR192;
assign VAR113 = VAR29 & VAR73;
assign VAR42 = VAR17;
assign VAR136 = VAR145;
assign VAR2 = VAR187;
assign VAR114 = VAR94;
assign VAR17 = VAR113[0];
assign VAR145 = VAR113[1];
assign VAR187 = VAR113[2];
assign VAR94 = VAR113[3];
assign VAR34 = VAR11 | VAR108;
always @(posedge VAR185 or posedge reset)
if(reset) begin
VAR10 <= 4'b0;
VAR71 <= 8'b0;
VAR32 <= 8'b0;
VAR37 <= 8'b0;
VAR89 <= 8'b0;
VAR115 <= 5'b0;
VAR70 <= 18'b0;
VAR181 <= 4'b0;
VAR171 <= 1'b0;
VAR135 <= 1'b0;
VAR103 <= 1'b0;
VAR173 <= 1'b0;
VAR29 <= 4'b0;
VAR6 <= 4'b0;
end
else begin
if (VAR30) begin
VAR10 <= VAR138;
end
if (VAR105) begin
VAR71 <= VAR27;
end
if (VAR153) begin
VAR32 <= VAR4;
end
if (VAR69) begin
VAR37 <= VAR154;
end
if (VAR152) begin
VAR89 <= VAR157;
end
VAR115 <= VAR67[4:0];
VAR70 <= VAR26[17:0];
if (VAR30) begin
VAR181 <= VAR98;
end
if (VAR30) begin
VAR171 <= VAR83;
end
if (VAR30) begin
VAR135 <= VAR127;
end
if (VAR30) begin
VAR103 <= VAR40;
end
VAR173 <= VAR3;
if (VAR30) begin
VAR29 <= VAR31;
end else begin
VAR29 <= VAR20;
end
if (VAR30) begin
VAR6 <= VAR18;
end
end
always @(posedge VAR185 or posedge reset)
if (reset) begin
VAR108 <= 1'h0;
end else begin
if (VAR48) begin
VAR108 <= VAR133;
end
end
always @(posedge VAR185 or posedge reset)
if (reset) begin
VAR11 <= 1'h0;
end else begin
if (VAR30) begin
VAR11 <= VAR190;
end
end
endmodule
|
apache-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_126.v
| 1,468 |
module MODULE2 (
VAR8,
VAR1
);
input [31:0] VAR8;
output [31:0]
VAR1;
wire [31:0]
VAR13,
VAR11,
VAR6,
VAR4,
VAR3,
VAR5,
VAR2,
VAR7;
assign VAR13 = VAR8;
assign VAR2 = VAR3 - VAR5;
assign VAR11 = VAR13 << 8;
assign VAR6 = VAR13 + VAR11;
assign VAR3 = VAR6 + VAR4;
assign VAR7 = VAR2 << 7;
assign VAR5 = VAR13 << 5;
assign VAR4 = VAR13 << 2;
assign VAR1 = VAR7;
endmodule
module MODULE1(
VAR8,
VAR1,
clk
);
input [31:0] VAR8;
output [31:0] VAR1;
reg [31:0] VAR1;
input clk;
reg [31:0] VAR12;
wire [30:0] VAR10;
always @(posedge clk) begin
VAR12 <= VAR8;
VAR1 <= VAR10;
end
MODULE2 MODULE1(
.VAR8(VAR12),
.VAR1(VAR10)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/or2b/sky130_fd_sc_ms__or2b.functional.pp.v
| 1,924 |
module MODULE1 (
VAR8 ,
VAR6 ,
VAR3 ,
VAR12,
VAR7,
VAR10 ,
VAR5
);
output VAR8 ;
input VAR6 ;
input VAR3 ;
input VAR12;
input VAR7;
input VAR10 ;
input VAR5 ;
wire VAR13 ;
wire VAR1 ;
wire VAR2;
not VAR15 (VAR13 , VAR3 );
or VAR14 (VAR1 , VAR13, VAR6 );
VAR11 VAR9 (VAR2, VAR1, VAR12, VAR7);
buf VAR4 (VAR8 , VAR2 );
endmodule
|
apache-2.0
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_f2sdram2_m/altera_avalon_st_bytes_to_packets_171/synth/altera_avalon_st_bytes_to_packets.v
| 8,088 |
module MODULE1
parameter VAR18 = 0 )
(
input clk,
input VAR3,
input VAR19,
output reg VAR17,
output reg [7: 0] VAR6,
output reg [VAR10-1: 0] VAR13,
output reg VAR12,
output reg VAR15,
output reg VAR11,
input VAR8,
input [7: 0] VAR14
);
reg VAR7, VAR4, VAR5;
wire VAR20, VAR21, VAR2, VAR1, VAR9;
wire [7:0] VAR16;
assign VAR21 = (VAR14 == 8'h7a);
assign VAR2 = (VAR14 == 8'h7b);
assign VAR1 = (VAR14 == 8'h7c);
assign VAR20 = (VAR14 == 8'h7d);
assign VAR16 = VAR7 ? (VAR14 ^ 8'h20) : VAR14;
generate
if (VAR10 == 0) begin
always @(posedge clk or negedge VAR3) begin
if (!VAR3) begin
VAR7 <= 0;
VAR12 <= 0;
VAR15 <= 0;
end else begin
if (VAR8 & VAR11) begin
if (VAR7) begin
if (VAR19) VAR7 <= 0;
end else begin
if (VAR20) VAR7 <= 1;
if (VAR21) VAR12 <= 1;
if (VAR2) VAR15 <= 1;
end
if (VAR19 & VAR17) begin
VAR12 <= 0;
VAR15 <= 0;
end
end
end
end
always @* begin
VAR11 = VAR19;
VAR17 = 0;
if ((VAR19 | ~VAR17) && VAR8) begin
VAR17 = 1;
if (VAR21 | VAR2 | VAR20 | VAR1) VAR17 = 0;
end
VAR6 = VAR16;
end
end else begin
assign VAR9 = VAR14[7];
always @(posedge clk or negedge VAR3) begin
if (!VAR3) begin
VAR7 <= 0;
VAR4 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR15 <= 0;
end else begin
if (VAR8 & VAR11) begin
if (VAR7) begin
if (VAR19 | VAR4 | VAR5) VAR7 <= 0;
end else begin
if (VAR20) VAR7 <= 1;
if (VAR21) VAR12 <= 1;
if (VAR2) VAR15 <= 1;
if (VAR1 & VAR18 ) VAR5 <= 1;
if (VAR1 & ~VAR18) VAR4 <= 1;
end
if (VAR4 & (VAR7 | (~VAR21 & ~VAR2 & ~VAR20 & ~VAR1 ))) begin
VAR4 <= 0;
end
if (VAR5 & ~VAR9 & (VAR7 | (~VAR21 & ~VAR2 & ~VAR20 & ~VAR1))) begin
VAR5 <= 0;
end
if (VAR19 & VAR17) begin
VAR12 <= 0;
VAR15 <= 0;
end
end
end
end
always @* begin
VAR11 = VAR19;
VAR17 = 0;
if ((VAR19 | ~VAR17) && VAR8) begin
VAR17 = 1;
if (VAR7) begin
if (VAR4 | VAR5) VAR17 = 0;
end else begin
if (VAR21 | VAR2 | VAR20 | VAR1 | VAR4 | VAR5) VAR17 = 0;
end
end
VAR6 = VAR16;
end
end
endgenerate
generate
if (VAR10 == 0) begin
always @(posedge clk) begin
VAR13 <= 'h0;
end
end else if (VAR10 < 8) begin
always @(posedge clk or negedge VAR3) begin
if (!VAR3) begin
VAR13 <= 'h0;
end else begin
if (VAR11 & VAR8) begin
if ((VAR1 & VAR18) & (~VAR7 & ~VAR21 & ~VAR2 & ~VAR20 )) begin
VAR13 <= 'h0;
end else if (VAR5 & (VAR7 | (~VAR21 & ~VAR2 & ~VAR20 & ~VAR1 & ~VAR4))) begin
VAR13[VAR10-1:0] <= VAR16[VAR10-1:0];
end
end
end
end
end else begin
always @(posedge clk or negedge VAR3) begin
if (!VAR3) begin
VAR13 <= 'h0;
end else begin
if (VAR11 & VAR8) begin
if (VAR4 & (VAR7 | (~VAR21 & ~VAR2 & ~VAR20 & ~VAR1))) begin
VAR13 <= VAR16;
end else if ((VAR1 & VAR18) & (~VAR7 & ~VAR21 & ~VAR2 & ~VAR20 )) begin
VAR13 <= 'h0;
end else if (VAR5 & (VAR7 | (~VAR21 & ~VAR2 & ~VAR20 & ~VAR1 & ~VAR4))) begin
VAR13 <= VAR13 <<7;
VAR13[6:0] <= VAR16[6:0];
end
end
end
end
end
endgenerate
endmodule
|
mit
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/tq/premuat3.v
| 15,024 |
module MODULE1(
VAR74,
VAR113,
VAR94 ,
VAR41 ,
VAR96 ,
VAR19 ,
VAR99 ,
VAR31 ,
VAR107 ,
VAR32 ,
VAR116 ,
VAR30 ,
VAR102,
VAR117,
VAR112,
VAR90,
VAR72,
VAR52,
VAR121,
VAR29,
VAR87,
VAR16,
VAR22,
VAR50,
VAR70,
VAR15,
VAR9,
VAR76,
VAR38,
VAR114,
VAR103,
VAR57,
VAR82,
VAR71,
o0 ,
o1 ,
o2 ,
o3 ,
o4 ,
o5 ,
o6 ,
o7 ,
VAR34 ,
VAR35 ,
o10,
o11,
o12,
o13,
o14,
o15,
o16,
o17,
VAR86,
VAR43,
o20,
o21,
o22,
o23,
o24,
o25,
o26,
o27,
VAR60,
VAR95,
o30,
o31
);
input VAR74;
input [1:0] VAR113;
input signed [27:0] VAR94 ;
input signed [27:0] VAR41 ;
input signed [27:0] VAR96 ;
input signed [27:0] VAR19 ;
input signed [27:0] VAR99 ;
input signed [27:0] VAR31 ;
input signed [27:0] VAR107 ;
input signed [27:0] VAR32 ;
input signed [27:0] VAR116 ;
input signed [27:0] VAR30 ;
input signed [27:0] VAR102;
input signed [27:0] VAR117;
input signed [27:0] VAR112;
input signed [27:0] VAR90;
input signed [27:0] VAR72;
input signed [27:0] VAR52;
input signed [27:0] VAR121;
input signed [27:0] VAR29;
input signed [27:0] VAR87;
input signed [27:0] VAR16;
input signed [27:0] VAR22;
input signed [27:0] VAR50;
input signed [27:0] VAR70;
input signed [27:0] VAR15;
input signed [27:0] VAR9;
input signed [27:0] VAR76;
input signed [27:0] VAR38;
input signed [27:0] VAR114;
input signed [27:0] VAR103;
input signed [27:0] VAR57;
input signed [27:0] VAR82;
input signed [27:0] VAR71;
output signed [27:0] o0 ;
output signed [27:0] o1 ;
output signed [27:0] o2 ;
output signed [27:0] o3 ;
output signed [27:0] o4 ;
output signed [27:0] o5 ;
output signed [27:0] o6 ;
output signed [27:0] o7 ;
output signed [27:0] VAR34 ;
output signed [27:0] VAR35 ;
output signed [27:0] o10;
output signed [27:0] o11;
output signed [27:0] o12;
output signed [27:0] o13;
output signed [27:0] o14;
output signed [27:0] o15;
output signed [27:0] o16;
output signed [27:0] o17;
output signed [27:0] VAR86;
output signed [27:0] VAR43;
output signed [27:0] o20;
output signed [27:0] o21;
output signed [27:0] o22;
output signed [27:0] o23;
output signed [27:0] o24;
output signed [27:0] o25;
output signed [27:0] o26;
output signed [27:0] o27;
output signed [27:0] VAR60;
output signed [27:0] VAR95;
output signed [27:0] o30;
output signed [27:0] o31;
wire VAR108;
wire VAR47;
wire VAR75;
wire VAR49;
wire VAR51;
wire VAR62;
wire signed [27:0] VAR92;
wire signed [27:0] VAR111;
wire signed [27:0] VAR115;
wire signed [27:0] VAR77;
wire signed [27:0] VAR68;
wire signed [27:0] VAR110;
wire signed [27:0] VAR3;
wire signed [27:0] VAR24;
wire signed [27:0] VAR69;
wire signed [27:0] VAR85;
wire signed [27:0] VAR40;
wire signed [27:0] VAR53;
wire signed [27:0] VAR56;
wire signed [27:0] VAR11;
wire signed [27:0] VAR20;
wire signed [27:0] VAR123;
wire signed [27:0] VAR23;
wire signed [27:0] VAR109;
wire signed [27:0] VAR119;
wire signed [27:0] VAR48;
wire signed [27:0] VAR8;
wire signed [27:0] VAR65;
wire signed [27:0] VAR4;
wire signed [27:0] VAR120;
wire signed [27:0] VAR88;
wire signed [27:0] VAR104;
wire signed [27:0] VAR58;
wire signed [27:0] VAR83;
wire signed [27:0] VAR6;
wire signed [27:0] VAR5;
wire signed [27:0] VAR122;
wire signed [27:0] VAR81;
wire signed [27:0] VAR78;
wire signed [27:0] VAR98;
wire signed [27:0] VAR100;
wire signed [27:0] VAR25;
wire signed [27:0] VAR80;
wire signed [27:0] VAR33;
wire signed [27:0] VAR66;
wire signed [27:0] VAR93;
wire signed [27:0] VAR12;
wire signed [27:0] VAR45;
wire signed [27:0] VAR73;
wire signed [27:0] VAR26;
wire signed [27:0] VAR61;
wire signed [27:0] VAR59;
wire signed [27:0] VAR55;
wire signed [27:0] VAR18;
wire signed [27:0] VAR79;
wire signed [27:0] VAR36;
wire signed [27:0] VAR101;
wire signed [27:0] VAR106;
wire signed [27:0] VAR1;
wire signed [27:0] VAR2;
wire signed [27:0] VAR39;
wire signed [27:0] VAR126;
wire signed [27:0] VAR124;
wire signed [27:0] VAR28;
wire signed [27:0] VAR84;
wire signed [27:0] VAR118;
wire signed [27:0] VAR37;
wire signed [27:0] VAR89;
wire signed [27:0] VAR42;
wire signed [27:0] VAR64;
wire signed [27:0] o160;
wire signed [27:0] o161;
wire signed [27:0] o162;
wire signed [27:0] o163;
wire signed [27:0] o164;
wire signed [27:0] o165;
wire signed [27:0] o166;
wire signed [27:0] o167;
wire signed [27:0] VAR46;
wire signed [27:0] VAR67;
wire signed [27:0] o1610;
wire signed [27:0] o1611;
wire signed [27:0] o1612;
wire signed [27:0] o1613;
wire signed [27:0] o1614;
wire signed [27:0] o1615;
wire signed [27:0] o1616;
wire signed [27:0] o1617;
wire signed [27:0] VAR13;
wire signed [27:0] VAR63;
wire signed [27:0] o1620;
wire signed [27:0] o1621;
wire signed [27:0] o1622;
wire signed [27:0] o1623;
wire signed [27:0] o1624;
wire signed [27:0] o1625;
wire signed [27:0] o1626;
wire signed [27:0] o1627;
wire signed [27:0] VAR7;
wire signed [27:0] VAR105;
wire signed [27:0] o1630;
wire signed [27:0] o1631;
assign VAR108=(VAR113[1]||VAR113[0]);
assign VAR47=((~VAR113[1])&VAR113[0]);
assign VAR75=(VAR47||VAR51);
assign VAR49=VAR113[1];
assign VAR51=((~VAR113[0])&VAR113[1]);
assign VAR62=(VAR113[1]&VAR113[0]);
assign VAR92=VAR74?'b0:VAR94;
assign VAR111=VAR74?'b0:VAR41;
assign VAR115=VAR74?'b0:VAR96;
assign VAR77=VAR74?'b0:VAR19;
assign VAR68=VAR74?'b0:VAR99;
assign VAR110=VAR74?'b0:VAR31;
assign VAR3=VAR74?'b0:VAR107;
assign VAR24=VAR74?'b0:VAR32;
assign VAR69=VAR74?'b0:VAR116;
assign VAR85=VAR74?'b0:VAR30;
assign VAR40=VAR74?'b0:VAR102;
assign VAR53=VAR74?'b0:VAR117;
assign VAR56=VAR74?'b0:VAR112;
assign VAR11=VAR74?'b0:VAR90;
assign VAR20=VAR74?'b0:VAR72;
assign VAR123=VAR74?'b0:VAR52;
assign VAR23=VAR74?'b0:VAR121;
assign VAR109=VAR74?'b0:VAR29;
assign VAR119=VAR74?'b0:VAR87;
assign VAR48=VAR74?'b0:VAR16;
assign VAR8=VAR74?'b0:VAR22;
assign VAR65=VAR74?'b0:VAR50;
assign VAR4=VAR74?'b0:VAR70;
assign VAR120=VAR74?'b0:VAR15;
assign VAR88=VAR74?'b0:VAR9;
assign VAR104=VAR74?'b0:VAR76;
assign VAR58=VAR74?'b0:VAR38;
assign VAR83=VAR74?'b0:VAR114;
assign VAR6=VAR74?'b0:VAR103;
assign VAR5=VAR74?'b0:VAR57;
assign VAR122=VAR74?'b0:VAR82;
assign VAR81=VAR74?'b0:VAR71;
VAR54 VAR27(
VAR108,
VAR74,
VAR92,
VAR111,
VAR115,
VAR77,
VAR68,
VAR110,
VAR3,
VAR24,
VAR78,
VAR98,
VAR100,
VAR25,
VAR80,
VAR33,
VAR66,
VAR93
);
VAR54 VAR10(
VAR47,
VAR74,
VAR69 ,
VAR85 ,
VAR40,
VAR53,
VAR56,
VAR11,
VAR20,
VAR123,
VAR12 ,
VAR45 ,
VAR73,
VAR26,
VAR61,
VAR59,
VAR55,
VAR18
);
VAR54 VAR14(
VAR75,
VAR74,
VAR23,
VAR109,
VAR119,
VAR48,
VAR8,
VAR65,
VAR4,
VAR120,
VAR79,
VAR36,
VAR101,
VAR106,
VAR1,
VAR2,
VAR39,
VAR126
);
VAR54 VAR125(
VAR47,
VAR74,
VAR88,
VAR104,
VAR58,
VAR83,
VAR6,
VAR5,
VAR122,
VAR81,
VAR124,
VAR28,
VAR84,
VAR118,
VAR37,
VAR89,
VAR42,
VAR64
);
VAR97 VAR21(
VAR49,
VAR74,
VAR78,
VAR98,
VAR100,
VAR25,
VAR80,
VAR33,
VAR66,
VAR93,
VAR12,
VAR45 ,
VAR73,
VAR26,
VAR61,
VAR59,
VAR55,
VAR18,
o160,
o161,
o162,
o163,
o164,
o165,
o166,
o167,
VAR46,
VAR67,
o1610,
o1611,
o1612,
o1613,
o1614,
o1615
);
VAR97 VAR91(
VAR51,
VAR74,
VAR79,
VAR36,
VAR101,
VAR106,
VAR1,
VAR2,
VAR39,
VAR126,
VAR124,
VAR28,
VAR84,
VAR118,
VAR37,
VAR89,
VAR42,
VAR64,
o1616,
o1617,
VAR13,
VAR63,
o1620,
o1621,
o1622,
o1623,
o1624,
o1625,
o1626,
o1627,
VAR7,
VAR105,
o1630,
o1631
);
VAR17 VAR44(
VAR62,
VAR74,
o160 ,
o161 ,
o162 ,
o163 ,
o164 ,
o165 ,
o166 ,
o167 ,
VAR46 ,
VAR67 ,
o1610,
o1611,
o1612,
o1613,
o1614,
o1615,
o1616,
o1617,
VAR13,
VAR63,
o1620,
o1621,
o1622,
o1623,
o1624,
o1625,
o1626,
o1627,
VAR7,
VAR105,
o1630,
o1631,
o0 ,
o1 ,
o2 ,
o3 ,
o4 ,
o5 ,
o6 ,
o7 ,
VAR34 ,
VAR35 ,
o10,
o11,
o12,
o13,
o14,
o15,
o16,
o17,
VAR86,
VAR43,
o20,
o21,
o22,
o23,
o24,
o25,
o26,
o27,
VAR60,
VAR95,
o30,
o31
);
endmodule
|
gpl-3.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/prcfg/common/prcfg_bb.v
| 3,391 |
module MODULE1 (
input clk,
input [31:0] VAR11,
output [31:0] VAR14,
input [31:0] VAR20,
output [31:0] VAR6,
output VAR9,
input VAR7,
input [63:0] VAR10,
input VAR2,
input VAR3,
output VAR16,
output [63:0] VAR8,
output VAR18,
input VAR12,
input VAR13,
input [63:0] VAR15,
output VAR17,
output VAR5,
output VAR1,
output [63:0] VAR19,
input VAR4);
endmodule
|
gpl-3.0
|
timtian090/Playground
|
UVM/UVMPlayground/Lab1/Lab1-Project/TF_EECS301_Lab1_TopLevel.v
| 8,555 |
module MODULE1();
reg VAR7;
reg [3:0] VAR3;
wire [9:0] VAR8;
wire [6:0] VAR4;
wire [6:0] VAR10;
wire [6:0] VAR6;
wire [6:0] VAR11;
wire [6:0] VAR9;
wire [6:0] VAR2;
localparam VAR5 = 50000000; localparam VAR1 = ((1.0 / VAR5) * 1000000000.0) / 2.0;
begin
begin
begin
end
begin
end
|
mit
|
hoangt/NOCulator
|
hring/hw/buffered/src/c_xor_nto1.v
| 2,269 |
module MODULE1
(VAR9, VAR3);
parameter VAR8 = 2;
parameter VAR1 = 1;
input [0:VAR1*VAR8-1] VAR9;
output [0:VAR1-1] VAR3;
wire [0:VAR1-1] VAR3;
generate
genvar VAR4;
for(VAR4 = 0; VAR4 < VAR1; VAR4 = VAR4 + 1)
begin:VAR7
wire [0:VAR8-1] VAR5;
genvar VAR6;
for(VAR6 = 0; VAR6 < VAR8; VAR6 = VAR6 + 1)
begin:VAR2
assign VAR5[VAR6] = VAR9[VAR6*VAR1+VAR4];
end
assign VAR3[VAR4] = ^VAR5;
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and4/sky130_fd_sc_hd__and4.behavioral.pp.v
| 1,837 |
module MODULE1 (
VAR3 ,
VAR6 ,
VAR14 ,
VAR9 ,
VAR7 ,
VAR12,
VAR11,
VAR4 ,
VAR13
);
output VAR3 ;
input VAR6 ;
input VAR14 ;
input VAR9 ;
input VAR7 ;
input VAR12;
input VAR11;
input VAR4 ;
input VAR13 ;
wire VAR1 ;
wire VAR10;
and VAR15 (VAR1 , VAR6, VAR14, VAR9, VAR7 );
VAR8 VAR2 (VAR10, VAR1, VAR12, VAR11);
buf VAR5 (VAR3 , VAR10 );
endmodule
|
apache-2.0
|
impedimentToProgress/ProbableCause
|
ddr2/cores/or1200/or1200_fpu_post_norm_div.v
| 9,773 |
module MODULE1
(
VAR40,
VAR1,
VAR38,
VAR12,
VAR42,
VAR61,
VAR6,
VAR45,
VAR22,
VAR47
);
parameter VAR51 = 32;
parameter VAR46 = 0; parameter VAR11 = 11; parameter VAR48 = 23;
parameter VAR17 = 8;
parameter VAR26 = 31'd0;
parameter VAR20 = 31'b1111111100000000000000000000000;
parameter VAR14 = 31'b1111111110000000000000000000000;
parameter VAR4 = 31'b1111111100000000000000000000001;
input VAR40;
input [VAR51-1:0] VAR1;
input [VAR51-1:0] VAR38;
input [VAR48+3:0] VAR12;
input [VAR48+3:0] VAR42;
input [VAR17+1:0] VAR61;
input VAR6;
input [1:0] VAR45;
output reg [VAR51-1:0] VAR22;
output reg VAR47;
reg [VAR51-1:0] VAR9;
reg [VAR51-1:0] VAR16;
reg [VAR17-1:0] VAR32;
reg [VAR17-1:0] VAR39;
reg [VAR48+3:0] VAR57;
reg [VAR48+3:0] VAR43;
reg [5:0] VAR56;
reg [VAR17+1:0] VAR29;
reg VAR41;
reg [1:0] VAR54;
wire [VAR51-1:0] VAR35;
wire VAR8, VAR52;
wire VAR3, VAR33;
wire VAR30;
wire [9:0] VAR13;
reg [5:0] VAR15;
reg [5:0] VAR36;
wire VAR28;
reg [8:0] VAR21;
wire [8:0] VAR59;
reg [8:0] VAR18;
reg [26:0] VAR44;
wire [24:0] VAR23;
reg [24:0] VAR58;
wire VAR55, VAR19, VAR24, VAR10;
wire VAR60;
wire VAR37, VAR50, VAR63;
wire VAR7, VAR5;
wire VAR49, VAR53, VAR2, VAR31;
wire VAR25;
always @(posedge VAR40)
begin
VAR9 <= VAR1;
VAR16 <= VAR38;
VAR32 <= VAR1[30:23];
VAR39 <= VAR38[30:23];
VAR57 <= VAR12;
VAR43 <= VAR42;
VAR29 <= VAR61;
VAR41 <= VAR6;
VAR54 <= VAR45;
end
always @(posedge VAR40)
begin
VAR22 <= VAR35;
VAR47 <= VAR8;
end
assign VAR3 = !(|VAR32) & (|VAR1[22:0]);
assign VAR33 = !(|VAR39) & (|VAR38[22:0]);
assign VAR30 = !VAR57[26];
assign VAR13 = VAR29 - {9'd0,VAR30};
wire [9:0] VAR34;
wire [9:0] VAR62;
assign VAR34 = (VAR13[9] | !(|VAR13)) ?
(10'd1 - VAR13) - {9'd0,VAR30} : 0;
assign VAR62 = (VAR13[9] | !(|VAR13)) ?
0 :
VAR13[8] ?
0 : {9'd0,VAR30};
always @(posedge VAR40)
if (VAR13[9] | !(|VAR13))
VAR21 <= 9'd1;
else
VAR21 <= VAR13[8:0];
always @(posedge VAR40)
VAR15 <= VAR34[6] ? 6'b111111 : VAR34[5:0];
always @(posedge VAR40)
VAR36 <= VAR62[5:0];
always @(posedge VAR40)
if (|VAR15)
VAR44 <= VAR57 >> VAR15;
else
VAR44 <= VAR57 << VAR36;
assign VAR59 = VAR44[26] ? VAR21 : VAR21 - 9'd1;
always @(VAR57)
casez(VAR57) 27'VAR27??????????????????????????1: VAR56 = 0;
27'VAR27?????????????????????????10: VAR56 = 1;
27'VAR27????????????????????????100: VAR56 = 2;
27'VAR27???????????????????????1000: VAR56 = 3;
27'VAR27??????????????????????10000: VAR56 = 4;
27'VAR27?????????????????????100000: VAR56 = 5;
27'VAR27????????????????????1000000: VAR56 = 6;
27'VAR27???????????????????10000000: VAR56 = 7;
27'VAR27??????????????????100000000: VAR56 = 8;
27'VAR27?????????????????1000000000: VAR56 = 9;
27'VAR27????????????????10000000000: VAR56 = 10;
27'VAR27???????????????100000000000: VAR56 = 11;
27'VAR27??????????????1000000000000: VAR56 = 12;
27'VAR27?????????????10000000000000: VAR56 = 13;
27'VAR27????????????100000000000000: VAR56 = 14;
27'VAR27???????????1000000000000000: VAR56 = 15;
27'VAR27??????????10000000000000000: VAR56 = 16;
27'VAR27?????????100000000000000000: VAR56 = 17;
27'VAR27????????1000000000000000000: VAR56 = 18;
27'VAR27???????10000000000000000000: VAR56 = 19;
27'VAR27??????100000000000000000000: VAR56 = 20;
27'VAR27?????1000000000000000000000: VAR56 = 21;
27'VAR27????10000000000000000000000: VAR56 = 22;
27'VAR27???100000000000000000000000: VAR56 = 23;
27'VAR27??1000000000000000000000000: VAR56 = 24;
27'VAR27?10000000000000000000000000: VAR56 = 25;
27'b100000000000000000000000000: VAR56 = 26;
27'b000000000000000000000000000: VAR56 = 27;
endcase
assign VAR60 = (VAR15+{5'd0,VAR28}) > VAR56;
assign VAR55 = VAR44[2];
assign VAR19 = VAR44[1];
assign VAR24 = VAR44[0] | (|VAR43);
assign VAR10 = VAR54==2'b00 ? VAR55 & ((VAR19 | VAR24) | VAR44[3]) :
VAR54==2'b10 ? (VAR55 | VAR19 | VAR24) & !VAR41 :
VAR54==2'b11 ? (VAR55 | VAR19 | VAR24) & VAR41 :
0;
assign VAR23 = VAR10 ?{1'b0,VAR44[26:3]} + 1 :
{1'b0,VAR44[26:3]};
assign VAR28 = VAR23[24];
always @(posedge VAR40)
begin
VAR18 <= VAR28 ? VAR59 + "1" : VAR59;
VAR58 <= VAR28 ? {1'b0,VAR23[24:1]} : VAR23;
end
assign VAR37 = !((|VAR9[30:0]) & (|VAR16[30:0]));
assign VAR50 = !((|VAR9[30:0]) | (|VAR16[30:0]));
assign VAR63 = !(|VAR16[30:0]);
assign VAR7 = &VAR32;
assign VAR5 = &VAR39;
assign VAR2 = VAR7 & (|VAR9[22:0]);
assign VAR31 = VAR5 & (|VAR16[22:0]);
assign VAR49 = VAR2 | VAR31;
assign VAR53 = (VAR7 & VAR5) | VAR50;
assign VAR25 = (&VAR18[7:0]) | VAR18[8] | VAR63;
assign VAR52 = VAR25 & !(VAR7) & !VAR63;
assign VAR8 = !VAR37 &
(VAR60 | (|VAR44[2:0]) | VAR52 | (|VAR43));
assign VAR35 = (VAR49 | VAR53) ?
{VAR41,VAR14} :
VAR7 | VAR52 | VAR25 ?
{VAR41,VAR20} :
VAR37 | VAR5 ?
{VAR41,VAR26} :
{VAR41,VAR18[7:0],VAR58[22:0]};
endmodule
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.