repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
sh-chris110/chris
FPGA/chris.convolution.ok/db/ip/soc_design/submodules/soc_design_niosII_core_cpu_mult_cell.v
8,060
module MODULE1 ( VAR56, VAR3, VAR24, clk, VAR37, VAR30, VAR1, VAR38 ) ; output [ 31: 0] VAR30; output [ 31: 0] VAR1; output [ 31: 0] VAR38; input [ 31: 0] VAR56; input [ 31: 0] VAR3; input VAR24; input clk; input VAR37; wire [ 31: 0] VAR30; wire [ 31: 0] VAR1; wire [ 31: 0] VAR38; wire VAR55; wire [ 31: 0] VAR10; wire [ 31: 0] VAR33; assign VAR55 = ~VAR37; assign VAR10 = VAR56; assign VAR33 = VAR3; VAR5 VAR13 ( .VAR20 (VAR55), .VAR49 (clk), .VAR4 (VAR10[15 : 0]), .VAR48 (VAR33[15 : 0]), .VAR18 (VAR24), .VAR23 (VAR30) ); VAR13.VAR57 = "VAR12", VAR13.VAR22 = "VAR31", VAR13.VAR35 = "VAR9", VAR13.VAR36 = "VAR31", VAR13.VAR54 = "VAR31", VAR13.VAR11 = "VAR6", VAR13.VAR15 = "VAR29", VAR13.VAR19 = "VAR5", VAR13.VAR26 = "VAR41", VAR13.VAR47 = "VAR14", VAR13.VAR51 = "VAR12", VAR13.VAR16 = 1, VAR13.VAR39 = "VAR31", VAR13.VAR7 = "VAR45", VAR13.VAR34 = "VAR45", VAR13.VAR32 = "VAR21", VAR13.VAR53 = "VAR21", VAR13.VAR28 = "VAR40", VAR13.VAR44 = "VAR14", VAR13.VAR58 = "VAR14", VAR13.VAR27 = "VAR12", VAR13.VAR42 = "VAR12", VAR13.VAR17 = "VAR31", VAR13.VAR8 = "VAR31", VAR13.VAR50 = 16, VAR13.VAR25 = 16, VAR13.VAR2 = 32; VAR5 VAR52 ( .VAR20 (VAR55), .VAR49 (clk), .VAR4 (VAR10[15 : 0]), .VAR48 (VAR33[31 : 16]), .VAR18 (VAR24), .VAR23 (VAR1) ); VAR52.VAR57 = "VAR12", VAR52.VAR22 = "VAR31", VAR52.VAR35 = "VAR9", VAR52.VAR36 = "VAR31", VAR52.VAR54 = "VAR31", VAR52.VAR11 = "VAR6", VAR52.VAR15 = "VAR29", VAR52.VAR19 = "VAR5", VAR52.VAR26 = "VAR41", VAR52.VAR47 = "VAR14", VAR52.VAR51 = "VAR12", VAR52.VAR16 = 1, VAR52.VAR39 = "VAR31", VAR52.VAR7 = "VAR45", VAR52.VAR34 = "VAR45", VAR52.VAR32 = "VAR21", VAR52.VAR53 = "VAR21", VAR52.VAR28 = "VAR40", VAR52.VAR44 = "VAR14", VAR52.VAR58 = "VAR14", VAR52.VAR27 = "VAR12", VAR52.VAR42 = "VAR12", VAR52.VAR17 = "VAR31", VAR52.VAR8 = "VAR31", VAR52.VAR50 = 16, VAR52.VAR25 = 16, VAR52.VAR2 = 32; VAR5 VAR46 ( .VAR20 (VAR55), .VAR49 (clk), .VAR4 (VAR10[31 : 16]), .VAR48 (VAR33[15 : 0]), .VAR18 (VAR24), .VAR23 (VAR38) ); VAR46.VAR57 = "VAR12", VAR46.VAR22 = "VAR31", VAR46.VAR35 = "VAR9", VAR46.VAR36 = "VAR31", VAR46.VAR54 = "VAR31", VAR46.VAR11 = "VAR6", VAR46.VAR15 = "VAR29", VAR46.VAR19 = "VAR5", VAR46.VAR26 = "VAR41", VAR46.VAR47 = "VAR14", VAR46.VAR51 = "VAR12", VAR46.VAR16 = 1, VAR46.VAR39 = "VAR31", VAR46.VAR7 = "VAR45", VAR46.VAR34 = "VAR45", VAR46.VAR32 = "VAR21", VAR46.VAR53 = "VAR21", VAR46.VAR28 = "VAR40", VAR46.VAR44 = "VAR14", VAR46.VAR58 = "VAR14", VAR46.VAR27 = "VAR12", VAR46.VAR42 = "VAR12", VAR46.VAR17 = "VAR31", VAR46.VAR8 = "VAR31", VAR46.VAR50 = 16, VAR46.VAR25 = 16, VAR46.VAR2 = 32; endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_ncio/rtl/jbi_ncio_makq_ctl.v
7,503
module MODULE1( VAR2, VAR3, VAR1, VAR31, VAR29, VAR33, VAR24, VAR16, clk, VAR6, VAR11, VAR49, VAR23, VAR27, VAR46, VAR25 ); input clk; input VAR6; input VAR11; input VAR49; input VAR23; input VAR27; input [VAR32-1:0] VAR46; output VAR2; output [VAR19-1:0] VAR3; output [VAR19-1:0] VAR1; input VAR25; output VAR31; output VAR29; output [VAR50-1:0] VAR33; output [VAR4-1:0] VAR24; output [VAR19:0] VAR16; wire VAR2; wire [VAR19-1:0] VAR3; wire [VAR19-1:0] VAR1; wire VAR31; wire VAR29; wire [VAR50-1:0] VAR33; wire [VAR4-1:0] VAR24; wire [VAR19:0] VAR16; wire [VAR19:0] VAR28; wire [VAR19:0] VAR34; wire [VAR30-1:0] ack; wire [VAR19:0] VAR45; reg [VAR19:0] VAR36; reg [VAR19:0] VAR22; reg [VAR30-1:0] VAR37; reg [VAR19:0] VAR17; reg [VAR19:0] VAR43; wire VAR12; wire VAR18; always @ ( VAR28 or VAR11) begin if (VAR11) VAR36 = VAR28 + 1'b1; end else VAR36 = VAR28; end assign VAR2 = ~VAR11; assign VAR3 = VAR28[VAR19-1:0]; assign VAR12 = VAR23 | VAR27 | (VAR11 & VAR49); always @ ( ack or VAR12 or VAR34 or VAR23 or VAR49 or VAR11) begin VAR37 = ack; if (VAR12) VAR37[VAR34[VAR19-1:0]] = VAR23 & ~(VAR11 & VAR49); end always @ ( VAR12 or VAR34) begin if (VAR12) VAR22 = VAR34 + 1'b1; end else VAR22 = VAR34; end always @ ( VAR25 or VAR45) begin if (VAR25) VAR17 = VAR45 + 1'b1; end else VAR17 = VAR45; end assign VAR18 = VAR45 == VAR34; assign VAR1 = VAR45[VAR19-1:0]; assign VAR31 = ~VAR18; assign VAR29 = ack[VAR45[VAR19-1:0]]; assign VAR33 = VAR46[VAR39:VAR48]; assign VAR24 = VAR46[VAR47:VAR40]; always @ ( VAR12 or VAR25 or VAR16) begin case ({VAR12, VAR25}) 2'b00, 2'b11: VAR43 = VAR16; 2'b01: VAR43 = VAR16 - 1'b1; 2'b10: VAR43 = VAR16 + 1'b1; default: VAR43 = {VAR19+1{1'VAR8}}; endcase end VAR41 #(VAR19+1) VAR38 (.din(VAR36), .clk(clk), .VAR6(VAR6), .VAR5(VAR28) ); VAR41 #(VAR19+1) VAR26 (.din(VAR22), .clk(clk), .VAR6(VAR6), .VAR5(VAR34) ); VAR41 #(VAR19+1) VAR7 (.din(VAR17), .clk(clk), .VAR6(VAR6), .VAR5(VAR45) ); VAR41 #(VAR30) VAR51 (.din(VAR37), .clk(clk), .VAR6(VAR6), .VAR5(ack) ); VAR41 #(VAR19+1) VAR15 (.din(VAR43), .clk(clk), .VAR6(VAR6), .VAR5(VAR16) ); wire VAR20 = VAR45 == VAR34; wire VAR35 = VAR34[VAR19] != VAR45[VAR19] & VAR34[VAR19-1:0] == VAR45[VAR19-1:0]; always @ ( VAR11 or VAR35) begin @clk; if (VAR35 && VAR11) VAR14 ("MODULE1", 49,"%VAR13 %VAR9: VAR21 - VAR44 VAR10!", ); end always @ ( VAR25 or VAR20) begin @clk; if (VAR20 && VAR25) VAR14 ("MODULE1", 49,"%VAR13 %VAR9: VAR21 - VAR44 VAR42!", ); end endmodule
gpl-2.0
Cognoscan/BoostLogic
verilog/src/receivers/ClkRecoverSetCounter.v
2,738
module MODULE1 #( parameter VAR10 = 10 ) ( input clk, input rst, input VAR9, output reg VAR11, output reg VAR6 ); parameter VAR12 = VAR1(VAR10-1) - 1; wire VAR2; reg [VAR12:0] VAR4; reg VAR5; reg VAR3; reg VAR8; wire VAR13; reg VAR7; assign VAR13 = (VAR4 == 'd0) && ~VAR7; always @(posedge clk) begin VAR7 <= VAR4 == 'd0; end assign VAR2 = (VAR4 == (VAR10>>1)); always @(posedge clk) begin VAR3 <= VAR9; VAR5 <= VAR2; VAR11 <= VAR2 & ~VAR5; VAR6 <= (VAR2 & ~VAR5) ? VAR9 : VAR6; end always @(posedge clk) begin if (rst) begin VAR4 <= 'd0; VAR8 <= 1'b0; end else begin if (VAR8) begin if ((VAR3 ^ VAR9) && (VAR4 >= (VAR10>>1))) begin if (VAR4 == VAR10-1) begin VAR4 <= 'd1; end else if (VAR4 == VAR10-2) begin VAR4 <= 'd0; end else begin VAR4 <= VAR4 + 2'd2; end end else if ((VAR3 ^ VAR9) && (VAR4 != 'd0)) begin VAR4 <= VAR4; end else if (VAR4 == VAR10-1) begin VAR4 <= 'd0; end else begin VAR4 <= VAR4 + 2'd1; end end else begin VAR8 <= VAR3 ^ VAR9; VAR4 <= 'd0; end end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkbuf/sky130_fd_sc_ls__clkbuf.symbol.v
1,262
module MODULE1 ( input VAR5, output VAR2 ); supply1 VAR3; supply0 VAR4; supply1 VAR1 ; supply0 VAR6 ; endmodule
apache-2.0
JohnOrlando/gnuradio-bitshark
gr-sounder/src/fpga/lib/sounder_tx.v
1,557
module MODULE1(VAR1,VAR6,VAR4,VAR9,VAR8,VAR7,VAR5,VAR3); input VAR1; input VAR6; input VAR4; input VAR9; input [13:0] VAR8; input [15:0] VAR7; output [13:0] VAR5; output [13:0] VAR3; wire VAR11; wire [13:0] VAR13 = (~VAR8)+14'b1; VAR2 VAR12 ( .VAR1(VAR1),.VAR6(VAR6),.VAR4(VAR4),.VAR9(VAR9),.VAR7(VAR7),.VAR10(VAR11) ); assign VAR5 = VAR4 ? (VAR11 ? VAR8 : VAR13) : 14'b0; assign VAR3 = 14'b0; endmodule
gpl-3.0
alankarkotwal/lca-processor
USE THESE FILES PRAVEEN/execute.v
14,319
module MODULE1( clk, reset, VAR18, VAR16,VAR67, VAR32, VAR87, VAR25, VAR13, VAR15,VAR11, VAR68, VAR73, VAR48, VAR12, VAR72,VAR21,VAR83,VAR20,VAR50,VAR61,VAR57,VAR31,VAR33,VAR42,VAR98, VAR97,VAR64,VAR45,VAR39,VAR30,VAR88,VAR90,VAR27, VAR7,VAR65,VAR3,VAR10,VAR82,VAR76,VAR92); parameter VAR86 = 6'b000000; parameter VAR5 = 6'b001000; parameter VAR69 = 6'b000010; parameter VAR36 = 6'b000001; parameter VAR24 = 4'b0001; parameter VAR44 = 6'b001010; parameter VAR94 = 6'b001001; output [15:0] VAR72, VAR18; output VAR76,VAR92; output reg VAR13; output [ 1:0] VAR11; input VAR15; input [15:0] VAR67, VAR32, VAR87, VAR73; input [15:0] VAR83,VAR20,VAR50,VAR61,VAR57,VAR31,VAR33; input [1:0] VAR42,VAR98; input [1:0] VAR68; input clk, reset, VAR25, VAR16, VAR48, VAR12; input [15:0]VAR21; input [2:0] VAR64,VAR45,VAR39,VAR88,VAR90,VAR27,VAR65,VAR3,VAR10; input [5:0]VAR97,VAR30,VAR7; input VAR82; wire [15:0] VAR60, VAR52, VAR66, VAR23; wire[1:0] VAR93; wire[1:0] VAR77; wire [1:0] VAR84; wire [ 2:0] VAR29, VAR6; wire VAR51, VAR40; wire [5:0]VAR75; assign VAR75 = {VAR21[15:12],VAR21[1:0]}; VAR1 VAR55(.VAR14(VAR84),.VAR74(VAR42),.VAR53(VAR98),.VAR62(2'b0),.VAR58(VAR77),.out(VAR93)); VAR85 VAR28(.VAR14(VAR67), .VAR74(VAR32), .VAR58(VAR25), .out(VAR72)); VAR85 VAR91(.VAR14(VAR32), .VAR74(VAR73), .VAR58(VAR48), .out(VAR66)); VAR85 VAR35(.VAR14(VAR87), .VAR74(VAR73), .VAR58(VAR12), .out(VAR23)); VAR8 VAR4(.VAR14(VAR66), .VAR74(VAR83), .VAR53(VAR20), .VAR62(VAR50), .VAR70(VAR61), .VAR38(VAR57), .VAR19(VAR31), .VAR17(VAR33), .VAR58(VAR29), .out(VAR60)); VAR8 VAR34(.VAR14(VAR23), .VAR74(VAR83), .VAR53(VAR20), .VAR62(VAR50), .VAR70(VAR61), .VAR38(VAR57), .VAR19(VAR31), .VAR17(VAR33), .VAR58(VAR6), .out(VAR52)); VAR95 VAR89(.clk(clk), .out(VAR84), .in(VAR68), .write(VAR15), .reset(reset)); alu VAR22(.VAR81(VAR60), .VAR26(VAR52), .VAR63(VAR16), .out(VAR18), .VAR37(VAR51), .VAR49(VAR40)); VAR43 VAR46(.VAR97(VAR97),.VAR64(VAR64),.VAR45(VAR45),.VAR39(VAR39),.VAR30(VAR30),.VAR88(VAR88),.VAR90(VAR90),.VAR27(VAR27),.VAR7(VAR7),.VAR65(VAR65),.VAR3(VAR3), .VAR10(VAR10),.VAR78(VAR29),.VAR96(VAR6),.VAR56(VAR77), .VAR41(VAR15), .VAR82(VAR82), .VAR47(VAR92), .VAR9(VAR76)); assign VAR11 = {VAR51, VAR40}; always @ begin if(VAR7==VAR86||VAR7==VAR5||VAR7==VAR69||VAR7==VAR36||VAR7[5:2]==VAR24||VAR7==VAR44||VAR7==VAR94) begin if((VAR65==VAR27)&&(VAR30==VAR86||VAR30==VAR5||VAR30==VAR69||VAR30==VAR36 ||VAR30==VAR44||VAR30==VAR94)&&(VAR82==1'b0)) VAR78 = 3'b1; end else if((VAR65==VAR88)&&(VAR30[5:2]==VAR79)) VAR78 = 3'd5; end else if((VAR65==VAR39)&&(VAR97==VAR86||VAR97==VAR5||VAR97==VAR69 ||VAR97==VAR36||VAR97==VAR44 ||VAR97==VAR94)&&(VAR41==1'b0)) VAR78 = 3'd2; else if((VAR65==VAR64)&&(VAR97[5:2]==VAR79)) VAR78 = 3'd6; else if((VAR65 == VAR64)&&(VAR97[5:2] ==VAR71||VAR97[5:2] ==VAR59)) VAR78 = 3'd3; else if((VAR65 == VAR64)&&(VAR97[5:2] == VAR2)) VAR78 = 3'd7; else if((VAR65 == VAR90)&&(VAR30[5:2]==VAR24) &&(VAR82==1'b0)) VAR78 = 3'b1; else if((VAR65 == VAR90)&&(VAR97[5:2]==VAR24) &&(VAR41==1'b0)) VAR78 = 3'd2; else VAR78 = 3'b0; end else VAR78 = 3'b0; end always @ begin if(VAR7==VAR69||VAR7==VAR36||VAR7==VAR44||VAR7==VAR94) begin if((VAR30==VAR86||VAR30==VAR5||VAR30==VAR69||VAR30==VAR36||VAR30[5:2]==VAR24||VAR30==VAR44||VAR30==VAR94)&&(VAR82==1'b0)) begin VAR56 = 2'b1; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else VAR9=1'b1; VAR47=1'b0; end else if((VAR97==VAR86||VAR97==VAR5||VAR97==VAR69||VAR97==VAR36||VAR97[5:2]==VAR24||VAR97==VAR44||VAR97==VAR94)&&(VAR41==1'b0)) begin VAR56 = 2'd2; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end end else if((VAR7==VAR36||VAR7==VAR94)&&(VAR30==VAR71)&&(VAR82==1'b0)) begin VAR56 = 2'b1; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end end else if((VAR7==VAR36||VAR7==VAR94)&&(VAR97==VAR71)&&(VAR41==1'b0)) begin VAR56 = 2'd2; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end end else begin VAR56 = 2'b0; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end end end else if(VAR7==VAR69||VAR7==VAR5) begin VAR56 = 2'b0; if(VAR10==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end VAR54 if(VAR7==VAR71||VAR7==VAR59||VAR7==VAR79) begin VAR56 = 2'b0; if(VAR65==3'b111) begin VAR9=1'b0; VAR47=1'b1; end else begin VAR9=1'b1; VAR47=1'b0; end VAR54 if(VAR7==VAR2||VAR7==VAR80) begin VAR56=2'b0; VAR9=1'b1; VAR47=1'b0; VAR54 begin VAR56=1'b0; VAR9=1'b1; VAR47=1'b1; end end endmodule
gpl-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_18.v
14,328
module MODULE5 ( clk, reset, VAR98, VAR96, VAR63, VAR20, VAR104 ); parameter VAR12 = 18; parameter VAR66 = 18; parameter VAR113 = 9; localparam VAR62 = 19; input clk; input reset; input VAR98; input VAR96; input [VAR12-1:0] VAR63; output VAR20; output [VAR12-1:0] VAR104; localparam VAR65 = 18; localparam VAR89 = 36; localparam VAR74 = 17; localparam VAR1 = 18; reg [VAR12-1:0] VAR7; reg [VAR12-1:0] VAR107; reg [VAR12-1:0] VAR79; reg [VAR12-1:0] VAR77; reg [VAR12-1:0] VAR80; reg [VAR12-1:0] VAR94; reg [VAR12-1:0] VAR78; reg [VAR12-1:0] VAR4; reg [VAR12-1:0] VAR56; always@(posedge clk) begin VAR7 <= 18'd88; VAR107 <= 18'd0; VAR79 <= -18'd97; VAR77 <= -18'd197; VAR80 <= -18'd294; VAR94 <= -18'd380; VAR78 <= -18'd447; VAR4 <= -18'd490; VAR56 <= -18'd504; end reg [VAR62-1:0] VAR121; always@(posedge clk or posedge reset) begin if(reset) begin VAR121 <= 0; end else begin if(VAR98) begin VAR121 <= {VAR121[VAR62-2:0], VAR96}; end else begin VAR121 <= VAR121; end end end wire [VAR12-1:0] VAR75; wire [VAR12-1:0] VAR88; wire [VAR12-1:0] VAR13; wire [VAR12-1:0] VAR81; wire [VAR12-1:0] VAR49; wire [VAR12-1:0] VAR93; wire [VAR12-1:0] VAR43; wire [VAR12-1:0] VAR5; wire [VAR12-1:0] VAR29; wire [VAR12-1:0] VAR3; wire [VAR12-1:0] VAR17; wire [VAR12-1:0] VAR123; wire [VAR12-1:0] VAR30; wire [VAR12-1:0] VAR10; wire [VAR12-1:0] VAR87; wire [VAR12-1:0] VAR35; wire [VAR12-1:0] VAR86; wire [VAR12-1:0] VAR103; MODULE2 MODULE4( .clk(clk), .VAR98(VAR98), .VAR25(VAR63), .VAR47(VAR75), .VAR69(VAR88), .VAR97(VAR13), .VAR18(VAR81), .VAR52(VAR49), .VAR82(VAR93), .VAR116(VAR43), .VAR71(VAR5), .VAR31(VAR29), .VAR33(VAR3), .VAR58(VAR17), .VAR112(VAR123), .VAR95(VAR30), .VAR118(VAR10), .VAR85(VAR87), .VAR24(VAR35), .VAR99(VAR86), .VAR76(VAR103), .reset(reset) ); wire [VAR12-1:0] VAR122; wire [VAR12-1:0] VAR19; wire [VAR12-1:0] VAR120; wire [VAR12-1:0] VAR55; wire [VAR12-1:0] VAR27; wire [VAR12-1:0] VAR6; wire [VAR12-1:0] VAR72; wire [VAR12-1:0] VAR61; wire [VAR12-1:0] VAR114; MODULE4 VAR57( .VAR105 (VAR75), .VAR119 (VAR103), .VAR54(VAR122) ); MODULE4 VAR15( .VAR105 (VAR88), .VAR119 (VAR86), .VAR54(VAR19) ); MODULE4 VAR60( .VAR105 (VAR13), .VAR119 (VAR35), .VAR54(VAR120) ); MODULE4 VAR115( .VAR105 (VAR81), .VAR119 (VAR87), .VAR54(VAR55) ); MODULE4 VAR32( .VAR105 (VAR49), .VAR119 (VAR10), .VAR54(VAR27) ); MODULE4 VAR38( .VAR105 (VAR93), .VAR119 (VAR30), .VAR54(VAR6) ); MODULE4 VAR53( .VAR105 (VAR43), .VAR119 (VAR123), .VAR54(VAR72) ); MODULE4 VAR2( .VAR105 (VAR5), .VAR119 (VAR17), .VAR54(VAR61) ); MODULE4 VAR48( .VAR105 (VAR29), .VAR119 (VAR3), .VAR54(VAR114) ); wire [VAR12-1:0] VAR37; wire [VAR12-1:0] VAR9; wire [VAR12-1:0] VAR109; wire [VAR12-1:0] VAR42; wire [VAR12-1:0] VAR41; wire [VAR12-1:0] VAR108; wire [VAR12-1:0] VAR22; wire [VAR12-1:0] VAR68; wire [VAR12-1:0] VAR110; MODULE3 VAR101( .VAR105 (VAR122), .VAR119 (VAR7), .VAR54(VAR37) ); MODULE3 VAR16( .VAR105 (VAR19), .VAR119 (VAR107), .VAR54(VAR9) ); MODULE3 VAR111( .VAR105 (VAR120), .VAR119 (VAR79), .VAR54(VAR109) ); MODULE3 VAR117( .VAR105 (VAR55), .VAR119 (VAR77), .VAR54(VAR42) ); MODULE3 VAR90( .VAR105 (VAR27), .VAR119 (VAR80), .VAR54(VAR41) ); MODULE3 VAR34( .VAR105 (VAR6), .VAR119 (VAR94), .VAR54(VAR108) ); MODULE3 VAR59( .VAR105 (VAR72), .VAR119 (VAR78), .VAR54(VAR22) ); MODULE3 VAR100( .VAR105 (VAR61), .VAR119 (VAR4), .VAR54(VAR68) ); MODULE3 VAR45( .VAR105 (VAR114), .VAR119 (VAR56), .VAR54(VAR110) ); wire [VAR12-1:0] VAR51; wire [VAR12-1:0] VAR84; wire [VAR12-1:0] VAR64; wire [VAR12-1:0] VAR106; wire [VAR12-1:0] VAR102; MODULE4 VAR50( .VAR105 (VAR37), .VAR119 (VAR9), .VAR54(VAR51) ); MODULE4 VAR83( .VAR105 (VAR109), .VAR119 (VAR42), .VAR54(VAR84) ); MODULE4 VAR70( .VAR105 (VAR41), .VAR119 (VAR108), .VAR54(VAR64) ); MODULE4 VAR40( .VAR105 (VAR22), .VAR119 (VAR68), .VAR54(VAR106) ); MODULE1 VAR39( .VAR105 (VAR110), .VAR54(VAR102) ); wire [VAR12-1:0] VAR91; wire [VAR12-1:0] VAR92; wire [VAR12-1:0] VAR26; MODULE4 VAR11( .VAR105 (VAR51), .VAR119 (VAR84), .VAR54(VAR91) ); MODULE4 VAR21( .VAR105 (VAR64), .VAR119 (VAR106), .VAR54(VAR92) ); MODULE1 VAR46( .VAR105 (VAR102), .VAR54(VAR26) ); wire [VAR12-1:0] VAR44; wire [VAR12-1:0] VAR73; MODULE4 VAR28( .VAR105 (VAR91), .VAR119 (VAR92), .VAR54(VAR44) ); MODULE1 VAR23( .VAR105 (VAR26), .VAR54(VAR73) ); wire [VAR12-1:0] VAR14; MODULE4 VAR67( .VAR105 (VAR44), .VAR119 (VAR73), .VAR54(VAR14) ); reg [17:0] VAR104; always @(posedge clk) begin if(VAR98) begin VAR104 <= VAR14; end end assign VAR20 = VAR121[VAR62-1]; endmodule module MODULE2 ( clk, VAR98, VAR25, VAR47, VAR69, VAR97, VAR18, VAR52, VAR82, VAR116, VAR71, VAR31, VAR33, VAR58, VAR112, VAR95, VAR118, VAR85, VAR24, VAR99, VAR76, reset); parameter VAR36 = 1; input clk; input VAR98; input [VAR36-1:0] VAR25; output [VAR36-1:0] VAR47; output [VAR36-1:0] VAR69; output [VAR36-1:0] VAR97; output [VAR36-1:0] VAR18; output [VAR36-1:0] VAR52; output [VAR36-1:0] VAR82; output [VAR36-1:0] VAR116; output [VAR36-1:0] VAR71; output [VAR36-1:0] VAR31; output [VAR36-1:0] VAR33; output [VAR36-1:0] VAR58; output [VAR36-1:0] VAR112; output [VAR36-1:0] VAR95; output [VAR36-1:0] VAR118; output [VAR36-1:0] VAR85; output [VAR36-1:0] VAR24; output [VAR36-1:0] VAR99; output [VAR36-1:0] VAR76; reg [VAR36-1:0] VAR47; reg [VAR36-1:0] VAR69; reg [VAR36-1:0] VAR97; reg [VAR36-1:0] VAR18; reg [VAR36-1:0] VAR52; reg [VAR36-1:0] VAR82; reg [VAR36-1:0] VAR116; reg [VAR36-1:0] VAR71; reg [VAR36-1:0] VAR31; reg [VAR36-1:0] VAR33; reg [VAR36-1:0] VAR58; reg [VAR36-1:0] VAR112; reg [VAR36-1:0] VAR95; reg [VAR36-1:0] VAR118; reg [VAR36-1:0] VAR85; reg [VAR36-1:0] VAR24; reg [VAR36-1:0] VAR99; reg [VAR36-1:0] VAR76; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR47 <= 0; VAR69 <= 0; VAR97 <= 0; VAR18 <= 0; VAR52 <= 0; VAR82 <= 0; VAR116 <= 0; VAR71 <= 0; VAR31 <= 0; VAR33 <= 0; VAR58 <= 0; VAR112 <= 0; VAR95 <= 0; VAR118 <= 0; VAR85 <= 0; VAR24 <= 0; VAR99 <= 0; VAR76 <= 0; end else begin if(VAR98) begin VAR47 <= VAR25; VAR69 <= VAR47; VAR97 <= VAR69; VAR18 <= VAR97; VAR52 <= VAR18; VAR82 <= VAR52; VAR116 <= VAR82; VAR71 <= VAR116; VAR31 <= VAR71; VAR33 <= VAR31; VAR58 <= VAR33; VAR112 <= VAR58; VAR95 <= VAR112; VAR118 <= VAR95; VAR85 <= VAR118; VAR24 <= VAR85; VAR99 <= VAR24; VAR76 <= VAR99; end end end endmodule module MODULE4 ( VAR105, VAR119, VAR54); input clk; input VAR98; input [17:0] VAR105; input [17:0] VAR119; output [17:0] VAR54; assign VAR54 = VAR105 + VAR119; endmodule module MODULE3 ( VAR105, VAR119, VAR54); input clk; input VAR98; input [17:0] VAR105; input [17:0] VAR119; output [17:0] VAR54; assign VAR54 = VAR105 * VAR119; endmodule module MODULE1 ( VAR105, VAR54); input clk; input VAR98; input [17:0] VAR105; output [17:0] VAR54; assign VAR54 = VAR105; endmodule
mit
rkrajnc/minimig-de1
rtl/minimig/PS2Keyboard.v
37,615
module MODULE2 ( input clk, input reset, inout VAR61, inout VAR6, input VAR16, input VAR15, output VAR52, output VAR56, output [7:0] VAR68, output reg VAR7, input VAR33, output [7:0] VAR67, output VAR46, output VAR26, output [5:0] VAR3, output VAR13, output [5:0] VAR42, output [5:0] VAR44 ); reg VAR27; wire VAR64; wire VAR70; reg VAR14,VAR45,VAR30; reg [11:0] VAR63; reg [11:0] VAR11; reg [19:0] VAR25; reg [2:0] VAR22; reg [2:0] VAR38; reg VAR21; wire VAR36; reg VAR9; wire VAR65; reg VAR34; wire VAR40; wire VAR19; reg VAR5; reg VAR58; wire VAR39; wire valid; assign VAR6 = VAR27 ? 1'VAR41 : 1'b0; assign VAR61 = VAR64 ? 1'VAR41 : 1'b0; always @(posedge clk) begin VAR14 <= VAR61; VAR45 <= VAR6; VAR30 <= VAR45; end assign VAR70 = VAR30 & ~VAR45; wire VAR10; always @(posedge clk) if (VAR9 || VAR10) VAR63[11:0] <= 12'b111111111111; else if (VAR70) VAR63[11:0] <= {1'b0,VAR14,VAR63[10:1]}; assign VAR10 = ~VAR63[0]; assign VAR65 = ~VAR63[11]; always @(posedge clk) if (VAR34) VAR25[19:0] <= 20'd0; else if (!VAR19) VAR25[19:0] <= VAR25[19:0] + 20'd1; assign VAR40 = VAR25[15];assign VAR19 = VAR25[19]; always @(posedge clk) if (VAR5) VAR11[11:0] <= 12'b111111011010; else if (VAR58) VAR11[11:0] <= {2'b11,~(VAR21^VAR36^VAR15),5'b00000,VAR21,VAR36,VAR15,1'b0}; else if (!VAR39 && VAR70) VAR11[11:0] <= {1'b0,VAR11[11:1]}; assign VAR39 = (VAR11[11:0]==12'b000000000001) ? 1'd1 : 1'd0; assign VAR64 = VAR11[0]; always @(posedge clk) if (reset) VAR22 <= 3'd0; else VAR22 <= VAR38; always @(VAR22 or VAR40 or VAR19 or VAR39 or VAR10 or VAR65 or VAR7 or VAR33) begin case(VAR22) 0: begin VAR9 = 1'd1; VAR34 = 1'd1; VAR27 = 1'd0; VAR5 = 1'd0; VAR58 = 1'd0; VAR38 = 3'd1; end 1: begin VAR9 = 1'd1; VAR34 = 1'd0; VAR27 = 1'd0; VAR5 = 1'd1; VAR58 = 1'd0; if (VAR40) VAR38 = 3'd2; end else VAR38 = 3'd1; end 2: begin VAR9 = ~VAR39; VAR34 = 1'd1; VAR27 = 1'd1; VAR5 = 1'd0; VAR58 = 1'd0; if (VAR10) VAR38 = 3'd3; end else VAR38 = 3'd2; end 3: begin VAR9 = 1'd1; VAR34 = 1'd0; VAR27 = 1'd0; VAR5 = 1'd0; VAR58 = 1'd1; if (VAR40) VAR38 = 3'd4; end else VAR38 = 3'd3; end 4: begin VAR9 = ~VAR39; VAR34 = 1'd1; VAR27 = 1'd1; VAR5 = 1'd0; VAR58 = 1'd0; if (VAR10) VAR38 = 3'd5; end else VAR38 = 3'd4; end 5: begin VAR9 = 1'd0; VAR34 = VAR7; VAR27 = 1'd1; VAR5 = 1'd0; VAR58 = 1'd0; if (VAR7) VAR38 = 3'd6; end else if (!VAR65 && VAR19) VAR38 = 3'd0; else VAR38 = 3'd5; end 6: begin VAR9 = 1'd0; VAR34 = VAR33; VAR27 = 1'd0; VAR5 = 1'd0; VAR58 = 1'd0; if (VAR33 || VAR19) VAR38 = 3'd5; end else VAR38 = 3'd6; end default: begin VAR9 = 1'd0; VAR34 = 1'd0; VAR27 = 1'd1; VAR5 = 1'd0; VAR58 = 1'd0; VAR38 = 3'd0; end endcase end wire VAR1,VAR8,VAR50,VAR35; MODULE1 MODULE1 ( .clk(clk), .reset(reset), .enable(VAR10), .VAR51(VAR63[8:1]), .valid(valid), .VAR47(VAR68[7:0]), .VAR1(VAR1), .VAR8(VAR8), .VAR50(VAR50), .VAR35(VAR35), .VAR36(VAR36), .VAR67(VAR67), .VAR46(VAR46), .VAR26(VAR26), .VAR3(VAR3), .VAR13(VAR13), .VAR42(VAR42), .VAR44(VAR44) ); wire VAR62; reg [7:0]VAR37; assign VAR62 = VAR37[6:0]==VAR68[6:0] ? 1'd1 : 1'd0; always @(posedge clk) if (reset) VAR37[7:0] <= 8'd0; else if (valid && !VAR68[7]) VAR37[7:0] <= VAR68[7:0]; else if (valid && VAR68[7] && VAR62) VAR37[7:0] <= VAR68[7:0]; always @(posedge clk) if (reset) VAR21 <= 1'd0; else if (valid && !VAR68[7] && VAR35 && !(VAR62 && (VAR68[7]==VAR37[7]))) VAR21 <= ~VAR21; assign VAR52 = VAR21; always @(VAR21 or VAR35 or VAR62 or VAR68 or VAR37 or valid) if (VAR21 && VAR35) VAR7 = 1'd0; else if (VAR62 && (VAR68[7]==VAR37[7])) VAR7 = 1'd0; else if (valid) VAR7 = 1'd1; else VAR7 = 1'd0; reg [2:0]VAR72; always @(posedge clk) begin if (reset) VAR72[2] <= 1'd1; end else if (valid && (VAR1 || VAR35)) VAR72[2] <= VAR68[7]; if (reset) VAR72[1] <= 1'd1; else if (valid && VAR8) VAR72[1] <= VAR68[7]; if (reset) VAR72[0] <= 1'd1; else if (valid && VAR50) VAR72[0] <= VAR68[7]; end assign VAR56 = ~(VAR72[2] | VAR72[1] | VAR72[0]); endmodule module MODULE1 ( input clk, input reset, input enable, input [7:0] VAR51, output valid, output [7:0] VAR47, output VAR1, output VAR8, output VAR50, output VAR35, output reg VAR36 = 0, output reg [7:0] VAR67, output reg VAR46, output reg VAR26, output reg [5:0] VAR3, output reg VAR13, output [5:0] VAR42, output reg [5:0] VAR44 ); localparam VAR43 = 7'h4c; localparam VAR69 = 7'h4d; localparam VAR55 = 7'h4f; localparam VAR54 = 7'h4e; localparam VAR73 = 7'h0F; localparam VAR71 = 7'h43; localparam VAR31 = 7'h5C; localparam VAR28 = 7'h5D; reg [15:0] VAR18; reg VAR4; reg VAR74; reg VAR49; wire VAR17; always @(posedge clk) VAR4 <= enable; always @(posedge clk) if (reset) begin VAR74 <= 1'd0; VAR49 <= 1'd0; end else if (VAR4 && VAR18[7] && VAR18[0]) VAR49 <= 1'd1; else if (VAR4 && VAR18[7] && VAR18[1]) VAR74 <= 1'd1; else if (VAR4 && !(VAR18[7] && VAR18[2])) begin VAR74 <= 1'd0; VAR49 <= 1'd0; end assign VAR17 = VAR36 && ((VAR18[7:0]==VAR55) | (VAR18[7:0]==VAR54) | (VAR18[7:0]==VAR43) | (VAR18[7:0]==VAR69) | VAR18[14] | VAR18[13]); assign valid = VAR18[15] & (~VAR18[9] | ~VAR36) & VAR4 && !VAR17; assign VAR1 = VAR18[14] && !VAR36; assign VAR8 = VAR18[13] && !VAR36; assign VAR50 = VAR18[12]; assign VAR35 = VAR18[11]; assign VAR47[7:0] = {VAR74, VAR18[6:0]}; always @(posedge clk) begin if (reset) VAR67[7:0] <= 8'd0; end else if (VAR4 && (VAR18[8] || VAR18[15])) VAR67[7:0] <= {VAR74, VAR18[6:0]}; end always @(posedge clk) begin if (reset) VAR13 <= 1'b0; end else if (VAR4 && VAR18[8] && VAR18[7:0]==8'h6F) VAR13 <= ~VAR74; end always @(posedge clk) begin if (VAR4 && VAR18[10] && ~VAR74) VAR36 <= ~VAR36; end always @(posedge clk) begin if (reset || !VAR36 || VAR4 && VAR18[15] && VAR18[7:0]==VAR55 && !VAR74) VAR3[0] <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR54) VAR3[0] <= VAR74; end always @(posedge clk) begin if (reset || !VAR36 || VAR4 && VAR18[15] && VAR18[7:0]==VAR54 && !VAR74) VAR3[1] <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR55) VAR3[1] <= VAR74; end always @(posedge clk) begin if (reset || !VAR36 || VAR4 && VAR18[15] && VAR18[7:0]==VAR43 && !VAR74) VAR3[2] <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR69) VAR3[2] <= VAR74; end always @(posedge clk) begin if (reset || !VAR36 || VAR4 && VAR18[15] && VAR18[7:0]==VAR69 && !VAR74) VAR3[3] <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR43) VAR3[3] <= VAR74; end always @(posedge clk) begin if (reset || !VAR36) VAR3[4] <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[14] ) VAR3[5] <= VAR74; end always @(posedge clk) begin if (reset || !VAR36) VAR46 <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR31) VAR46 <= VAR74; end always @(posedge clk) begin if (reset || !VAR36) VAR26 <= 1'b1; end else if (VAR4 && VAR18[15] && VAR18[7:0]==VAR28) VAR26 <= VAR74; end reg VAR53, VAR20, VAR24, VAR66; assign VAR42[5:0] = {2'b11, VAR53, VAR20, VAR24, VAR66}; localparam VAR57 = 8'h3f;localparam VAR60 = 8'h3e;localparam VAR59 = 8'h3d;localparam VAR32 = 8'h2f;localparam VAR2 = 8'h2d;localparam VAR29 = 8'h1f;localparam VAR48 = 8'h1e;localparam VAR23 = 8'h1d; always @ (posedge clk) begin if (reset || !VAR36) begin VAR53 <= 1'b0; VAR20 <= 1'b0; VAR24 <= 1'b0; VAR66 <= 1'b0; end else if (VAR4 && VAR18[15]) begin VAR53 <= 1'b0; VAR20 <= 1'b0; VAR24 <= 1'b0; VAR66 <= 1'b0; case (VAR18[7:0]) VAR57, VAR60, VAR59: VAR53 <= !VAR74; VAR29, VAR48, VAR23: VAR20 <= !VAR74; endcase case (VAR18[7:0]) VAR57, VAR32, VAR29: VAR66 <= !VAR74; VAR59, VAR2, VAR23: VAR24 <= !VAR74; endcase end end always @(posedge clk) begin if (enable) begin case({VAR49,VAR51[7:0]}) 9'h000: VAR18[15:0] <= 16'h0000; 9'h001: VAR18[15:0] <= 16'h8058; 9'h002: VAR18[15:0] <= 16'h0000; 9'h003: VAR18[15:0] <= 16'h8054; 9'h004: VAR18[15:0] <= 16'h8052; 9'h005: VAR18[15:0] <= 16'h8050; 9'h006: VAR18[15:0] <= 16'h8051; 9'h007: VAR18[15:0] <= 16'h0169; 9'h008: VAR18[15:0] <= 16'h0000; 9'h009: VAR18[15:0] <= 16'h8059; 9'h00a: VAR18[15:0] <= 16'h8057; 9'h00b: VAR18[15:0] <= 16'h8055; 9'h00c: VAR18[15:0] <= 16'h8053; 9'h00d: VAR18[15:0] <= 16'h8042; 9'h00e: VAR18[15:0] <= 16'h8000; 9'h00f: VAR18[15:0] <= 16'h0000; 9'h010: VAR18[15:0] <= 16'h0000; 9'h011: VAR18[15:0] <= 16'ha064; 9'h012: VAR18[15:0] <= 16'h8060; 9'h013: VAR18[15:0] <= 16'h0000; 9'h014: VAR18[15:0] <= 16'hc063; 9'h015: VAR18[15:0] <= 16'h8010; 9'h016: VAR18[15:0] <= 16'h8001; 9'h017: VAR18[15:0] <= 16'h0000; 9'h018: VAR18[15:0] <= 16'h0000; 9'h019: VAR18[15:0] <= 16'h0000; 9'h01a: VAR18[15:0] <= 16'h8031; 9'h01b: VAR18[15:0] <= 16'h8021; 9'h01c: VAR18[15:0] <= 16'h8020; 9'h01d: VAR18[15:0] <= 16'h8011; 9'h01e: VAR18[15:0] <= 16'h8002; 9'h01f: VAR18[15:0] <= 16'h0000; 9'h020: VAR18[15:0] <= 16'h0000; 9'h021: VAR18[15:0] <= 16'h8033; 9'h022: VAR18[15:0] <= 16'h8032; 9'h023: VAR18[15:0] <= 16'h8022; 9'h024: VAR18[15:0] <= 16'h8012; 9'h025: VAR18[15:0] <= 16'h8004; 9'h026: VAR18[15:0] <= 16'h8003; 9'h027: VAR18[15:0] <= 16'h0000; 9'h028: VAR18[15:0] <= 16'h0000; 9'h029: VAR18[15:0] <= 16'h8040; 9'h02a: VAR18[15:0] <= 16'h8034; 9'h02b: VAR18[15:0] <= 16'h8023; 9'h02c: VAR18[15:0] <= 16'h8014; 9'h02d: VAR18[15:0] <= 16'h8013; 9'h02e: VAR18[15:0] <= 16'h8005; 9'h02f: VAR18[15:0] <= 16'h0000; 9'h030: VAR18[15:0] <= 16'h0000; 9'h031: VAR18[15:0] <= 16'h8036; 9'h032: VAR18[15:0] <= 16'h8035; 9'h033: VAR18[15:0] <= 16'h8025; 9'h034: VAR18[15:0] <= 16'h8024; 9'h035: VAR18[15:0] <= 16'h8015; 9'h036: VAR18[15:0] <= 16'h8006; 9'h037: VAR18[15:0] <= 16'h0000; 9'h038: VAR18[15:0] <= 16'h0000; 9'h039: VAR18[15:0] <= 16'h0000; 9'h03a: VAR18[15:0] <= 16'h8037; 9'h03b: VAR18[15:0] <= 16'h8026; 9'h03c: VAR18[15:0] <= 16'h8016; 9'h03d: VAR18[15:0] <= 16'h8007; 9'h03e: VAR18[15:0] <= 16'h8008; 9'h03f: VAR18[15:0] <= 16'h0000; 9'h040: VAR18[15:0] <= 16'h0000; 9'h041: VAR18[15:0] <= 16'h8038; 9'h042: VAR18[15:0] <= 16'h8027; 9'h043: VAR18[15:0] <= 16'h8017; 9'h044: VAR18[15:0] <= 16'h8018; 9'h045: VAR18[15:0] <= 16'h800a; 9'h046: VAR18[15:0] <= 16'h8009; 9'h047: VAR18[15:0] <= 16'h0000; 9'h048: VAR18[15:0] <= 16'h0000; 9'h049: VAR18[15:0] <= 16'h8039; 9'h04a: VAR18[15:0] <= 16'h803a; 9'h04b: VAR18[15:0] <= 16'h8028; 9'h04c: VAR18[15:0] <= 16'h8029; 9'h04d: VAR18[15:0] <= 16'h8019; 9'h04e: VAR18[15:0] <= 16'h800b; 9'h04f: VAR18[15:0] <= 16'h0000; 9'h050: VAR18[15:0] <= 16'h0000; 9'h051: VAR18[15:0] <= 16'h0000; 9'h052: VAR18[15:0] <= 16'h802a; 9'h053: VAR18[15:0] <= 16'h0000; 9'h054: VAR18[15:0] <= 16'h801a; 9'h055: VAR18[15:0] <= 16'h800c; 9'h056: VAR18[15:0] <= 16'h0000; 9'h057: VAR18[15:0] <= 16'h0000; 9'h058: VAR18[15:0] <= 16'h8862; 9'h059: VAR18[15:0] <= 16'h8061; 9'h05a: VAR18[15:0] <= 16'h8044; 9'h05b: VAR18[15:0] <= 16'h801b; 9'h05c: VAR18[15:0] <= 16'h0000; 9'h05d: VAR18[15:0] <= 16'h802B; 9'h05e: VAR18[15:0] <= 16'h0000; 9'h05f: VAR18[15:0] <= 16'h0000; 9'h060: VAR18[15:0] <= 16'h0000; 9'h061: VAR18[15:0] <= 16'h8030; 9'h062: VAR18[15:0] <= 16'h0000; 9'h063: VAR18[15:0] <= 16'h0000; 9'h064: VAR18[15:0] <= 16'h0000; 9'h065: VAR18[15:0] <= 16'h0000; 9'h066: VAR18[15:0] <= 16'h8041; 9'h067: VAR18[15:0] <= 16'h0000; 9'h068: VAR18[15:0] <= 16'h0000; 9'h069: VAR18[15:0] <= 16'h821d; 9'h06a: VAR18[15:0] <= 16'h0000; 9'h06b: VAR18[15:0] <= 16'h822d; 9'h06c: VAR18[15:0] <= 16'h823d; 9'h06d: VAR18[15:0] <= 16'h0000; 9'h06e: VAR18[15:0] <= 16'h0000; 9'h06f: VAR18[15:0] <= 16'h0000; 9'h070: VAR18[15:0] <= 16'h820f; 9'h071: VAR18[15:0] <= 16'h823c; 9'h072: VAR18[15:0] <= 16'h821e; 9'h073: VAR18[15:0] <= 16'h822e; 9'h074: VAR18[15:0] <= 16'h822f; 9'h075: VAR18[15:0] <= 16'h823e; 9'h076: VAR18[15:0] <= 16'h8045; 9'h077: VAR18[15:0] <= 16'h0400; 9'h078: VAR18[15:0] <= 16'h0000; 9'h079: VAR18[15:0] <= 16'h825e; 9'h07a: VAR18[15:0] <= 16'h821f; 9'h07b: VAR18[15:0] <= 16'h824a; 9'h07c: VAR18[15:0] <= 16'h825d; 9'h07d: VAR18[15:0] <= 16'h823f; 9'h07e: VAR18[15:0] <= 16'h0169; 9'h07f: VAR18[15:0] <= 16'h0000; 9'h080: VAR18[15:0] <= 16'h0000; 9'h081: VAR18[15:0] <= 16'h0000; 9'h082: VAR18[15:0] <= 16'h0000; 9'h083: VAR18[15:0] <= 16'h8056; 9'h084: VAR18[15:0] <= 16'h0000; 9'h085: VAR18[15:0] <= 16'h0000; 9'h086: VAR18[15:0] <= 16'h0000; 9'h087: VAR18[15:0] <= 16'h0000; 9'h088: VAR18[15:0] <= 16'h0000; 9'h089: VAR18[15:0] <= 16'h0000; 9'h08a: VAR18[15:0] <= 16'h0000; 9'h08b: VAR18[15:0] <= 16'h0000; 9'h08c: VAR18[15:0] <= 16'h0000; 9'h08d: VAR18[15:0] <= 16'h0000; 9'h08e: VAR18[15:0] <= 16'h0000; 9'h08f: VAR18[15:0] <= 16'h0000; 9'h090: VAR18[15:0] <= 16'h0000; 9'h091: VAR18[15:0] <= 16'h0000; 9'h092: VAR18[15:0] <= 16'h0000; 9'h093: VAR18[15:0] <= 16'h0000; 9'h094: VAR18[15:0] <= 16'h0000; 9'h095: VAR18[15:0] <= 16'h0000; 9'h096: VAR18[15:0] <= 16'h0000; 9'h097: VAR18[15:0] <= 16'h0000; 9'h098: VAR18[15:0] <= 16'h0000; 9'h099: VAR18[15:0] <= 16'h0000; 9'h09a: VAR18[15:0] <= 16'h0000; 9'h09b: VAR18[15:0] <= 16'h0000; 9'h09c: VAR18[15:0] <= 16'h0000; 9'h09d: VAR18[15:0] <= 16'h0000; 9'h09e: VAR18[15:0] <= 16'h0000; 9'h09f: VAR18[15:0] <= 16'h0000; 9'h0a0: VAR18[15:0] <= 16'h0000; 9'h0a1: VAR18[15:0] <= 16'h0000; 9'h0a2: VAR18[15:0] <= 16'h0000; 9'h0a3: VAR18[15:0] <= 16'h0000; 9'h0a4: VAR18[15:0] <= 16'h0000; 9'h0a5: VAR18[15:0] <= 16'h0000; 9'h0a6: VAR18[15:0] <= 16'h0000; 9'h0a7: VAR18[15:0] <= 16'h0000; 9'h0a8: VAR18[15:0] <= 16'h0000; 9'h0a9: VAR18[15:0] <= 16'h0000; 9'h0aa: VAR18[15:0] <= 16'h0000; 9'h0ab: VAR18[15:0] <= 16'h0000; 9'h0ac: VAR18[15:0] <= 16'h0000; 9'h0ad: VAR18[15:0] <= 16'h0000; 9'h0ae: VAR18[15:0] <= 16'h0000; 9'h0af: VAR18[15:0] <= 16'h0000; 9'h0b0: VAR18[15:0] <= 16'h0000; 9'h0b1: VAR18[15:0] <= 16'h0000; 9'h0b2: VAR18[15:0] <= 16'h0000; 9'h0b3: VAR18[15:0] <= 16'h0000; 9'h0b4: VAR18[15:0] <= 16'h0000; 9'h0b5: VAR18[15:0] <= 16'h0000; 9'h0b6: VAR18[15:0] <= 16'h0000; 9'h0b7: VAR18[15:0] <= 16'h0000; 9'h0b8: VAR18[15:0] <= 16'h0000; 9'h0b9: VAR18[15:0] <= 16'h0000; 9'h0ba: VAR18[15:0] <= 16'h0000; 9'h0bb: VAR18[15:0] <= 16'h0000; 9'h0bc: VAR18[15:0] <= 16'h0000; 9'h0bd: VAR18[15:0] <= 16'h0000; 9'h0be: VAR18[15:0] <= 16'h0000; 9'h0bf: VAR18[15:0] <= 16'h0000; 9'h0c0: VAR18[15:0] <= 16'h0000; 9'h0c1: VAR18[15:0] <= 16'h0000; 9'h0c2: VAR18[15:0] <= 16'h0000; 9'h0c3: VAR18[15:0] <= 16'h0000; 9'h0c4: VAR18[15:0] <= 16'h0000; 9'h0c5: VAR18[15:0] <= 16'h0000; 9'h0c6: VAR18[15:0] <= 16'h0000; 9'h0c7: VAR18[15:0] <= 16'h0000; 9'h0c8: VAR18[15:0] <= 16'h0000; 9'h0c9: VAR18[15:0] <= 16'h0000; 9'h0ca: VAR18[15:0] <= 16'h0000; 9'h0cb: VAR18[15:0] <= 16'h0000; 9'h0cc: VAR18[15:0] <= 16'h0000; 9'h0cd: VAR18[15:0] <= 16'h0000; 9'h0ce: VAR18[15:0] <= 16'h0000; 9'h0cf: VAR18[15:0] <= 16'h0000; 9'h0d0: VAR18[15:0] <= 16'h0000; 9'h0d1: VAR18[15:0] <= 16'h0000; 9'h0d2: VAR18[15:0] <= 16'h0000; 9'h0d3: VAR18[15:0] <= 16'h0000; 9'h0d4: VAR18[15:0] <= 16'h0000; 9'h0d5: VAR18[15:0] <= 16'h0000; 9'h0d6: VAR18[15:0] <= 16'h0000; 9'h0d7: VAR18[15:0] <= 16'h0000; 9'h0d8: VAR18[15:0] <= 16'h0000; 9'h0d9: VAR18[15:0] <= 16'h0000; 9'h0da: VAR18[15:0] <= 16'h0000; 9'h0db: VAR18[15:0] <= 16'h0000; 9'h0dc: VAR18[15:0] <= 16'h0000; 9'h0dd: VAR18[15:0] <= 16'h0000; 9'h0de: VAR18[15:0] <= 16'h0000; 9'h0df: VAR18[15:0] <= 16'h0000; 9'h0e0: VAR18[15:0] <= 16'h0081; 9'h0e1: VAR18[15:0] <= 16'h0000; 9'h0e2: VAR18[15:0] <= 16'h0000; 9'h0e3: VAR18[15:0] <= 16'h0000; 9'h0e4: VAR18[15:0] <= 16'h0000; 9'h0e5: VAR18[15:0] <= 16'h0000; 9'h0e6: VAR18[15:0] <= 16'h0000; 9'h0e7: VAR18[15:0] <= 16'h0000; 9'h0e8: VAR18[15:0] <= 16'h0000; 9'h0e9: VAR18[15:0] <= 16'h0000; 9'h0ea: VAR18[15:0] <= 16'h0000; 9'h0eb: VAR18[15:0] <= 16'h0000; 9'h0ec: VAR18[15:0] <= 16'h0000; 9'h0ed: VAR18[15:0] <= 16'h0000; 9'h0ee: VAR18[15:0] <= 16'h0000; 9'h0ef: VAR18[15:0] <= 16'h0000; 9'h0f0: VAR18[15:0] <= 16'h0082; 9'h0f1: VAR18[15:0] <= 16'h0000; 9'h0f2: VAR18[15:0] <= 16'h0000; 9'h0f3: VAR18[15:0] <= 16'h0000; 9'h0f4: VAR18[15:0] <= 16'h0000; 9'h0f5: VAR18[15:0] <= 16'h0000; 9'h0f6: VAR18[15:0] <= 16'h0000; 9'h0f7: VAR18[15:0] <= 16'h0000; 9'h0f8: VAR18[15:0] <= 16'h0000; 9'h0f9: VAR18[15:0] <= 16'h0000; 9'h0fa: VAR18[15:0] <= 16'h0084; 9'h0fb: VAR18[15:0] <= 16'h0000; 9'h0fc: VAR18[15:0] <= 16'h0000; 9'h0fd: VAR18[15:0] <= 16'h0000; 9'h0fe: VAR18[15:0] <= 16'h0000; 9'h0ff: VAR18[15:0] <= 16'h0000; 9'h100: VAR18[15:0] <= 16'h0000; 9'h101: VAR18[15:0] <= 16'h0000; 9'h102: VAR18[15:0] <= 16'h0000; 9'h103: VAR18[15:0] <= 16'h0000; 9'h104: VAR18[15:0] <= 16'h0000; 9'h105: VAR18[15:0] <= 16'h0000; 9'h106: VAR18[15:0] <= 16'h0000; 9'h107: VAR18[15:0] <= 16'h0000; 9'h108: VAR18[15:0] <= 16'h0000; 9'h109: VAR18[15:0] <= 16'h0000; 9'h10a: VAR18[15:0] <= 16'h0000; 9'h10b: VAR18[15:0] <= 16'h0000; 9'h10c: VAR18[15:0] <= 16'h0000; 9'h10d: VAR18[15:0] <= 16'h0000; 9'h10e: VAR18[15:0] <= 16'h0000; 9'h10f: VAR18[15:0] <= 16'h0000; 9'h110: VAR18[15:0] <= 16'h0000; 9'h111: VAR18[15:0] <= 16'h9065; 9'h112: VAR18[15:0] <= 16'h0000; 9'h113: VAR18[15:0] <= 16'h0000; 9'h114: VAR18[15:0] <= 16'h0000; 9'h115: VAR18[15:0] <= 16'h0000; 9'h116: VAR18[15:0] <= 16'h0000; 9'h117: VAR18[15:0] <= 16'h0000; 9'h118: VAR18[15:0] <= 16'h0000; 9'h119: VAR18[15:0] <= 16'h0000; 9'h11a: VAR18[15:0] <= 16'h0000; 9'h11b: VAR18[15:0] <= 16'h0000; 9'h11c: VAR18[15:0] <= 16'h0000; 9'h11d: VAR18[15:0] <= 16'h0000; 9'h11e: VAR18[15:0] <= 16'h0000; 9'h11f: VAR18[15:0] <= 16'h8066; 9'h120: VAR18[15:0] <= 16'h0000; 9'h121: VAR18[15:0] <= 16'h0000; 9'h122: VAR18[15:0] <= 16'h0000; 9'h123: VAR18[15:0] <= 16'h0000; 9'h124: VAR18[15:0] <= 16'h0000; 9'h125: VAR18[15:0] <= 16'h0000; 9'h126: VAR18[15:0] <= 16'h0000; 9'h127: VAR18[15:0] <= 16'h8067; 9'h128: VAR18[15:0] <= 16'h0000; 9'h129: VAR18[15:0] <= 16'h0000; 9'h12a: VAR18[15:0] <= 16'h0000; 9'h12b: VAR18[15:0] <= 16'h0000; 9'h12c: VAR18[15:0] <= 16'h0000; 9'h12d: VAR18[15:0] <= 16'h0000; 9'h12e: VAR18[15:0] <= 16'h0000; 9'h12f: VAR18[15:0] <= 16'h8067; 9'h130: VAR18[15:0] <= 16'h0000; 9'h131: VAR18[15:0] <= 16'h0000; 9'h132: VAR18[15:0] <= 16'h0000; 9'h133: VAR18[15:0] <= 16'h0000; 9'h134: VAR18[15:0] <= 16'h0000; 9'h135: VAR18[15:0] <= 16'h0000; 9'h136: VAR18[15:0] <= 16'h0000; 9'h137: VAR18[15:0] <= 16'h0000; 9'h138: VAR18[15:0] <= 16'h0000; 9'h139: VAR18[15:0] <= 16'h0000; 9'h13a: VAR18[15:0] <= 16'h0000; 9'h13b: VAR18[15:0] <= 16'h0000; 9'h13c: VAR18[15:0] <= 16'h0000; 9'h13d: VAR18[15:0] <= 16'h0000; 9'h13e: VAR18[15:0] <= 16'h0000; 9'h13f: VAR18[15:0] <= 16'h0000; 9'h140: VAR18[15:0] <= 16'h0000; 9'h141: VAR18[15:0] <= 16'h0000; 9'h142: VAR18[15:0] <= 16'h0000; 9'h143: VAR18[15:0] <= 16'h0000; 9'h144: VAR18[15:0] <= 16'h0000; 9'h145: VAR18[15:0] <= 16'h0000; 9'h146: VAR18[15:0] <= 16'h0000; 9'h147: VAR18[15:0] <= 16'h0000; 9'h148: VAR18[15:0] <= 16'h0000; 9'h149: VAR18[15:0] <= 16'h0000; 9'h14a: VAR18[15:0] <= 16'h825c; 9'h14b: VAR18[15:0] <= 16'h0000; 9'h14c: VAR18[15:0] <= 16'h0000; 9'h14d: VAR18[15:0] <= 16'h0000; 9'h14e: VAR18[15:0] <= 16'h0000; 9'h14f: VAR18[15:0] <= 16'h0000; 9'h150: VAR18[15:0] <= 16'h0000; 9'h151: VAR18[15:0] <= 16'h0000; 9'h152: VAR18[15:0] <= 16'h0000; 9'h153: VAR18[15:0] <= 16'h0000; 9'h154: VAR18[15:0] <= 16'h0000; 9'h155: VAR18[15:0] <= 16'h0000; 9'h156: VAR18[15:0] <= 16'h0000; 9'h157: VAR18[15:0] <= 16'h0000; 9'h158: VAR18[15:0] <= 16'h0000; 9'h159: VAR18[15:0] <= 16'h0000; 9'h15a: VAR18[15:0] <= 16'h8243; 9'h15b: VAR18[15:0] <= 16'h0000; 9'h15c: VAR18[15:0] <= 16'h0000; 9'h15d: VAR18[15:0] <= 16'h0000; 9'h15e: VAR18[15:0] <= 16'h0000; 9'h15f: VAR18[15:0] <= 16'h0000; 9'h160: VAR18[15:0] <= 16'h0000; 9'h161: VAR18[15:0] <= 16'h0000; 9'h162: VAR18[15:0] <= 16'h0000; 9'h163: VAR18[15:0] <= 16'h0000; 9'h164: VAR18[15:0] <= 16'h0000; 9'h165: VAR18[15:0] <= 16'h0000; 9'h166: VAR18[15:0] <= 16'h0000; 9'h167: VAR18[15:0] <= 16'h0000; 9'h168: VAR18[15:0] <= 16'h0000; 9'h169: VAR18[15:0] <= 16'h016B; 9'h16a: VAR18[15:0] <= 16'h0000; 9'h16b: VAR18[15:0] <= 16'h804f; 9'h16c: VAR18[15:0] <= 16'h016A; 9'h16d: VAR18[15:0] <= 16'h0000; 9'h16e: VAR18[15:0] <= 16'h0000; 9'h16f: VAR18[15:0] <= 16'h0000; 9'h170: VAR18[15:0] <= 16'h805f; 9'h171: VAR18[15:0] <= 16'h8046; 9'h172: VAR18[15:0] <= 16'h804d; 9'h173: VAR18[15:0] <= 16'h0000; 9'h174: VAR18[15:0] <= 16'h804e; 9'h175: VAR18[15:0] <= 16'h804c; 9'h176: VAR18[15:0] <= 16'h0000; 9'h177: VAR18[15:0] <= 16'h0000; 9'h178: VAR18[15:0] <= 16'h0000; 9'h179: VAR18[15:0] <= 16'h0000; 9'h17a: VAR18[15:0] <= 16'h016D; 9'h17b: VAR18[15:0] <= 16'h0000; 9'h17c: VAR18[15:0] <= 16'h016E; 9'h17d: VAR18[15:0] <= 16'h016C; 9'h17e: VAR18[15:0] <= 16'h016F; 9'h17f: VAR18[15:0] <= 16'h0000; 9'h180: VAR18[15:0] <= 16'h0000; 9'h181: VAR18[15:0] <= 16'h0000; 9'h182: VAR18[15:0] <= 16'h0000; 9'h183: VAR18[15:0] <= 16'h0000; 9'h184: VAR18[15:0] <= 16'h0000; 9'h185: VAR18[15:0] <= 16'h0000; 9'h186: VAR18[15:0] <= 16'h0000; 9'h187: VAR18[15:0] <= 16'h0000; 9'h188: VAR18[15:0] <= 16'h0000; 9'h189: VAR18[15:0] <= 16'h0000; 9'h18a: VAR18[15:0] <= 16'h0000; 9'h18b: VAR18[15:0] <= 16'h0000; 9'h18c: VAR18[15:0] <= 16'h0000; 9'h18d: VAR18[15:0] <= 16'h0000; 9'h18e: VAR18[15:0] <= 16'h0000; 9'h18f: VAR18[15:0] <= 16'h0000; 9'h190: VAR18[15:0] <= 16'h0000; 9'h191: VAR18[15:0] <= 16'h0000; 9'h192: VAR18[15:0] <= 16'h0000; 9'h193: VAR18[15:0] <= 16'h0000; 9'h194: VAR18[15:0] <= 16'h0000; 9'h195: VAR18[15:0] <= 16'h0000; 9'h196: VAR18[15:0] <= 16'h0000; 9'h197: VAR18[15:0] <= 16'h0000; 9'h198: VAR18[15:0] <= 16'h0000; 9'h199: VAR18[15:0] <= 16'h0000; 9'h19a: VAR18[15:0] <= 16'h0000; 9'h19b: VAR18[15:0] <= 16'h0000; 9'h19c: VAR18[15:0] <= 16'h0000; 9'h19d: VAR18[15:0] <= 16'h0000; 9'h19e: VAR18[15:0] <= 16'h0000; 9'h19f: VAR18[15:0] <= 16'h0000; 9'h1a0: VAR18[15:0] <= 16'h0000; 9'h1a1: VAR18[15:0] <= 16'h0000; 9'h1a2: VAR18[15:0] <= 16'h0000; 9'h1a3: VAR18[15:0] <= 16'h0000; 9'h1a4: VAR18[15:0] <= 16'h0000; 9'h1a5: VAR18[15:0] <= 16'h0000; 9'h1a6: VAR18[15:0] <= 16'h0000; 9'h1a7: VAR18[15:0] <= 16'h0000; 9'h1a8: VAR18[15:0] <= 16'h0000; 9'h1a9: VAR18[15:0] <= 16'h0000; 9'h1aa: VAR18[15:0] <= 16'h0000; 9'h1ab: VAR18[15:0] <= 16'h0000; 9'h1ac: VAR18[15:0] <= 16'h0000; 9'h1ad: VAR18[15:0] <= 16'h0000; 9'h1ae: VAR18[15:0] <= 16'h0000; 9'h1af: VAR18[15:0] <= 16'h0000; 9'h1b0: VAR18[15:0] <= 16'h0000; 9'h1b1: VAR18[15:0] <= 16'h0000; 9'h1b2: VAR18[15:0] <= 16'h0000; 9'h1b3: VAR18[15:0] <= 16'h0000; 9'h1b4: VAR18[15:0] <= 16'h0000; 9'h1b5: VAR18[15:0] <= 16'h0000; 9'h1b6: VAR18[15:0] <= 16'h0000; 9'h1b7: VAR18[15:0] <= 16'h0000; 9'h1b8: VAR18[15:0] <= 16'h0000; 9'h1b9: VAR18[15:0] <= 16'h0000; 9'h1ba: VAR18[15:0] <= 16'h0000; 9'h1bb: VAR18[15:0] <= 16'h0000; 9'h1bc: VAR18[15:0] <= 16'h0000; 9'h1bd: VAR18[15:0] <= 16'h0000; 9'h1be: VAR18[15:0] <= 16'h0000; 9'h1bf: VAR18[15:0] <= 16'h0000; 9'h1c0: VAR18[15:0] <= 16'h0000; 9'h1c1: VAR18[15:0] <= 16'h0000; 9'h1c2: VAR18[15:0] <= 16'h0000; 9'h1c3: VAR18[15:0] <= 16'h0000; 9'h1c4: VAR18[15:0] <= 16'h0000; 9'h1c5: VAR18[15:0] <= 16'h0000; 9'h1c6: VAR18[15:0] <= 16'h0000; 9'h1c7: VAR18[15:0] <= 16'h0000; 9'h1c8: VAR18[15:0] <= 16'h0000; 9'h1c9: VAR18[15:0] <= 16'h0000; 9'h1ca: VAR18[15:0] <= 16'h0000; 9'h1cb: VAR18[15:0] <= 16'h0000; 9'h1cc: VAR18[15:0] <= 16'h0000; 9'h1cd: VAR18[15:0] <= 16'h0000; 9'h1ce: VAR18[15:0] <= 16'h0000; 9'h1cf: VAR18[15:0] <= 16'h0000; 9'h1d0: VAR18[15:0] <= 16'h0000; 9'h1d1: VAR18[15:0] <= 16'h0000; 9'h1d2: VAR18[15:0] <= 16'h0000; 9'h1d3: VAR18[15:0] <= 16'h0000; 9'h1d4: VAR18[15:0] <= 16'h0000; 9'h1d5: VAR18[15:0] <= 16'h0000; 9'h1d6: VAR18[15:0] <= 16'h0000; 9'h1d7: VAR18[15:0] <= 16'h0000; 9'h1d8: VAR18[15:0] <= 16'h0000; 9'h1d9: VAR18[15:0] <= 16'h0000; 9'h1da: VAR18[15:0] <= 16'h0000; 9'h1db: VAR18[15:0] <= 16'h0000; 9'h1dc: VAR18[15:0] <= 16'h0000; 9'h1dd: VAR18[15:0] <= 16'h0000; 9'h1de: VAR18[15:0] <= 16'h0000; 9'h1df: VAR18[15:0] <= 16'h0000; 9'h1e0: VAR18[15:0] <= 16'h0081; 9'h1e1: VAR18[15:0] <= 16'h0000; 9'h1e2: VAR18[15:0] <= 16'h0000; 9'h1e3: VAR18[15:0] <= 16'h0000; 9'h1e4: VAR18[15:0] <= 16'h0000; 9'h1e5: VAR18[15:0] <= 16'h0000; 9'h1e6: VAR18[15:0] <= 16'h0000; 9'h1e7: VAR18[15:0] <= 16'h0000; 9'h1e8: VAR18[15:0] <= 16'h0000; 9'h1e9: VAR18[15:0] <= 16'h0000; 9'h1ea: VAR18[15:0] <= 16'h0000; 9'h1eb: VAR18[15:0] <= 16'h0000; 9'h1ec: VAR18[15:0] <= 16'h0000; 9'h1ed: VAR18[15:0] <= 16'h0000; 9'h1ee: VAR18[15:0] <= 16'h0000; 9'h1ef: VAR18[15:0] <= 16'h0000; 9'h1f0: VAR18[15:0] <= 16'h0082; 9'h1f1: VAR18[15:0] <= 16'h0000; 9'h1f2: VAR18[15:0] <= 16'h0000; 9'h1f3: VAR18[15:0] <= 16'h0000; 9'h1f4: VAR18[15:0] <= 16'h0000; 9'h1f5: VAR18[15:0] <= 16'h0000; 9'h1f6: VAR18[15:0] <= 16'h0000; 9'h1f7: VAR18[15:0] <= 16'h0000; 9'h1f8: VAR18[15:0] <= 16'h0000; 9'h1f9: VAR18[15:0] <= 16'h0000; 9'h1fa: VAR18[15:0] <= 16'h0084; 9'h1fb: VAR18[15:0] <= 16'h0000; 9'h1fc: VAR18[15:0] <= 16'h0000; 9'h1fd: VAR18[15:0] <= 16'h0000; 9'h1fe: VAR18[15:0] <= 16'h0000; 9'h1ff: VAR18[15:0] <= 16'h0000; endcase end end endmodule
gpl-3.0
skyfex/svo-raycaster
orlink/hw/orlink_crc16.v
1,438
module MODULE1(clk, rst, en, din, dout); input clk rst, en; input [7:0] din; output [15:0] dout; wire [15:0] VAR3; reg [15:0] VAR1; wire [15:0] VAR2; assign VAR2[0] = din[4] ^ din[0]; assign VAR2[1] = din[5] ^ din[1]; assign VAR2[2] = din[6] ^ din[2]; assign VAR2[3] = din[7] ^ din[3]; assign VAR2[4] = din[12] ^ VAR1[8]; assign VAR2[5] = din[13] ^ VAR1[9]; assign VAR2[6] = din[14] ^ VAR1[10]; assign VAR2[7] = din[15] ^ VAR1[11]; assign VAR2[8] = din[4] ^ VAR1[12]; assign VAR2[9] = din[5] ^ VAR1[13]; assign VAR2[10] = din[6] ^ VAR1[14]; assign VAR2[11] = din[7] ^ VAR1[15]; assign VAR2[12] = VAR2[0] ^ VAR2[4]; assign VAR2[13] = VAR2[1] ^ VAR2[5]; assign VAR2[14] = VAR2[2] ^ VAR2[6]; assign VAR2[15] = VAR2[3] ^ VAR2[7]; assign VAR3[0] = VAR2[12]; assign VAR3[1] = VAR2[13]; assign VAR3[2] = VAR2[14]; assign VAR3[3] = VAR2[15]; assign VAR3[4] = VAR2[8]; assign VAR3[5] = VAR2[9] ^ VAR2[12]; assign VAR3[6] = VAR2[10] ^ VAR2[13]; assign VAR3[7] = VAR2[11] ^ VAR2[14]; assign VAR3[8] = VAR2[15] ^ VAR1[0]; assign VAR3[9] = VAR2[8] ^ VAR1[1]; assign VAR3[10] = VAR2[9] ^ VAR1[2]; assign VAR3[11] = VAR2[10] ^ VAR1[3]; assign VAR3[12] = VAR2[11] ^ VAR2[12] ^ VAR1[4]; assign VAR3[13] = VAR2[13] ^ VAR1[5]; assign VAR3[14] = VAR2[14] ^ VAR1[6]; assign VAR3[15] = VAR2[15] ^ VAR1[7]; always @(posedge clk) begin if (rst) VAR1 <= 0; end else begin if (en) VAR1 <= VAR3; end end assign dout = VAR1; endmodule
mit
XCopter-HSU/XCopter
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/soc_system_sdram_pll.v
2,154
module MODULE1( input wire VAR1, input wire rst, output wire VAR54, output wire VAR5, output wire VAR51 ); VAR68 #( .VAR44("false"), .VAR64("100.0 VAR20"), .VAR46("VAR24"), .VAR40(2), .VAR32("143.000000 VAR20"), .VAR53("0 VAR49"), .VAR59(50), .VAR36("143.000000 VAR20"), .VAR2("-3758 VAR49"), .VAR38(50), .VAR28("0 VAR20"), .VAR33("0 VAR49"), .VAR67(50), .VAR35("0 VAR20"), .VAR39("0 VAR49"), .VAR61(50), .VAR58("0 VAR20"), .VAR22("0 VAR49"), .VAR29(50), .VAR6("0 VAR20"), .VAR4("0 VAR49"), .VAR16(50), .VAR37("0 VAR20"), .VAR52("0 VAR49"), .VAR15(50), .VAR19("0 VAR20"), .VAR21("0 VAR49"), .VAR12(50), .VAR26("0 VAR20"), .VAR65("0 VAR49"), .VAR34(50), .VAR70("0 VAR20"), .VAR27("0 VAR49"), .VAR25(50), .VAR69("0 VAR20"), .VAR31("0 VAR49"), .VAR57(50), .VAR23("0 VAR20"), .VAR71("0 VAR49"), .VAR72(50), .VAR43("0 VAR20"), .VAR48("0 VAR49"), .VAR56(50), .VAR9("0 VAR20"), .VAR14("0 VAR49"), .VAR45(50), .VAR73("0 VAR20"), .VAR63("0 VAR49"), .VAR42(50), .VAR7("0 VAR20"), .VAR55("0 VAR49"), .VAR41(50), .VAR13("0 VAR20"), .VAR47("0 VAR49"), .VAR18(50), .VAR11("0 VAR20"), .VAR8("0 VAR49"), .VAR62(50), .VAR17("VAR3"), .VAR10("VAR3") ) VAR66 ( .rst (rst), .VAR60 ({VAR5, VAR54}), .VAR51 (VAR51), .VAR30 ( ), .VAR50 (1'b0), .VAR1 (VAR1) ); endmodule
gpl-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/tq/mcm_4.v
5,023
module MODULE1( clk , rst , VAR33 , VAR3 , VAR27 , VAR31 , VAR19 , VAR22, VAR39, VAR11, VAR16 ); input clk; input rst; input VAR33; input signed [18:0] VAR3; input signed [18:0] VAR27; input signed [18:0] VAR31; input signed [18:0] VAR19; output reg signed [18+7+2:0] VAR22; output reg signed [18+7+2:0] VAR39; output reg signed [18+7+2:0] VAR11; output reg signed [18+7+2:0] VAR16; wire signed [18+7:0] VAR26; wire signed [18+7:0] VAR17; wire signed [18+7:0] VAR30; wire signed [18+7:0] VAR6; wire signed [18+7:0] VAR41; wire signed [18+7:0] VAR34; wire signed [18+7:0] VAR42; wire signed [18+7:0] VAR9; wire signed [18+7:0] VAR10; wire signed [18+7:0] VAR15; wire signed [18+7:0] VAR23; wire signed [18+7:0] VAR12; wire signed [18+7:0] VAR28; wire signed [18+7:0] VAR35; wire signed [18+7:0] VAR40; wire signed [18+7:0] VAR18; wire signed [18+8:0] VAR26; wire signed [18+8:0] VAR17; wire signed [18+8:0] VAR21; wire signed [18+8:0] VAR41; wire signed [18+8:0] VAR34; wire signed [18+8:0] VAR13; wire signed [18+8:0] VAR10; wire signed [18+8:0] VAR15; wire signed [18+8:0] VAR7; wire signed [18+8:0] VAR28; wire signed [18+8:0] VAR35; wire signed [18+8:0] VAR25; wire signed [18+9:0] VAR14; wire signed [18+9:0] VAR2; wire signed [18+9:0] VAR1; wire signed [18+9:0] VAR8; reg signed [18+7+2:0] VAR22; reg signed [18+7+2:0] VAR39; reg signed [18+7+2:0] VAR11; reg signed [18+7+2:0] VAR16; assign VAR26=VAR26+VAR23; assign VAR21=VAR34+VAR18; assign VAR17=VAR33?(-VAR21):VAR21; assign VAR13=-VAR17-VAR10; assign VAR41=VAR33?(-VAR13):VAR13; assign VAR34=-VAR9+VAR40; assign VAR10=VAR30-VAR12; assign VAR7=VAR41+VAR35; assign VAR15=VAR33?(-VAR7):VAR7; assign VAR25=-VAR6-VAR15; assign VAR35=VAR42+VAR28; assign VAR28=VAR33?(-VAR25):VAR25; assign VAR14=VAR26+VAR17; assign VAR2=VAR41+VAR34; assign VAR1=VAR10+VAR15; assign VAR8=VAR28+VAR35; always @(posedge clk or negedge rst) if(!rst) begin VAR22<=28'b0; VAR39<=28'b0; VAR11<=28'b0; VAR16<=28'b0; end else begin VAR22<=VAR14; VAR39<=VAR2; VAR11<=VAR1; VAR16<=VAR8; end always @(posedge clk or negedge rst) if(!rst) begin VAR22<=28'b0; VAR39<=28'b0; VAR11<=28'b0; VAR16<=28'b0; end else begin VAR22<=VAR22; VAR39<=VAR39; VAR11<=VAR11; VAR16<=VAR16; end VAR4 VAR38( .VAR43(VAR3), .VAR32(VAR26), .VAR5(VAR17), .VAR20(VAR30), .VAR29(VAR6) ); VAR4 VAR24( .VAR43(VAR27), .VAR32(VAR41), .VAR5(VAR34), .VAR20(VAR42), .VAR29(VAR9) ); VAR4 VAR37( .VAR43(VAR31), .VAR32(VAR10), .VAR5(VAR15), .VAR20(VAR23), .VAR29(VAR12) ); VAR4 VAR36( .VAR43(VAR19), .VAR32(VAR28), .VAR5(VAR35), .VAR20(VAR40), .VAR29(VAR18) ); endmodule
gpl-3.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
source/hardware/nfc-substrate/bch_shared_kes-1.0.0/d_KES_PE_ELU_MINodr.v
5,169
module MODULE1 ( input wire VAR16, input wire VAR14, input wire VAR5, input wire VAR6, input wire [VAR13-1:0] VAR15, output reg [VAR13-1:0] VAR11, output reg VAR2, output reg [VAR13-1:0] VAR1 ); parameter [11:0] VAR12 = 12'b000000000000; parameter [11:0] VAR10 = 12'b000000000001; parameter VAR4 = 2'b01; parameter VAR7 = 2'b10; reg [1:0] VAR17; reg [1:0] VAR8; wire [VAR13-1:0] VAR21; wire [VAR13-1:0] VAR20; wire [VAR13-1:0] VAR22; always @ (posedge VAR16) begin if ((VAR14) || (VAR5)) begin VAR17 <= VAR4; end else begin VAR17 <= VAR8; end end always @ ( * ) begin case (VAR17) VAR4: begin VAR8 <= (VAR6)? (VAR7):(VAR4); end VAR7: begin VAR8 <= VAR4; end default: begin VAR8 <= VAR4; end endcase end always @ (posedge VAR16) begin if ((VAR14) || (VAR5)) begin VAR11[VAR13-1:0] <= VAR10[VAR13-1:0]; VAR2 <= 1; VAR1[VAR13-1:0] <= VAR10[VAR13-1:0]; end else begin case (VAR8) VAR4: begin VAR11[VAR13-1:0] <= VAR11[VAR13-1:0]; VAR2 <= VAR2; VAR1[VAR13-1:0] <= VAR1[VAR13-1:0]; end VAR7: begin VAR11[VAR13-1:0] <= VAR20[VAR13-1:0]; VAR2 <= |(VAR20[VAR13-1:0]); VAR1[VAR13-1:0] <= VAR22[VAR13-1:0]; end default: begin VAR11[VAR13-1:0] <= VAR11[VAR13-1:0]; VAR2 <= VAR2; VAR1[VAR13-1:0] <= VAR1[VAR13-1:0]; end endcase end end VAR23 VAR19 ( .VAR3 (VAR15[VAR13-1:0]), .VAR18 (VAR11[VAR13-1:0]), .VAR9(VAR21[VAR13-1:0])); assign VAR20[VAR13-1:0] = VAR21[VAR13-1:0]; assign VAR22[VAR13-1:0] = VAR12[VAR13-1:0]; endmodule
gpl-3.0
colinww/spi-core-generator
example/results_verilog/spi_top.v
30,557
module MODULE1( VAR263, VAR143, VAR123, VAR254, VAR94, VAR212, VAR170, VAR161, VAR179, VAR132, VAR51, VAR208, VAR191, VAR88, VAR61, VAR146, VAR71, VAR148, VAR30, VAR103, VAR57, VAR249, VAR22, VAR16, VAR98, VAR206, VAR134, VAR192, VAR241, VAR205, VAR33, VAR24, VAR182, VAR108, VAR12, VAR83, VAR101, VAR73, VAR160, VAR260, VAR49, VAR196, VAR109, VAR190, VAR128, VAR80, VAR104, VAR78, VAR135, VAR230, VAR118, VAR79, VAR261, VAR17, VAR262, VAR3, VAR159, VAR209, VAR255, VAR158, VAR137, VAR95, VAR59, VAR62, VAR242, VAR58, VAR93, VAR86, VAR68, VAR202, VAR155, VAR34, VAR76, VAR74, VAR125, VAR139, VAR183, VAR75, VAR92, VAR225, VAR77, VAR142, VAR99, VAR44, VAR200, VAR164, VAR250, VAR18, VAR153, VAR217, VAR27, VAR119, VAR214, VAR149, VAR120, VAR38, VAR2, VAR32, VAR216, VAR210, VAR220, VAR238, VAR20, VAR67, VAR243, VAR224, VAR117, VAR48, VAR89, VAR15, VAR157, VAR235, VAR223, VAR115, VAR110, VAR195, VAR232, VAR177, VAR100, VAR188, VAR136, VAR107, VAR259, VAR13, VAR169, VAR47, VAR81, VAR82, VAR239, VAR50, VAR172, VAR6, VAR21, VAR7, VAR248, VAR193, VAR36, VAR70, VAR181, VAR197, VAR247, VAR1, VAR72, VAR96, VAR246, VAR145, VAR42, VAR4, VAR97, VAR46, VAR52, VAR11, VAR64, VAR165, VAR40, VAR69, VAR226, VAR121, VAR237, VAR264, VAR144, VAR116, VAR221, VAR222, VAR19, VAR198, VAR204, VAR111, VAR130, VAR138, VAR147, VAR66, VAR126, VAR168, VAR106, VAR176, VAR229, VAR127, VAR166, VAR258, VAR84, VAR150, VAR199, VAR87, VAR244, VAR37, VAR184, VAR231, VAR129, VAR90, VAR228, VAR167, VAR227, VAR234, VAR186, VAR240, VAR173, VAR56, VAR35, VAR26, VAR156, VAR85, VAR25, VAR218, VAR187, VAR245, VAR131, VAR5, VAR43, VAR31, VAR201, VAR63, VAR152, VAR180, VAR124, VAR211, VAR253, VAR151, VAR54, VAR163, VAR9, VAR60, VAR207, VAR29, VAR102, VAR213, VAR91, VAR39, VAR53, VAR185, VAR10, VAR174, VAR257, VAR41, VAR45, VAR65); input VAR174; input VAR257; output VAR263; output VAR143; output VAR123; input VAR41; input VAR45; input VAR65; input [7:0] VAR254; input [15:0] VAR94; input VAR212; input VAR170; output VAR161; output VAR179; output VAR132; output VAR51; output VAR208; output VAR191; output VAR88; output VAR61; output VAR146; output VAR71; output VAR148; output VAR30; output VAR103; output VAR57; output VAR249; output VAR22; output VAR16; output VAR98; output VAR206; output VAR134; output VAR192; output VAR241; output VAR205; output VAR33; output VAR24; output VAR182; output VAR108; output VAR12; output VAR83; output [3:0] VAR101; output VAR73; output VAR160; output [4:0] VAR260; output VAR49; output [1:0] VAR196; output [1:0] VAR109; output [1:0] VAR190; output [3:0] VAR128; output [3:0] VAR80; output [3:0] VAR104; output [3:0] VAR78; output [9:0] VAR135; output [2:0] VAR230; output [2:0] VAR118; output VAR79; output VAR261; output VAR17; output VAR262; output VAR3; output [1:0] VAR159; output [6:0] VAR209; output [6:0] VAR255; output [6:0] VAR158; output VAR137; output VAR95; output VAR59; output VAR62; output [3:0] VAR242; output [3:0] VAR58; output [7:0] VAR93; output [7:0] VAR86; output VAR68; output VAR202; output VAR155; output VAR34; output VAR76; output VAR74; output VAR125; output VAR139; output VAR183; output VAR75; output VAR92; output VAR225; output VAR77; output VAR142; output [6:0] VAR99; output [6:0] VAR44; output [3:0] VAR200; output [3:0] VAR164; output [1:0] VAR250; output [1:0] VAR18; output VAR153; output VAR217; output VAR27; output VAR119; output [2:0] VAR214; output [2:0] VAR149; output VAR120; output VAR38; output [4:0] VAR2; output [4:0] VAR32; output VAR216; output VAR210; output VAR220; output VAR238; output VAR20; output VAR67; output VAR243; output [9:0] VAR224; output [9:0] VAR117; output VAR48; output VAR89; output [2:0] VAR15; output [2:0] VAR157; output VAR235; output VAR223; output VAR115; output VAR110; output VAR195; output VAR232; output [15:0] VAR177; output [1:0] VAR100; output VAR188; output VAR136; output VAR107; output VAR259; output VAR13; output VAR169; output [2:0] VAR47; output [2:0] VAR81; output [2:0] VAR82; output [2:0] VAR239; output [7:0] VAR50; output VAR172; output VAR6; output VAR21; output [2:0] VAR7; output VAR248; output VAR193; output [2:0] VAR36; output [2:0] VAR70; output [2:0] VAR181; output [2:0] VAR197; output VAR247; output VAR1; output [2:0] VAR72; output [2:0] VAR96; output VAR246; output VAR145; output [2:0] VAR42; output VAR4; output VAR97; output [2:0] VAR46; output [2:0] VAR52; output VAR11; output VAR64; output [2:0] VAR165; output [1:0] VAR40; output [1:0] VAR69; output [1:0] VAR226; output VAR121; output VAR237; output [3:0] VAR264; output [1:0] VAR144; output [3:0] VAR116; output [5:0] VAR221; output [5:0] VAR222; output VAR19; output VAR198; output [1:0] VAR204; output VAR111; output VAR130; output VAR138; output VAR147; output [1:0] VAR66; output VAR126; output VAR168; output VAR106; output VAR176; output VAR229; output VAR127; output VAR166; output VAR258; output VAR84; output VAR150; output VAR199; output VAR87; output VAR244; output [3:0] VAR37; output [3:0] VAR184; output VAR231; output VAR129; output VAR90; output VAR228; inout VAR185; inout [36:0] VAR10; output VAR167; output VAR227; output VAR234; output VAR186; output VAR240; output VAR173; output VAR56; output VAR35; output VAR26; output VAR156; output VAR85; output VAR25; output VAR218; output VAR187; output VAR245; output VAR131; output VAR5; output VAR43; output VAR31; output VAR201; output VAR63; output VAR152; output VAR180; output VAR124; output VAR211; output VAR253; output VAR151; output VAR54; output VAR163; output VAR9; output VAR60; output VAR207; output VAR29; output VAR102; output VAR213; output VAR91; output VAR39; output VAR53; wire VAR113; wire VAR28; wire [2:0] VAR8; wire [7:0] VAR178; wire [7:0] VAR112; assign VAR263 = VAR113; VAR114 #(.VAR175(8), .VAR122(3), .VAR252(3)) VAR251( .VAR143 (VAR143), .VAR123 (VAR123), .VAR14 (VAR113), .VAR189 (VAR28), .VAR256 (VAR8), .VAR215 (VAR178), .VAR174 (VAR174), .VAR41 (VAR41), .VAR45 (VAR45), .VAR65 (VAR65), .VAR219 (1'b1), .VAR203 (1'b1), .VAR55 (1'b0), .VAR23 (VAR112)); VAR141 VAR171( .VAR254 (VAR254), .VAR94 (VAR94), .VAR212 (VAR212), .VAR170 (VAR170), .VAR161 (VAR161), .VAR179 (VAR179), .VAR132 (VAR132), .VAR51 (VAR51), .VAR208 (VAR208), .VAR191 (VAR191), .VAR88 (VAR88), .VAR61 (VAR61), .VAR146 (VAR146), .VAR71 (VAR71), .VAR148 (VAR148), .VAR30 (VAR30), .VAR103 (VAR103), .VAR57 (VAR57), .VAR249 (VAR249), .VAR22 (VAR22), .VAR16 (VAR16), .VAR98 (VAR98), .VAR206 (VAR206), .VAR134 (VAR134), .VAR192 (VAR192), .VAR241 (VAR241), .VAR205 (VAR205), .VAR33 (VAR33), .VAR24 (VAR24), .VAR182 (VAR182), .VAR108 (VAR108), .VAR12 (VAR12), .VAR83 (VAR83), .VAR101 (VAR101), .VAR73 (VAR73), .VAR160 (VAR160), .VAR260 (VAR260), .VAR49 (VAR49), .VAR196 (VAR196), .VAR109 (VAR109), .VAR190 (VAR190), .VAR128 (VAR128), .VAR80 (VAR80), .VAR104 (VAR104), .VAR78 (VAR78), .VAR135 (VAR135), .VAR230 (VAR230), .VAR118 (VAR118), .VAR79 (VAR79), .VAR261 (VAR261), .VAR17 (VAR17), .VAR262 (VAR262), .VAR3 (VAR3), .VAR159 (VAR159), .VAR209 (VAR209), .VAR255 (VAR255), .VAR158 (VAR158), .VAR137 (VAR137), .VAR95 (VAR95), .VAR59 (VAR59), .VAR62 (VAR62), .VAR242 (VAR242), .VAR58 (VAR58), .VAR93 (VAR93), .VAR86 (VAR86), .VAR68 (VAR68), .VAR202 (VAR202), .VAR155 (VAR155), .VAR34 (VAR34), .VAR76 (VAR76), .VAR74 (VAR74), .VAR125 (VAR125), .VAR139 (VAR139), .VAR183 (VAR183), .VAR75 (VAR75), .VAR92 (VAR92), .VAR225 (VAR225), .VAR77 (VAR77), .VAR142 (VAR142), .VAR99 (VAR99), .VAR44 (VAR44), .VAR200 (VAR200), .VAR164 (VAR164), .VAR250 (VAR250), .VAR18 (VAR18), .VAR153 (VAR153), .VAR217 (VAR217), .VAR27 (VAR27), .VAR119 (VAR119), .VAR214 (VAR214), .VAR149 (VAR149), .VAR120 (VAR120), .VAR38 (VAR38), .VAR2 (VAR2), .VAR32 (VAR32), .VAR216 (VAR216), .VAR210 (VAR210), .VAR220 (VAR220), .VAR238 (VAR238), .VAR20 (VAR20), .VAR67 (VAR67), .VAR243 (VAR243), .VAR224 (VAR224), .VAR117 (VAR117), .VAR48 (VAR48), .VAR89 (VAR89), .VAR15 (VAR15), .VAR157 (VAR157), .VAR235 (VAR235), .VAR223 (VAR223), .VAR115 (VAR115), .VAR110 (VAR110), .VAR195 (VAR195), .VAR232 (VAR232), .VAR177 (VAR177), .VAR100 (VAR100), .VAR188 (VAR188), .VAR136 (VAR136), .VAR107 (VAR107), .VAR259 (VAR259), .VAR13 (VAR13), .VAR169 (VAR169), .VAR47 (VAR47), .VAR81 (VAR81), .VAR82 (VAR82), .VAR239 (VAR239), .VAR50 (VAR50), .VAR172 (VAR172), .VAR6 (VAR6), .VAR21 (VAR21), .VAR7 (VAR7), .VAR248 (VAR248), .VAR193 (VAR193), .VAR36 (VAR36), .VAR70 (VAR70), .VAR181 (VAR181), .VAR197 (VAR197), .VAR247 (VAR247), .VAR1 (VAR1), .VAR72 (VAR72), .VAR96 (VAR96), .VAR246 (VAR246), .VAR145 (VAR145), .VAR42 (VAR42), .VAR4 (VAR4), .VAR97 (VAR97), .VAR46 (VAR46), .VAR52 (VAR52), .VAR11 (VAR11), .VAR64 (VAR64), .VAR165 (VAR165), .VAR40 (VAR40), .VAR69 (VAR69), .VAR226 (VAR226), .VAR121 (VAR121), .VAR237 (VAR237), .VAR264 (VAR264), .VAR144 (VAR144), .VAR116 (VAR116), .VAR221 (VAR221), .VAR222 (VAR222), .VAR19 (VAR19), .VAR198 (VAR198), .VAR204 (VAR204), .VAR111 (VAR111), .VAR130 (VAR130), .VAR138 (VAR138), .VAR147 (VAR147), .VAR66 (VAR66), .VAR126 (VAR126), .VAR168 (VAR168), .VAR106 (VAR106), .VAR176 (VAR176), .VAR229 (VAR229), .VAR127 (VAR127), .VAR166 (VAR166), .VAR258 (VAR258), .VAR84 (VAR84), .VAR150 (VAR150), .VAR199 (VAR199), .VAR87 (VAR87), .VAR244 (VAR244), .VAR37 (VAR37), .VAR184 (VAR184), .VAR231 (VAR231), .VAR129 (VAR129), .VAR90 (VAR90), .VAR228 (VAR228), .VAR140 (VAR112), .VAR174 (VAR174), .VAR236 (VAR113), .VAR154 (VAR178), .VAR105 (VAR28), .VAR194 (VAR8)); VAR233 VAR162( .VAR167 (VAR167), .VAR227 (VAR227), .VAR234 (VAR234), .VAR186 (VAR186), .VAR240 (VAR240), .VAR173 (VAR173), .VAR56 (VAR56), .VAR35 (VAR35), .VAR26 (VAR26), .VAR156 (VAR156), .VAR85 (VAR85), .VAR25 (VAR25), .VAR218 (VAR218), .VAR187 (VAR187), .VAR245 (VAR245), .VAR131 (VAR131), .VAR5 (VAR5), .VAR43 (VAR43), .VAR31 (VAR31), .VAR201 (VAR201), .VAR63 (VAR63), .VAR152 (VAR152), .VAR180 (VAR180), .VAR124 (VAR124), .VAR211 (VAR211), .VAR253 (VAR253), .VAR151 (VAR151), .VAR54 (VAR54), .VAR163 (VAR163), .VAR9 (VAR9), .VAR60 (VAR60), .VAR207 (VAR207), .VAR29 (VAR29), .VAR102 (VAR102), .VAR213 (VAR213), .VAR91 (VAR91), .VAR39 (VAR39), .VAR53 (VAR53), .VAR133 (VAR112), .VAR185 (VAR185), .VAR10 (VAR10), .VAR174 (VAR174), .VAR257 (VAR257), .VAR236 (VAR113), .VAR154 (VAR178), .VAR105 (VAR28), .VAR194 (VAR8)); endmodule
gpl-3.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/acl_fp_div.v
59,412
module MODULE1 ( VAR226, VAR181, VAR110, VAR86, VAR47, VAR71) ; input VAR226; input VAR181; input VAR110; input [31:0] VAR86; input [31:0] VAR47; output [31:0] VAR71; tri0 VAR226; tri1 VAR181; wire [8:0] VAR7; reg VAR74; reg VAR163; reg VAR120; reg VAR25; reg VAR112; reg VAR164; reg VAR206; reg VAR90; reg VAR185; reg VAR35; reg VAR99; reg VAR161; reg VAR87; reg VAR6; reg VAR227; reg VAR118; reg VAR175; reg VAR81; reg VAR135; reg VAR179; reg VAR160; reg VAR134; reg VAR3; reg VAR102; reg VAR119; reg VAR205; reg [33:0] VAR75; reg [33:0] VAR115; reg VAR21; reg VAR116; reg VAR55; reg VAR33; reg VAR241; reg VAR91; reg VAR153; reg VAR13; reg VAR156; reg VAR143; reg VAR188; reg VAR224; reg VAR1; reg VAR79; reg VAR139; reg VAR174; reg VAR219; reg VAR237; reg VAR144; reg VAR194; reg VAR141; reg VAR218; reg VAR12; reg VAR150; reg VAR233; reg VAR197; reg VAR220; reg [16:0] VAR114; reg [16:0] VAR8; reg [16:0] VAR24; reg [16:0] VAR18; reg [16:0] VAR46; reg [16:0] VAR15; reg [7:0] VAR154; reg [7:0] VAR41; reg [7:0] VAR17; reg [7:0] VAR222; reg [7:0] VAR172; reg [7:0] VAR155; reg [7:0] VAR190; reg [7:0] VAR62; reg [7:0] VAR238; reg [7:0] VAR58; reg [7:0] VAR152; reg [7:0] VAR165; reg VAR76; reg [22:0] VAR22; reg [22:0] VAR105; reg [22:0] VAR29; reg VAR235; reg VAR212; reg VAR207; reg VAR182; reg VAR184; reg VAR78; reg VAR66; reg VAR121; reg VAR50; reg VAR124; reg VAR88; reg VAR166; reg VAR191; reg VAR56; reg VAR20; reg VAR73; reg VAR167; reg VAR77; reg VAR240; reg VAR34; reg VAR123; reg VAR42; reg VAR51; reg VAR157; reg [33:0] VAR158; reg [33:0] VAR169; reg [16:0] VAR129; reg [16:0] VAR236; reg [16:0] VAR162; reg [16:0] VAR60; reg [16:0] VAR68; reg [16:0] VAR107; reg [49:0] VAR37; reg [49:0] VAR5; reg [49:0] VAR128; reg [49:0] VAR138; reg [49:0] VAR196; reg VAR198; reg VAR30; reg VAR132; reg VAR217; reg VAR149; reg VAR109; reg VAR168; reg VAR209; reg VAR108; reg VAR61; reg VAR31; reg VAR189; reg VAR215; reg VAR146; wire VAR126; wire [8:0] VAR192; wire [8:0] VAR70; wire [30:0] VAR242; wire [49:0] VAR216; wire VAR130; wire [34:0] VAR93; wire [33:0] VAR104; wire [33:0] VAR101; wire [33:0] VAR117; wire [50:0] VAR229; wire [7:0]VAR232; wire [24:0]VAR65; wire [22:0]VAR187; wire [8:0]VAR122; wire [8:0]VAR200; wire VAR201; wire VAR137; wire VAR45; wire [33:0] VAR95; wire VAR214; wire VAR228; wire VAR82; wire [7:0] VAR106; wire VAR203; wire [8:0] VAR64; wire [8:0] VAR195; wire [50:0] VAR43; wire [7:0] VAR44; wire [7:0] VAR178; wire [7:0] VAR213; wire [7:0] VAR57; wire [7:0] VAR23; wire [7:0] VAR10; wire [7:0] VAR103; wire VAR221; wire [7:0] VAR98; wire VAR151; wire [8:0] VAR54; wire [8:0] VAR28; wire [8:0] VAR180; wire VAR239; wire VAR193; wire VAR4; wire VAR85; wire [24:0] VAR147; wire [22:0] VAR183; wire [22:0] VAR96; wire [22:0] VAR140; wire [23:0] VAR111; wire [22:0] VAR32; wire [22:0] VAR89; wire [22:0] VAR48; wire [22:0] VAR127; wire [22:0] VAR9; wire VAR11; wire [22:0] VAR14; wire [22:0] VAR131; wire [7:0] VAR125; wire VAR26; wire VAR234; wire [61:0] VAR40; wire VAR80; wire [99:0] VAR176; wire VAR202; wire [8:0] VAR63; wire [8:0] VAR53; wire [4:0] VAR186; wire VAR145; wire [7:0] VAR230; wire [8:0] VAR159; wire [8:0] VAR204; wire [8:0] VAR67; VAR19 VAR59 ( .VAR142(VAR47[22:14]), .VAR148(VAR110), .VAR100(VAR181), .VAR211(), .VAR113(VAR7), .VAR39() , .VAR133(1'b0), .VAR2(1'b0), .VAR52({1{1'b1}}), .VAR136(1'b0), .VAR173(1'b0), .VAR97({1{1'b1}}), .VAR16({1{1'b1}}), .VAR208(1'b1), .VAR94(1'b1), .VAR36(1'b1), .VAR177(1'b1), .VAR86({9{1'b1}}), .VAR47({1{1'b1}}), .VAR170(1'b1), .VAR210(1'b1), .VAR69(1'b0), .VAR225(1'b0) ); VAR59.VAR38 = "VAR171.VAR92", VAR59.VAR199 = "VAR27", VAR59.VAR49 = 9, VAR59.VAR84 = 9, VAR59.VAR223 = "VAR231 VAR72", VAR59.VAR83 = "VAR19";
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand4b/sky130_fd_sc_lp__nand4b_1.v
2,311
module MODULE1 ( VAR4 , VAR6 , VAR7 , VAR10 , VAR9 , VAR8, VAR2, VAR5 , VAR3 ); output VAR4 ; input VAR6 ; input VAR7 ; input VAR10 ; input VAR9 ; input VAR8; input VAR2; input VAR5 ; input VAR3 ; VAR11 VAR1 ( .VAR4(VAR4), .VAR6(VAR6), .VAR7(VAR7), .VAR10(VAR10), .VAR9(VAR9), .VAR8(VAR8), .VAR2(VAR2), .VAR5(VAR5), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR4 , VAR6, VAR7 , VAR10 , VAR9 ); output VAR4 ; input VAR6; input VAR7 ; input VAR10 ; input VAR9 ; supply1 VAR8; supply0 VAR2; supply1 VAR5 ; supply0 VAR3 ; VAR11 VAR1 ( .VAR4(VAR4), .VAR6(VAR6), .VAR7(VAR7), .VAR10(VAR10), .VAR9(VAR9) ); endmodule
apache-2.0
zhaoyang10/mips-cpu
verilog/alu.v
1,233
module MODULE1( input [3:0] VAR2, input [31:0] VAR4, VAR5, output reg [31:0] out, output VAR1); wire [31:0] VAR8; wire [31:0] VAR3; wire VAR10; wire VAR7; wire VAR9; wire VAR6; assign VAR1 = (0 == out); assign VAR8 = VAR4 - VAR5; assign VAR3 = VAR4 + VAR5; assign VAR10 = (VAR4[31] == VAR5[31] && VAR3[31] != VAR4[31]) ? 1 : 0; assign VAR7 = (VAR4[31] == VAR5[31] && VAR8[31] != VAR4[31]) ? 1 : 0; assign VAR9 = (VAR2 == 4'b0010) ? VAR10 : VAR7; assign VAR6 = VAR7 ? ~(VAR4[31]) : VAR4[31]; always @(*) begin case (VAR2) 4'b0010: out <= VAR3; 4'b0000: out <= VAR4 & VAR5; 4'b1100: out <= ~(VAR4 | VAR5); 4'b0001: out <= VAR4 | VAR5; 4'b0111: out <= {{31{1'b0}}, VAR6}; 4'b0110: out <= VAR8; 4'b1101: out <= VAR4 ^ VAR5; default: out <= 0; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_ps_pp_pkg_sn/sky130_fd_sc_hs__udp_dff_ps_pp_pkg_sn.symbol.v
1,532
module MODULE1 ( input VAR6 , output VAR5 , input VAR9 , input VAR2 , input VAR8 , input VAR4 , input VAR3, input VAR7 , input VAR1 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/pcie_4243_axi_k7_x8_250/example_design/PIO_EP_MEM_ACCESS.v
12,651
module MODULE1 #( parameter VAR46 = 1 ) ( clk, VAR4, VAR63, VAR33, VAR24, VAR35, VAR65, VAR34, VAR71, VAR38 ); input clk; input VAR4; input [10:0] VAR63; input [3:0] VAR33; output [31:0] VAR24; input [10:0] VAR35; input [7:0] VAR65; input [31:0] VAR34; input VAR71; output VAR38; localparam VAR74 = 3'b000; localparam VAR20 = 3'b001; localparam VAR44 = 3'b010; localparam VAR56 = 3'b100; wire [31:0] VAR24; reg [31:0] VAR55; wire [31:0] VAR76, VAR22, VAR3, VAR54; wire VAR41, VAR50, VAR6, VAR21; wire VAR38; reg VAR80; reg [31:0] VAR79; reg [31:0] VAR61; reg [2:0] VAR36; reg [31:0] VAR14; wire [31:0] VAR8; wire [31:0] VAR45; wire [31:0] VAR31; wire [31:0] VAR62; wire [7:0] VAR7; wire [7:0] VAR37; wire [7:0] VAR60; wire [7:0] VAR19; wire [7:0] VAR5; wire [7:0] VAR69; wire [7:0] VAR47; wire [7:0] VAR57; reg [31:0] VAR17, VAR32, VAR2, VAR40; reg [31:0] VAR18, VAR82, VAR66; assign VAR19 = VAR14[31:24]; assign VAR60 = VAR14[23:16]; assign VAR37 = VAR14[15:08]; assign VAR7 = VAR14[07:00]; assign VAR57 = VAR34[07:00]; assign VAR47 = VAR34[15:08]; assign VAR69 = VAR34[23:16]; assign VAR5 = VAR34[31:24]; always @(posedge clk) begin if ( !VAR4 ) begin end else begin case ( VAR36 ) VAR74 : begin if (VAR71) end else begin end end VAR20 : begin end VAR44 : begin end VAR56 : begin {VAR65[2] ? VAR47 : VAR60}, {VAR65[1] ? VAR69 : VAR37}, {VAR65[0] ? VAR5 : VAR7}}; end default : begin end endcase end end assign VAR38 = VAR71 | (VAR36 != VAR74); always @* begin case ({VAR35[10:9]}) 2'b00 : VAR61 = VAR8; 2'b01 : VAR61 = VAR45; 2'b10 : VAR61 = VAR31; 2'b11 : VAR61 = VAR62; endcase end assign VAR41 = {VAR63[10:9] == 2'b00}; assign VAR50 = {VAR63[10:9] == 2'b01}; assign VAR6 = {VAR63[10:9] == 2'b10}; assign VAR21 = {VAR63[10:9] == 2'b11}; always @(VAR63 or VAR76 or VAR22 or VAR3 or VAR54) begin case ({VAR63[10:9]}) 2'b00 : VAR55 = VAR76; 2'b01 : VAR55 = VAR22; 2'b10 : VAR55 = VAR3; 2'b11 : VAR55 = VAR54; endcase end assign VAR24 = {{VAR33[0] ? VAR55[07:00] : 8'h0}, {VAR33[1] ? VAR55[15:08] : 8'h0}, {VAR33[2] ? VAR55[23:16] : 8'h0}, {VAR33[3] ? VAR55[31:24] : 8'h0}}; VAR11 VAR77 ( .clk(clk), .VAR27(VAR63[8:0]), .VAR58(VAR41), .VAR13(VAR76), .VAR51(VAR35[8:0]), .VAR1(VAR79), .VAR16({VAR80 & (VAR35[10:9] == 2'b00)}), .VAR30(VAR8[31:0]), .VAR81({VAR35[10:9] == 2'b00}), .VAR12(VAR63[8:0]), .VAR48(VAR50), .VAR78(VAR22), .VAR52(VAR35[8:0]), .VAR42(VAR79), .VAR15({VAR80 & (VAR35[10:9] == 2'b01)}), .VAR43(VAR45[31:0]), .VAR68({VAR35[10:9] == 2'b01}), .VAR23(VAR63[8:0]), .VAR26(VAR6), .VAR67(VAR3), .VAR75(VAR35[8:0]), .VAR10(VAR79), .VAR64({VAR80 & (VAR35[10:9] == 2'b10)}), .VAR25(VAR31[31:0]), .VAR53({VAR35[10:9] == 2'b10}), .VAR49(VAR63[8:0]), .VAR9(VAR21), .VAR59(VAR54), .VAR73(VAR35[8:0]), .VAR28(VAR79), .VAR39({VAR80 & (VAR35[10:9] == 2'b11)}), .VAR72(VAR62[31:0]), .VAR29({VAR35[10:9] == 2'b11}) ); reg [8*20:1] VAR70; always @(VAR36) begin case (VAR36) endcase end endmodule
lgpl-3.0
hly11/CollisionDetectionFPGA
hardware/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_xbar_8/synth/design_1_xbar_8.v
17,130
module MODULE1 ( VAR132, VAR114, VAR79, VAR115, VAR36, VAR37, VAR52, VAR4, VAR124, VAR50, VAR112, VAR63, VAR84, VAR105, VAR58, VAR38, VAR89, VAR8, VAR130, VAR22, VAR91, VAR125, VAR101, VAR49, VAR53, VAR97, VAR108, VAR128, VAR21, VAR107, VAR96, VAR19, VAR14, VAR120, VAR71, VAR41, VAR103, VAR47, VAR65, VAR73 ); input wire VAR132; input wire VAR114; input wire [31 : 0] VAR79; input wire [2 : 0] VAR115; input wire [0 : 0] VAR36; output wire [0 : 0] VAR37; input wire [31 : 0] VAR52; input wire [3 : 0] VAR4; input wire [0 : 0] VAR124; output wire [0 : 0] VAR50; output wire [1 : 0] VAR112; output wire [0 : 0] VAR63; input wire [0 : 0] VAR84; input wire [31 : 0] VAR105; input wire [2 : 0] VAR58; input wire [0 : 0] VAR38; output wire [0 : 0] VAR89; output wire [31 : 0] VAR8; output wire [1 : 0] VAR130; output wire [0 : 0] VAR22; input wire [0 : 0] VAR91; output wire [159 : 0] VAR125; output wire [14 : 0] VAR101; output wire [4 : 0] VAR49; input wire [4 : 0] VAR53; output wire [159 : 0] VAR97; output wire [19 : 0] VAR108; output wire [4 : 0] VAR128; input wire [4 : 0] VAR21; input wire [9 : 0] VAR107; input wire [4 : 0] VAR96; output wire [4 : 0] VAR19; output wire [159 : 0] VAR14; output wire [14 : 0] VAR120; output wire [4 : 0] VAR71; input wire [4 : 0] VAR41; input wire [159 : 0] VAR103; input wire [9 : 0] VAR47; input wire [4 : 0] VAR65; output wire [4 : 0] VAR73; VAR81 #( .VAR18("VAR13"), .VAR129(1), .VAR113(5), .VAR33(1), .VAR99(32), .VAR121(32), .VAR74(2), .VAR95(1), .VAR40(320'VAR123), .VAR119(160'VAR111), .VAR34(32'VAR104), .VAR88(32'VAR104), .VAR127(0), .VAR51(1), .VAR7(1), .VAR10(1), .VAR59(1), .VAR83(1), .VAR16(160'VAR69), .VAR82(160'VAR69), .VAR11(1), .VAR46(32'VAR87), .VAR6(32'VAR87), .VAR30(32'VAR87), .VAR48(160'VAR69), .VAR90(160'VAR69), .VAR32(32'VAR104), .VAR98(160'VAR67), .VAR122(0) ) VAR61 ( .VAR132(VAR132), .VAR114(VAR114), .VAR5(1'VAR75), .VAR79(VAR79), .VAR76(8'VAR66), .VAR62(3'VAR75), .VAR109(2'VAR75), .VAR57(1'VAR75), .VAR80(4'VAR75), .VAR115(VAR115), .VAR116(4'VAR75), .VAR26(1'VAR75), .VAR36(VAR36), .VAR37(VAR37), .VAR106(1'VAR75), .VAR52(VAR52), .VAR4(VAR4), .VAR35(1'VAR110), .VAR56(1'VAR75), .VAR124(VAR124), .VAR50(VAR50), .VAR117(), .VAR112(VAR112), .VAR9(), .VAR63(VAR63), .VAR84(VAR84), .VAR92(1'VAR75), .VAR105(VAR105), .VAR60(8'VAR66), .VAR94(3'VAR75), .VAR64(2'VAR75), .VAR3(1'VAR75), .VAR93(4'VAR75), .VAR58(VAR58), .VAR55(4'VAR75), .VAR100(1'VAR75), .VAR38(VAR38), .VAR89(VAR89), .VAR42(), .VAR8(VAR8), .VAR130(VAR130), .VAR2(), .VAR43(), .VAR22(VAR22), .VAR91(VAR91), .VAR78(), .VAR125(VAR125), .VAR70(), .VAR45(), .VAR25(), .VAR29(), .VAR102(), .VAR101(VAR101), .VAR72(), .VAR31(), .VAR85(), .VAR49(VAR49), .VAR53(VAR53), .VAR126(), .VAR97(VAR97), .VAR108(VAR108), .VAR27(), .VAR54(), .VAR128(VAR128), .VAR21(VAR21), .VAR24(5'VAR66), .VAR107(VAR107), .VAR86(5'VAR66), .VAR96(VAR96), .VAR19(VAR19), .VAR44(), .VAR14(VAR14), .VAR39(), .VAR12(), .VAR1(), .VAR23(), .VAR17(), .VAR120(VAR120), .VAR28(), .VAR15(), .VAR20(), .VAR71(VAR71), .VAR41(VAR41), .VAR118(5'VAR66), .VAR103(VAR103), .VAR47(VAR47), .VAR131(5'VAR77), .VAR68(5'VAR66), .VAR65(VAR65), .VAR73(VAR73) ); endmodule
gpl-2.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_splitter.v
4,369
module MODULE1 # ( parameter integer VAR6 = 2 ) ( input wire VAR2, input wire VAR5, input wire VAR7, output wire VAR3, output wire [VAR6-1:0] VAR4, input wire [VAR6-1:0] VAR8 ); reg [VAR6-1:0] VAR1; wire VAR10; wire [VAR6-1:0] VAR9; always @(posedge VAR2) begin if (VAR5 | VAR10) VAR1 <= {VAR6{1'b0}}; end else VAR1 <= VAR1 | (VAR9 & VAR8); end assign VAR10 = &(VAR1 | VAR8); assign VAR9 = {VAR6{VAR7}} & ~VAR1; assign VAR4 = VAR9; assign VAR3 = VAR10; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlxbn/sky130_fd_sc_hs__dlxbn_1.v
2,177
module MODULE2 ( VAR5 , VAR2 , VAR4 , VAR1, VAR8 , VAR6 ); output VAR5 ; output VAR2 ; input VAR4 ; input VAR1; input VAR8 ; input VAR6 ; VAR7 VAR3 ( .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1), .VAR8(VAR8), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR5 , VAR2 , VAR4 , VAR1 ); output VAR5 ; output VAR2 ; input VAR4 ; input VAR1; supply1 VAR8; supply0 VAR6; VAR7 VAR3 ( .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1) ); endmodule
apache-2.0
alexforencich/xfcp
lib/eth/rtl/eth_phy_10g_tx.v
3,094
module MODULE1 # ( parameter VAR3 = 64, parameter VAR4 = (VAR3/8), parameter VAR2 = 2, parameter VAR11 = 0, parameter VAR7 = 0, parameter VAR5 = 0, parameter VAR10 = 0 ) ( input wire clk, input wire rst, input wire [VAR3-1:0] VAR1, input wire [VAR4-1:0] VAR9, output wire [VAR3-1:0] VAR12, output wire [VAR2-1:0] VAR6, input wire VAR8 );
mit
ShepardSiegel/ocpi
coregen/pcie_4243_trn_v5_gtp_x8_125/source/cmm_errman_cnt_nfl_en.v
5,926
module MODULE1 ( VAR12, VAR3, VAR6, enable, rst, clk ); output VAR12; input VAR3; input VAR6; input enable; input rst; input clk; parameter VAR7 = 1; reg VAR2; reg VAR10; reg VAR8; reg VAR5; wire VAR1; wire VAR9; wire VAR4; always @(posedge clk or posedge rst) begin if (rst) {VAR10, VAR2} <= #VAR7 2'b00; end else if (~enable) {VAR10, VAR2} <= #VAR7 2'b00; else if (VAR6) {VAR10, VAR2} <= #VAR7 VAR1 + VAR3; else {VAR10, VAR2} <= #VAR7 VAR1 - VAR3; end assign VAR1 = VAR9 ? 1'b1 : (VAR4 ? 1'b0 : VAR2); always @(posedge clk or posedge rst) begin if (rst) VAR8 <= #VAR7 1'b0; end else VAR8 <= #VAR7 VAR6; end assign VAR9 = VAR10 & VAR8; always @(posedge clk or posedge rst) begin if (rst) VAR5 <= 1'b0; end else VAR5 <= #VAR7 ~VAR12 & VAR3 & ~VAR6; end assign VAR4 = VAR5; reg VAR11; always @(posedge clk or posedge rst) begin if (rst) VAR11 <= #VAR7 1'b0; end else if (~enable) VAR11 <= #VAR7 1'b0; else if (VAR9) VAR11 <= #VAR7 1'b1; else if (VAR4) VAR11 <= #VAR7 1'b0; else VAR11 <= #VAR7 VAR1; end assign VAR12 = VAR11; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_inputiso1p/sky130_fd_sc_hd__lpflow_inputiso1p.blackbox.v
1,387
module MODULE1 ( VAR7 , VAR2 , VAR5 ); output VAR7 ; input VAR2 ; input VAR5; supply1 VAR3; supply0 VAR1; supply1 VAR4 ; supply0 VAR6 ; endmodule
apache-2.0
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/micron/sdram/mobile_sdr.v
97,106
module MODULE1 ( clk , VAR68 , addr , VAR122 , VAR10 , VAR1 , VAR149 , VAR61 , VAR14 , VAR30 ); parameter VAR59 = 13; parameter VAR2 = 13; parameter VAR76 = 32; parameter VAR40 = 4; parameter VAR19 = 9; parameter VAR85 = 2; else VAR6 VAR44 parameter VAR59 = 13; parameter VAR2 = 13; parameter VAR76 = 16; parameter VAR40 = 2; parameter VAR19 = 10; parameter VAR85 = 2; VAR108 VAR108 parameter VAR133 = VAR85+VAR59+VAR19; parameter VAR42 = 10; parameter VAR51 = 256; parameter VAR74 = 6'b100111 ; parameter VAR91 = 6'b100011 ; parameter VAR35 = 6'b100101 ; parameter VAR22 = 6'b110101 ; parameter VAR145 = 6'b000101 ; parameter VAR60 = 6'b010101 ; parameter VAR116 = 6'b100100 ; parameter VAR67 = 6'b110100 ; parameter VAR71 = 6'b000100 ; parameter VAR18 = 6'b010100 ; parameter VAR39 = 6'b100110 ; parameter VAR139 = 6'b001111 ; parameter VAR4 = 6'b000111 ; parameter VAR130 = 6'b000110 ; parameter VAR48 = 6'b100010 ; parameter VAR144 = 6'b110010 ; parameter VAR69 = 6'b100001 ; parameter VAR33 = 6'b000001 ; parameter VAR136 = 6'b100000 ; parameter VAR12 = 6'b011111 ; parameter VAR57 = 0 ; parameter VAR153 = -1; parameter VAR70 = -1; parameter VAR47 = 256; parameter VAR73 = 16; parameter VAR15 = 1; parameter VAR27 = 2; parameter VAR132 = 3; parameter VAR121 = 4; parameter VAR107 = 5; parameter VAR142 = 6; parameter VAR52 = 7; parameter VAR123 = 8; parameter VAR62 = 9; parameter VAR5 = 11; parameter VAR131 = 12; parameter VAR64 = 13; parameter VAR24 = 14; parameter VAR135 = 15; parameter VAR138 = 16; wire [VAR73 : 1] VAR17 ; reg [VAR73 : 1] VAR87 ; reg [8*12-1:0] VAR65 [1:VAR73]; integer VAR154 = VAR70; assign VAR17 = {VAR73 {1'b0}}; input clk ; input VAR68 ; input [VAR59 - 1 : 0] addr ; input [VAR85 - 1 : 0] VAR122 ; input VAR10 ; input VAR1 ; input VAR149 ; input VAR61 ; input [VAR40 - 1 : 0] VAR30 ; inout [VAR76 - 1 : 0] VAR14 ; reg [8*VAR47:1] VAR150 ; reg [VAR94 - 1 :0] VAR115 ; reg [VAR59 - 1 :0] VAR152 [VAR94 - 1 : 0] ; reg VAR63 ; reg [ VAR19 - 1 : 0] VAR45 ; reg [VAR19 - 1 : 0] VAR103 [VAR54-1 : 0] ; reg [VAR85 - 1 : 0] VAR92 [VAR54+2 : 0] ; reg [VAR2 - 1 : 0] VAR141 [VAR54+2 : 0] ; reg [VAR19 - 1 : 0] VAR56 [VAR54+2 : 0] ; reg [ 1 : 0] VAR96 [VAR54+2 : 0] ; reg [ 2 : 0] VAR114 ; reg VAR3 ; reg [VAR85 - 1 : 0] VAR127 ; reg VAR124 ; reg [VAR76-1 : 0] VAR72 ; reg [VAR76-1 : 0] VAR38 ; reg [VAR76 - 1 : 0] VAR110 ; reg [VAR94-1:0] VAR106 ; reg VAR129 ; reg [VAR40 - 1 : 0] VAR147 ; reg [ 1 : 0] VAR41 ; reg VAR13 ; reg [3 : 0] VAR82 ; reg VAR93 ; reg VAR21 ; reg VAR148 ; reg VAR125 ; reg [VAR76 - 1 : 0] VAR146 [0 : (1<<VAR133)-1]; reg [VAR76 - 1 : 0] VAR146 [0 : (1<<VAR42)-1]; reg [VAR133 - 1 : 0] VAR49 [0 : (1<<VAR42)-1]; reg [VAR42 : 0] VAR137; reg [VAR42 : 0] VAR120; VAR134 VAR137 = 0; integer VAR113 ; integer VAR140 ; integer VAR8 ; integer VAR58 ; integer VAR29 ; integer VAR36 ; integer VAR37 ; integer VAR77 ; integer VAR75 ; integer VAR16 ; integer VAR101 ; integer VAR143 ; integer VAR97 ; integer VAR31 ; integer VAR90 ; integer VAR79 ; integer VAR86 ; integer VAR95 [VAR94-1:0] ; integer VAR32 [VAR94-1:0] ; integer VAR80 [VAR94-1:0] ; integer VAR81 [VAR94-1:0] ; integer VAR20 [VAR94-1:0] ; integer VAR151 [VAR94-1:0] ; integer VAR128 [VAR94-1:0] ; integer VAR88 ; integer VAR28 ; integer VAR25 ; integer VAR78 ; integer VAR109 ; VAR55 VAR112 ; VAR55 VAR117 ; VAR55 VAR9 ; VAR55 VAR43 ; VAR55 VAR46 ; VAR55 VAR102 ; VAR55 VAR118 ; VAR55 VAR34 ; VAR55 VAR104 ; VAR55 VAR53 ; VAR55 VAR100 ; VAR55 VAR111 ; VAR55 VAR50 [VAR94-1:0] ; VAR55 VAR89 [VAR94-1:0] ; VAR55 VAR7 [VAR94-1:0] ; VAR55 VAR11 [VAR94-1:0] ; VAR55 VAR126 [VAR94-1:0] ; VAR55 VAR84 ; VAR55 VAR83 ; VAR55 VAR98 ; VAR55 VAR119 ; VAR55 VAR99 ; VAR55 VAR26 ; VAR55 VAR66 ; VAR55 VAR23 ; wire VAR105 ; wire [ 5 : 0] VAR155 ; assign VAR14 = VAR38 ; begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/MySource/r7lite_top.v
21,303
module MODULE1 #( parameter VAR204 = 0, parameter VAR2 = 4, parameter VAR227 = 64, parameter VAR3 = 2, parameter VAR242 = 3, VAR94 parameter VAR3 = 1, parameter VAR242 = 2, VAR94 parameter VAR139 = 12, parameter VAR280 = 15, parameter VAR79 = 3, parameter VAR96 = 2, parameter VAR178 = 2, parameter VAR61 = 1, parameter VAR85 = 2, parameter VAR162 = 8, parameter VAR247 = 64, parameter VAR187 = 8, parameter VAR157 = 2, parameter VAR47 = 15, parameter VAR125 = 29, parameter VAR37 = 64, parameter VAR13 = 2, parameter VAR279 = 2 parameter VAR79 = 3, parameter VAR96 = 1, parameter VAR178 = 1, parameter VAR61 = 1, parameter VAR85 = 1, parameter VAR162 = 8, parameter VAR247 = 64, parameter VAR187 = 8, parameter VAR157 = 1, parameter VAR47 = 15, parameter VAR125 = 29, parameter VAR37 = 64, parameter VAR13 = 2, parameter VAR279 = 1 ) ( input VAR244, input VAR268, input VAR81, input VAR124, output [3:0] VAR5, output VAR277, output VAR126, output [15:0] VAR93, output [15:0] VAR180, input [13:0] VAR221, input [13:0] VAR58, input VAR194, output VAR30, output VAR249, output VAR145, output VAR74, input [VAR2-1 : 0] VAR163, input [VAR2-1 : 0] VAR26, output [VAR2-1 : 0] VAR52, output [VAR2-1 : 0] VAR161, input VAR127, input VAR115, input VAR262, inout [VAR247-1:0] VAR120, inout [VAR187-1:0] VAR272, inout [VAR187-1:0] VAR148, output [VAR47-1:0] VAR60, output [VAR79-1:0] VAR199, output VAR169, output VAR251, output VAR16, output VAR77, output [VAR96-1:0] VAR233, output [VAR96-1:0] VAR65, output [VAR85-1:0] VAR57, output [VAR178*VAR61-1:0] VAR263, output [VAR162-1:0] VAR266, output [VAR157-1:0] VAR103 ); assign VAR5 = {~VAR205,VAR75,VAR135,VAR154}; wire [31:0] VAR45; wire [31:0] VAR54; reg [31:0] VAR35; wire [63:0] VAR113; wire [63:0] VAR267; wire [31:0] VAR7; wire [31:0] VAR150; reg [31:0] VAR212; wire [63:0] VAR174; wire [63:0] VAR156; wire [31:0] VAR123; wire [31:0] VAR142,VAR14;wire VAR205 = VAR244 & VAR209; VAR238 VAR274 ( .VAR276(VAR46), .rst(VAR205 & (~VAR167)), .VAR277(VAR277), .VAR126(VAR126), .VAR93(VAR93), .VAR180(VAR180), .VAR221(VAR221), .VAR58(VAR58), .VAR194(VAR194), .VAR30(VAR30), .VAR249(VAR249), .VAR145(VAR145), .VAR74(VAR74), .VAR97(VAR97) ); VAR100 VAR69 ( .VAR269({VAR221,2'b00}), .VAR241(VAR142), .VAR195(VAR45), .VAR18(VAR113), .VAR220(VAR267), .VAR76(VAR136 | VAR167 | (~VAR75)), .VAR97(VAR97), .VAR105(VAR198), .VAR194(VAR194), .VAR158(VAR185), .VAR43(VAR133), .VAR27(VAR68), .VAR15(VAR222), .VAR188(VAR196) ); VAR100 VAR39 ( .VAR269({VAR58,2'b00}), .VAR241(VAR14), .VAR195(VAR7), .VAR18(VAR174), .VAR220(VAR156), .VAR76(VAR136 | VAR167 | (~VAR75)), .VAR97(VAR97), .VAR105(VAR198), .VAR194(VAR194), .VAR158(VAR273), .VAR43(VAR234), .VAR27(VAR67), .VAR15(VAR225), .VAR188(VAR202) ); wire [VAR227-1:0] VAR230,VAR264,VAR144,VAR107; wire [31:0] VAR246,VAR44,VAR130,VAR223; wire [VAR227-1:0] VAR63,VAR91; wire [VAR227-1:0] VAR245,VAR265; wire [31:0] VAR219,VAR49; VAR41 #( .VAR227 (VAR227 ), .VAR79 (VAR79 ), .VAR96 (VAR96 ), .VAR178 (VAR178 ), .VAR61 (VAR61 ), .VAR85 (VAR85 ), .VAR162 (VAR162 ), .VAR247 (VAR247 ), .VAR187 (VAR187 ), .VAR157 (VAR157 ), .VAR47 (VAR47 ), .VAR125 (VAR125 ), .VAR37 (VAR37 ), .VAR13 (VAR13 ), .VAR279 (VAR279 ) )VAR237 ( .VAR144(VAR144), .VAR252(VAR252), .VAR10(29'h0), .VAR82(29'h0FFFFFFF), .VAR256(VAR63>>6), .VAR70(1'b0), .VAR128(VAR198), .VAR185(VAR185), .VAR130(VAR130), .VAR231(VAR231), .VAR107(VAR107), .VAR164(VAR164), .VAR32(29'h10000000), .VAR259(29'h1FFFFFFF), .VAR78(VAR63>>6), .VAR110(1'b0), .VAR42(VAR198), .VAR273(VAR273), .VAR223(VAR223), .VAR89(VAR89), .VAR230(VAR230), .VAR214(VAR214), .VAR131(29'h0), .VAR278(29'h0FFFFFFF), .VAR147(VAR63>>6), .VAR28(1'b0), .VAR159(VAR198), .VAR270(VAR270), .VAR246(VAR246), .VAR73(VAR73), .VAR184(VAR184), .VAR264(VAR264), .VAR201(VAR201), .VAR11(29'h10000000), .VAR258(29'h1FFFFFFF), .VAR66(VAR63>>6), .VAR186(1'b0), .VAR243(VAR198), .VAR31(VAR31), .VAR44(VAR44), .VAR153(VAR153), .VAR190(VAR190), .VAR63(VAR63), .VAR183(VAR54), .VAR114(VAR150), .VAR120(VAR120), .VAR272(VAR272), .VAR148(VAR148), .VAR60(VAR60), .VAR199(VAR199), .VAR169(VAR169), .VAR251(VAR251), .VAR16(VAR16), .VAR77(VAR77), .VAR233(VAR233), .VAR65(VAR65), .VAR57(VAR57), .VAR263(VAR263), .VAR266(VAR266), .VAR103(VAR103), .VAR268(VAR268), .VAR81(VAR81), .VAR124(VAR124), .VAR205(VAR205 & (~VAR167)), .VAR167(VAR167), .VAR240(VAR198), .VAR219(VAR219), .VAR49(VAR49), .VAR118(VAR118), .VAR64(VAR64), .VAR62(VAR62), .VAR182(VAR182), .VAR75(VAR75), .VAR152(VAR152), .VAR138(VAR136), .VAR46(VAR46) ); wire [VAR280-1 : 0] VAR84 ; wire VAR141 ; wire [VAR227-1:0] VAR281 ; wire VAR122 ; wire VAR4 ; wire [VAR280-1 : 0] VAR104 ; wire VAR181 ; wire [VAR227-1:0] VAR275 ; wire VAR226 ; wire VAR191 ; wire VAR90 ; wire [7:0] VAR211 ; wire [VAR139-1 : 0] VAR92 ; wire [VAR227-1 : 0] VAR71 ; wire [VAR139-1 : 0] VAR112 ; wire [VAR227-1 : 0] VAR236 ; wire [31:0] VAR80,VAR172,VAR23,VAR171,VAR106,VAR166,VAR55,VAR176,VAR40,VAR207, VAR192,VAR179,VAR21,VAR257,VAR86,VAR239,VAR175,VAR19,VAR83,VAR218, VAR215,VAR9,VAR160,VAR6,VAR33; wire [24:0] VAR72; wire [31:0] VAR149,VAR29,VAR87,VAR20,VAR168,VAR102,VAR98,VAR165,VAR51,VAR99, VAR189,VAR235,VAR38,VAR36,VAR137,VAR48,VAR193,VAR232,VAR108,VAR34, VAR177,VAR132,VAR170,VAR173,VAR213; wire [24:0] VAR146; wire VAR17; wire VAR129; wire VAR59; wire VAR250; wire VAR95; wire VAR206; wire [VAR227-1 : 0] VAR116; wire [31:0] VAR203,VAR229,VAR151,VAR255 ; VAR134 #( .VAR204 (VAR204), .VAR2 (VAR2), .VAR227 (VAR227) , .VAR3 (VAR3), .VAR242 (VAR242), .VAR139 (VAR139), .VAR280 (VAR280) )VAR22 ( .VAR198(VAR198), .VAR135(VAR135), .VAR154(VAR154), .VAR163(VAR163), .VAR26(VAR26), .VAR52(VAR52), .VAR161(VAR161), .VAR81(VAR127), .VAR124(VAR115), .VAR262(VAR262), .VAR260(VAR209), .VAR84(VAR84), .VAR141(VAR141), .VAR281(VAR281), .VAR122(VAR122), .VAR4(VAR4), .VAR104(VAR104), .VAR181(VAR181), .VAR275(VAR275), .VAR226(VAR226), .VAR191(VAR191), .VAR90(VAR90), .VAR211(VAR211), .VAR92(VAR92), .VAR71(VAR71), .VAR112(VAR112), .VAR236(VAR236), .VAR111(VAR111), .VAR254(VAR254), .VAR8(VAR8), .VAR129 (VAR129), .VAR17 (VAR17), .VAR59 (VAR59), .VAR95 (VAR95), .VAR250 (VAR250), .VAR206 (VAR206), .VAR116 (VAR116), .VAR63 (VAR63), .VAR245 (VAR245), .VAR109 (VAR109 ), .VAR91 (VAR91), .VAR265 (VAR265), .VAR25 (VAR25 ), .VAR203(VAR203), .VAR229(VAR229), .VAR151(VAR151), .VAR255(VAR255), .VAR80(VAR80), .VAR172(VAR172), .VAR23(VAR23), .VAR171(VAR171), .VAR106(VAR106), .VAR166(VAR166), .VAR55(VAR55), .VAR176(VAR176), .VAR40(VAR40), .VAR207(VAR207), .VAR192(VAR192), .VAR179(VAR179), .VAR21(VAR21), .VAR257(VAR257), .VAR86(VAR86), .VAR239(VAR239), .VAR175(VAR175), .VAR19(VAR19), .VAR83(VAR83), .VAR218(VAR218), .VAR215(VAR215), .VAR9(VAR9), .VAR160(VAR160), .VAR6(VAR6), .VAR33(VAR33), .VAR72(VAR72), .VAR149(VAR149), .VAR29(VAR29), .VAR87(VAR87), .VAR20(VAR20), .VAR168(VAR168), .VAR102(VAR102), .VAR98(VAR98), .VAR165(VAR165), .VAR51(VAR51), .VAR99(VAR99), .VAR189(VAR189), .VAR235(VAR235), .VAR38(VAR38), .VAR36(VAR36), .VAR137(VAR137), .VAR48(VAR48), .VAR193(VAR193), .VAR232(VAR232), .VAR108(VAR108), .VAR34(VAR34), .VAR177(VAR177), .VAR132(VAR132), .VAR170(VAR170), .VAR173(VAR173), .VAR213(VAR213), .VAR146(VAR146) ); VAR248 VAR24 ( .VAR205 (VAR205 & (~VAR167)), .VAR271 (VAR198), .VAR142 (VAR142), .VAR133 (VAR133), .VAR14 (VAR14), .VAR234 (VAR234), .VAR144(VAR144), .VAR252(VAR252), .VAR185(VAR185), .VAR130(VAR130), .VAR231(VAR231), .VAR107(VAR107), .VAR164(VAR164), .VAR273(VAR273), .VAR223(VAR223), .VAR89(VAR89), .VAR230 (VAR230), .VAR214 (VAR214), .VAR270 (VAR270), .VAR246 (VAR246), .VAR73 (VAR73), .VAR264 (VAR264), .VAR201 (VAR201), .VAR31 (VAR31), .VAR44 (VAR44), .VAR153 (VAR153), .VAR253 (VAR84), .VAR50 (VAR281), .VAR1 (VAR4), .VAR200 (VAR122), .VAR188 (VAR141), .VAR208 (VAR90), .VAR88 (VAR191), .VAR12 (VAR226), .VAR140 (VAR275), .VAR119 (VAR181), .VAR117 (VAR104), .VAR53 (VAR112), .VAR210 (VAR236), .VAR56 (VAR92), .VAR197 (VAR71), .VAR261 (VAR211), .VAR121 (VAR111), .VAR143 (VAR254), .VAR203 (VAR203), .VAR229 (VAR229), .VAR151 (VAR151), .VAR255 (VAR255), .VAR217 (VAR129), .VAR101 (VAR17), .VAR155 (VAR59), .VAR216 (VAR95), .VAR224 (VAR250), .VAR228 (VAR206), .VAR116 (VAR116), .VAR63 (VAR63), .VAR245 (VAR245), .VAR109 (VAR109), .VAR80(VAR80), .VAR172(VAR172), .VAR23(VAR23), .VAR171(VAR171), .VAR106(VAR106), .VAR166(VAR166), .VAR55(VAR55), .VAR176(VAR176), .VAR40(VAR40), .VAR207(VAR207), .VAR192(VAR192), .VAR179(VAR179), .VAR21(VAR21), .VAR257(VAR257), .VAR86(VAR86), .VAR239(VAR239), .VAR175(VAR175), .VAR19(VAR19), .VAR83(VAR83), .VAR218(VAR218), .VAR215(VAR215), .VAR9(VAR9), .VAR160(VAR160), .VAR6(VAR6), .VAR33(VAR33), .VAR72(VAR72), .VAR149(VAR149), .VAR29(VAR29), .VAR87(VAR87), .VAR20(VAR20), .VAR168(VAR168), .VAR102(VAR102), .VAR98(VAR98), .VAR165(VAR165), .VAR51(VAR51), .VAR99(VAR99), .VAR189(VAR189), .VAR235(VAR235), .VAR38(VAR38), .VAR36(VAR36), .VAR137(VAR137), .VAR48(VAR48), .VAR193(VAR193), .VAR232(VAR232), .VAR108(VAR108), .VAR34(VAR34), .VAR177(VAR177), .VAR132(VAR132), .VAR170(VAR170), .VAR173(VAR173), .VAR213(VAR213), .VAR146(VAR146), .VAR45(VAR45), .VAR54(VAR54), .VAR35(VAR35), .VAR113(VAR113), .VAR267(VAR267), .VAR7(VAR7), .VAR150(VAR150), .VAR212(VAR212), .VAR174(VAR174), .VAR156(VAR156), .VAR219(VAR219), .VAR49(VAR49), .VAR62(VAR62), .VAR182(VAR182), .VAR222(VAR222), .VAR225(VAR225) ); always @(posedge VAR198 or negedge VAR205)begin if(!VAR205)begin VAR35 <= 32'b0; end else begin VAR35 <= { VAR135, VAR75, VAR62, VAR118, VAR133, VAR68, VAR185, VAR270, VAR191, VAR8, VAR45[21:0] }; end end always @(posedge VAR198 or negedge VAR205)begin if(!VAR205)begin VAR212 <= 32'b0; end else begin VAR212 <= { VAR135, VAR75, VAR182, VAR64, VAR234, VAR67, VAR273, VAR31, VAR191, VAR8, VAR7[21:0] }; end end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkbuflp/sky130_fd_sc_lp__clkbuflp.pp.blackbox.v
1,270
module MODULE1 ( VAR4 , VAR2 , VAR3, VAR6, VAR1 , VAR5 ); output VAR4 ; input VAR2 ; input VAR3; input VAR6; input VAR1 ; input VAR5 ; endmodule
apache-2.0
bluespec/Flute
src_SSITH_P2/Verilog_RTL/mkSoC_Map.v
13,589
module MODULE1(VAR41, VAR13, VAR16, VAR30, VAR33, VAR7, VAR37, VAR39, VAR9, VAR5, VAR20, VAR43, VAR45, VAR34, VAR25, VAR14, VAR26, VAR23, VAR24, VAR17, VAR15, VAR22, VAR6, VAR1, VAR12, VAR38, VAR32, VAR46, VAR11, VAR40, VAR36, VAR42, VAR18, VAR35, VAR31, VAR27, VAR2, VAR4, VAR8, VAR28, VAR10); input VAR41; input VAR13; output [63 : 0] VAR16; output [63 : 0] VAR30; output [63 : 0] VAR33; output [63 : 0] VAR7; output [63 : 0] VAR37; output [63 : 0] VAR39; output [63 : 0] VAR9; output [63 : 0] VAR5; output [63 : 0] VAR20; output [63 : 0] VAR43; output [63 : 0] VAR45; output [63 : 0] VAR34; output [63 : 0] VAR25; output [63 : 0] VAR14; output [63 : 0] VAR26; output [63 : 0] VAR23; output [63 : 0] VAR24; output [63 : 0] VAR17; output [63 : 0] VAR15; output [63 : 0] VAR22; output [63 : 0] VAR6; output [63 : 0] VAR1; output [63 : 0] VAR12; output [63 : 0] VAR38; output [63 : 0] VAR32; output [63 : 0] VAR46; output [63 : 0] VAR11; output [63 : 0] VAR40; output [63 : 0] VAR36; output [63 : 0] VAR42; input [63 : 0] VAR18; output VAR35; input [63 : 0] VAR31; output VAR27; input [63 : 0] VAR2; output VAR4; output [63 : 0] VAR8; output [63 : 0] VAR28; output [63 : 0] VAR10; wire [63 : 0] VAR1, VAR38, VAR12, VAR40, VAR42, VAR36, VAR32, VAR11, VAR46, VAR25, VAR26, VAR14, VAR43, VAR34, VAR45, VAR9, VAR20, VAR5, VAR15, VAR6, VAR22, VAR28, VAR7, VAR39, VAR37, VAR10, VAR8, VAR16, VAR33, VAR30, VAR23, VAR17, VAR24; wire VAR27, VAR35, VAR4; wire VAR3, VAR29, VAR21, VAR19, VAR44; assign VAR16 = 64'h000000000C000000 ; assign VAR30 = 64'h0000000000400000 ; assign VAR33 = 64'd205520896 ; assign VAR7 = 64'h0000000010000000 ; assign VAR37 = 64'h0000000000010000 ; assign VAR39 = 64'd268500992 ; assign VAR9 = 64'h0000000040000000 ; assign VAR5 = 64'h0000000008000000 ; assign VAR20 = 64'd1207959552 ; assign VAR43 = 64'h0000000062100000 ; assign VAR45 = 64'h0000000000040000 ; assign VAR34 = 64'd1645477888 ; assign VAR25 = 64'h0000000062200000 ; assign VAR14 = 64'h0000000000010000 ; assign VAR26 = 64'd1646329856 ; assign VAR23 = 64'h0000000062300000 ; assign VAR24 = 64'h0000000000001000 ; assign VAR17 = 64'd1647316992 ; assign VAR15 = 64'h000000006FFF0000 ; assign VAR22 = 64'h0000000000010000 ; assign VAR6 = 64'd1879048192 ; assign VAR1 = 64'h0000000070000000 ; assign VAR12 = 64'h0000000000001000 ; assign VAR38 = 64'd1879052288 ; assign VAR32 = 64'h0000000080000000 ; assign VAR46 = 64'h0000000040000000 ; assign VAR11 = 64'h00000000C0000000 ; assign VAR40 = 64'h00000000C0000000 ; assign VAR36 = 64'h0000000040000000 ; assign VAR42 = 64'h0000000100000000 ; assign VAR35 = VAR18 >= 64'h00000000C0000000 && VAR18 < 64'h0000000100000000 ; assign VAR27 = VAR29 || !VAR21 && VAR44 ; assign VAR4 = VAR2 >= 64'h0000000010000000 && VAR2 < 64'd268500992 ; assign VAR8 = 64'h0000000070000000 ; assign VAR28 = 64'h0000000000001000 ; assign VAR10 = 64'hAAAAAAAAAAAAAAAA ; assign VAR3 = VAR31 >= 64'h000000000C000000 && VAR31 < 64'd205520896 || VAR31 >= 64'h0000000010000000 && VAR31 < 64'd268500992 || !VAR44 && VAR31 < 64'd1207959552 || VAR31 >= 64'h0000000062100000 && VAR31 < 64'd1645477888 || VAR31 >= 64'h0000000062200000 && VAR31 < 64'd1646329856 || VAR31 >= 64'h0000000062300000 && VAR31 < 64'd1647316992 || VAR31 >= 64'h000000006FFF0000 && VAR19 ; assign VAR29 = VAR3 || !VAR19 && VAR31 < 64'd1879052288 || VAR31 >= 64'h0000000080000000 && VAR31 < 64'h00000000C0000000 || VAR31 >= 64'h0000000062400000 && VAR31 < 64'd1648365568 || VAR31 >= 64'h0000000062310000 && VAR31 < 64'd1647382528 || VAR31 >= 64'h0000000062320000 && VAR31 < 64'd1647448064 || VAR31 >= 64'h0000000062360000 && VAR31 < 64'd1647710208 || VAR31 >= 64'h0000000062330000 && VAR31 < 64'd1647513600 || VAR31 >= 64'h0000000062370000 && VAR31 < 64'd1647775744 || VAR31 >= 64'h0000000020000000 && VAR21 ; assign VAR21 = VAR31 < 64'h0000000030000000 ; assign VAR19 = VAR31 < 64'h0000000070000000 ; assign VAR44 = VAR31 < 64'd1073741824 ; endmodule
apache-2.0
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/ecc/mig_7series_v2_0_fi_xor.v
5,555
module MODULE1 # ( parameter integer VAR2 = 72, parameter integer VAR8 = 9, parameter integer VAR3 = 4 ) ( input wire clk , input wire [2*VAR3*VAR2-1:0] VAR9 , output wire [2*VAR3*VAR2-1:0] VAR6 , input wire VAR4 , input wire [VAR8-1:0] VAR1 , input wire [VAR2-1:0] VAR5 ); localparam VAR11 = VAR2 / VAR8; reg [VAR2-1:0] VAR7 = {VAR2{1'b0}}; generate begin genvar VAR12; for (VAR12 = 0; VAR12 < VAR8; VAR12 = VAR12 + 1) begin : VAR10 always @(posedge clk) begin if (VAR4) begin VAR7[VAR12*VAR11+:VAR11] <= {VAR11{1'b0}}; end else if (VAR1[VAR12]) begin VAR7[VAR12*VAR11+:VAR11] <= VAR5[VAR12*VAR11+:VAR11]; end else begin VAR7[VAR12*VAR11+:VAR11] <= VAR7[VAR12*VAR11+:VAR11]; end end end end endgenerate assign VAR6[0+:VAR2] = VAR9[0+:VAR2] ^ VAR7[0+:VAR2]; assign VAR6[VAR2+:(2*VAR3-1)*VAR2] = VAR9[VAR2+:(2*VAR3-1)*VAR2]; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dfstp/sky130_fd_sc_hdll__dfstp.behavioral.v
2,148
module MODULE1 ( VAR1 , VAR8 , VAR16 , VAR7 ); output VAR1 ; input VAR8 ; input VAR16 ; input VAR7; supply1 VAR4; supply0 VAR20; supply1 VAR14 ; supply0 VAR5 ; wire VAR6 ; wire VAR10 ; reg VAR13 ; wire VAR17 ; wire VAR12; wire VAR11 ; wire VAR19 ; wire VAR21 ; wire VAR18 ; not VAR9 (VAR10 , VAR12 ); VAR2 VAR3 (VAR6 , VAR17, VAR11, VAR10, VAR13, VAR4, VAR20); assign VAR19 = ( VAR4 === 1'b1 ); assign VAR21 = ( VAR12 === 1'b1 ); assign VAR18 = ( VAR7 === 1'b1 ); buf VAR15 (VAR1 , VAR6 ); endmodule
apache-2.0
comododragon/SHA256_FPGA
DelayedSPI/Verilog/SPISlaveDelayedResponse.v
5,409
module MODULE1#( parameter VAR5 = 32, parameter VAR7 = 32 ) ( VAR10, VAR4, VAR11, VAR3, VAR2, VAR1, VAR8 ); generate if((2 * VAR5) + VAR7 - 'h1 >= 4096) begin VAR9(); end endgenerate input VAR10; input VAR4; input VAR11; output VAR3; output [VAR5-1:0] VAR2; input [VAR5-1:0] VAR1; output VAR8; reg [VAR5-1:0] VAR12; reg [11:0] counter; reg [11:0] VAR6; assign VAR3 = (counter <= VAR5 - 'h1)? VAR1[counter] : 'b0; assign VAR2 = VAR12; assign VAR8 = (counter < (VAR7 + VAR5)) || (((2 * VAR5) + VAR7 - 'h1) == counter); always @(posedge VAR4 or negedge VAR10) begin if(!VAR10) begin counter <= (2 * VAR5) + VAR7 - 'h1; end else begin if(counter >= (VAR7 + VAR5)) begin VAR12[counter - (VAR7 + VAR5)] <= VAR11; end counter <= counter? (counter - 'h1) : ((2 * VAR5) + VAR7 - 'h1); end end endmodule
mit
omicronns/studies-sys-rek
de1-soc-proc/ip/alu_mul.v
4,350
module MODULE1 ( VAR2, VAR17, VAR1); input [7:0] VAR2; input [7:0] VAR17; output [15:0] VAR1; wire [15:0] VAR3; wire [15:0] VAR1 = VAR3[15:0]; VAR11 VAR14 ( .VAR2 (VAR2), .VAR17 (VAR17), .VAR1 (VAR3), .VAR15 (1'b0), .VAR8 (1'b1), .VAR16 (1'b0), .sum (1'b0)); VAR14.VAR5 = "VAR18=5", VAR14.VAR6 = "VAR4", VAR14.VAR12 = "VAR9", VAR14.VAR7 = 8, VAR14.VAR10 = 8, VAR14.VAR13 = 16; endmodule
mit
eda-globetrotter/MarcheProcessor
final/src/tosynth Folder/control.v
6,055
module MODULE1(VAR25, VAR14, VAR33, VAR21, VAR2, VAR4, VAR31, VAR16, VAR27, VAR20, VAR22, VAR17, VAR24, VAR5); input [0:31] VAR25; output [0:4] VAR14, VAR27, VAR20, VAR22; output [0:2] VAR16; output [0:1] VAR33; output [0:20] VAR4; output VAR21, VAR2; output VAR17, VAR24; output [0:15] VAR31; output [0:127] VAR5; reg [0:4] VAR14, VAR27, VAR20, VAR22; reg [0:2] VAR32, VAR16; reg [0:1] VAR33, VAR23; reg [0:20] VAR4; reg VAR21, VAR2; reg VAR17, VAR24; reg [0:15] VAR31; reg [0:127] VAR5; parameter VAR8 = 1'b0; parameter VAR30 = 1'b1; always @ (VAR32 or VAR33 or VAR25) begin if (VAR25[5]== 1'b1) begin VAR31=16'b1111111111111111; end else if (VAR32 == VAR11) VAR31=16'b1111111111111111; end else if (VAR32 == VAR18) VAR31=16'b1111111100000000; else if (VAR32 == VAR3) VAR31=16'b0000000011111111; else if (VAR32 == VAR7) begin if (VAR33==VAR1) VAR31=16'b1010101010101010; end else if (VAR33==VAR13) VAR31=16'b1100110011001100; else if (VAR33==VAR29) VAR31=16'b1111000011110000; else VAR31=16'b0; end else if (VAR32 == VAR28) begin if (VAR33==VAR1) VAR31=16'b0101010101010101; end else if (VAR33==VAR13) VAR31=16'b0011001100110011; else if (VAR33==VAR29) VAR31=16'b0000111100001111; else VAR31=16'b0; end else if (VAR32 == VAR15) begin if (VAR33==VAR1) VAR31=16'b1000000000000000; end else if (VAR33==VAR13) VAR31=16'b1100000000000000; else if (VAR33==VAR29) VAR31=16'b1111000000000000; else VAR31=16'b0; end else if (VAR32 == VAR34) begin if (VAR33==VAR1) VAR31=16'b0000000000000001; end else if (VAR33==VAR13) VAR31=16'b0000000000000011; else if (VAR33==VAR29) VAR31=16'b0000000000001111; else VAR31=16'b0; end else VAR31=16'b0; end always @ (VAR25) begin VAR5={VAR25[16:20], {123{VAR8}}}; VAR32=VAR25[21:23]; VAR33=VAR25[24:25]; VAR4=VAR25[11:31]; VAR22=VAR25[6:10]; if (VAR25[2] == 1'b1) begin VAR14=VAR25[26:31]; VAR16=VAR12; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR8; VAR2=VAR8; VAR24=VAR30; VAR17=VAR8; end else if (VAR25[3] == 1'b1) begin if ({VAR25[26:28], VAR25[31]} == 4'b0101) begin VAR14=VAR25[26:31]; VAR16=VAR12; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR8; VAR2=VAR8; VAR24=VAR30; VAR17=VAR8; end else if (VAR25[26:31]==6'b001000) begin VAR14=VAR25[26:31]; VAR16=VAR12; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR8; VAR2=VAR8; VAR24=VAR30; VAR17=VAR8; end else begin VAR14=VAR25[26:31]; VAR16=VAR10; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR8; VAR2=VAR8; VAR24=VAR8; VAR17=VAR8; end end else if (VAR25[4]==1'b1) begin VAR14=VAR19; VAR16=VAR6; VAR27=VAR25[6:10]; VAR20=VAR25[6:10]; VAR21=VAR30; VAR2=VAR30; VAR24=VAR8; VAR17=VAR8; end else if (VAR25[5]==1'b1) begin VAR14=VAR19; VAR16=VAR9; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR30; VAR2=VAR8; VAR24=VAR8; VAR17=VAR30; end else begin VAR14=VAR19; VAR16=VAR26; VAR27=VAR25[11:15]; VAR20=VAR25[16:20]; VAR21=VAR8; VAR2=VAR8; VAR17=VAR8; VAR24=VAR8; end end endmodule
mit
calee0219/Course
DLAB/Lab02/01_RTL/CONVCOR.v
4,290
module MODULE1(VAR41,VAR36,VAR9,VAR46); input [15:0] VAR9, VAR46; output [17:0] VAR41, VAR36; wire signed [7:0] VAR21, VAR39, VAR50, VAR56; assign VAR21 = VAR9[15:8]; assign VAR39 = VAR9[7:0]; assign VAR50 = VAR46[15:8]; assign VAR56 = VAR46[7:0]; assign VAR41 = VAR21*VAR50 - VAR39*VAR56; assign VAR36 = VAR21*VAR56 + VAR39*VAR50; endmodule module MODULE3(VAR41,VAR36,VAR9,VAR46); input [15:0] VAR9, VAR46; output signed [17:0] VAR41, VAR36; wire signed [7:0] VAR21, VAR39, VAR50, VAR56; assign VAR21 = VAR9[15:8]; assign VAR39 = VAR9[7:0]; assign VAR50 = VAR46[15:8]; assign VAR56 = VAR46[7:0]; assign VAR41 = VAR21*VAR50 + VAR39*VAR56; assign VAR36 = VAR39*VAR50 - VAR21*VAR56; endmodule module MODULE2( clk, VAR37, VAR4, VAR47, VAR28, VAR5, VAR23, out ); input clk; input VAR37; input VAR4; input [15:0] VAR47; input [15:0] VAR28; input VAR5; output reg VAR23; output reg [35:0] out; reg signed [15:0] VAR57, VAR26, VAR55; reg signed [15:0] b0, b1, VAR10; reg VAR18; reg [1:0] VAR35; reg [5:0] VAR53; reg rst; wire signed [17:0] VAR7, VAR1, VAR34, VAR15, VAR2, VAR17, VAR54, VAR24, VAR49; wire signed [17:0] VAR40, VAR51, VAR44, VAR20, VAR48, VAR33, VAR19, VAR30, VAR11; wire signed [17:0] VAR27, VAR6, VAR29, VAR12, VAR8, VAR52; MODULE1 MODULE10(VAR7,VAR40,VAR57,b0); MODULE1 MODULE3(VAR1,VAR51,VAR57,b1); MODULE1 MODULE2(VAR34,VAR44,VAR26,b0); MODULE1 MODULE8(VAR15,VAR20,VAR57,VAR10); MODULE1 MODULE6(VAR2,VAR48,VAR26,b1); MODULE1 MODULE4(VAR17,VAR33,VAR55,b0); MODULE1 MODULE12(VAR54,VAR19,VAR26,VAR10); MODULE1 MODULE7(VAR24,VAR30,VAR55,b1); MODULE1 MODULE11(VAR49,VAR11,VAR55,VAR10); MODULE3 MODULE5(VAR27,VAR12,VAR57,b0); MODULE3 MODULE1(VAR6,VAR8,VAR26,b1); MODULE3 MODULE9(VAR29,VAR52,VAR55,VAR10); always@(negedge clk) begin if(VAR5) VAR18 = 1; if(VAR37 == 0 || rst) begin VAR23 = 0; out = 0; VAR57 = 0; VAR26 = 0; VAR55 = 0; b0 = 0; b1 = 0; VAR10 = 0; VAR18 = 0; VAR35 = 0; VAR53 = 0; rst = 0; end if(VAR4) begin VAR35 = VAR35+1; case(VAR35) 1: begin VAR57 = VAR47; b0 = VAR28; end 2: begin VAR26 = VAR47; b1 = VAR28; end 3: begin VAR55 = VAR47; VAR10 = VAR28; end endcase end if(VAR35 >= 3) begin VAR53 = VAR53+1; case(VAR18) 0: begin case(VAR53) 2: begin VAR23 = 1; out[35:18] = VAR7; out[17:0] = VAR40; end 3: begin VAR23 = 1; out[35:18] = VAR1+VAR34; out[17:0] = VAR51+VAR44; end 4: begin VAR23 = 1; out[35:18] = VAR15+VAR2+VAR17; out[17:0] = VAR20+VAR48+VAR33; end 5: begin VAR23 = 1; out[35:18] = VAR54+VAR24; out[17:0] = VAR19+VAR30; end 6: begin VAR23 = 1; out[35:18] = VAR49; out[17:0] = VAR11; end 7: begin VAR23 = 0; rst = 1; end endcase end 1: begin if(VAR53 == 2) begin out[35:18] = VAR27+VAR6+VAR29; out[17:0] = VAR12+VAR8+VAR52; VAR23 = 1; end if(VAR53 == 3) begin VAR23 = 0; rst = 1; end end endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlygate4sd2/sky130_fd_sc_hd__dlygate4sd2.behavioral.pp.v
1,832
module MODULE1 ( VAR7 , VAR4 , VAR3, VAR10, VAR5 , VAR9 ); output VAR7 ; input VAR4 ; input VAR3; input VAR10; input VAR5 ; input VAR9 ; wire VAR6 ; wire VAR1; buf VAR12 (VAR6 , VAR4 ); VAR11 VAR2 (VAR1, VAR6, VAR3, VAR10); buf VAR8 (VAR7 , VAR1 ); endmodule
apache-2.0
rurume/openrisc_vision_hardware
ISE/or1200_spram_2048x32.v
12,680
module MODULE1( VAR38, VAR37, VAR1, clk, rst, VAR46, VAR15, VAR32, addr, VAR58, VAR68 ); parameter VAR39 = 11; parameter VAR4 = 32; input VAR38; input [VAR7 - 1:0] VAR1; output VAR37; input clk; input rst; input VAR46; input VAR15; input VAR32; input [VAR39-1:0] addr; input [VAR4-1:0] VAR58; output [VAR4-1:0] VAR68; assign VAR37 = VAR38; VAR44 #(VAR4, 1<<VAR39, VAR39) VAR20( VAR66 VAR20( VAR18 VAR20( .VAR38(VAR38), .VAR37(VAR37), .VAR1(VAR1), .VAR69(clk), .VAR51(~VAR46), .VAR54(~VAR15), .VAR45(addr), .VAR49(VAR58), .VAR41(~VAR32), .VAR35(VAR68) ); VAR47 VAR47( .VAR36(~VAR15), .VAR2(), .VAR12(~VAR32), .VAR55(), .VAR50(), .VAR43(addr), .VAR71(addr), .VAR58(VAR58), .VAR68(VAR68) ); VAR29 VAR29( .clk(clk), .VAR9(addr), .VAR67(VAR58), .VAR15(VAR15), .VAR32(VAR32), .VAR14(VAR46), .VAR19(VAR68) ); VAR70 #(1<<VAR39, VAR39-1, VAR4-1) VAR33( VAR21 VAR33( VAR70 VAR33( .VAR38(VAR38), .VAR37(VAR37), .VAR1(VAR1), .VAR6(clk), .VAR10(addr), .VAR5(VAR58), .VAR54(~VAR15), .VAR51(~VAR46), .VAR41(~VAR32), .VAR57(VAR68) ); VAR48 VAR56( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[1:0]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[1:0]) ); VAR48 VAR25( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[3:2]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[3:2]) ); VAR48 VAR3( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[5:4]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[5:4]) ); VAR48 VAR31( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[7:6]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[7:6]) ); VAR48 VAR65( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[9:8]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[9:8]) ); VAR48 VAR62( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[11:10]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[11:10]) ); VAR48 VAR17( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[13:12]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[13:12]) ); VAR48 VAR53( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[15:14]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[15:14]) ); VAR48 VAR30( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[17:16]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[17:16]) ); VAR48 VAR64( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[19:18]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[19:18]) ); VAR48 VAR40( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[21:20]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[21:20]) ); VAR48 VAR34( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[23:22]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[23:22]) ); VAR48 VAR26( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[25:24]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[25:24]) ); VAR48 VAR52( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[27:26]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[27:26]) ); VAR48 VAR72( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[29:28]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[29:28]) ); VAR48 VAR61( .VAR69(clk), .VAR22(rst), .VAR16(addr), .VAR5(VAR58[31:30]), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[31:30]) ); VAR63 VAR11( .VAR69(clk), .VAR42(rst), .VAR16(addr), .VAR5(VAR58[7:0]), .VAR27(1'b0), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[7:0]), .VAR8() ); VAR63 VAR60( .VAR69(clk), .VAR42(rst), .VAR16(addr), .VAR5(VAR58[15:8]), .VAR27(1'b0), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[15:8]), .VAR8() ); VAR63 VAR24( .VAR69(clk), .VAR42(rst), .VAR16(addr), .VAR5(VAR58[23:16]), .VAR27(1'b0), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[23:16]), .VAR8() ); VAR63 VAR59( .VAR69(clk), .VAR42(rst), .VAR16(addr), .VAR5(VAR58[31:24]), .VAR27(1'b0), .VAR28(VAR46), .VAR23(VAR15), .VAR13(VAR68[31:24]), .VAR8() ); wire wr; assign wr = VAR46 & VAR15;
gpl-2.0
titorgalaxy/Titor
rtl/verilog/core/Register_Bank.v
6,282
module MODULE1 ( din, dout, address, VAR9, enable, VAR13, VAR21, VAR3, VAR68, VAR1, VAR33, VAR5, VAR45, VAR30, VAR4, VAR2, VAR63, VAR37, VAR29, VAR46, VAR54, VAR64, VAR48, VAR20, VAR31, VAR14, VAR19, VAR25, VAR26, VAR11, VAR7, state, clk, reset ); parameter VAR52 = 0; parameter VAR34 = 0; parameter VAR28 = 0; input [VAR32-1:0] din; input [VAR32-1:0] address; output reg [VAR32-1:0] dout; input enable; input VAR13; input [VAR12-1:0] VAR9; input [VAR32-1:0] VAR21; input [VAR32-1:0] VAR3; input [VAR32-1:0] VAR68; input [VAR32-1:0] VAR1; input [VAR32-1:0] VAR33; input [VAR32-1:0] VAR5; input [VAR32-1:0] VAR45; input [VAR32-1:0] VAR30; input [VAR32-1:0] VAR4; input VAR2; output reg [VAR32-1:0] VAR63; output reg [VAR32-1:0] VAR37; output reg [VAR32-1:0] VAR29; output reg [VAR32-1:0] VAR46; output reg [VAR32-1:0] VAR54; output reg [VAR32-1:0] VAR64; output reg [VAR32-1:0] VAR48; output reg [VAR32-1:0] VAR20; output reg [VAR32-1:0] VAR31; output reg [VAR32-1:0] VAR14; output reg [VAR32-1:0] VAR19; input [VAR24-1:0] VAR25; input [VAR42-1:0] VAR26; input [VAR18-1:0] VAR11; input [VAR59-1:0] VAR7; input [VAR32-1:0] state; input clk; input reset; wire [VAR32-1:0] VAR65 [VAR56-1:0]; reg VAR38; reg VAR57 [VAR40-1:0]; always @ begin VAR57[VAR58] <= ((address/VAR44) == VAR58) && (VAR13 == VAR36) && enable; end end endgenerate VAR55 VAR8( .VAR65 (VAR65[VAR67] ), .memory (0 ), .VAR43 (0 ), .VAR6 (0 ), .VAR49 (0 ), .clk (clk ), .reset (reset ) ); VAR55 VAR10( .VAR65 (VAR65[VAR35] ), .memory (din ), .VAR43 (VAR45 ), .VAR6 (VAR57[VAR35] ), .VAR49 ((state == VAR52) ), .clk (clk ), .reset (reset ) ); generate for(VAR58=VAR50; VAR58<VAR53; VAR58=VAR58+1) begin : VAR16 VAR55 VAR22( .VAR65 (VAR65[VAR58] ), .memory (din ), .VAR43 (VAR30 ), .VAR6 (VAR57[VAR58] ), .VAR49 ((state == VAR34) && (VAR4 == VAR58) && VAR2 ), .clk (clk ), .reset (reset ) ); end endgenerate VAR55 VAR27( .VAR65 (VAR65[VAR61] ), .memory (din ), .VAR43 (VAR21 ), .VAR6 (VAR57[VAR61] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); VAR55 VAR17( .VAR65 (VAR65[VAR60] ), .memory (din ), .VAR43 (VAR3 ), .VAR6 (VAR57[VAR60] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); VAR55 VAR39( .VAR65 (VAR65[VAR66] ), .memory (din ), .VAR43 (VAR68 ), .VAR6 (VAR57[VAR66] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); VAR55 VAR41( .VAR65 (VAR65[VAR15] ), .memory (din ), .VAR43 (VAR1 ), .VAR6 (VAR57[VAR15] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); VAR55 VAR47( .VAR65 (VAR65[VAR62] ), .memory (din ), .VAR43 (VAR33 ), .VAR6 (VAR57[VAR62] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); VAR55 VAR23( .VAR65 (VAR65[VAR51] ), .memory (din ), .VAR43 (VAR5 ), .VAR6 (VAR57[VAR51] ), .VAR49 ((state == VAR28) ), .clk (clk ), .reset (reset ) ); endmodule
gpl-3.0
omicronns/studies-sys-rek
de1-soc/DE1_SoC_CAMERA.v
13,789
module MODULE1( inout VAR188, output VAR140, input VAR252, output VAR259, input VAR60, inout VAR255, inout VAR172, output VAR9, inout VAR204, output VAR72, input VAR213, input VAR216, input VAR47, input VAR76, output [12:0] VAR211, output [1:0] VAR14, output VAR4, output VAR78, output VAR183, output VAR19, inout [15:0] VAR256, output VAR177, output VAR194, output VAR184, output VAR42, output VAR181, output VAR170, inout VAR56, inout [35:0] VAR180, output [6:0] VAR220, output [6:0] VAR85, output [6:0] VAR31, output [6:0] VAR22, output [6:0] VAR81, output [6:0] VAR12, input VAR134, output [14:0] VAR116, output [2:0] VAR133, output VAR127, output VAR186, output VAR153, output VAR250, output VAR55, output [3:0] VAR104, inout [31:0] VAR141, inout [3:0] VAR132, inout [3:0] VAR70, output VAR161, output VAR13, output VAR25, input VAR164, output VAR243, output VAR190, inout VAR28, output VAR79, inout VAR67, input VAR218, input [3:0] VAR66, input VAR112, output [3:0] VAR270, output VAR17, inout [3:0] VAR101, output VAR247, output VAR242, inout VAR39, inout VAR63, inout VAR214, inout VAR225, inout VAR203, inout VAR100, inout VAR6, inout VAR84, inout VAR108, output VAR26, inout VAR227, inout [3:0] VAR138, output VAR136, input VAR144, output VAR154, inout VAR142, input VAR33, output VAR111, input VAR123, inout [7:0] VAR173, input VAR52, input VAR128, output VAR121, input VAR36, output VAR193, input [3:0] VAR58, output [9:0] VAR8, inout VAR103, inout VAR258, inout VAR251, inout VAR222, input [9:0] VAR110, input VAR27, input [7:0] VAR113, input VAR73, output VAR233, input VAR185, input VAR118, inout [7:0] VAR198, output VAR7, output VAR199, input VAR97, input VAR92, input VAR166, inout VAR217, inout VAR137, input VAR246, output [7:0] VAR11, output [7:0] VAR201, output [7:0] VAR80, output VAR45, output VAR206, output VAR89, output VAR196, output VAR48, input [11:0] VAR119, input VAR98, input VAR69, input VAR208, output VAR147, output VAR53, inout VAR145, input VAR148, output VAR264, output VAR91 ); wire [15:0] VAR94; wire [15:0] VAR189; wire [11:0] VAR249; wire VAR23; wire VAR232; wire [15:0] VAR234; wire [15:0] VAR152; wire [9:0] VAR168; wire VAR174; wire VAR115; wire VAR237; wire VAR244; wire VAR139; wire VAR171; reg [11:0] VAR114; reg VAR15; reg VAR221; wire [11:0] VAR82; wire [11:0] VAR150; wire [11:0] VAR205; wire VAR3; wire VAR178; wire [23:0] VAR62; wire [7:0] VAR125; wire [7:0] VAR236; wire [7:0] VAR160; wire VAR268; wire VAR241; wire VAR43; wire VAR105; wire VAR44; assign VAR264 = 1'b1; assign VAR147 = VAR115; assign VAR143 = VAR89; assign VAR206 = 0; assign VAR8 = 0; always@(posedge VAR208) begin VAR114 <= VAR119; VAR15 <= VAR69; VAR221 <= VAR98; end assign VAR44 = ((VAR58[0])&&(VAR244)&&(!VAR139))? 1'b1:1'b0; VAR20 VAR1 ( .VAR228(VAR76), .VAR274(VAR58[0]), .VAR169(VAR174), .VAR135(VAR115), .VAR61(VAR237), .VAR2(VAR244), .VAR230(VAR139) ); VAR59 VAR51 ( .VAR167(VAR249), .VAR106(VAR23), .VAR215(VAR234), .VAR64(VAR152), .VAR271(VAR114), .VAR235(VAR221), .VAR276(VAR15), .VAR269(!VAR58[3]|VAR44), .VAR90(!VAR58[2]), .VAR228(~VAR208), .VAR274(VAR237) ); VAR175 VAR223 ( .VAR228(VAR208), .VAR274(VAR115), .VAR271(VAR249), .VAR158(VAR23), .VAR197(VAR82), .VAR263(VAR150), .VAR210(VAR205), .VAR106(VAR3), .VAR261(VAR234), .VAR49(VAR152) ); VAR130 VAR37 ( .VAR202(VAR220),.VAR248(VAR85), .VAR109(VAR31),.VAR96(VAR22), .VAR29(VAR81),.VAR155(VAR12), .VAR35(VAR62) ); VAR65 VAR83 ( .VAR157(VAR76), .rst(1'b0), .VAR229(VAR178), .VAR88(VAR183), .VAR10(VAR91), .VAR224(VAR89) ); VAR30 VAR195 ( .VAR219(VAR58[0]), .VAR75(VAR178), .VAR260({1'b0,VAR150[11:7],VAR205[11:2]}), .VAR87(VAR3), .VAR200(0), .VAR99(640*480), .VAR226(8'h50), .VAR129(!VAR174), .VAR54(~VAR208), .VAR187({1'b0,VAR150[6:2],VAR82[11:2]}), .VAR18(VAR3), .VAR212(23'h100000), .VAR71(23'h100000+640*480), .VAR182(8'h50), .VAR86(!VAR174), .VAR163(~VAR208), .VAR68(VAR94), .VAR102(VAR43), .VAR238(0), .VAR107(640*480), .VAR257(8'h50), .VAR74(!VAR174), .VAR131(~VAR143), .VAR120(VAR189), .VAR34(VAR43), .VAR209(23'h100000), .VAR267(23'h100000+640*480), .VAR93(8'h50), .VAR275(!VAR174), .VAR38(~VAR143), .VAR151(VAR211), .VAR24(VAR14), .VAR266(VAR19), .VAR21(VAR78), .VAR265(VAR194), .VAR126(VAR4), .VAR50(VAR42), .VAR231(VAR256), .VAR272({VAR184,VAR177}) ); VAR262 VAR46 ( .VAR228(VAR213), .VAR240(VAR237), .VAR245(VAR58[1]), .VAR176(VAR110[8]), .VAR41(VAR110[9]), .VAR124(VAR53), .VAR156(VAR145) ); VAR95 VAR253 ( .VAR162(VAR125), .VAR32(VAR236), .VAR40(VAR160), .VAR273(VAR268), .VAR122(VAR241), .VAR16(VAR43), .VAR159(VAR105), .VAR165(VAR143), .VAR192(VAR110[0]), .VAR179(VAR11), .VAR57(VAR201), .VAR191(VAR80), .VAR5(VAR196), .VAR146(VAR48), .VAR207(VAR45), .VAR117(VAR62) ); VAR77 VAR254 ( .VAR165(VAR143), .VAR149(VAR237), .VAR162(VAR189[9:2]), .VAR32({VAR94[14:10],VAR189[14:12]}), .VAR40(VAR94[9:2]), .VAR179(VAR125), .VAR57(VAR236), .VAR191(VAR160), .VAR5(VAR268), .VAR146(VAR241), .VAR207(VAR43), .VAR239(VAR105) ); endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/Comparator_Equal.v
1,057
module MODULE1 ( input wire [VAR2-1:0] VAR3, input wire [VAR2-1:0] VAR1, output wire VAR4 ); assign VAR4 = (VAR3 == VAR1) ? 1'b1 : 1'b0; endmodule
gpl-3.0
workcraft/workcraft
ci/substitution-rules/vme-tm.tsmc_ghp.v
2,672
module MODULE1 (VAR27, VAR4, VAR9, VAR42, VAR53, VAR39); input VAR42, VAR53, VAR39; output VAR27, VAR4, VAR9; wire VAR37, VAR52, VAR46, VAR41, VAR38, VAR10, VAR65, VAR33, VAR32, VAR47, VAR20, VAR43, VAR62, VAR34, VAR8, VAR25, VAR36, VAR11; VAR5 VAR6 (.VAR19(VAR37), .VAR58(VAR36), .VAR66(VAR39), .VAR54(VAR42)); VAR28 VAR49 (.VAR19(VAR52), .VAR23(VAR34)); VAR28 VAR3 (.VAR19(VAR46), .VAR23(VAR39)); VAR2 VAR1 (.VAR19(VAR41), .VAR58(VAR52), .VAR59(VAR27), .VAR66(VAR46), .VAR54(VAR36), .VAR29(VAR53)); VAR63 VAR22 (.VAR19(VAR27), .VAR58(VAR41), .VAR59(VAR37)); VAR28 VAR13 (.VAR19(VAR38), .VAR23(VAR36)); VAR28 VAR12 (.VAR19(VAR10), .VAR23(VAR65)); VAR2 VAR51 (.VAR19(VAR65), .VAR58(VAR27), .VAR59(VAR42), .VAR66(VAR42), .VAR54(VAR34), .VAR29(VAR38)); VAR28 VAR50 (.VAR19(VAR33), .VAR23(VAR34)); VAR28 VAR26 (.VAR19(VAR32), .VAR23(VAR53)); VAR7 VAR16 (.VAR19(VAR47), .VAR58(VAR32), .VAR59(VAR33), .VAR44(VAR27), .VAR35(VAR36)); VAR48 VAR55 (.VAR40(VAR4), .VAR64(VAR47), .VAR35(VAR10)); VAR28 VAR17 (.VAR19(VAR20), .VAR23(VAR36)); VAR28 VAR30 (.VAR19(VAR43), .VAR23(VAR34)); VAR45 VAR15 (.VAR19(VAR9), .VAR58(VAR20), .VAR59(VAR53), .VAR66(VAR27), .VAR54(VAR36), .VAR29(VAR43)); VAR28 VAR18 (.VAR19(VAR62), .VAR23(VAR36)); VAR28 VAR14 (.VAR19(VAR34), .VAR23(VAR8)); VAR24 VAR60 (.VAR19(VAR8), .VAR58(VAR62), .VAR59(VAR53), .VAR66(VAR34), .VAR54(VAR27), .VAR56(VAR27), .VAR48(VAR4)); VAR28 VAR21 (.VAR19(VAR25), .VAR23(VAR27)); VAR28 VAR61 (.VAR19(VAR36), .VAR23(VAR11)); VAR31 VAR57 (.VAR19(VAR11), .VAR58(VAR25), .VAR59(VAR39), .VAR44(VAR34), .VAR66(VAR39), .VAR54(VAR36)); endmodule
mit
rkrajnc/minimig-mist
rtl/minimig/gayle_fifo.v
1,920
module MODULE1 ( input clk, input VAR5, input reset, input [15:0] VAR10, output reg [15:0] VAR8, input rd, input wr, output VAR2, output VAR1, output VAR3 ); reg [15:0] VAR11 [4095:0]; reg [12:0] VAR6; reg [12:0] VAR4; wire VAR9; reg VAR7; always @(posedge clk) if (VAR5) begin if (wr) VAR11[VAR6[11:0]] <= VAR10; end always @(posedge clk) if (VAR5) begin VAR8 <= VAR11[VAR4[11:0]]; end always @(posedge clk) if (VAR5) begin if (reset) VAR6 <= 12'd0; end else if (wr) VAR6 <= VAR6 + 12'd1; end always @(posedge clk) if (VAR5) begin if (reset) VAR4 <= 0; end else if (rd) VAR4 <= VAR4 + 13'd1; end assign VAR9 = VAR6==VAR4 ? 1'b1 : 1'b0; always @(posedge clk) if (VAR5) begin VAR7 <= VAR9; end assign VAR1 = VAR9 | VAR7; assign VAR2 = VAR6[12:8]!=VAR4[12:8] ? 1'b1 : 1'b0; assign VAR3 = VAR4[7:0] == 8'hFF ? 1'b1 : 1'b0; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxtp/sky130_fd_sc_ms__dlxtp.pp.symbol.v
1,333
module MODULE1 ( input VAR6 , output VAR3 , input VAR7, input VAR4 , input VAR2, input VAR1, input VAR5 ); endmodule
apache-2.0
peteasa/parallella-fpga
AdaptevaLib/src/ecfg/dv/dv_ecfg.v
3,531
module MODULE1(); reg clk; reg reset; reg VAR1; reg [19:0] VAR3; reg [31:0] VAR4; reg VAR2; reg [1:0] VAR5; begin begin begin begin begin
lgpl-3.0
hoglet67/CoPro6502
src/m32632/ADDR_UNIT.v
14,018
module MODULE1 ( VAR42, VAR18, VAR106, VAR1, VAR74, VAR86, VAR70, VAR101, VAR66, VAR73, VAR34, VAR21, VAR20, VAR69, VAR25, VAR40, VAR37, VAR16, VAR91, VAR30, VAR81, VAR26, VAR4, VAR102, VAR49, VAR6, VAR98, VAR71, VAR53, VAR88, VAR13, VAR95, VAR17, VAR5, VAR15, VAR52, VAR3, VAR99, VAR43, VAR35, VAR28, VAR57, VAR85 ); input VAR42,VAR18; input VAR106,VAR1,VAR74; input VAR86; input VAR70,VAR101,VAR73; input [1:0] VAR34; input [3:0] VAR21; input [1:0] VAR20; input [31:0] VAR69,VAR25; input [1:0] VAR40; input [31:0] VAR37; input [31:0] VAR16,VAR91; input VAR66; input VAR30; input [5:0] VAR81; input [1:0] VAR26; input [2:0] VAR4; input [1:0] VAR102; input VAR49; input VAR6; input VAR98; input VAR71; input VAR53; input VAR88; output VAR13,VAR95,VAR17,VAR5; output [31:0] VAR15; output [31:0] VAR52; output [1:0] VAR3; output [3:0] VAR99; output VAR43; output VAR35; output VAR28; output [2:0] VAR57; output reg VAR85; reg [31:0] VAR15; reg VAR13,VAR56,VAR17,VAR5; reg [1:0] VAR3; reg [3:0] VAR99; reg [2:0] VAR57; reg [31:0] VAR97; reg [31:0] VAR77; reg [31:0] VAR84; reg [31:0] VAR31; reg [31:0] VAR36; reg [31:0] VAR107; reg [31:0] VAR62; reg [31:12] VAR2; reg VAR80,VAR87; reg VAR38; reg VAR105,VAR104,VAR46; reg VAR89; reg VAR58; reg VAR12; reg VAR33; reg VAR35; reg [1:0] VAR100; reg [2:0] VAR76; reg VAR82; reg VAR64; wire VAR93,VAR19,VAR83; wire VAR78; wire VAR68; wire [31:0] VAR14; wire [31:0] VAR94; wire [31:0] VAR72; wire [31:0] VAR51; wire [1:0] VAR44; wire [3:0] VAR11; wire VAR29; wire VAR65; wire VAR23; wire VAR90; wire VAR61; wire VAR22; wire VAR24; wire VAR50; assign VAR68 = VAR81[5]; assign VAR83 = VAR81[4]; assign VAR19 = VAR81[3] | VAR81[1]; assign VAR93 = VAR81[0] & ~VAR82; assign VAR78 = VAR81[0] & VAR17; always @(posedge VAR42) VAR35 <= VAR19; always @(posedge VAR42) if (VAR19) VAR100 <= VAR81[3] ? 2'b11 : {~VAR81[2],VAR81[2]}; always @(posedge VAR42) if (VAR19) VAR76 <= {VAR5,VAR102[1],(VAR95 | VAR17)}; always @(VAR34 or VAR70 or VAR25 or VAR16 or VAR31) case (VAR34) 2'b00 : VAR97 = {(VAR70 ? 16'h0000 : VAR25[31:16]),VAR25[15:0]}; 2'b01 : VAR97 = VAR16; 2'b10 : VAR97 = 32'h0; 2'b11 : VAR97 = VAR31; endcase assign VAR11 = VAR101 ? 4'h0 : VAR21; always @(VAR40 or VAR69) casex (VAR40) 2'b00 : VAR62 = {{24{VAR69[7]}}, VAR69[7:0]}; 2'b01 : VAR62 = {{16{VAR69[15]}},VAR69[15:0]}; default : VAR62 = VAR69; endcase always @(VAR11 or VAR62 or VAR69) casex (VAR11) 4'VAR41 : VAR77 = VAR62; 4'VAR79 : VAR77 = {{ 3{VAR62[31]}},VAR62[31:3]}; 4'b0100 : VAR77 = VAR69; 4'b0101 : VAR77 = {VAR69[30:0],1'b0}; 4'b0110 : VAR77 = {VAR69[29:0],2'b00}; 4'b0111 : VAR77 = {VAR69[28:0],3'b000}; default : VAR77 = 32'h0; endcase assign VAR14 = VAR97 + VAR77; assign VAR72 = VAR14 + VAR37; always @(posedge VAR42) if (VAR74 && (VAR11[3:2] == 2'b11)) VAR57 <= VAR69[2:0]; always @(VAR21) case (VAR21[2:0]) 3'b000 : VAR36 = 32'h00000001; 3'b001 : VAR36 = 32'h00000002; 3'b010 : VAR36 = 32'h00000004; 3'b011 : VAR36 = 32'h00000008; 3'b100 : VAR36 = 32'hFFFFFFFF; 3'b101 : VAR36 = 32'hFFFFFFFE; 3'b110 : VAR36 = 32'hFFFFFFFC; 3'b111 : VAR36 = 32'hFFFFFFF8; endcase always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR38 <= 1'b0; else VAR38 <= (VAR74 | VAR38) & ~VAR66; assign VAR29 = (VAR74 | VAR38) & VAR66; assign VAR65 = (VAR106 | VAR1 | VAR74 | VAR38) & ~VAR73 & VAR66; always @(posedge VAR42) VAR107 <= VAR91; always @(posedge VAR42) if (VAR29) begin casex ({VAR26[1],VAR21[0],VAR101}) 3'VAR60 : VAR31 <= VAR26[0] ? VAR84 : VAR107; 3'VAR92 : VAR31 <= VAR26[0] ? {24'h000000,3'b101, VAR76, VAR100} : {24'h000000,3'b100,VAR4[2],VAR102[0],1'b0,VAR4[1:0]}; 3'VAR48 : VAR31 <= VAR97 + VAR36 ; 3'VAR32 : VAR31 <= VAR72; endcase end assign VAR52 = VAR31; assign VAR90 = ((VAR73 ? (VAR86 & VAR104) : VAR104) | ~VAR105) & VAR66 & (VAR106 | VAR1) & ~VAR35 & VAR53; assign VAR24 = VAR90 | VAR49; always @(VAR24 or VAR93 or VAR72 or VAR23 or VAR82 or VAR2 or VAR84 or VAR94) casex ({VAR24,VAR93}) 2'VAR47 : VAR15 = {VAR72[31:3],(VAR72[2] | VAR23),VAR72[1:0]}; 2'b00 : VAR15 = VAR82 ? {VAR2,12'h0} : VAR84; 2'b01 : VAR15 = VAR94; endcase always @(posedge VAR42) if (VAR90) VAR84 <= {VAR72[31:3],(VAR72[2] | VAR23),VAR72[1:0]}; else if (VAR82 && VAR17 && VAR19) VAR84 <= {VAR2,12'h0}; else if (VAR93) VAR84 <= VAR94; assign VAR94 = VAR89 ? {VAR84[31:3],3'b000} : ({VAR84[31:2],2'b00} + 32'h00000004); assign VAR51 = VAR72 + {29'h0,(VAR20[1] & VAR20[0]),VAR20[1],(VAR20[1] | VAR20[0])}; always @(posedge VAR42) if (VAR90) VAR2 <= VAR51[31:12]; assign VAR50 = (VAR72[12] != VAR51[12]) & ~VAR98; always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR82 <= 1'b0; else VAR82 <= VAR90 ? (VAR1 & ~VAR6 & VAR50) : (VAR82 & ~VAR78 & ~VAR19); always @(posedge VAR42) VAR64 <= VAR82 & VAR17 & VAR78; always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR13 <= 1'b0; else VAR13 <= VAR90 ? (VAR106 & ~VAR6) : (VAR13 & ~VAR104 & ~VAR19); always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR56 <= 1'b0; else VAR56 <= (VAR90 ? (VAR1 & ~VAR6 & ~VAR50) : (VAR56 & ~VAR104 & ~VAR19 & ~VAR88)) | VAR64; assign VAR95 = VAR56 & ~VAR88; always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR17 <= 1'b0; else VAR17 <= VAR82 ? (~VAR17 | (~VAR78 & ~VAR19)) : (VAR90 ? VAR6 : (VAR17 & ~VAR104 & ~VAR19)); always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR5 <= 1'b0; else VAR5 <= VAR90 ? (VAR98 & VAR71) : (VAR5 & ~VAR104 & ~VAR19); assign VAR23 = (VAR72[2:0] == 3'b000) & VAR106 & (VAR20 == 2'b11); always @(posedge VAR42) if (VAR90) VAR89 <= VAR23; always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR105 <= 1'b0; else VAR105 <= VAR90 | (VAR105 & ~VAR104 & ~VAR19 & ~VAR88); always @(posedge VAR42) if (VAR90) VAR3 <= VAR20; assign VAR44 = (VAR99[1:0] == 2'b00) ? 2'b10 : {(VAR3[1] ^ VAR3[0]),(VAR3[1] & VAR3[0])}; always @(posedge VAR42) if (VAR90) VAR99 <= {2'b00,VAR72[1:0]}; else if (VAR93) VAR99 <= VAR99 + {VAR44,2'b00}; always @(VAR3 or VAR99 or VAR93) casex ({VAR3,VAR99[3],VAR99[1:0]}) 5'VAR39 : VAR104 = VAR93; 5'VAR96 : VAR104 = VAR93; 5'b01010 : VAR104 = VAR93; 5'VAR10 : VAR104 = VAR93; 5'b10000 : VAR104 = VAR93; 5'VAR27 : VAR104 = VAR93; 5'VAR63 : VAR104 = VAR93; default : VAR104 = 1'b0; endcase assign VAR61 = (VAR84[11:3] != 9'h1FF); always @(VAR3 or VAR84 or VAR61 or VAR99) casex (VAR3) 2'b01 : VAR12 = (VAR84[3:2] != 2'b11); 2'b10 : VAR12 = (VAR84[3:2] != 2'b11); 2'b11 : VAR12 = (VAR99[1:0] == 2'b00) ? (~VAR84[3] | ~VAR84[2]) : ((VAR99[3:2] == 2'b01) & (VAR84[3:2] != 2'b11)); default : VAR12 = 1'b1; endcase assign VAR22 = VAR3[1] ? (VAR99[1:0] == 2'b00) : (VAR99[1:0] != 2'b11); always @(VAR3 or VAR13 or VAR12 or VAR99 or VAR22 or VAR83 or VAR93 or VAR89 or VAR33 or VAR68) casex ({VAR3,VAR13,VAR12,VAR99[3],VAR83,VAR22}) 7'VAR67 : VAR46 = VAR93; 7'VAR55 : VAR46 = VAR93; 7'VAR103 : VAR46 = VAR93; 7'VAR59 : VAR46 = VAR93; 7'b0101000 : VAR46 = VAR93; 7'VAR54 : VAR46 = VAR93; 7'VAR8 : VAR46 = VAR93; 7'VAR9 : VAR46 = VAR93; 7'b1001000 : VAR46 = VAR93; 7'VAR75 : VAR46 = VAR93 & ( (VAR89 & ~VAR33 & VAR68) ? ~VAR99[3] : VAR99[3] ); 7'VAR7 : VAR46 = VAR93; 7'VAR45 : VAR46 = VAR93; default : VAR46 = 1'b0; endcase always @(posedge VAR42 or negedge VAR18) if (!VAR18) VAR58 <= 1'b0; else VAR58 <= (~VAR104 & VAR46) | (VAR58 & ~(VAR105 & VAR104)); assign VAR43 = VAR105 ? (VAR46 & ~VAR58) : VAR65; always @(posedge VAR42) VAR85 <= VAR105 & VAR93 & VAR89 & ~VAR33 & VAR68 & ~VAR99[3] & (VAR3 == 2'b11) & VAR13 & ~VAR58; always @(posedge VAR42) VAR80 <= ~VAR46 & VAR18 & ((VAR89 & (VAR33 | ~VAR68) & VAR93) | VAR80); always @(posedge VAR42) VAR33 <= VAR30 & (VAR95 | VAR13); always @(posedge VAR42) VAR87 <= (VAR46 & ~VAR89) & (VAR3 == 2'b11); assign VAR28 = VAR80 | VAR87; endmodule
gpl-3.0
FAST-Switch/fast
lib/hardware/platform/NetMagic08/ddr2/ddr2_ex_lfsr8.v
1,393
module MODULE1 ( clk, VAR6, enable, VAR2, VAR7, VAR1, VAR5); parameter VAR4 = 32; input clk; input VAR6; input enable; input VAR2; input VAR7; output[8 - 1:0] VAR1; wire[8 - 1:0] VAR1; input[8 - 1:0] VAR5; reg[8 - 1:0] VAR3; assign VAR1 = VAR3 ; always @(posedge clk or negedge VAR6) begin if (!VAR6) begin VAR3 <= VAR4[7:0] ; end else begin if (!enable) begin VAR3 <= VAR4[7:0]; end else begin if (VAR7) begin VAR3 <= VAR5 ; end else begin if (!VAR2) begin VAR3[0] <= VAR3[7] ; VAR3[1] <= VAR3[0] ; VAR3[2] <= VAR3[1] ^ VAR3[7] ; VAR3[3] <= VAR3[2] ^ VAR3[7] ; VAR3[4] <= VAR3[3] ^ VAR3[7] ; VAR3[5] <= VAR3[4] ; VAR3[6] <= VAR3[5] ; VAR3[7] <= VAR3[6] ; end end end end end endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/user_design/rtl/ip_top/clk_ibuf.v
4,264
module MODULE1 # ( parameter VAR20 = "VAR4" ) ( input VAR8, input VAR3, input VAR17, output VAR2 ); wire VAR13; generate if (VAR20 == "VAR4") begin: VAR1 VAR5 # ( .VAR19 ("VAR6"), .VAR11 ("VAR7") ) VAR15 ( .VAR16 (VAR8), .VAR9 (VAR3), .VAR10 (VAR13) ); end else if (VAR20 == "VAR14") begin: VAR12 VAR18 # ( .VAR11 ("VAR7") ) VAR15 ( .VAR16 (VAR17), .VAR10 (VAR13) ); end endgenerate assign VAR2 = VAR13; endmodule
lgpl-3.0
khldragon/Sora
FPGA/SISO/rtl/pcie_userapp_wrapper/pcie_dma_engine/dma_ctrl_status_reg_file.v
79,015
module MODULE1( input clk, input VAR106, input rst, output reg VAR210, input [23:0] VAR3, input [23:0] VAR218, input VAR314, output VAR60, input [31:0] VAR65, input [11:0] VAR4, input VAR242, input VAR191, input [31:0] VAR70, input [31:0] VAR153, input [31:0] VAR118, input [23:0] VAR75, input [7:0] VAR246, output reg VAR64, output wire VAR85, output wire VAR290, output reg VAR310, input VAR18, output reg [63:0] VAR253, output reg [31:0] VAR182, output reg [23:0] VAR204, output reg [7:0] VAR193, output reg [63:0] VAR194, output VAR143, output [63:0] VAR59, output [31:0] VAR177, output [63:0] VAR68, output [31:0] VAR312, input [11:0] VAR261, output reg [31:0] VAR189, output reg VAR200, output [63:0] VAR170, output reg [31:0] VAR245, output reg [6:0] VAR311, output reg VAR113, input VAR101, output reg VAR275, output VAR67, output reg VAR136, input VAR173, output reg [27:6] VAR58, output reg [2:0] VAR284, input VAR317, input VAR103, input [31:0] VAR161, input [31:0] VAR26, input VAR206, input VAR16, input VAR88, output [1:0] VAR149, input [3:0] VAR305, output [2:0] VAR119, output VAR258, output VAR126, output VAR263, output [5:0] VAR178, output [6:0] VAR287, input VAR19, output [3:0] VAR147, input [1:0] VAR87, output VAR7, input VAR151, output VAR66, input VAR76, output VAR137, input VAR13, output [3:0] VAR77, output [13:0] VAR71, output [7:0] VAR217, output [7:0] VAR164, input [7:0] VAR295, output [2:0] VAR271, input [9:0] VAR109, input VAR307, output [1:0] VAR128, input VAR289, input [31:0] VAR45, output [31:0] VAR29, output [31:0] VAR49, output [31:0] VAR72, output VAR197, output [31:0] VAR254, output [31:0] VAR1, output [31:0] VAR321, output VAR209, input VAR269, output [31:0] VAR225, output VAR291, output [31:0] VAR171, output [31:0] VAR243, output [31:0] VAR115, output [31:0] VAR8, output [31:0] VAR167, output [31:0] VAR53, output [31:0] VAR274, output [31:0] VAR181, output reg [31:0] VAR135, output reg [6:0] VAR130, output reg VAR240, output reg VAR249, input [31:0] VAR63, input VAR41, input [15:0] VAR52, input [15:0] VAR247, input [31:0] VAR51, input [31:0] VAR280, input [31:0] VAR220, input [31:0] VAR14, input [4:0] VAR241, input [31:0] VAR116, input [31:0] VAR129, input [31:0] VAR94, input [31:0] VAR152, input [31:0] VAR23, input [31:0] VAR302, input [31:0] VAR155, input [9:0] VAR272, input [11:0] VAR12, input [11:0] VAR231, input [23:0] VAR286, input [23:0] VAR199, input [23:0] VAR114, input [23:0] VAR313, input [31:0] VAR9, input [4:0] VAR69, input [7:0] VAR112, input [4:0] VAR187 ); localparam VAR304 = 4'h0; localparam VAR252 = 4'h7; localparam VAR239 = 4'h8; localparam VAR80 = 4'h9; localparam VAR33 = 4'ha; localparam VAR123 = 4'hb; localparam VAR232 = 4'hc; localparam VAR61 = 4'h0; localparam VAR309 = 4'h1; localparam VAR91 = 4'h2; localparam VAR250 = 4'h3; localparam VAR282 = 4'h4; localparam VAR159 = 4'h5; localparam VAR97 = 4'h6; localparam VAR40 = 4'h7; localparam VAR186 = 4'h8; localparam VAR267 = 3'b000; localparam VAR98 = 3'b001; localparam VAR292 = 3'b010; localparam VAR308 = 3'b000; localparam VAR162 = 3'b001; localparam VAR285 = 3'b010; localparam VAR127 = 3'b011; localparam VAR296 = 4'h0; localparam VAR213 = 4'h1; localparam VAR95 = 4'h2; localparam VAR201 = 4'h3; localparam VAR264 = 4'h4; localparam VAR62 = 4'h5; localparam VAR99 = 4'h6; localparam VAR24 = 4'h7; localparam VAR90 = 4'h8; localparam VAR216 = 4'h9; reg [31:0] VAR142; reg [31:0] VAR81; reg [15:0] VAR83; reg [15:0] VAR104; reg [31:0] VAR306; reg [31:0] VAR299; reg [31:0] VAR134; reg [31:0] VAR278; reg [31:0] VAR233; reg [31:0] VAR50; reg [31:0] VAR150; reg [31:0] VAR139; reg [31:0] VAR56; reg [31:0] VAR158; reg [31:0] VAR57; reg [31:0] VAR78; reg [31:0] VAR157; reg [31:0] VAR44; reg [31:0] VAR22; reg [31:0] VAR148; reg [31:0] VAR46; reg [31:0] VAR160; reg [31:0] VAR196; reg [31:0] VAR43; reg [31:0] VAR276; reg [31:0] VAR230; reg [4:0] VAR244; reg [31:0] VAR27; reg [31:0] VAR208; reg [31:0] VAR229; reg [31:0] VAR10; reg [31:0] VAR122; reg [31:0] VAR180; reg [31:0] VAR221; reg [9:0] VAR234; reg [11:0] VAR301; reg [11:0] VAR190; reg [23:0] VAR125; reg [23:0] VAR235; reg [23:0] VAR47; reg [23:0] VAR293; reg [31:0] VAR297; reg [4:0] VAR42; reg [1:0] VAR79; reg [31:0] VAR84; reg [31:0] VAR144; reg VAR212; reg [31:0] VAR192; reg [31:0] VAR169; reg [31:0] VAR219; VAR133 VAR107 reg [31:0] VAR262; reg [31:0] VAR25; reg [31:0] VAR211; VAR179 reg [23:0] VAR6; reg [23:0] VAR32; reg VAR203; reg [31:0] VAR281; reg [1:0] VAR320; reg [31:0] VAR146; reg [31:0] VAR174; reg [31:0] VAR315; reg [31:0] VAR236; reg [31:0] VAR93; reg [31:0] VAR102; reg [31:0] VAR37; reg [31:0] VAR214; reg [31:0] VAR17; reg [31:0] VAR100; reg [31:0] VAR279; reg [31:0] VAR198; reg [31:0] VAR131; reg [31:0] VAR82; reg [31:0] VAR266; reg [31:0] VAR237; reg [31:0] VAR34; reg [31:0] VAR318; reg [1:0] VAR108; reg [31:0] VAR168; reg [31:0] VAR11; reg [31:0] VAR184; reg [31:0] VAR36; reg [31:0] VAR205; reg [31:0] VAR73; reg VAR224; reg [31:0] VAR124; reg [31:0] VAR163; reg [31:0] VAR96; reg [31:0] VAR55; reg [31:0] VAR120; reg [31:0] VAR223; reg [31:0] VAR183; reg [31:0] VAR260; reg [31:0] VAR273; reg [31:0] VAR145; reg VAR92; reg VAR257; reg [6:0] VAR121; reg [31:0] VAR288; wire VAR105; reg VAR154; VAR179 reg VAR195, VAR20, VAR251, VAR259; reg VAR268, VAR15, VAR222, VAR176; reg VAR89, VAR248, VAR202, VAR172; reg [7:0] VAR175; reg VAR111; reg VAR31; reg VAR30; reg VAR156; reg [31:0] VAR138, VAR303; reg [31:0] VAR74, VAR54; wire VAR21, VAR238; reg [2:0] VAR298; reg [3:0] VAR294; wire VAR277; reg VAR283; reg [31:0] VAR117; reg [63:0] VAR188; reg [31:0] VAR207; reg [31:0] VAR215; reg [63:0] VAR38; reg [31:0] VAR227; reg [3:0] VAR141; reg [3:0] VAR265; reg [2:0] VAR28; reg [31:0] VAR316; reg [6:0] VAR86; reg VAR140; reg VAR132; reg VAR256; reg VAR110; reg VAR270; reg VAR2; wire VAR35; wire VAR165; wire VAR228; assign VAR228 = VAR256; always@(posedge clk)begin if(rst | (~VAR165)) VAR64 <= 0; end else if (VAR290) VAR64 <= 1; else if (VAR283) VAR64 <= 0; else VAR64 <= VAR64; end assign VAR165 = VAR79[0]; assign VAR85 = VAR79[0]; always@(posedge clk)begin if(rst | (~VAR165)) VAR200 <= 1'b0; end else if (VAR290) VAR200 <= 1'b1; else if (VAR283) VAR200 <= 1'b0; else VAR200 <= VAR200; end assign VAR170 = {VAR144,VAR84}; assign VAR60 = VAR320[0]; assign VAR143 = VAR212; assign VAR59 = {VAR169,VAR192}; assign VAR177 = VAR219; assign VAR68 = {VAR25,VAR262}; assign VAR312 = VAR211; always@(*) VAR275 = VAR101; VAR319 VAR300( .clk(clk), .rst(rst), .in(VAR165), .VAR185(VAR290) ); always@(posedge clk) begin if(rst) begin VAR84 <= 32'h00000000; VAR144 <= 32'h00000000; VAR212 <= 1'b0; VAR192 <= 32'h00000000; VAR169 <= 32'h00000000; VAR219 <= 32'h00000000; VAR262 <= 32'h00000000; VAR25 <= 32'h00000000; VAR211 <= 32'h00000000; VAR146 <= 32'h00000000; VAR174 <= 32'h00000000; VAR315 <= 32'h00000000; VAR236 <= 32'h00000000; VAR93 <= 32'h00000000; VAR102 <= 32'h00000000; VAR214 <= 32'h00000000; VAR100 <= 32'h00000000; VAR82 <= 32'h00000000; VAR266 <= 32'h00000000; VAR34 <= 32'h00000000; VAR108 <= 2'b00; VAR168 <= 32'h00000000; VAR11 <= 32'h00000000; VAR184 <= 32'h00000000; VAR36 <= 32'h00000000; VAR205 <= 32'h00000000; VAR73 <= 32'h00000000; VAR124 <= 32'h00000000; VAR163 <= 32'h00000000; VAR96 <= 32'h00000000; VAR55 <= 32'h00000000; VAR120 <= 32'h00000000; VAR223 <= 32'h00000000; VAR183 <= 32'h00000000; VAR260 <= 32'h00000000; VAR273 <= 32'h00000000; VAR145 <= 32'h00000000; VAR257 <= 1'b0; VAR121 <= 7'b0000000; end else begin if(VAR242) begin case(VAR4) 12'h188: VAR84 <= VAR65; 12'h18C: VAR144 <= VAR65; 12'h164: VAR212 <= VAR65[0]; 12'h170: VAR192 <= VAR65; 12'h174: VAR169 <= VAR65; 12'h194: VAR219 <= VAR65; VAR133 VAR107 12'h270: VAR262 <= VAR65; 12'h274: VAR25 <= VAR65; 12'h294: VAR211 <= VAR65; VAR179 12'h178: VAR146 <= VAR65; 12'h190: VAR174 <= VAR65; 12'h210: VAR262 <= VAR65; 12'h214: VAR25 <= VAR65; 12'h218: VAR211 <= VAR65; VAR179 12'h184: VAR146 <= VAR65; 12'h18C: VAR174 <= VAR65; 12'h190: VAR315 <= VAR65; 12'h194: VAR236 <= VAR65; 12'h19C: VAR93 <= VAR65; 12'h1A0: VAR102 <= VAR65; 12'h1A8: VAR214 <= VAR65; 12'h1B0: VAR100 <= VAR65; 12'h1C0: VAR82 <= VAR65; 12'h1C4: VAR266 <= VAR65; 12'h1CC: VAR34 <= VAR65; 12'h190: VAR108 <= VAR65[1:0]; 12'h194: VAR168 <= VAR65; 12'h198: VAR11 <= VAR65; 12'h19C: VAR184 <= VAR65; 12'h1A0: VAR36 <= VAR65; 12'h1A4: VAR205 <= VAR65; 12'h1A8: VAR73 <= VAR65; 12'h1B0: VAR124 <= VAR65; 12'h1B4: VAR163 <= VAR65; 12'h1B8: VAR96 <= VAR65; 12'h1BC: VAR55 <= VAR65; 12'h1C0: VAR120 <= VAR65; 12'h1C4: VAR223 <= VAR65; 12'h1C8: VAR183 <= VAR65; 12'h1CC: VAR260 <= VAR65; 12'h1D0: VAR273 <= VAR65; 12'h1C0: VAR145 <= VAR65; 12'h1C4: begin VAR257 <= VAR65[7]; VAR121[6:0] <= VAR65[6:0]; end default: begin VAR84 <= VAR84; VAR144 <= VAR144; VAR212 <= VAR212; VAR192 <= VAR192; VAR169 <= VAR169; VAR219 <= VAR219; VAR262 <= VAR39; VAR25 <= VAR226; VAR211 <= VAR211; VAR146 <= VAR146; VAR174 <= VAR174; VAR315 <= VAR315; VAR236 <= VAR236; VAR93 <= VAR93; VAR102 <= VAR102; VAR214 <= VAR214; VAR100 <= VAR100; VAR82 <= VAR82; VAR266 <= VAR266; VAR34 <= VAR34; VAR108 <= VAR108; VAR168 <= VAR168; VAR11 <= VAR11; VAR184 <= VAR184; VAR36 <= VAR36; VAR205 <= VAR205; VAR73 <= VAR73; VAR124 <= VAR124; VAR163 <= VAR163; VAR96 <= VAR96; VAR55 <= VAR55; VAR120 <= VAR120; VAR223 <= VAR223; VAR183 <= VAR183; VAR260 <= VAR260; VAR273 <= VAR273; VAR145 <= VAR145; VAR257 <= VAR257; VAR121 <= VAR121; end endcase end end end always@(posedge clk)begin if (VAR175 <= 8'hC8 && VAR175 > 8'h00) VAR210 <= 1'b1; end else VAR210 <= 1'b0; end always@(posedge clk)begin if(VAR106) begin VAR142 <= 32'h00000000; VAR175 <= 8'h00; end else begin if (VAR242) begin case(VAR4) 12'h048: begin VAR175 <= 8'hC8; end default: begin if (VAR175 == 8'h00)begin VAR142 <= 32'h00000000; VAR175 <= VAR175; end else begin VAR142 <= 32'h00000001; VAR175 <= VAR175 - 8'h01; end end endcase end else begin if (VAR175 == 8'h00)begin VAR142 <= 32'h00000000; VAR175 <= VAR175; end else begin VAR142 <= 32'h00000001; VAR175 <= VAR175 - 8'h01; end end end end always@(posedge clk) begin if(rst) begin VAR79[1:0] <= 2'b00; end else begin if(VAR228) begin VAR79[1] <= 1'b1; end else if(VAR242) begin case(VAR4) end 12'h168: begin else 12'h100: begin VAR179 if(VAR65[0]) VAR79[0] <= 1'b1; end else begin VAR79[0] <= 1'b0; VAR79[1] <= 1'b0; end end default: begin VAR79[1:0] <= VAR79[1:0]; end endcase end end end always@(posedge clk) VAR6 <= VAR3; always@(posedge clk) VAR32 <= VAR218; VAR319 VAR5( .clk(clk), .rst(rst), .in(VAR314), .VAR185(VAR277) ); always@(posedge clk)begin if(rst) VAR320[1:0] <= 2'b00; end else begin if(VAR277) begin VAR320[1] <= 1'b1; end else if (VAR242)begin case(VAR4) 12'h184: begin VAR320[0] <= 1'b1; end else begin VAR320[1] <= 1'b0; VAR320[0] <= 1'b0; end end default: begin VAR320[1:0] <= VAR320[1:0]; end endcase end end end always@(posedge clk) begin if(rst) begin VAR81[31:0] <= 32'h00000000; end else begin VAR81[31:2] <= 30'h00000000; VAR81[0] <= VAR206; VAR81[1] <= ~VAR16; end end always@(posedge clk) VAR83[15:0] <= VAR52[15:0]; always@(posedge clk) VAR104[15:0] <= VAR247[15:0]; always@(posedge clk) VAR306[31:0] <= 32'h01050000; VAR319 VAR255( .clk(clk), .rst(rst), .in(VAR103), .VAR185(VAR35) ); always@(posedge clk)begin if(rst)begin VAR299[31:16] <= 16'h0000; VAR299[3:0] <= 4'h0; VAR134 <= 32'h00000000; VAR278 <= 32'h00000000; end else if (VAR317) begin VAR299[0] <= 1'b1; VAR299[3:1] <= VAR299[3:1]; VAR299[31:16] <= VAR299[31:16]; end else if (VAR35) begin VAR299[1] <= 1'b1; VAR299[0] <= VAR299[0]; VAR299[3:2] <= VAR299[3:2]; VAR299[31:16] <= VAR299[31:16]; end else if (VAR290 && (VAR207[31:7] != 0)) begin VAR299[2] <= 1'b1; end else if (~VAR212) begin VAR299[1] <= 1'b0; VAR299[0] <= VAR299[0]; VAR299[3:2] <= VAR299[3:2]; VAR299[31:16] <= VAR299[31:16]; end else begin VAR299[3:0] <= VAR299[3:0]; VAR299[31:16] <= {11'h000,VAR187[4:0]}; VAR134 <= VAR161; VAR278 <= VAR26; end end always@(posedge clk)begin if(rst)begin VAR299[15:4] <= 12'h000; end else begin VAR299[11:4] <= VAR112[7:0]; VAR299[15:12] <= VAR299[15:12]; end end always@(posedge clk)begin if(rst) VAR233 <= 32'hFFFFFFFF; end else if(VAR277) VAR233 <= VAR233 + 32'h00000001; end else VAR233 <= VAR233; end always@(posedge clk)begin VAR50 <= VAR70; VAR150 <= VAR153; VAR139 <= VAR118; VAR56 <= VAR75; end always@(posedge clk)begin if(rst) VAR158 <= 32'h00000000; end else if (VAR317) VAR158 <= VAR158 + 32'h00000001; end else VAR158 <= VAR158; end always@(posedge clk)begin if(rst) VAR57 <= 32'h00000000; end else if (VAR35) VAR57 <= VAR57 + 32'h00000001; end else VAR57 <= VAR57; end always@(posedge clk)begin VAR78[3:0] <= VAR141[3:0]; VAR78[7:4] <= VAR265[3:0]; VAR78[11:8] <= {1'b0,VAR28[2:0]}; VAR78[15:12] <= {3'b000,VAR110}; VAR78[19:16] <= {3'b000,VAR67}; VAR78[23:20] <= {3'b000,VAR88}; VAR78[27:24] <= {3'b000,VAR310}; VAR78[31:28] <= {3'b000,VAR101}; end always@(posedge clk) VAR157[31:0] <= VAR117[31:0]; always@(posedge clk) VAR44[31:0] <= VAR188[31:0]; always@(posedge clk) VAR22[31:0] <= VAR207[31:0]; always@(posedge clk)begin VAR148[3:0] <= {1'b0,VAR298[2:0]}; VAR148[7:4] <= VAR294[3:0]; VAR148[11:8] <= {3'b0,VAR21}; VAR148[15:12] <= {3'b0,VAR314}; VAR148[19:16] <= {3'b0,VAR31}; VAR148[31:20] <= 12'h000; end always@(posedge clk)begin VAR46[31:0] <= VAR74[31:0]; end always@(posedge clk)begin VAR160[31:0] <= VAR54[31:0]; end always@(posedge clk) VAR196[31:0] <= VAR51[31:0]; always@(posedge clk) VAR43[31:0] <= VAR280[31:0]; always@(posedge clk) VAR276[31:0] <= VAR220[31:0]; always@(posedge clk) VAR230[31:0] <= VAR14[31:0]; always@(posedge clk) VAR244[4:0] <= VAR241[4:0]; always@(posedge clk) VAR27[31:0] <= VAR116[31:0]; always@(posedge clk) VAR208[31:0] <= VAR129[31:0]; always@(posedge clk) VAR229[31:0] <= VAR94[31:0]; always@(posedge clk) VAR10[31:0] <= VAR152[31:0]; always@(posedge clk) VAR122[31:0] <= VAR23[31:0]; always@(posedge clk) VAR180[31:0] <= VAR302[31:0]; always@(posedge clk) VAR221[31:0] <= VAR155[31:0]; always@(posedge clk) VAR234[9:0] <= VAR272[9:0]; always@(posedge clk) VAR301[11:0] <= VAR12[11:0]; always@(posedge clk) VAR190[11:0] <= VAR231[11:0]; always@(posedge clk) VAR125[23:0] <= VAR286[23:0]; always@(posedge clk) VAR235[23:0] <= VAR199[23:0]; always@(posedge clk) VAR47[23:0] <= VAR114[23:0]; always@(posedge clk) VAR293[23:0] <= VAR313[23:0]; always@(posedge clk) VAR297[31:0] <= VAR9[31:0]; always@(posedge clk) VAR42[4:0] <= VAR69[4:0]; always@(posedge clk)begin VAR203 <= 1'b1; VAR281[3:0] <= VAR305[3:0]; VAR281[31:4] <= 28'h0001000; end assign VAR149[1:0] = VAR315[1:0]; assign VAR119[2:0] = VAR236[2:0]; assign VAR258 = VAR93[0]; assign VAR126 = VAR93[1]; assign VAR263 = VAR93[2]; assign VAR178[5:0] = VAR102[5:0]; assign VAR287[6:0] = VAR102[22:16]; always@(posedge clk)begin VAR37[0] <= VAR19; VAR37[31:1] <= 31'h00000000; end assign VAR147[3:0] = VAR214[3:0]; always@(posedge clk)begin VAR17[1:0] <= VAR87[1:0]; VAR17[31:2] <= 30'h00000000; end assign VAR7 = VAR100[0]; always@(posedge clk)begin VAR279[0] <= VAR151; VAR279[31:1] <= 31'h00000000; end always@(posedge clk)begin if(rst) VAR198[0] <= 1'b0; end else if (VAR242)begin case(VAR4) 12'h1B8: begin if(VAR65[0]) VAR198[0] <= 1'b1; end else VAR198[0] <= 1'b0; end default: VAR198[0] <= VAR198[0]; endcase end end always@(posedge clk) VAR198[1] <= VAR76; assign VAR66 = VAR198[0]; always@(posedge clk) VAR198[31:2] <= 30'h00000000; always@(posedge clk)begin if(rst) VAR131[0] <= 1'b0; end else if (VAR242)begin case(VAR4) 12'h1BC: begin if(VAR65[0]) VAR131[0] <= 1'b1; end else VAR131[0] <= 1'b0; end default: VAR131[0] <= VAR131[0]; endcase end end always@(posedge clk) VAR131[1] <= VAR13; assign VAR137 = VAR131[0]; always@(posedge clk) VAR131[31:2] <= 30'h00000000; assign VAR77[3:0] = VAR82[3:0]; assign VAR71[13:0] = VAR82[17:4]; assign VAR217[7:0] = VAR266[7:0]; assign VAR164[7:0] = VAR266[15:8]; always@(posedge clk)begin VAR237[7:0] <= VAR295[7:0]; VAR237[31:8] <= 24'h000000; end assign VAR271[2:0] = VAR34[2:0]; always@(posedge clk)begin VAR318[9:0] <= VAR109[9:0]; VAR318[15:10] <= 6'b000000; VAR318[16] <= VAR307; VAR318[31:17] <= 15'h0000; end assign VAR128[1:0] = VAR108[1:0]; always@(posedge clk)begin VAR203 <= VAR289; VAR281[31:0] <= VAR45[31:0]; end assign VAR29[31:0] = VAR168[31:0]; assign VAR49[31:0] = VAR11[31:0]; assign VAR72[31:0] = VAR184[31:0]; always@(posedge clk)begin VAR20 <= VAR195; VAR251 <= VAR20; VAR259 <= VAR251; if (VAR4[11:0] == 12'h19C) VAR195 <= VAR242; end else VAR195 <= 1'b0; end assign VAR197 = VAR195 | VAR20 | VAR251 | VAR259; assign VAR254[31:0] = VAR36[31:0]; assign VAR1[31:0] = VAR205[31:0]; assign VAR321[31:0] = VAR73[31:0]; always@(posedge clk)begin VAR15 <= VAR268; VAR222 <= VAR15; VAR176 <= VAR222; if ((VAR4[11:0] == 12'h1A4) | (VAR4[11:0] == 12'h1A8) ) VAR268 <= VAR242; end else VAR268 <= 1'b0; end assign VAR209 = VAR268 | VAR15 | VAR222 | VAR176; always@(posedge clk)begin VAR224 <= VAR269; end assign VAR225[31:0] = VAR124[31:0]; always@(posedge clk)begin VAR248 <= VAR89; VAR202 <= VAR248; VAR172 <= VAR202; if (VAR4[11:0] == 12'h1B0) VAR89 <= VAR242; end else VAR89 <= 1'b0; end assign VAR291 = VAR89 | VAR248 | VAR202 | VAR172; assign VAR171[31:0] = VAR163[31:0]; assign VAR243[31:0] = VAR96[31:0]; assign VAR115[31:0] = VAR55[31:0]; assign VAR8[31:0] = VAR120[31:0]; assign VAR167[31:0] = VAR223[31:0]; assign VAR53[31:0] = VAR183[31:0]; assign VAR274[31:0] = VAR260[31:0]; assign VAR181[31:0] = VAR273[31:0]; always@(posedge clk)begin VAR203 <= 1'b1; end always@(posedge clk) begin if(rst) begin VAR135[31:0] <= 32'h00000000; VAR130[6:0] <= 7'b0000000; VAR240 <= 1'b0; VAR249 <= 1'b0; end else if(VAR242) begin case(VAR4[11:0]) 12'h1C4: begin VAR135[31:0] <= VAR145[31:0]; VAR130[6:0] <= VAR65[6:0]; VAR240 <= VAR65[7]; VAR249 <= 1'b1; end 12'h184: begin else 12'h180: begin VAR179 VAR135[31:0] <= VAR65[31:0]; VAR130[6:0] <= 7'h02; VAR240 <= 1'b0; VAR249 <= 1'b1; end 12'h164: begin else 12'h10C: begin VAR179 VAR135[31:0] <= VAR65[31:0]; VAR130[6:0] <= 7'h03; VAR240 <= 1'b0; VAR249 <= 1'b1; end default: begin VAR135[31:0] <= 32'h00000000; VAR130[6:0] <= 7'b0000000; VAR240 <= 1'b0; VAR249 <= 1'b0; end endcase end else begin VAR135[31:0] <= 32'h00000000; VAR130[6:0] <= 7'b0000000; VAR240 <= 1'b0; VAR249 <= 1'b0; end end always@(posedge clk) begin if(rst) begin VAR92 <= 1'b0; VAR288[31:0] <= 32'hA0B0C0D0; end else if (VAR242) begin case(VAR4) 12'h1C4: begin VAR92 <= 1'b0; VAR288[31:0] <= 32'hA0B0C0D0; end default: begin VAR92 <= VAR92; VAR288[31:0] <= VAR288[31:0]; end endcase end else if (VAR105) begin VAR92 <= 1'b1; VAR288[31:0] <= VAR63[31:0]; end else begin VAR92 <= VAR92; VAR288[31:0] <= VAR288[31:0]; end end always@(posedge clk) VAR154 <= VAR41; VAR319 VAR48( .clk(clk), .rst(rst), .in(VAR154), .VAR185(VAR105) ); always@(posedge clk) begin if(rst) begin VAR189 <= 0; end else begin case(VAR261[11:0]) 12'h048: VAR189 <= VAR142; 12'h03C: VAR189 <= VAR81; 12'h040: VAR189 <= {16'h0000,VAR83}; 12'h044: VAR189 <= {16'h0000,VAR104}; 12'h038: VAR189 <= VAR306; 12'h168: VAR189 <= {30'h00000000,VAR79}; 12'h188: VAR189 <= VAR84; 12'h18C: VAR189 <= VAR144; 12'h164: VAR189 <= {31'h00000000,VAR212}; 12'h170: VAR189 <= VAR192; 12'h174: VAR189 <= VAR169; 12'h194: VAR189 <= VAR219; 12'h270: VAR189 <= VAR262; 12'h274: VAR189 <= VAR25; 12'h294: VAR189 <= VAR211; 12'h160: VAR189 <= {8'h00,VAR32}; 12'h154: VAR189 <= {31'h00000000,VAR203}; 12'h158: VAR189 <= VAR281; 12'h184: VAR189 <= {30'h00000000,VAR320}; 12'h178: VAR189 <= VAR146; 12'h190: VAR189 <= VAR174; 12'h00C: VAR189 <= VAR81; 12'h010: VAR189 <= {16'h0000,VAR83}; 12'h014: VAR189 <= {16'h0000,VAR104}; 12'h018: VAR189 <= VAR306; 12'h100: VAR189 <= {30'h00000000,VAR79}; 12'h104: VAR189 <= VAR84; 12'h108: VAR189 <= VAR144; 12'h10C: VAR189 <= {31'h00000000,VAR212}; 12'h110: VAR189 <= VAR192; 12'h114: VAR189 <= VAR169; 12'h118: VAR189 <= VAR219; 12'h210: VAR189 <= VAR262; 12'h214: VAR189 <= VAR25; 12'h218: VAR189 <= VAR211; 12'h128: VAR189 <= {8'h00,VAR32}; 12'h170: VAR189 <= {31'h00000000,VAR203}; 12'h174: VAR189 <= VAR281; 12'h180: VAR189 <= {30'h00000000,VAR320}; 12'h184: VAR189 <= VAR146; 12'h18C: VAR189 <= VAR174; 12'h080: VAR189 <= VAR299; 12'h084: VAR189 <= VAR134; 12'h088: VAR189 <= VAR278; 12'h08C: VAR189 <= VAR233; 12'h090: VAR189 <= VAR50; 12'h094: VAR189 <= VAR150; 12'h098: VAR189 <= VAR139; 12'h09C: VAR189 <= VAR56; 12'h0A0: VAR189 <= VAR158; 12'h0A4: VAR189 <= VAR57; 12'h0A8: VAR189 <= VAR78; 12'h0AC: VAR189 <= VAR157; 12'h0B0: VAR189 <= VAR44; 12'h0B4: VAR189 <= VAR22; 12'h0B8: VAR189 <= VAR148; 12'h0BC: VAR189 <= VAR46; 12'h0C0: VAR189 <= VAR160; 12'h0C4: VAR189 <= VAR196; 12'h0C8: VAR189 <= VAR43; 12'h0CC: VAR189 <= VAR276; 12'h0D0: VAR189 <= VAR230; 12'h0D4: VAR189 <= {27'h0000000,VAR244}; 12'h0D8: VAR189 <= VAR27; 12'h0DC: VAR189 <= VAR208; 12'h0E0: VAR189 <= VAR229; 12'h0E4: VAR189 <= VAR10; 12'h0E8: VAR189 <= VAR122; 12'h0EC: VAR189 <= VAR180; 12'h0F0: VAR189 <= VAR221; 12'h0F4: VAR189 <= {22'h000000,VAR234}; 12'h0F8: VAR189 <= {20'h00000,VAR301}; 12'h0FC: VAR189 <= {20'h00000,VAR190}; 12'hF00: VAR189 <= {8'h00,VAR125}; 12'hF04: VAR189 <= {8'h00,VAR235}; 12'hF08: VAR189 <= {8'h00,VAR47}; 12'hF0C: VAR189 <= {8'h00,VAR293}; 12'hF10: VAR189 <= VAR297; 12'hF14: VAR189 <= {27'h0000000,VAR42}; 12'h190: VAR189 <= VAR315; 12'h194: VAR189 <= VAR236; 12'h19C: VAR189 <= VAR93; 12'h1A0: VAR189 <= VAR102; 12'h1A4: VAR189 <= VAR37; 12'h1A8: VAR189 <= VAR214; 12'h1AC: VAR189 <= VAR17; 12'h1B0: VAR189 <= VAR100; 12'h1B4: VAR189 <= VAR279; 12'h1B8: VAR189 <= VAR198; 12'h1BC: VAR189 <= VAR131; 12'h1C0: VAR189 <= VAR82; 12'h1C4: VAR189 <= VAR266; 12'h1C8: VAR189 <= VAR237; 12'h1CC: VAR189 <= VAR34; 12'h1D0: VAR189 <= VAR318; 12'h190: VAR189 <= {30'h00000000,VAR108}; 12'h194: VAR189 <= VAR168; 12'h198: VAR189 <= VAR11; 12'h19C: VAR189 <= VAR184; 12'h1A0: VAR189 <= VAR36; 12'h1A4: VAR189 <= VAR205; 12'h1A8: VAR189 <= VAR73; 12'h1AC: VAR189 <= VAR224; 12'h1B0: VAR189 <= VAR124; 12'h1B4: VAR189 <= VAR163; 12'h1B8: VAR189 <= VAR96; 12'h1BC: VAR189 <= VAR55; 12'h1C0: VAR189 <= VAR120; 12'h1C4: VAR189 <= VAR223; 12'h1C8: VAR189 <= VAR183; 12'h1CC: VAR189 <= VAR260; 12'h1D0: VAR189 <= VAR273; 12'h1C0: VAR189 <= VAR145; 12'h1C4: VAR189 <= {23'h000000, VAR92, VAR257, VAR121[6:0]}; 12'h1C8: VAR189 <= VAR288; default: VAR189 <= 32'hF5F5F5F5; endcase end end always@(posedge clk) begin if(rst | (~VAR165))begin VAR141 <= VAR304; VAR316[31:0] <= 32'h00000000; VAR86[6:0] <= 7'b0000000; VAR140 <= 1'b0; VAR270 <= 1'b0; end else begin case(VAR141) VAR304: begin VAR316[31:0] <= 32'h00000000; VAR86[6:0] <= 7'b0000000; VAR140 <= 1'b0; VAR270 <= 1'b0; if(VAR110 & ~VAR88)begin VAR141 <= VAR252; end end VAR252: begin VAR316[31:0] <= VAR117[31:0]; VAR86[6:0] <= 7'b0010100; VAR140 <= 1'b1; VAR270 <= 1'b1; VAR141 <= VAR239; end VAR239: begin VAR316[31:0] <= VAR188[31:0]; VAR86[6:0] <= 7'b0001100; VAR140 <= 1'b1; VAR270 <= 1'b0; VAR141 <= VAR80; end VAR80: begin VAR316[31:0] <= VAR188[63:32]; VAR86[6:0] <= 7'b0010000; VAR140 <= 1'b1; VAR141 <= VAR33; end VAR33: begin if(VAR207[31:12] != 0) end VAR316[31:0] <= 32'h00001000; else if (VAR207[11]) end VAR316[31:0] <= 32'h00000800; else if (VAR207[10]) end VAR316[31:0] <= 32'h00000400; else if (VAR207[9]) end VAR316[31:0] <= 32'h00000200; else if (VAR207[8]) end VAR316[31:0] <= 32'h00000100; else if (VAR207[7]) end VAR316[31:0] <= 32'h00000080; else VAR316[31:0] <= 32'h00000080; VAR86[6:0] <= 7'b0011100; VAR140 <= 1'b1; VAR141 <= VAR123; end VAR123: begin VAR316[31:0] <= 32'h00000008; VAR86[6:0] <= 7'b0101000; VAR140 <= 1'b1; VAR141 <= VAR232; end VAR232: begin VAR316[31:0] <= 32'h00000004; VAR86[6:0] <= 7'b0101000; VAR140 <= 1'b1; VAR141 <= VAR304; end default:begin VAR141 <= VAR304; VAR316[31:0] <= 32'h00000000; VAR86[6:0] <= 7'b0000000; VAR140 <= 1'b0; VAR270 <= 1'b0; end endcase end end always@(posedge clk)begin VAR245[31:0] <= VAR316[31:0]; VAR311[6:0] <= VAR86[6:0]; VAR113 <= VAR140; end assign VAR67 = (VAR207[31:7] == 0) ? 1'b1: 1'b0; always@(posedge clk)begin if(rst | (~VAR165))begin VAR265 <= VAR61; VAR2 <= 1'b0; VAR132 <= 1'b0; VAR227[31:0] <= 13'b0000000000000; VAR38[63:0] <= 64'h0000000000000000; VAR215[31:0] <= 32'h00000000; end else begin case(VAR265) VAR61:begin VAR132 <= 1'b0; VAR2 <= 1'b0; if(VAR283)begin VAR215 <= VAR182; VAR227 <= VAR204; VAR38 <= VAR253; end if(VAR270 && (VAR207[31:7] != 0)) VAR265 <= VAR309; end else VAR265 <= VAR61; end VAR309:begin VAR2 <= 1'b0; VAR132 <= 1'b0; if(VAR207[31:12] != 0) VAR265 <= VAR91; end else if (VAR207[11]) VAR265 <= VAR250; end else if (VAR207[10]) VAR265 <= VAR282; end else if (VAR207[9]) VAR265 <= VAR159; end else if (VAR207[8]) VAR265 <= VAR97; end else if (VAR207[7]) VAR265 <= VAR40; else VAR265 <= VAR40; end VAR91:begin VAR227[31:12] <= VAR207[31:12] - 1'b1; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000001000; VAR215[31:0] <= VAR117[31:0] + 31'h00001000; if(VAR2 == 1'b0)begin VAR265 <= VAR91; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR250:begin VAR227[11] <= 1'b0; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000000800; VAR215[31:0] <= VAR117[31:0] + 31'h00000800; if(VAR2 == 1'b0)begin VAR265 <= VAR250; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR282:begin VAR227[10] <= 1'b0; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000000400; VAR215[31:0] <= VAR117[31:0] + 31'h00000400; if(VAR2 == 1'b0)begin VAR265 <= VAR282; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR159:begin VAR227[9] <= 1'b0; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000000200; VAR215[31:0] <= VAR117[31:0] + 31'h00000200; if(VAR2 == 1'b0)begin VAR265 <= VAR159; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR97:begin VAR227[8] <= 1'b0; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000000100; VAR215[31:0] <= VAR117[31:0] + 31'h00000100; if(VAR2 == 1'b0)begin VAR265 <= VAR97; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR40:begin VAR227[7] <= 1'b0; VAR38[63:0] <= VAR188[63:0] + 64'h0000000000000080; VAR215[31:0] <= VAR117[31:0] + 31'h00000080; if(VAR2 == 1'b0)begin VAR265 <= VAR40; VAR2 <= 1'b1; VAR132 <= 1'b0; end else begin VAR265 <= VAR186; VAR2 <= 1'b0; VAR132 <= 1'b1; end end VAR186:begin VAR2 <= 1'b0; VAR132 <= 1'b0; VAR265 <= VAR61; end default:begin VAR265 <= VAR61; VAR2 <= 1'b0; VAR132 <= 1'b0; VAR227[31:0] <= 13'b0000000000000; VAR38[63:0] <= 64'h0000000000000000; VAR215[31:0] <= 32'h00000000; end endcase end end always@(posedge clk)begin if(rst | (~VAR165)) begin VAR310 <= 1'b0; end else if (VAR256) begin VAR310 <= 1'b1; end else if (VAR18) begin VAR310 <= 1'b0; end end always@(posedge clk)begin if(rst | (~VAR165))begin VAR283 <= 1'b0; end else begin VAR283 <= VAR191; end end always@(posedge clk)begin if(rst | ((~VAR165)))begin VAR253 <= 64'h0000000000000000; VAR182 <= 32'h00000000; VAR204 <= 24'h000000; VAR193 <= 8'h00; VAR194 <= 64'h0000000000000000; end else if(VAR191)begin VAR253 <= {VAR153,VAR70}; VAR182 <= VAR118; VAR204 <= VAR75; VAR193 <= VAR246; VAR194 <= {VAR144,VAR84}; end else if (VAR256) begin VAR253 <= VAR253; VAR182 <= VAR182; VAR204 <= VAR204; VAR193 <= {VAR193[7:1],1'b0}; VAR194 <= VAR194; end else begin VAR253 <= VAR253; VAR182 <= VAR182; VAR204 <= VAR204; VAR193 <= VAR193; VAR194 <= VAR194; end end always@(posedge clk)begin if(rst | (~VAR165))begin VAR188 <= 64'h0000000000000000; VAR207 <= 32'h00000000; VAR117 <= 32'h00000000; end else if(VAR283)begin VAR117 <= VAR182; VAR207 <= VAR204; VAR188 <= VAR253; end else if(VAR132)begin VAR117 <= VAR215; VAR207 <= VAR227; VAR188 <= VAR38; end end always@(posedge clk)begin if(rst | (~VAR165))begin VAR110 <= 1'b0; VAR28 <= VAR267; VAR256 <= 1'b0; end else begin case(VAR28) VAR267:begin VAR110 <= 1'b0; VAR256 <= 1'b0; if(VAR283) VAR28 <= VAR98; end VAR98:begin VAR110 <= 1'b1; if(VAR141 == VAR252) VAR28 <= VAR292; end else VAR28 <= VAR98; end VAR292:begin VAR110 <= 1'b0; if(VAR101 && (VAR207[31:7] == 0))begin VAR28 <= VAR267; VAR256 <= 1'b1; end else if(VAR101)begin VAR28 <= VAR98; VAR256 <= 1'b0; end else begin VAR28 <= VAR292; VAR256 <= 1'b0; end end default:begin VAR110 <= 1'b0; VAR28 <= VAR267; VAR256 <= 1'b0; end endcase end end assign VAR21 = VAR320[0]; VAR319 VAR166( .clk(clk), .rst(rst), .in(VAR21), .VAR185(VAR238) ); always@(posedge clk)begin if(rst)begin VAR111 <= 1'b0; VAR136 <= 1'b0; VAR298 <= VAR308; end else begin case(VAR298) VAR308: begin VAR111 <= 1'b0; VAR136 <= 1'b0; if(VAR238 | (VAR31&&(VAR303[27:7] != 0))) begin VAR298 <= VAR162; end else VAR298 <= VAR308; end VAR162: begin VAR111 <= 1'b0; VAR136 <= 1'b0; VAR298 <= VAR285; end VAR285: begin VAR111 <= 1'b1; VAR136 <= 1'b1; VAR298 <= VAR127; end VAR127: begin VAR111 <= 1'b0; if(VAR173)begin VAR136 <= 1'b0; VAR298 <= VAR308; end else begin VAR136 <= 1'b1; VAR298 <= VAR127; end end default:begin VAR111 <= 1'b0; VAR136 <= 1'b0; VAR298 <= VAR308; end endcase end end always@(posedge clk) VAR58[6] <= 1'b0; always@(posedge clk)begin if(rst)begin VAR284 <= 3'b000; VAR58[27:7] <= 21'h000000; end else if (VAR298 == VAR162)begin VAR58[27:7] <= VAR74[27:7]; if(VAR54[27:12] != 0) VAR284 <= 3'b110; end else if(VAR54[11] != 0) VAR284 <= 3'b101; end else if(VAR54[10] != 0) VAR284 <= 3'b100; end else if(VAR54[9] != 0) VAR284 <= 3'b011; end else if(VAR54[8] != 0) VAR284 <= 3'b010; end else if(VAR54[7] != 0) VAR284 <= 3'b001; end else VAR284 <= 3'b001; end else begin VAR284 <= VAR284; VAR58[27:7] <= VAR58[27:7]; end end always@(posedge clk)begin if(rst)begin VAR30 <= 1'b0; VAR156 <= 1'b0; VAR138[31:0] <= 32'h00000000; VAR303[31:0] <= 32'h00000000; VAR294 <= VAR296; end else begin case(VAR294) VAR296:begin VAR30 <= 1'b0; VAR156 <= 1'b0; if(VAR238)begin VAR138[31:0] <= VAR146[31:0]; VAR303[31:0] <= VAR174[31:0]; end else begin VAR138[31:0] <= VAR138[31:0]; VAR303[31:0] <= VAR303[31:0]; end if(VAR111 && (VAR54[27:7] != 0)) VAR294 <= VAR213; end else VAR294 <= VAR296; end VAR213:begin VAR30 <= 1'b0; VAR156 <= 1'b0; VAR138[31:0] <= VAR138[31:0]; VAR303[31:0] <= VAR303[31:0]; if(VAR54[27:12] != 0) VAR294 <= VAR95; end else if (VAR54[11] != 0) VAR294 <= VAR201; end else if (VAR54[10] != 0) VAR294 <= VAR264; end else if (VAR54[9] != 0) VAR294 <= VAR62; end else if (VAR54[8] != 0) VAR294 <= VAR99; end else if (VAR54[7] != 0) VAR294 <= VAR24; end else VAR294 <= VAR24; end VAR95:begin VAR138[31:0] <= VAR74[31:0] + 32'h00001000; VAR303[31:12] <= VAR54[31:12] - 1'b1; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR95; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR201:begin VAR138[31:0] <= VAR74[31:0] + 32'h00000800; VAR303[11] <= 1'b0; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR201; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR264:begin VAR138[31:0] <= VAR74[31:0] + 32'h00000400; VAR303[10] <= 1'b0; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR264; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR62:begin VAR138[31:0] <= VAR74[31:0] + 32'h00000200; VAR303[9] <= 1'b0; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR62; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR99:begin VAR138[31:0] <= VAR74[31:0] + 32'h00000100; VAR303[8] <= 1'b0; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR99; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR24:begin VAR138[31:0] <= VAR74[31:0] + 32'h00000080; VAR303[7] <= 1'b0; if(VAR30 == 1'b0)begin VAR30 <= 1'b1; VAR156 <= 1'b0; VAR294 <= VAR24; end else begin VAR30 <= 1'b0; VAR156 <= 1'b1; VAR294 <= VAR216; end end VAR216:begin VAR30 <= 1'b0; VAR156 <= 1'b0; VAR294 <= VAR296; end default:begin VAR30 <= 1'b0; VAR156 <= 1'b0; VAR138[31:0] <= 32'h00000000; VAR303[31:0] <= 32'h00000000; VAR294 <= VAR296; end endcase end end always@(posedge clk)begin if(rst)begin VAR74[31:0] <= 32'h00000000; VAR54[31:0] <= 32'h00000000; end else if (VAR238)begin VAR74[31:0] <= VAR146[31:0]; VAR54[31:0] <= VAR174[31:0]; end else if (VAR156)begin VAR74[31:0] <= VAR138[31:0]; VAR54[31:0] <= VAR303[31:0]; end else begin VAR74[31:0] <= VAR74[31:0]; VAR54[31:0] <= VAR54[31:0]; end end always@(posedge clk)begin if(rst) VAR31 <= 1'b0; end else if (VAR156) VAR31 <= 1'b1; end else if (VAR111) VAR31 <= 1'b0; end else VAR31 <= VAR31; end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/conb/sky130_fd_sc_hs__conb.behavioral.v
1,573
module MODULE1 ( VAR9 , VAR1 , VAR8, VAR3 ); output VAR9 ; output VAR1 ; input VAR8; input VAR3; wire VAR5; pullup VAR4 (VAR5); VAR6 VAR7 (VAR9 , VAR5, VAR8, VAR3); pulldown VAR2 (VAR1 ); endmodule
apache-2.0
alexforencich/verilog-ethernet
rtl/axis_gmii_rx.v
10,228
module MODULE1 # ( parameter VAR6 = 8, parameter VAR8 = 0, parameter VAR2 = 96, parameter VAR1 = (VAR8 ? VAR2 : 0) + 1 ) ( input wire clk, input wire rst, input wire [VAR6-1:0] VAR10, input wire VAR4, input wire VAR17, output wire [VAR6-1:0] VAR9, output wire VAR12, output wire VAR15, output wire [VAR1-1:0] VAR7, input wire [VAR2-1:0] VAR5, input wire VAR11, input wire VAR14, output wire VAR16, output wire VAR13, output wire VAR3 );
mit
sirchuckalot/zet
cores/vga/rtl/fml/vga_linear_fml.v
4,710
module MODULE1 ( input clk, input rst, input enable, output [17:1] VAR24, input [15:0] VAR12, output VAR1, input [9:0] VAR17, input [9:0] VAR5, input VAR16, input VAR2, output VAR7, output [7:0] VAR8, output VAR22 ); reg [ 9:0] VAR13; reg [ 6:0] VAR21; reg [14:1] VAR14; reg [ 1:0] VAR23; reg [ 1:0] VAR6; reg [ 7:0] VAR20; reg [ 15:0] VAR18; reg [ 15:0] VAR4; reg [ 15:0] VAR10; reg [ 15:0] VAR15; reg [ 15:0] VAR11; reg [ 15:0] VAR9; reg [ 15:0] VAR26; reg [4:0] VAR25; reg [4:0] VAR19; reg [18:0] VAR3; assign VAR24 = { 1'b0, VAR14, VAR23 }; assign VAR1 = VAR3[1]; assign VAR8 = VAR3[4] ? VAR12[7:0] : VAR20; assign VAR7 = VAR25[4]; assign VAR22 = VAR19[4]; always @(posedge clk) if (rst) begin VAR3 <= 18'b0; end else if (enable) begin VAR3 <= { VAR3[17:0], (VAR17[3:0]==4'h0) }; end always @(posedge clk) if (enable) begin VAR18 <= VAR3[5] ? VAR12[15:0] : VAR18; VAR4 <= VAR3[6] ? VAR12[15:0] : VAR4; VAR10 <= VAR3[7] ? VAR12[15:0] : VAR10; VAR15 <= VAR3[8] ? VAR12[15:0] : VAR15; VAR11 <= VAR3[9] ? VAR12[15:0] : VAR11; VAR9 <= VAR3[10] ? VAR12[15:0] : VAR9; VAR26 <= VAR3[11] ? VAR12[15:0] : VAR26; end always @(posedge clk) if (rst) begin VAR25 <= 5'b0; end else if (enable) begin VAR25 <= { VAR25[3:0], VAR2 }; end always @(posedge clk) if (rst) begin VAR19 <= 5'b0; end else if (enable) begin VAR19 <= { VAR19[3:0], VAR16 }; end always @(posedge clk) if (rst) begin VAR13 <= 10'h0; VAR21 <= 7'h0; VAR6 <= 2'b00; VAR14 <= 14'h0; VAR23 <= 2'b00; end else if (enable) begin VAR13 <= { VAR5[8:1], 2'b00 } + VAR5[8:1]; VAR21 <= VAR17[9:3]; VAR6 <= VAR17[2:1]; VAR14 <= { VAR13 + VAR21[6:4], VAR21[3:0] }; VAR23 <= VAR6; end always @(posedge clk) if (rst) begin VAR20 <= 8'h0; end else if (enable) begin if (VAR3[4]) VAR20 <= VAR12[7:0]; end else if (VAR3[5]) VAR20 <= VAR12[7:0]; else if (VAR3[7]) VAR20 <= VAR4[7:0]; else if (VAR3[9]) VAR20 <= VAR10[7:0]; else if (VAR3[11]) VAR20 <= VAR15[7:0]; else if (VAR3[13]) VAR20 <= VAR11[7:0]; else if (VAR3[15]) VAR20 <= VAR9[7:0]; else if (VAR3[17]) VAR20 <= VAR26[7:0]; end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi22/gf180mcu_fd_sc_mcu9t5v0__aoi22_1.behavioral.v
2,309
module MODULE1( VAR5, VAR1, VAR3, VAR4, VAR8 ); input VAR4, VAR8, VAR1, VAR5; output VAR3; VAR2 VAR7(.VAR5(VAR5),.VAR1(VAR1),.VAR3(VAR3),.VAR4(VAR4),.VAR8(VAR8)); VAR2 VAR6(.VAR5(VAR5),.VAR1(VAR1),.VAR3(VAR3),.VAR4(VAR4),.VAR8(VAR8));
apache-2.0
pradeep9676/pradeep_9676
logarithmic.v
1,686
module MODULE1( VAR13,VAR4); input [47:0]VAR13; output reg signed [30:0]VAR4; wire valid; wire [5:0] VAR15; reg [5:0] VAR7; reg [47:0] VAR12; reg [47:0] VAR11, VAR3; reg [53:0] VAR14; reg [7:0]address; reg [69:0]VAR8; reg [108:0] VAR5; VAR9 VAR1( .in(VAR13), .out(VAR15), .valid(valid)); reg [29:0] VAR2[255:0]; reg [21:0] VAR10[255:0]; reg [12:0] VAR6[255:0]; begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21boi/sky130_fd_sc_ms__a21boi_1.v
2,332
module MODULE1 ( VAR9 , VAR6 , VAR7 , VAR3, VAR5, VAR4, VAR8 , VAR10 ); output VAR9 ; input VAR6 ; input VAR7 ; input VAR3; input VAR5; input VAR4; input VAR8 ; input VAR10 ; VAR1 VAR2 ( .VAR9(VAR9), .VAR6(VAR6), .VAR7(VAR7), .VAR3(VAR3), .VAR5(VAR5), .VAR4(VAR4), .VAR8(VAR8), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR9 , VAR6 , VAR7 , VAR3 ); output VAR9 ; input VAR6 ; input VAR7 ; input VAR3; supply1 VAR5; supply0 VAR4; supply1 VAR8 ; supply0 VAR10 ; VAR1 VAR2 ( .VAR9(VAR9), .VAR6(VAR6), .VAR7(VAR7), .VAR3(VAR3) ); endmodule
apache-2.0
Jafet95/proy_3_grupo_2_sem_1_2016
contador_AD_DAY_2dig.v
3,229
module MODULE1 ( input wire clk, input wire reset, input wire [3:0] VAR9, input wire VAR1, input wire VAR6, output wire [7:0] VAR3 ); localparam VAR8 = 5; reg [VAR8-1:0] VAR2, VAR10; wire [VAR8-1:0] VAR7; reg [3:0] VAR4, VAR5; always@(posedge clk, posedge reset) begin if(reset) begin VAR2 <= 5'b0; end else begin VAR2 <= VAR10; end end always@* begin if (VAR9 == 6) begin if (VAR1) begin if (VAR2 >= 5'd30) VAR10 = 5'd0; end else VAR10 = VAR2 + 5'd1; end else if (VAR6) begin if (VAR2 == 5'd0) VAR10 = 5'd30; end else VAR10 = VAR2 - 5'd1; end else VAR10 = VAR2; end else VAR10 = VAR2; end assign VAR7 = VAR2 + 5'b1; always@* begin case(VAR7) 5'd1: begin VAR4 = 4'b0000; VAR5 = 4'b0001; end 5'd2: begin VAR4 = 4'b0000; VAR5 = 4'b0010; end 5'd3: begin VAR4 = 4'b0000; VAR5 = 4'b0011; end 5'd4: begin VAR4 = 4'b0000; VAR5 = 4'b0100; end 5'd5: begin VAR4 = 4'b0000; VAR5 = 4'b0101; end 5'd6: begin VAR4 = 4'b0000; VAR5 = 4'b0110; end 5'd7: begin VAR4 = 4'b0000; VAR5 = 4'b0111; end 5'd8: begin VAR4 = 4'b0000; VAR5 = 4'b1000; end 5'd9: begin VAR4 = 4'b0000; VAR5 = 4'b1001; end 5'd10: begin VAR4 = 4'b0001; VAR5 = 4'b0000; end 5'd11: begin VAR4 = 4'b0001; VAR5 = 4'b0001; end 5'd12: begin VAR4 = 4'b0001; VAR5 = 4'b0010; end 5'd13: begin VAR4 = 4'b0001; VAR5 = 4'b0011; end 5'd14: begin VAR4 = 4'b0001; VAR5 = 4'b0100; end 5'd15: begin VAR4 = 4'b0001; VAR5 = 4'b0101; end 5'd16: begin VAR4 = 4'b0001; VAR5 = 4'b0110; end 5'd17: begin VAR4 = 4'b0001; VAR5 = 4'b0111; end 5'd18: begin VAR4 = 4'b0001; VAR5 = 4'b1000; end 5'd19: begin VAR4 = 4'b0001; VAR5 = 4'b1001; end 5'd20: begin VAR4 = 4'b0010; VAR5 = 4'b0000; end 5'd21: begin VAR4 = 4'b0010; VAR5 = 4'b0001; end 5'd22: begin VAR4 = 4'b0010; VAR5 = 4'b0010; end 5'd23: begin VAR4 = 4'b0010; VAR5 = 4'b0011; end 5'd24: begin VAR4 = 4'b0010; VAR5 = 4'b0100; end 5'd25: begin VAR4 = 4'b0010; VAR5 = 4'b0101; end 5'd26: begin VAR4 = 4'b0010; VAR5 = 4'b0110; end 5'd27: begin VAR4 = 4'b0010; VAR5 = 4'b0111; end 5'd28: begin VAR4 = 4'b0010; VAR5 = 4'b1000; end 5'd29: begin VAR4 = 4'b0010; VAR5 = 4'b1001; end 5'd30: begin VAR4 = 4'b0011; VAR5 = 4'b0000; end 5'd31: begin VAR4 = 4'b0011; VAR5 = 4'b0001; end default: begin VAR4 = 0; VAR5 = 0; end endcase end assign VAR3 = {VAR4,VAR5}; endmodule
mit
wgml/sysrek
hdmi_example/src/rx/serdes_1_to_5_diff_data.v
14,282
module MODULE1 # ( parameter VAR32 = "VAR52", parameter VAR42 = 49, parameter VAR23 = "VAR31" )( input wire VAR25, input wire VAR71, input wire VAR26, input wire VAR41, input wire VAR19, input wire reset, input wire VAR5, input wire VAR51, output wire [4:0] VAR86 ); wire VAR47; wire VAR95; wire VAR83; wire VAR56; wire VAR101; wire VAR43; reg [8:0] counter; reg [3:0] state; reg VAR6; wire VAR105; reg VAR16; wire VAR103; reg enable; reg VAR13; reg VAR2; reg VAR38; wire VAR27; reg VAR97; reg VAR34; reg VAR36; reg [4:0] VAR14; wire VAR15; wire VAR48; reg flag; reg mux; reg VAR20 ; wire [1:0] VAR35; wire VAR76; wire [1:0] VAR53; wire VAR81; wire [1:0] VAR4; wire VAR82; wire [1:0] VAR66 = 2'b00; assign VAR105 = VAR83 ; assign VAR103 = VAR6 ; always @ (posedge VAR5 or posedge reset) begin if (reset == 1'b1) begin state <= 0 ; VAR13 <= 1'b0 ; VAR6 <= 1'b0 ; counter <= 9'h000 ; enable <= 1'b0 ; mux <= 1'h1 ; end else begin counter <= counter + 9'h001 ; if (counter[8] == 1'b1) begin counter <= 9'h000 ; end if (counter[5] == 1'b1) begin enable <= 1'b1 ; end if (state == 0 && enable == 1'b1) begin VAR13 <= 1'b0 ; VAR6 <= 1'b0 ; VAR2 <= 1'b0 ; if (VAR16 == 1'b0) begin state <= 1 ; end end else if (state == 1) begin VAR13 <= 1'b1 ; VAR6 <= 1'b1 ; if (VAR16 == 1'b1) begin state <= 2 ; end end else if (state == 2) begin VAR13 <= 1'b0 ; VAR6 <= 1'b0 ; if (VAR16 == 1'b0) begin VAR2 <= 1'b1 ; state <= 3 ; end end else if (state == 3) begin VAR2 <= 1'b0 ; if (VAR16 == 1'b0) begin state <= 4 ; end end else if (state == 4) begin if (counter[8] == 1'b1) begin state <= 5 ; end end else if (state == 5) begin if (VAR16 == 1'b0) begin VAR6 <= 1'b1 ; state <= 6 ; end end else if (state == 6) begin VAR6 <= 1'b0 ; if (VAR16 == 1'b1) begin state <= 7 ; end end else if (state == 7) begin VAR6 <= 1'b0 ; if (VAR16 == 1'b0) begin state <= 4 ; end end end end always @ (posedge VAR5 or posedge reset) begin if (reset == 1'b1) begin VAR14 <= 5'b1000 ; VAR20 <= 1'b0 ; flag <= 1'b0 ; end else begin VAR16 <= VAR4[1] ; if (VAR25 == 1'b1) begin VAR36 <= VAR35[1] ; VAR34 <= VAR53[1] ; if (VAR20 == 1'b1) begin VAR97 = mux ; end else begin VAR97 = 64'h0000000000000000 ; end if (state == 7) begin flag <= 1'b0 ; end else if (state != 4 || VAR16 == 1'b1) begin VAR14 <= 5'b10000 ; VAR20 <= 1'b0 ; end else if (VAR14 == 5'b11111 && flag == 1'b0) begin VAR20 <= 1'b1 ; VAR38 <= 1'b1 ; VAR14 <= 5'b10000 ; flag <= 1'b1 ; end else if (VAR14 == 5'b00000 && flag == 1'b0) begin VAR20 <= 1'b1 ; VAR38 <= 1'b0 ; VAR14 <= 5'b10000 ; flag <= 1'b1 ; end else if (VAR34 == 1'b1) begin VAR20 <= 1'b0 ; if (VAR36 == 1'b1 && VAR14 != 5'b11111) begin VAR14 <= VAR14 + 5'b00001 ; end else if (VAR36 == 1'b0 && VAR14 != 5'b00000) begin VAR14 <= VAR14 + 5'b11111 ; end end else begin VAR20 <= 1'b0 ; end end else begin VAR97 = VAR82 ; VAR38 <= VAR66[1] ; end end end assign VAR27 = VAR38 ; assign VAR35[0] = 1'b0 ; assign VAR53[0] = 1'b0 ; assign VAR4[0] = 1'b0 ; assign VAR76 = VAR48 & mux; assign VAR35[1] = VAR76 | VAR35; assign VAR81 = VAR15 & mux; assign VAR53[1] = VAR81 | VAR53; assign VAR4[1] = VAR105 | VAR4; assign VAR82 = VAR66[0] ; VAR21 #( .VAR32 (VAR32)) VAR28 ( .VAR93 (VAR71), .VAR84 (VAR26), .VAR77 (VAR56) ); VAR29 #( .VAR50 ("VAR90"), .VAR79 (0), .VAR107 (0), .VAR40 ("VAR104" ), .VAR1 (0), .VAR12 ("VAR9"), .VAR94 ("VAR64"), .VAR45 ("VAR58"), .VAR44 ("VAR73"), .VAR10 (VAR42) ) VAR80 ( .VAR58 (VAR56), .VAR102 (), .VAR59 (), .VAR70 (1'b1), .VAR33 (1'b0), .VAR7 (VAR47), .VAR37 (), .VAR24 (VAR41), .VAR91 (1'b0), .VAR49 (VAR5), .VAR87 (VAR13), .VAR17 (VAR27), .VAR46 (VAR97), .VAR11 (VAR2), .VAR69 () ); VAR29 #( .VAR50 ("VAR90"), .VAR79 (0), .VAR107 (0), .VAR40 ("VAR104" ), .VAR1 (0), .VAR12 ("VAR9"), .VAR94 ("VAR96"), .VAR45 ("VAR58"), .VAR44 ("VAR18"), .VAR10 (VAR42) ) VAR68 ( .VAR58 (VAR56), .VAR102 (), .VAR59 (), .VAR70 (1'b1), .VAR33 (1'b0), .VAR7 (VAR95), .VAR37 (), .VAR24 (VAR41), .VAR91 (1'b0), .VAR49 (VAR5), .VAR87 (VAR103), .VAR17 (VAR27), .VAR46 (VAR97), .VAR11 (VAR2), .VAR69 (VAR83) ); VAR92 #( .VAR67 (5), .VAR50 ("VAR90"), .VAR23 (VAR23), .VAR44 ("VAR73"), .VAR99 ("VAR72")) VAR55 ( .VAR98 (VAR47), .VAR65 (1'b1), .VAR8 (VAR41), .VAR54 (1'b0), .VAR30 (VAR19), .VAR11 (reset), .VAR75 (VAR5), .VAR39 (VAR43), .VAR62 (VAR51), .VAR61 (), .VAR3 (VAR86[4]), .VAR57 (VAR86[3]), .VAR106 (VAR86[2]), .VAR85 (VAR86[1]), .VAR100 (), .VAR60 (), .VAR22 (), .VAR78 (VAR15), .VAR89 (VAR48), .VAR74 (VAR101)); VAR92 #( .VAR67 (5), .VAR50 ("VAR90"), .VAR23 (VAR23), .VAR44 ("VAR18"), .VAR99 ("VAR72") ) VAR88 ( .VAR98 (VAR95), .VAR65 (1'b1), .VAR8 (VAR41), .VAR54 (1'b0), .VAR30 (VAR19), .VAR11 (reset), .VAR75 (VAR5), .VAR39 (VAR101), .VAR62 (VAR51), .VAR61 (), .VAR3 (VAR86[0]), .VAR57 (), .VAR106 (), .VAR85 (), .VAR100 (), .VAR60 (), .VAR22 (), .VAR78 (), .VAR89 (), .VAR74 (VAR43)); reg [7:0] VAR63 = 8'h7f; always @ (posedge VAR5 or posedge reset) begin if (reset) VAR63 <= 8'h7f; end else if (VAR97) if (VAR27) VAR63 <= VAR63 + 1'b1; else VAR63 <= VAR63 - 1'b1; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlygate4s18/sky130_fd_sc_lp__dlygate4s18.pp.blackbox.v
1,309
module MODULE1 ( VAR1 , VAR2 , VAR4, VAR5, VAR3 , VAR6 ); output VAR1 ; input VAR2 ; input VAR4; input VAR5; input VAR3 ; input VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or3/sky130_fd_sc_ls__or3.pp.symbol.v
1,274
module MODULE1 ( input VAR6 , input VAR3 , input VAR7 , output VAR4 , input VAR2 , input VAR1, input VAR8, input VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fill_diode/sky130_fd_sc_ls__fill_diode.behavioral.v
1,141
module MODULE1 (); supply1 VAR1; supply0 VAR2; supply1 VAR4 ; supply0 VAR3 ; endmodule
apache-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ddr3_source/clocking/mig_7series_v1_9_infrastructure.v
24,469
module MODULE1 # ( parameter VAR20 = "VAR23", parameter VAR18 = 100, parameter VAR59 = 3000, parameter VAR36 = 2, parameter VAR52 = "VAR8", parameter VAR4 = "VAR23", parameter VAR57 = 4, parameter VAR54 = 1, parameter VAR38 = 45.0, parameter VAR21 = 16, parameter VAR46 = 4, parameter VAR41 = 64, parameter VAR22 = 16, parameter VAR12 = "VAR23", parameter VAR49 = "VAR23", parameter VAR16 = "VAR23", parameter VAR3 = "VAR23", parameter VAR40 = "VAR23", parameter VAR1 = 1, parameter VAR44 = 1, parameter VAR39 = 1, parameter VAR45 = 1, parameter VAR13 = 1, parameter VAR34 = 1 ) ( input VAR37, input VAR50, input VAR47, output clk, output VAR35, output VAR25, output VAR15, output VAR56, output VAR42, output VAR11, output VAR10, output VAR60, output VAR48, output VAR5, output VAR58, output VAR29 ,output VAR28 ,input VAR32 ,output VAR55 ,input VAR19, input VAR62 ); localparam VAR26 = 25; localparam VAR51 = (VAR26+1)/2; localparam real VAR9 = VAR59 / 1000.0; localparam VAR31 = 2 * VAR46; localparam integer VAR61 = (VAR9 * VAR54 * 1000) / VAR57; localparam VAR2 = VAR61 * VAR21; localparam VAR53 = VAR61 * VAR46; localparam VAR43 = VAR61 * VAR41; localparam VAR6 = VAR61 * VAR22; localparam VAR27 = VAR61 * VAR31; localparam VAR17 = (VAR20 == "VAR24") ? 22.5 : 168.75; localparam real VAR7 = VAR6 / 1000.0; localparam real VAR14 = VAR27 / 1000.0; localparam VAR33 = 4; localparam VAR30 = 0.000;
gpl-2.0
nliu96/openHMC_Altera
src/openhmc_top.v
22,769
module MODULE1 #( parameter VAR134 = 2, parameter VAR151 = 4, parameter VAR31 = VAR151*128, parameter VAR4 = 3, parameter VAR92 = 2**VAR4, parameter VAR125 = VAR151*16, parameter VAR196 = 64, parameter VAR13 = 64, parameter VAR123 = 4, parameter VAR106 = 8, parameter VAR166 = 1, parameter VAR99 = 1, parameter VAR94 = 1, parameter VAR199 = 1, parameter VAR49 = 1 ) ( input wire VAR178, input wire VAR165, input wire VAR77, input wire VAR129, input wire VAR39, output wire VAR182, input wire [VAR31-1:0] VAR190, input wire [VAR125-1:0] VAR35, output wire VAR124, input wire VAR143, output wire [VAR31-1:0] VAR115, output wire [VAR125-1:0] VAR164, output wire [VAR31-1:0] VAR46, input wire [VAR31-1:0] VAR7, output wire [VAR92-1:0] VAR37, output wire [VAR92-1:0] VAR158, input wire VAR88, output wire VAR203, output wire VAR73, input wire VAR22, input wire VAR70, input wire [VAR123-1:0] VAR145, output wire [VAR13-1:0] VAR97, output wire VAR150, output wire VAR167, input wire VAR186, input wire VAR25, input wire [VAR196-1:0] VAR85 ); wire [4*VAR151-1:0] VAR72; assign VAR164 = {{VAR125-(4*VAR151){1'b0}}, VAR72}; wire VAR107; assign VAR182 = ~VAR107; wire VAR66; assign VAR124 = ~VAR66; wire [VAR31-1:0] VAR28; wire VAR183; wire VAR36; wire VAR181; wire [3*VAR151-1:0] VAR10; wire [VAR31-1:0] VAR54; wire VAR149; wire VAR156; wire VAR201; wire [4*VAR151-1:0] VAR8; wire VAR98; wire VAR44; wire VAR128; wire [7:0] VAR102; wire [7:0] VAR17; wire [7:0] VAR63; wire [VAR134:0] VAR68; wire [VAR134:0] VAR104; wire VAR2; wire VAR130; wire VAR45; wire [VAR13-1:0] VAR180; wire [VAR13-1:0] VAR12; wire [VAR13-1:0] VAR126; wire [VAR13-1:0] VAR41; wire [VAR13-1:0] VAR105; wire [1:0] VAR195; wire [2:0] VAR50; wire [1:0] VAR18; wire [9:0] VAR26; wire [9:0] VAR58; wire VAR74; wire VAR161; wire [VAR92-1:0] VAR141; wire [VAR92-1:0] VAR87; wire [5:0] VAR198; wire VAR57; wire VAR132; wire VAR80; wire [VAR92-1:0] VAR154; wire [VAR92-1:0] VAR91; wire [9:0] VAR20; wire VAR75; wire [4:0] VAR30; wire [4:0] VAR179; wire VAR118; wire [2:0] VAR191; wire VAR120; wire VAR38; wire VAR1; assign VAR158 = (VAR99==1) ? {VAR92{1'b0}} : VAR154; VAR173 #( .VAR31(VAR31+(VAR151*3)), .VAR110(16) ) VAR133 ( .VAR177(VAR178), .VAR127(VAR165), .VAR24(VAR77), .VAR51(VAR129), .din({VAR35[(VAR151*3)-1:0],VAR190}), .VAR148(VAR39 && VAR182), .VAR62(VAR107), .VAR197(), .dout({VAR10,VAR28}), .VAR90(VAR183), .VAR153(VAR36), .VAR61(VAR181) ); VAR194 #( .VAR134(VAR134), .VAR151(VAR151), .VAR92(VAR92), .VAR202(8), .VAR13(VAR13), .VAR166(VAR166), .VAR49(VAR49) ) VAR59( .clk(VAR165), .VAR79(VAR129), .VAR96(VAR46), .VAR73(VAR73), .VAR22(VAR22), .VAR6(VAR28), .VAR101(VAR10[VAR151-1:0]), .VAR64(VAR10[(2*VAR151)-1:1*VAR151]), .VAR116(VAR10[(3*VAR151)-1:(2*VAR151)]), .VAR43(VAR36), .VAR69(VAR181), .VAR89(VAR183), .VAR55(VAR98), .VAR23(VAR44), .VAR42(VAR128), .VAR11(VAR102), .VAR81(VAR17), .VAR19(VAR63), .VAR78(VAR68), .VAR192(VAR104), .VAR2(VAR2), .VAR114(VAR12), .VAR76(VAR126), .VAR162(VAR41), .VAR130(VAR130), .VAR45(VAR45), .VAR117(VAR74), .VAR121(VAR50[0]), .VAR135(VAR195[1]), .VAR159(VAR161), .VAR18(VAR18), .VAR26(VAR26), .VAR58(VAR58), .VAR174(VAR132), .VAR57(VAR57), .VAR80(VAR80), .VAR20(VAR20), .VAR191(VAR191), .VAR179(VAR179), .VAR118(VAR118), .VAR120(VAR120), .VAR38(VAR38), .VAR1(VAR1) ); VAR15 #( .VAR134(VAR134), .VAR151(VAR151), .VAR4(VAR4), .VAR13(VAR13), .VAR106(VAR106), .VAR99(VAR99), .VAR94(VAR94), .VAR199(VAR199) ) VAR65 ( .clk(VAR165), .VAR79(VAR129), .VAR176(VAR7), .VAR137(VAR37), .VAR193(VAR54), .VAR144(VAR156), .VAR103(VAR201), .VAR171(VAR149), .VAR52(VAR8), .VAR185(VAR98), .VAR119(VAR44), .VAR111(VAR128), .VAR27(VAR102), .VAR34(VAR17), .VAR86(VAR63), .VAR169(VAR68), .VAR48(VAR104), .VAR180(VAR180), .VAR29(VAR105), .VAR195(VAR195), .VAR50(VAR50), .VAR74(VAR74), .VAR161(VAR161), .VAR141(VAR141), .VAR87(VAR87), .VAR91(VAR91), .VAR188(VAR18[1] && !VAR18[0]), .VAR198(VAR198), .VAR57(VAR57), .VAR154(VAR154), .VAR80(VAR80), .VAR75(VAR75), .VAR30(VAR30) ); VAR173 #( .VAR31(VAR31+(VAR151*4)), .VAR110(16) ) VAR40( .VAR177(VAR165), .VAR127(VAR178), .VAR24(VAR129), .VAR51(VAR77), .din({VAR8,VAR54}), .VAR148(VAR149), .VAR62(VAR156), .VAR197(VAR201), .dout({VAR72,VAR115}), .VAR90(VAR124 && VAR143), .VAR153(VAR66), .VAR61() ); generate if(VAR92==8) begin : VAR109 VAR82 VAR5 ( .VAR79(VAR129), .clk(VAR165), .address(VAR145), .VAR93(VAR97), .VAR189(VAR150), .VAR33(VAR167), .VAR47(VAR186), .VAR160(VAR25), .VAR168(VAR85), .VAR200(VAR195[1]), .VAR56(VAR195[0]), .VAR9(VAR74), .VAR122(VAR88), .VAR100(VAR75), .VAR67(VAR26), .VAR112(VAR58), .VAR136(VAR154), .VAR71(VAR91), .VAR84(VAR87), .VAR152(VAR141), .VAR146(VAR161), .VAR204(VAR18), .VAR138(VAR50[0]), .VAR32(VAR126), .VAR170(VAR12), .VAR155(VAR41), .VAR172(VAR180), .VAR60(VAR105), .VAR187(VAR2), .VAR175(VAR128), .VAR139(VAR130), .VAR95(VAR45), .VAR131(VAR203), .VAR53(VAR57), .VAR21(VAR132), .VAR142(VAR80), .VAR140(VAR118), .VAR157(VAR191), .VAR163(VAR120), .VAR184(VAR38), .VAR113(VAR1), .VAR3(VAR20), .VAR16(VAR30), .VAR14(VAR179), .VAR108(VAR198) ); end else begin : VAR83 VAR147 VAR5 ( .VAR79(VAR129), .clk(VAR165), .address(VAR145), .VAR93(VAR97), .VAR189(VAR150), .VAR33(VAR167), .VAR47(VAR186), .VAR160(VAR25), .VAR168(VAR85), .VAR200(VAR195[1]), .VAR56(VAR195[0]), .VAR9(VAR74), .VAR122(VAR88), .VAR100(VAR75), .VAR67(VAR26), .VAR112(VAR58), .VAR136(VAR154), .VAR71(VAR91), .VAR84(VAR87), .VAR152(VAR141), .VAR146(VAR161), .VAR204(VAR18), .VAR138(VAR50[0]), .VAR32(VAR126), .VAR170(VAR12), .VAR155(VAR41), .VAR172(VAR180), .VAR60(VAR105), .VAR187(VAR2), .VAR175(VAR128), .VAR139(VAR130), .VAR95(VAR45), .VAR131(VAR203), .VAR53(VAR57), .VAR21(VAR132), .VAR142(VAR80), .VAR140(VAR118), .VAR157(VAR191), .VAR163(VAR120), .VAR184(VAR38), .VAR113(VAR1), .VAR3(VAR20), .VAR16(VAR30), .VAR14(VAR179), .VAR108(VAR198) ); end endgenerate endmodule
lgpl-3.0
EmbeddedANT/ALTERA_DE0-Nano
DE0Nano_Button/DE0Nano_Button.v
4,371
module MODULE1( VAR9, VAR18, VAR20 ); parameter VAR10 =8'b10000000; parameter VAR14 =8'b01000000; parameter VAR29 =8'b00100000; parameter VAR26 =8'b00010000; parameter VAR12 =8'b00001000; parameter VAR1 =8'b00000100; parameter VAR28 =8'b00000010; parameter VAR6 =8'b00000001; input VAR9; output [7:0] VAR18; input [1:0] VAR20; reg [7:0]VAR24=VAR10; reg [7:0]VAR22; wire VAR13; reg VAR11=0; wire VAR27; wire VAR21; VAR8 #(.VAR25 (28)) VAR2 ( .VAR19(VAR9), .VAR7(VAR13), .VAR4(28'd16000000)); VAR3 VAR16 ( .clk(VAR9), .VAR15(1'b1), .VAR5(VAR20[0]), .VAR17(VAR27) ); VAR3 VAR23 ( .clk(VAR9), .VAR15(1'b1), .VAR5(VAR20[1]), .VAR17(VAR21) ); always @(*) begin case (VAR24) VAR10: begin if (VAR11==0) VAR22=VAR14; end else VAR22=VAR6; end VAR14: begin if (VAR11==0) VAR22=VAR29; end else VAR22=VAR10; end VAR29: begin if (VAR11==0) VAR22=VAR26; end else VAR22=VAR14; end VAR26: begin if (VAR11==0) VAR22=VAR12; end else VAR22=VAR29; end VAR12: begin if (VAR11==0) VAR22=VAR1; end else VAR22=VAR26; end VAR1: begin if (VAR11==0) VAR22=VAR28; end else VAR22=VAR12; end VAR28: begin if (VAR11==0) VAR22=VAR6; end else VAR22=VAR1; end VAR6: begin if (VAR11==0) VAR22=VAR10; end else VAR22=VAR28; end default: VAR22=VAR10; endcase end always @ (posedge VAR9) begin if (VAR27==0) VAR11<=0; end else if (VAR21==0) VAR11<=1; else VAR11<=VAR11; end always @ (posedge VAR13) begin VAR24<=VAR22; end assign VAR18 = VAR24; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/and2/sky130_fd_sc_hvl__and2_1.v
2,094
module MODULE1 ( VAR7 , VAR1 , VAR2 , VAR4, VAR5, VAR6 , VAR9 ); output VAR7 ; input VAR1 ; input VAR2 ; input VAR4; input VAR5; input VAR6 ; input VAR9 ; VAR8 VAR3 ( .VAR7(VAR7), .VAR1(VAR1), .VAR2(VAR2), .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR7, VAR1, VAR2 ); output VAR7; input VAR1; input VAR2; supply1 VAR4; supply0 VAR5; supply1 VAR6 ; supply0 VAR9 ; VAR8 VAR3 ( .VAR7(VAR7), .VAR1(VAR1), .VAR2(VAR2) ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/common/rtl/ucb_flow_2buf.v
18,046
module MODULE1 ( VAR113, VAR116, VAR111, VAR52, VAR112, VAR109, VAR49, VAR25, VAR33, VAR101, VAR22, VAR56, clk, VAR59, VAR126, VAR48, VAR75, VAR95, VAR60, VAR90, VAR58, VAR97, VAR6, VAR45, VAR119, VAR65, VAR114, VAR35, VAR127, VAR88 ); parameter VAR124 = 32; parameter VAR15 = 8; parameter VAR24 = 64; input clk; input VAR59; input VAR126; input [VAR124-1:0] VAR48; output VAR113; output VAR116; output VAR111; output [VAR121-VAR105:0] VAR52; output [VAR99-VAR96:0] VAR112; output [VAR36-VAR125:0] VAR109; output [VAR78-VAR29:0] VAR49; output [VAR107-VAR28:0] VAR25; input VAR75; input VAR95; input VAR60; input [VAR121-VAR105:0] VAR90; input [VAR99-VAR96:0] VAR58; input VAR97; input [VAR24-1:0] VAR6; output VAR33; input VAR45; input [VAR104-VAR30:0] VAR119; input [VAR121-VAR105:0] VAR65; input [VAR1-VAR42:0] VAR114; input [VAR32-VAR26:0] VAR35; input [VAR69-VAR98:0] VAR127; output VAR101; output VAR22; output [VAR15-1:0] VAR56; input VAR88; wire VAR70; wire [127:0] VAR54; wire VAR123; wire VAR74; wire VAR16; wire VAR57; wire VAR83; wire [VAR37-1:0] VAR102; wire [VAR37-1:0] VAR117; wire VAR53; wire [VAR37-1:0] VAR40; wire [VAR37-1:0] VAR41; wire VAR3; wire VAR12; wire VAR5; wire VAR66; wire [VAR81-1:0] VAR91; wire VAR19; wire [VAR81-1:0] VAR92; wire VAR100; wire [VAR81-1:0] VAR85; wire [VAR81-1:0] VAR34; wire VAR50; wire VAR43; wire VAR76; wire VAR64; wire VAR4; wire VAR72; wire VAR67; wire VAR47; wire [VAR104-VAR30:0] VAR51; wire [VAR24+VAR99-VAR30:0] VAR77; wire [VAR24+VAR99-VAR30:0] VAR20; wire [(VAR24+64)/VAR15-1:0] VAR62; wire VAR21; wire VAR46; wire VAR84; wire VAR120; wire [VAR69-VAR30:0] VAR108; wire [VAR69-VAR30:0] VAR115; wire [(VAR24+64)/VAR15-1:0] VAR14; wire VAR11; wire VAR71; wire VAR103; wire [VAR24+63:0] VAR17; wire [(VAR24+64)/VAR15-1:0] VAR38; VAR31 #(VAR124,64) VAR31 (.VAR59(VAR59), .clk(clk), .VAR61(VAR126), .VAR63(VAR48), .VAR122(VAR113), .VAR70(VAR70), .VAR54(VAR54), .VAR8(VAR123)); assign VAR74 = (VAR54[VAR104:VAR30] == VAR70; assign VAR16 = (VAR54[VAR104:VAR30] == VAR70; assign VAR57 = (VAR54[VAR36:VAR125] != 3'b011); assign VAR123 = (VAR74 | VAR16) & VAR12; assign VAR83 = VAR75; assign VAR102 = ~VAR59 ? VAR37'b01 : VAR83 ? {VAR117[VAR37-2:0], VAR117[VAR37-1]} : VAR117; VAR118 #(VAR37) VAR82 (.din(VAR102), .clk(clk), .VAR80(VAR117)); assign VAR53 = (VAR74 | (VAR16 & ~VAR57)) & ~VAR12; assign VAR40 = ~VAR59 ? VAR37'b01 : VAR53 ? {VAR41[VAR37-2:0], VAR41[VAR37-1]} : VAR41; VAR118 #(VAR37) VAR73 (.din(VAR40), .clk(clk), .VAR80(VAR41)); assign VAR3 = (VAR102 == VAR40) & VAR53; VAR93 #(1) VAR13 (.din(VAR3), .VAR59(VAR59), .en(VAR83|VAR53), .clk(clk), .VAR80(VAR12)); assign VAR5 = ((VAR102 == VAR40) & VAR83) | ~VAR59; VAR94 #(1) VAR7 (.din(VAR5), .en(VAR83|VAR53|~VAR59), .clk(clk), .VAR80(VAR66)); assign VAR91 = {VAR54[VAR107:VAR28], VAR54[VAR78:VAR29], VAR54[VAR36:VAR125], VAR54[VAR99:VAR96], VAR54[VAR121:VAR105], VAR16 & ~VAR57, VAR74}; assign VAR19 = VAR41[0] & VAR53; VAR94 #(VAR81) VAR2 (.din(VAR91), .en(VAR19), .clk(clk), .VAR80(VAR92)); assign VAR100 = VAR41[1] & VAR53; VAR94 #(VAR81) VAR27 (.din(VAR91), .en(VAR100), .clk(clk), .VAR80(VAR85)); assign VAR34 = VAR117[0] ? VAR92 : VAR117[1] ? VAR85 : {VAR81{1'b0}}; assign {VAR25, VAR49, VAR109, VAR112, VAR52, VAR43, VAR50} = VAR34; assign VAR116 = VAR50 & ~VAR66; assign VAR111 = VAR43 & ~VAR66; assign VAR64 = VAR95 | VAR60; assign VAR72 = VAR64 ? 1'b1 : VAR76 ? 1'b0 : VAR4; VAR9 #(1) VAR86 (.din(VAR72), .clk(clk), .VAR59(VAR59), .VAR80(VAR4)); VAR94 #(1) VAR106 (.din(VAR60), .en(VAR64), .clk(clk), .VAR80(VAR67)); VAR94 #(1) VAR89 (.din(VAR97), .en(VAR64), .clk(clk), .VAR80(VAR47)); assign VAR51 = VAR95 ? VAR39: assign VAR77 = {VAR6, VAR58, VAR90, VAR51}; VAR94 #(VAR24+VAR99-VAR30+1) VAR68 (.din(VAR77), .en(VAR64), .clk(clk), .VAR80(VAR20)); assign VAR62 = VAR67 ? {{VAR24/VAR15{1'b0}}, {64/VAR15{1'b1}}} : VAR47 ? {(VAR24+64)/VAR15{1'b1}} : {(64+64)/VAR15{1'b1}}; assign VAR33 = VAR4; assign VAR46 = VAR45; assign VAR120 = VAR46 ? 1'b1 : VAR21 ? 1'b0 : VAR84; VAR9 #(1) VAR10 (.din(VAR120), .clk(clk), .VAR59(VAR59), .VAR80(VAR84)); assign VAR108 = {VAR127, VAR35, VAR114, VAR65, VAR119}; VAR94 #(VAR69-VAR30+1) VAR18 (.din(VAR108), .en(VAR46), .clk(clk), .VAR80(VAR115)); assign VAR14 = {{VAR24/VAR15{1'b0}}, {64/VAR15{1'b1}}}; assign VAR101 = VAR84; VAR93 #(1) VAR55 (.din(VAR21), .en(VAR76|VAR21), .VAR59(VAR59), .clk(clk), .VAR80(VAR11)); assign VAR76 = ~VAR71 & VAR4 & (~VAR84 | VAR11); assign VAR21 = ~VAR71 & VAR84 & (~VAR4 | ~VAR11); assign VAR103 = VAR76 | VAR21; assign VAR17 = VAR76 ? {VAR20[VAR24+VAR99:VAR99+1], {(VAR87-VAR79+1){1'b0}}, {(VAR78-VAR29+1){1'b0}}, {(VAR36-VAR125+1){1'b0}}, VAR20[VAR99:VAR96], VAR20[VAR121:VAR105], VAR20[VAR104:VAR30]}: {{VAR24{1'b0}}, {(VAR44-VAR23+1){1'b0}}, VAR115[VAR69:VAR98], VAR115[VAR32:VAR26], VAR115[VAR1:VAR42], VAR115[VAR121:VAR105], VAR115[VAR104:VAR30]}; assign VAR38 = VAR76 ? VAR62 : VAR14; VAR110 #(VAR15, VAR24) VAR110 (.VAR59(VAR59), .clk(clk), .VAR103(VAR103), .VAR17(VAR17), .VAR38(VAR38), .VAR71(VAR71), .VAR61(VAR22), .VAR63(VAR56), .VAR122(VAR88)); endmodule
gpl-2.0
antmicro/yosys
techlibs/gatemate/cells_bb.v
5,023
module MODULE2 #( parameter VAR45 = "", parameter VAR31 = "", parameter VAR55 = "", parameter VAR3 = 1, parameter VAR96 = 2, parameter VAR42 = 4 )( input VAR14, VAR38, VAR75, input VAR133, output VAR35, VAR53, output VAR126, VAR114, VAR49, VAR68, VAR2 ); endmodule module MODULE5 #( parameter [95:0] VAR57 = 96'VAR66, parameter [95:0] VAR46 = 96'VAR66 )( input VAR14, VAR38, VAR75, input VAR133, VAR132, output VAR35, VAR53, output VAR126, VAR114, VAR49, VAR68, VAR2 ); endmodule module MODULE3 #( parameter VAR27 = "" )( input [63:0] VAR5, input VAR78, input VAR91, input VAR104, input VAR86, input VAR54, input VAR19, input [2:0] VAR73, input VAR25, input VAR113, input [7:0] VAR108, input [7:0] VAR13, input [7:0] VAR129, input [7:0] VAR71, input VAR30, input VAR70, input [2:0] VAR24, input VAR117, input VAR89, input VAR99, input VAR115, input VAR90, input VAR9, input VAR83, input VAR4, input VAR39, input VAR124, input [2:0] VAR72, input VAR17, input VAR23, input [7:0] VAR1, input VAR120, input VAR74, input VAR63, input VAR47, input VAR100, input VAR112, input VAR128, input VAR61, input [7:0] VAR80, input [15:0] VAR116, input [15:0] VAR41, output [63:0] VAR81, output [7:0] VAR51, output [7:0] VAR40, output [7:0] VAR110, output [7:0] VAR94, output VAR87, output VAR22, output VAR56, output VAR130, output VAR88, output VAR28, output VAR77, output VAR118, output VAR67, output VAR21, output VAR44, output VAR60, output [15:0] VAR15, output VAR79 ); endmodule module MODULE1( input [7:0] VAR11, input VAR32, input VAR6, input VAR10, input VAR33 ); endmodule module MODULE4 ( output VAR26, output VAR95, output VAR29, output VAR69, output [39:0] VAR84, output [39:0] VAR37, input VAR36, input VAR18, input [39:0] VAR93, input [39:0] VAR50, input [39:0] VAR64, input [39:0] VAR109, input VAR122, input VAR131, input VAR123, input VAR20, input [12:0] VAR127, input [12:0] VAR125, output VAR98, output VAR121, output VAR52, output VAR48, output VAR101, output VAR62, output [15:0] VAR103, output [15:0] VAR76 ); parameter VAR7 = "VAR102"; parameter [12:0] VAR12 = 12'b0; parameter [12:0] VAR134 = 12'b0; parameter VAR105 = 0; parameter VAR82 = 0; parameter VAR58 = "VAR119"; parameter VAR85 = "VAR106"; parameter VAR8 = 1'b0; parameter VAR111 = 1'b0; parameter VAR107 = 1'b0; parameter VAR59 = 1'b0; parameter VAR16 = 1'b0; parameter VAR97 = 1'b0; parameter VAR34 = 1'b0; parameter VAR92 = 1'b0; parameter VAR65 = 1'b0; parameter VAR43 = 1'b0; endmodule
isc
borti4938/sd2snes
verilog/sd2snes_gsu/dac.v
7,433
module MODULE1( input VAR41, input VAR44, input VAR43, input[10:0] VAR52, input[7:0] VAR21, input[7:0] VAR1, input VAR56, input [2:0] VAR45, input [8:0] VAR4, input VAR40, input reset, input VAR42, output VAR7, output VAR50, output VAR16, output VAR23, output VAR22 ); assign VAR7 = 0; assign VAR50 = 0; assign VAR16 = 0; assign VAR22 = 0; reg[8:0] VAR20; reg[8:0] VAR27; wire[8:0] VAR25 = VAR27; wire[31:0] VAR11; assign VAR22 = VAR20[8]; reg[10:0] VAR6; reg[10:0] VAR36; reg[1:0] VAR26; reg VAR57; reg[2:0] VAR19; wire VAR15 = (VAR19[2:1] == 2'b01); always @(posedge VAR41) begin VAR19 <= {VAR19[1:0], VAR44}; end VAR51 VAR12 ( .VAR2(VAR41), .VAR17(~VAR43), .VAR24(VAR52), .VAR49(VAR21), .VAR28(VAR41), .VAR33(VAR25), .VAR29(VAR11)); VAR47 VAR51 VAR12 ( .VAR9(VAR41), .VAR34(~VAR43), .VAR35(VAR52), .VAR13(VAR21), .VAR54(VAR25), .VAR55(VAR11)); VAR47 reg [10:0] VAR46; reg [15:0] VAR37; reg [1:0] VAR8; reg [15:0] VAR53; wire VAR48 = VAR46[2]; wire VAR10 = VAR46[8]; wire VAR59 = VAR46[3]; reg [2:0] VAR31; reg [2:0] VAR58; reg [1:0] VAR5; assign VAR50 = ~VAR31[2]; assign VAR16 = VAR58[2]; assign VAR23 = VAR5[1]; wire VAR30 = ({VAR58[0],VAR10} == 2'b01); wire VAR3 = ({VAR58[0],VAR10} == 2'b10); wire VAR39 = ({VAR5[0],VAR59} == 2'b01); wire VAR14 = ({VAR5[0],VAR59} == 2'b10); wire VAR32 = (VAR26[1:0] == 2'b01); reg VAR18; assign VAR7 = VAR18; reg VAR38;
gpl-2.0
lerwys/bpm-sw-old-backup
hdl/modules/dbe_wishbone/wb_ethmac/eth_wishbone.v
76,446
module MODULE1 ( VAR179, VAR48, VAR231, VAR114, VAR2, VAR97, VAR16, VAR140, VAR240, VAR54, VAR227, VAR94, VAR283, VAR282, VAR145, VAR166, VAR222, VAR63, VAR168, VAR112, VAR92, VAR292, VAR249, VAR248, VAR284, VAR3, VAR219, VAR99, VAR288, VAR318, VAR281, VAR115, VAR238, VAR314, VAR89, VAR183, VAR107, VAR91, VAR21, VAR198, VAR300, VAR175, VAR162, VAR260, VAR126, VAR15, VAR187, VAR23, VAR33, VAR88, VAR41, VAR8, VAR78, VAR131, VAR170, VAR204, VAR98, VAR105, VAR246, VAR69, VAR67, VAR232, VAR123, VAR305 , VAR229, VAR169, VAR223 VAR289 , VAR61 ); input VAR179; input [31:0] VAR48; output [31:0] VAR231; input [9:2] VAR114; input VAR2; input [3:0] VAR16; output VAR97; output [29:0] VAR240; output [3:0] VAR54; output VAR227; output [31:0] VAR94; output VAR282; output VAR145; input [31:0] VAR283; input VAR166; input VAR222; output [2:0] VAR63; VAR137 VAR203 output reg [1:0] VAR168; else output [1:0] VAR168; VAR289 reg [2:0] VAR63; VAR289 input VAR140; input VAR23; input VAR33; input VAR88; input VAR41; input VAR8; input VAR78; input [15:0] VAR131; input VAR170; input VAR204; input VAR98; input VAR300; input VAR175; input VAR105; input [3:0] VAR246; input VAR69; input VAR67; input VAR232; output VAR123; input VAR305; input VAR112; input VAR249; input VAR284; input VAR3; input VAR99; output VAR92; output VAR292; output [7:0] VAR248; output VAR219; output VAR288; output VAR318; input VAR281; input [7:0] VAR115; input VAR238; input VAR314; input VAR89; input VAR183; output VAR107; input VAR91; input VAR21; input [7:0] VAR198; output VAR162; output VAR260; output VAR126; output VAR15; output VAR187; input VAR229; output VAR169; input [VAR319 - 1:0] VAR223; VAR289 output [31:0] VAR61; reg VAR162; reg VAR260; reg VAR126; reg VAR15; reg VAR92; reg VAR292; reg [7:0] VAR248; reg VAR219; reg VAR96; reg VAR58; wire VAR209; reg [1:0] VAR151; reg [15:0] VAR241; reg [15:0] VAR30; reg [14:11] VAR171; reg [14:13] VAR278; reg VAR66; reg VAR247; reg VAR205; reg VAR226; reg VAR253; reg VAR243; reg VAR156; reg VAR121; reg VAR164; reg VAR302; reg VAR60; reg VAR190; reg VAR303; reg VAR68; reg VAR207; reg VAR291; reg VAR20 ; reg [31:0] VAR28; reg [1:0] VAR106; reg VAR186; reg VAR146; reg VAR177; reg VAR174; reg VAR173; reg [7:1] VAR182; reg [7:1] VAR178; reg VAR211; reg VAR194; reg VAR294; reg VAR62; reg VAR149; reg VAR202; reg [31:0] VAR208; reg [31:8] VAR116; reg [1:0] VAR261; reg [1:0] VAR113; reg VAR19; reg VAR64; reg VAR267; reg [15:0] VAR46; reg VAR161; reg VAR110; reg VAR25; reg [3:0] VAR259; reg VAR293; wire [31:0] VAR38; wire [31:0] VAR142; reg VAR34; wire VAR196; wire VAR258; wire VAR230; wire VAR233; wire VAR306; wire VAR279; wire VAR53; wire VAR71; wire VAR7; wire [1:0] VAR85; wire [7:1] VAR251; wire [7:1] VAR287; wire VAR252; wire VAR274; wire VAR59; wire VAR83; reg VAR97; wire [8:0] VAR213; reg [8:0] VAR93; reg VAR157, VAR103; reg VAR84, VAR221 ; reg VAR22, VAR255 ; reg VAR134; reg VAR320; wire VAR195; wire [3:0] VAR125; wire VAR199; reg [7:0] VAR271; reg [31:0] VAR244; wire [31:0] VAR24; wire VAR155; reg VAR50; reg VAR76; reg VAR234; wire VAR239; reg VAR117; reg VAR224; reg VAR119; reg VAR206; reg VAR42; reg VAR312; reg VAR235; wire VAR308; reg VAR217; reg VAR295; reg VAR18; reg VAR265; reg VAR56; reg VAR118; reg VAR136; wire VAR77; wire VAR13; wire VAR152; wire VAR124; wire VAR49; wire VAR172; reg VAR268; reg VAR316; reg VAR122; reg [VAR310-1:0] VAR192; wire VAR185; wire VAR87; wire [31:0] VAR47; wire VAR26; wire [VAR14-1:0] VAR276; wire [VAR257-1:0] VAR197; reg [VAR310-1:0] VAR43; wire VAR254; wire VAR133; wire VAR29; reg VAR72; wire VAR313; reg VAR45 ; reg VAR1; reg VAR39; reg [29:0] VAR240; reg VAR282; reg [3:0] VAR54; reg VAR227; wire VAR100; wire VAR127; reg VAR188; reg [31:2] VAR262; reg [1:0] VAR52; reg [1:0] VAR74; reg [31:2] VAR82; reg [1:0] VAR132; wire VAR5; wire VAR135; wire VAR304; wire VAR35; assign VAR168 = 2'b00; VAR289 assign VAR145 = VAR282; always @ (posedge VAR179) begin VAR97 <= (|VAR259) & VAR157 & VAR103 | VAR293 & VAR157 & ~VAR103; end assign VAR231 = VAR24; VAR51 ( .clk (VAR179), .rst (VAR140), .VAR101 (VAR195), .VAR4 (VAR125[0]), .VAR40 (VAR199), .addr (VAR271), .VAR138 (VAR244), .VAR216 (VAR24) , .VAR229 (VAR229), .VAR169 (VAR169), .VAR223 (VAR223) ); assign VAR195 = 1'b1; assign VAR125 = (VAR259 & {4{(VAR157 & VAR103)}}) | {4{(VAR209 | VAR252)}}; assign VAR199 = VAR293 & VAR157 & VAR103 | VAR22 & VAR255 & (VAR58 | VAR50) | VAR84 & VAR221 & (VAR20 | VAR117); always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR76 <= 1'b0; end else if(~VAR291 & VAR91 & VAR157 & ~VAR103) VAR76 <= 1'b1; else if(VAR50 & VAR22 & VAR255) VAR76 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) begin VAR157 <= 1'b1; VAR84 <= 1'b0; VAR22 <= 1'b0; VAR271 <= 8'h0; VAR244 <= 32'h0; VAR293 <= 1'b0; VAR259 <= 0; end else begin case ({VAR103, VAR221, VAR255, VAR234, VAR76}) 5'b10010, 5'b10011 : begin VAR157 <= 1'b0; VAR84 <= 1'b1; VAR22 <= 1'b0; VAR271 <= {VAR178, VAR117}; VAR244 <= VAR38; end 5'b10001 : begin VAR157 <= 1'b0; VAR84 <= 1'b0; VAR22 <= 1'b1; VAR271 <= {VAR182, VAR50}; VAR244 <= VAR142; end 5'b01000, 5'b01010 : begin VAR157 <= 1'b1; VAR84 <= 1'b0; VAR22 <= 1'b0; VAR271 <= VAR114[9:2]; VAR244 <= VAR48; VAR259 <= VAR16[3:0] & {4{VAR2}}; VAR293 <= (|VAR16) & ~VAR2; end 5'b01001, 5'b01011 : begin VAR157 <= 1'b0; VAR84 <= 1'b0; VAR22 <= 1'b1; VAR271 <= {VAR182, VAR50}; VAR244 <= VAR142; end 5'b00100, 5'b00101, 5'b00110, 5'b00111 : begin VAR157 <= 1'b1; VAR84 <= 1'b0; VAR22 <= 1'b0; VAR271 <= VAR114[9:2]; VAR244 <= VAR48; VAR259 <= VAR16[3:0] & {4{VAR2}}; VAR293 <= (|VAR16) & ~VAR2; end 5'b10000 : begin VAR157 <= 1'b0; end 5'b00000 : begin VAR157 <= 1'b1; VAR84 <= 1'b0; VAR22 <= 1'b0; VAR271 <= VAR114[9:2]; VAR244 <= VAR48; VAR259 <= VAR16[3:0] & {4{VAR2}}; VAR293 <= (|VAR16) & ~VAR2; end endcase end end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) begin VAR103 <= 1'b0; VAR221 <= 1'b0; VAR255 <= 1'b0; VAR134 <= 1'b0; VAR320 <= 1'b0; end else begin VAR103 <= VAR157; VAR221 <= VAR84; VAR255 <= VAR22; VAR134 <= VAR91; VAR320 <= VAR21; end end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR173 <= 1'b0; end else if(VAR99 | VAR3 | VAR149) VAR173 <= 1'b0; else if(VAR249) VAR173 <= ~VAR173; end wire VAR36; assign VAR36 = VAR258 | VAR230 | VAR196; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR291 <= 1'b0; end else if(VAR22 & VAR255 & VAR58) VAR291 <= VAR24[15] & (VAR24[31:16] > 4); else if(VAR36) VAR291 <= 1'b0; end assign VAR306 = (VAR164 | VAR209) & ~VAR174 & ~VAR291; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR58 <= 1'b1; end else if(VAR306) VAR58 <= 1'b1; else if(VAR291) VAR58 <= 1'b0; end assign VAR155 = VAR58 & VAR291; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR50 <= 1'b0; end else if(VAR155) VAR50 <= 1'b1; else if(VAR255) VAR50 <= 1'b0; end assign VAR209 = (VAR60 | VAR303)& VAR22 & VAR255 & ~VAR177; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR177 <= 1'b0; end else if(~VAR226 & ~VAR205) VAR177 <= 1'b0; else if(VAR209) VAR177 <= 1'b1; end reg VAR12; reg VAR37; reg VAR301; always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR12 <= 1'b0; end else VAR12 <= VAR177; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR37 <= 1'b0; end else VAR37 <= VAR12; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR301 <= 1'b0; end else VAR301 <= VAR37; end assign VAR123 = VAR37 & ~VAR301; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR174 <= 1'b0; end else if(VAR306) VAR174 <= 1'b1; else if(~VAR306 & ~VAR291) VAR174 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR171 <= 4'h0; end else if(VAR22 & VAR255 & VAR58) VAR171 <= VAR24[14:11]; end always @(posedge VAR179 or posedge VAR140) if (VAR140) VAR45 <= 0; else VAR45 <= VAR313; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR241 <= 16'h0; end else if(VAR22 & VAR255 & VAR58) VAR241 <= VAR24[31:16]; else if(VAR1 & VAR166) begin if(VAR127) VAR241 <= 16'h0; end else if(VAR74==2'h0) VAR241 <= VAR241 - 16'd4; else if(VAR74==2'h1) VAR241 <= VAR241 - 16'd3; else if(VAR74==2'h2) VAR241 <= VAR241 - 16'd2; else if(VAR74==2'h3) VAR241 <= VAR241 - 16'd1; end end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR30 <= 16'h0; end else if(VAR22 & VAR255 & VAR58) VAR30 <= VAR24[31:16]; end assign VAR100 = VAR241 == 0; assign VAR127 = VAR241 < 4; reg VAR102; reg VAR321; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR262 <= 30'h0; end else if(VAR22 & VAR255 & VAR50) VAR262 <= VAR24[31:2]; else if(VAR321 & ~VAR188) VAR262 <= VAR262 + 1; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR52[1:0] <= 0; end else if(VAR22 & VAR255 & VAR50) VAR52[1:0] <= VAR24[1:0]; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR74[1:0] <= 0; end else if(VAR22 & VAR255 & VAR50) VAR74[1:0] <= VAR24[1:0]; else if(VAR1 & VAR166) VAR74[1:0] <= 0; end reg [3:0] VAR214; wire VAR270; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR188 <= 0; end else if(VAR270) VAR188 <= 0; else if(VAR321) VAR188 <= 1'b1; end assign VAR172 = VAR22 & VAR255 & VAR50; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR72 <= 1'b0; end else if(VAR100 | VAR230 | VAR196) VAR72 <= 1'b0; else if(VAR172) VAR72 <= 1'b1; end assign VAR185 = VAR72 & ~VAR268 | (|VAR192); assign VAR87 = VAR185 & VAR316; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR268 <= 1'b0; end else if((VAR13 | VAR241 <= 4)& VAR1 & (~VAR102) & (!(VAR303 | VAR164))) VAR268 <= 1'b1; else if(VAR26 | VAR302 | VAR190 | VAR121) VAR268 <= 1'b0; end assign VAR270 = VAR166 | VAR222; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) begin VAR1 <= 1'b0; VAR39 <= 1'b0; VAR240 <= 30'h0; VAR282 <= 1'b0; VAR227 <= 1'b0; VAR54 <= 4'h0; VAR102<= 1'b0; VAR192<= 0; VAR43<= 0; VAR321<= 1'b0; VAR316<= 1'b1; VAR122<= 1'b0; VAR63 <= 3'b0; VAR168 <= 2'b00; end else begin casez ({VAR1, VAR39, VAR185, VAR45, VAR270, VAR102, VAR87, VAR254}) 8'b00100010, 8'b101?101?, 8'b10100110, 8'b011?011?: begin VAR1 <= 1'b1; VAR39 <= 1'b0; VAR282 <= 1'b1; VAR227 <= 1'b0; VAR54 <= 4'hf; VAR102<= 1'b0; VAR321<= 1'b1; VAR192 <= VAR192+3'h1; if(VAR192==0) VAR240 <= VAR262; end else VAR240 <= VAR240 + 1; if(VAR192==(VAR242-1)) begin VAR316<= 1'b0; VAR63 <= 3'b111; end else begin VAR63 <= 3'b010; VAR168 <= 2'b01; end end VAR137 VAR236 8'b00?100?1, 8'b01?110?1, 8'b01010101, 8'b10?101?1 : begin VAR1 <= 1'b0; VAR39 <= 1'b1; VAR282 <= 1'b1; VAR227 <= 1'b1; VAR54 <= VAR214; VAR321<= 1'b0; VAR102<= 1'b0; VAR43 <= VAR43+3'd1; if(VAR43==0) VAR240 <= VAR82; end else VAR240 <= VAR240 + 1; if(VAR43==(VAR242-1)) begin VAR122<= 1'b0; VAR63 <= 3'b111; end else begin VAR63 <= 3'b010; VAR168 <= 2'b01; end end VAR289 8'b00?100?0 , 8'b01?100?0 : begin VAR1 <= 1'b0; VAR39 <= !VAR83; VAR240 <= VAR82; VAR282 <= !VAR83; VAR227 <= !VAR83; VAR54 <= VAR214; VAR321<= 1'b0; if ((VAR82[3:2]==2'b00) & !VAR83 & VAR133 & !VAR282) begin VAR122<= 1'b1; VAR63 <= 3'b010; VAR168 <= 2'b01; VAR43<= 1; end end 8'b00100000 : begin VAR1 <= 1'b1; VAR39 <= 1'b0; VAR240 <= VAR262; VAR282 <= 1'b1; VAR227 <= 1'b0; VAR54 <= 4'hf; VAR321<= 1'b1; if ((VAR262[3:2]==2'b00) && VAR256) begin (%VAR273): %VAR111 VAR144 VAR316",, {VAR1,VAR39,VAR185, VAR313,VAR270, VAR102,VAR87,VAR254}); VAR316<= 1'b1; VAR192 <= 3'h1; VAR63 <= 3'b010; VAR168 <= 2'b01; end end 8'b10100100, 8'b011?010? : begin VAR1 <= 1'b1; VAR39 <= 1'b0; VAR240 <= VAR262; VAR282 <= 1'b1; VAR227 <= 1'b0; VAR54 <= 4'hf; VAR102<= 1'b0; VAR321<= 1'b1; if ((VAR262[3:2]==2'b00) & VAR256) begin (%VAR273): %VAR111 VAR144 VAR316",, {VAR1,VAR39, VAR185, VAR313, VAR270, VAR102, VAR87, VAR254}); VAR316<= 1'b1; VAR192 <= 3'h1; VAR63 <= 3'b010; VAR168 <= 2'b01; end end 8'b01010100, 8'b10?101?0 : begin VAR1 <= 1'b0; VAR39 <= !VAR83; VAR43<= 0; VAR240 <= VAR82; VAR282 <= !VAR83; VAR227 <= !VAR83; VAR54 <= VAR214; if ((VAR82[3:2]==2'b00) & VAR133 & !VAR83) begin VAR122<= 1'b1; VAR63 <= 3'b010; VAR168 <= 2'b01; VAR43<= 1; end VAR321<= 1'b0; end 8'b01011000, 8'b011?10?0, 8'b10101000, 8'b10?1100? : begin VAR282 <= 1'b0; VAR102<= 1'b1; VAR321<= 1'b0; VAR192<= 0; VAR316<= 0; VAR43<= 0; VAR168 <= 2'b00; VAR63 <= 3'b0; end 8'VAR111??001000, 8'VAR111??000100 : begin VAR1 <= 1'b0; VAR39 <= 1'b0; VAR282 <= 1'b0; VAR102<= 1'b0; VAR321<= 1'b0; VAR43<= 0; VAR168 <= 2'b00; VAR63 <= 3'b0; end 8'b00000000: begin VAR192<= 0; if(VAR22 & VAR255 & VAR50 & (VAR24[3:0]===4'h0)) begin (%VAR273): %VAR111 VAR144 VAR316",, {VAR1,VAR39,VAR185, VAR313,VAR270, VAR102,VAR87,VAR254}); VAR316<= VAR256; end else VAR316<= 0; end default: begin VAR1 <= VAR1; VAR39 <= VAR39; VAR282 <= VAR282; VAR54 <= VAR54; VAR321<= VAR321; end endcase end end assign VAR77 = (VAR190 | VAR121 | VAR155); VAR277 ) VAR11 ( .VAR180(VAR283), .VAR10(VAR47), .clk(VAR179), .reset(VAR140), .write(VAR1 & VAR166), .read(VAR26 & ~VAR124), .VAR220(VAR77), .VAR150(VAR152), .VAR237(VAR13), .VAR80(VAR49), .VAR70(VAR124), .VAR17(VAR276) ); always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR66 <= 1'b0; end else if(VAR291 & ~VAR18 & (VAR13 | VAR152| VAR100)) VAR66 <= 1'b1; else if(VAR136) VAR66 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR18 <= 1'b0; end else if(VAR66) VAR18 <= 1'b1; else if(VAR36) VAR18 <= 1'b0; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR265 <= 1'b0; end else VAR265 <= VAR66; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR56 <= 1'b0; end else VAR56 <= VAR265; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR118 <= 1'b0; end else VAR118 <= VAR56; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR136 <= 1'b0; end else VAR136 <= VAR118; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR92 <= 1'b0; end else if(VAR56) VAR92 <= 1'b1; else if(VAR202 | ~VAR56 & (VAR284 & (~VAR149) | VAR3 & (~VAR62))) VAR92 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR34 <= 1'b0; end else if(VAR100 & VAR49 & VAR249) VAR34 <= 1'b1; else if(VAR196 | VAR258 | VAR230) VAR34 <= 1'b0; end assign VAR85 = VAR127 ? VAR241[1:0] : 2'b0; reg VAR167; reg VAR285; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR167 <= 1'b0; end else if(VAR127 & VAR291) VAR167 <= 1'b1; else VAR167 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR285 <= 1'b0; end else VAR285 <= VAR167; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR151 <= 2'h0; end else if(VAR167 & ~VAR285) VAR151 <= VAR85; else if(VAR196 | VAR258 | VAR230) VAR151 <= 2'h0; end assign VAR279 = VAR171[14]; assign VAR53 = VAR171[13]; assign VAR318 = VAR171[12]; assign VAR288 = VAR171[11]; assign VAR71 = VAR278[14]; assign VAR7 = VAR278[13]; assign VAR251[7:1] = {7{ VAR209 & ~VAR53}} & (VAR182 + 1) ; assign VAR287[7:1] = {7{ VAR7}} & (VAR198[6:0]) | {7{~VAR7}} & (VAR178 + 1) ; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR182 <= 7'h0; end else if (VAR91 & (~VAR134)) VAR182 <= 7'h0; else if (VAR209) VAR182 <= VAR251; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR178 <= 7'h0; end else if(VAR21 & (~VAR320)) VAR178 <= VAR198[6:0]; else if(VAR252) VAR178 <= VAR287; end wire [8:0] VAR81 = {VAR219, VAR246[3:0], VAR69, VAR67, VAR232, VAR305}; assign VAR38 = {VAR46, 1'b0, VAR278, 4'h0, VAR93}; assign VAR142 = {VAR30, 1'b0, VAR171, 2'h0, VAR81}; assign VAR196 = VAR247 & ~VAR156; assign VAR258 = VAR226 & ~VAR253; assign VAR230 = VAR205 & ~VAR243; always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) begin VAR62 <= 1'b0; VAR149 <= 1'b0; VAR202 <= 1'b0; end else begin VAR62 <= VAR3; VAR149 <= VAR284; VAR202 <= VAR249; end end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) begin VAR253 <= 1'b0; VAR243 <= 1'b0; VAR156 <= 1'b0; end else begin VAR253 <= VAR226; VAR243 <= VAR205; VAR156 <= VAR247; end end reg VAR228; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR190 <= 1'b0; end else if(VAR205 & (~VAR316) & VAR1 & VAR270 & (~VAR228) | VAR205 & (~VAR1) & (~VAR228)) VAR190 <= 1'b1; else VAR190 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR303 <= 1'b0; end else if(VAR22 & VAR255 & VAR303) VAR303 <= 1'b0; else if(VAR205 & (~VAR316) & VAR1 & VAR270 & (~VAR228) | VAR205 & (~VAR1) & (~VAR228)) VAR303 <= 1'b1; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR228 <= 1'b0; end else if(!VAR205 & VAR243) VAR228 <= 1'b0; else if(VAR190) VAR228 <= 1'b1; end reg VAR31; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR121 <= 1'b0; end else if(VAR247 & !VAR316 & VAR1 & VAR270 & !VAR31 | VAR247 & !VAR1 & !VAR31) VAR121 <= 1'b1; else VAR121 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR164 <= 1'b0; end else if(VAR306) VAR164 <= 1'b0; else if(VAR247 & !VAR316 & VAR1 & VAR270 & !VAR31 | VAR247 & !VAR1 & !VAR31) VAR164 <= 1'b1; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR31 <= 1'b0; end else if(!VAR247 & VAR156) VAR31 <= 1'b0; else if(VAR121) VAR31 <= 1'b1; end reg VAR299; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR302 <= 1'b0; end else if(VAR226 & !VAR316 & VAR1 & VAR270 & !VAR299 | VAR226 & !VAR1 & !VAR299) VAR302 <= 1'b1; else VAR302 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR60 <= 1'b0; end else if(VAR22 & VAR255 & VAR60) VAR60 <= 1'b0; else if(VAR226 & !VAR316 & VAR1 & VAR270 & (~VAR299) | VAR226 & !VAR1 & (~VAR299)) VAR60 <= 1'b1; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR299 <= 1'b0; end else if(!VAR226 & VAR253) VAR299 <= 1'b0; else if(VAR302) VAR299 <= 1'b1; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR186 <= 1'b0; end else if((VAR292 | VAR3 | VAR284) & VAR173) VAR186 <= 1'b0; else if(VAR249 & VAR173 & VAR106 == 2'h3) VAR186 <= VAR34; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR292 <= 1'b0; end else if(VAR173 & VAR292 | VAR3 | VAR149) VAR292 <= 1'b0; else if(VAR173 & VAR186) begin case (VAR151) 1 : VAR292 <= VAR106 == 2'h0; 2 : VAR292 <= VAR106 == 2'h1; 3 : VAR292 <= VAR106 == 2'h2; 0 : VAR292 <= VAR106 == 2'h3; default : VAR292 <= 1'b0; endcase end end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR248 <= 0; end else if(VAR56 & ~VAR92) case(VAR52) 2'h0 : VAR248 <= VAR47[31:24]; 2'h1 : VAR248 <= VAR47[23:16]; 2'h2 : VAR248 <= VAR47[15:08]; 2'h3 : VAR248 <= VAR47[07:00]; endcase else if(VAR92 & VAR249 & VAR52==2'h3) VAR248 <= VAR47[31:24]; else if(VAR249 & VAR173) begin case(VAR106) 0 : VAR248 <= VAR28[31:24]; 1 : VAR248 <= VAR28[23:16]; 2 : VAR248 <= VAR28[15:8]; 3 : VAR248 <= VAR28[7:0]; endcase end end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR28[31:0] <= 32'h0; end else if(VAR56 & ~VAR92 | VAR249 & VAR173 & VAR106 == 2'h3 | VAR92 & VAR249 & VAR173 & VAR106 == 2'h0) VAR28[31:0] <= VAR47[31:0]; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR96 <= 1'b0; end else if(VAR230) VAR96 <= 1'b0; else if(VAR124 & VAR26) VAR96 <= 1'b1; end reg VAR165; always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR165 <= 1'b0; end else if(VAR96) VAR165 <= 1'b1; else if(VAR37) VAR165 <= 1'b0; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR219 <= 1'b0; end else if(VAR37) VAR219 <= 1'b0; else if(VAR165) VAR219 <= 1'b1; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR106 <= 2'h0; end else if(VAR62 | VAR149) VAR106 <= 2'h0; else if(VAR92 & ~VAR249) case(VAR52) 2'h0 : VAR106 <= 2'h1; 2'h1 : VAR106 <= 2'h2; 2'h2 : VAR106 <= 2'h3; 2'h3 : VAR106 <= 2'h0; endcase else if(VAR249 & VAR173) VAR106 <= VAR106 + 1'b1; end reg VAR153; reg VAR266; reg VAR120; reg VAR200; reg VAR108; reg VAR250; always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR146 <= 1'b0; end else if(VAR56 & ~VAR92 | VAR249 & VAR173 & VAR106 == 2'h3 & ~VAR186 | VAR92 & VAR249 & VAR173 & VAR106 == 2'h0) VAR146 <= 1'b1; else if(VAR108 & ~VAR250) VAR146 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR153 <= 1'b0; end else VAR153 <= VAR146; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR266 <= 1'b0; end else VAR266 <= VAR153; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR200 <= 1'b0; end else VAR200 <= VAR266; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR108 <= 1'b0; end else VAR108 <= VAR200; end always @ (posedge VAR112 or posedge VAR140) begin if(VAR140) VAR250 <= 1'b0; end else VAR250 <= VAR108; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR120 <= 1'b0; end else VAR120 <= VAR266; end assign VAR26 = VAR266 & ~VAR120; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR211 <= 1'b0; end else VAR211 <= VAR284; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR247 <= 1'b0; end else VAR247 <= VAR211; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR294 <= 1'b0; end else VAR294 <= VAR99; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR226 <= 1'b0; end else VAR226 <= VAR294; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR194 <= 1'b0; end else VAR194 <= VAR3; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR205 <= 1'b0; end else VAR205 <= VAR194; end reg VAR176; reg VAR32; reg VAR225; reg VAR181; reg VAR109; reg VAR44; assign VAR233 = VAR252 | VAR225 & ~VAR181 | VAR21 & ~VAR320; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR20 <= 1'b0; end else if(VAR233) VAR20 <= 1'b1; else if(VAR68) VAR20 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR68 <= 1'b0; end else if(VAR117) VAR68 <= 1'b0; else if(VAR84 & VAR221 & VAR20) VAR68 <= VAR24[15]; end reg VAR269; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR269 <= 0; else if (VAR269) VAR269 <= 0; else VAR269 <= (VAR84 & VAR221 & VAR20); reg VAR297; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR297 <= 0; else if (VAR269 & !VAR68) VAR297 <= 1; else if (VAR207) VAR297 <= 0; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR278 <= 2'h0; end else if(VAR84 & VAR221 & VAR20) VAR278 <= VAR24[14:13]; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR207 <= 1'b0; end else if(VAR217 | VAR32 & ~VAR225 | ~VAR21 & VAR320) VAR207 <= 1'b0; else if(VAR68) VAR207 <= 1'b1; end assign VAR239 = VAR20 & VAR68; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR117 <= 1'b0; end else if(VAR239) VAR117 <= 1'b1; else if(VAR84 & VAR221) VAR117 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR82 <= 30'h0; end else if(VAR84 & VAR221 & VAR117) VAR82 <= VAR24[31:2]; else if(VAR39 & VAR166) VAR82 <= VAR82 + 1; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR132[1:0] <= 0; end else if(VAR39 & VAR166) VAR132[1:0] <= 0; else if(VAR84 & VAR221 & VAR117) VAR132[1:0] <= VAR24[1:0]; end always @ (VAR132) begin case(VAR132[1:0]) 2'h0 : VAR214[3:0] = 4'hf; 2'h1 : VAR214[3:0] = 4'h7; 2'h2 : VAR214[3:0] = 4'h3; 2'h3 : VAR214[3:0] = 4'h1; endcase end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR234 <= 1'b0; end else if( VAR21 & VAR157 & ~VAR103) VAR234 <= 1'b1; else if(VAR117 & VAR84 & VAR221) VAR234 <= 1'b0; end assign VAR252 = VAR217 & VAR84 & VAR221; reg VAR27; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR19 <= 1'b0; end else if(VAR64 & (&VAR113) | VAR183) VAR19 <= 1'b0; else if(VAR238 & VAR89 & ~(&VAR113) & VAR27) VAR19 <= 1'b1; end assign VAR308 = VAR19 | VAR238 & VAR89 & (&VAR113) & VAR27; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR64 <= 1'b0; end else if(VAR224 | VAR183) VAR64 <= 1'b0; else if(VAR308) VAR64 <= 1'b1; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR113 <= 2'h0; end else if(VAR224 | VAR183) VAR113 <= 2'h0; else if(VAR238 & VAR314 ) case(VAR132) 2'h0 : VAR113 <= 2'h1; 2'h1 : VAR113 <= 2'h2; 2'h2 : VAR113 <= 2'h3; 2'h3 : VAR113 <= 2'h0; endcase else if(VAR238 & VAR27 | VAR19) VAR113 <= VAR113 + 1; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR261 <= 2'h1; end else if(VAR238 & VAR314) case(VAR132) 2'h0 : VAR261 <= 2'h1; 2'h1 : VAR261 <= 2'h2; 2'h2 : VAR261 <= 2'h3; 2'h3 : VAR261 <= 2'h0; endcase else if(VAR238 & ~VAR19 & ~VAR314 & VAR27) VAR261 <= VAR261 + 1; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR116 <= 24'h0; end else if(VAR238 & ~VAR19) if(VAR314) begin case(VAR132) 2'h0: VAR116[31:24] <= VAR115; 2'h1: VAR116[23:16] <= VAR115; 2'h2: VAR116[15:8] <= VAR115; 2'h3: VAR116 <= VAR116; endcase end else if (VAR27) begin case(VAR113) 2'h0: VAR116[31:24] <= VAR115; 2'h1: VAR116[23:16] <= VAR115; 2'h2: VAR116[15:8] <= VAR115; 2'h3: VAR116 <= VAR116; endcase end end wire VAR160; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR208 <= 32'h0; end else if(VAR160 & ~VAR64) VAR208 <= {VAR116[31:8], VAR115}; else if(VAR160 & VAR64) case(VAR261) 0 : VAR208 <= {VAR116[31:8], VAR115}; 1 : VAR208 <= {VAR116[31:24], 24'h0}; 2 : VAR208 <= {VAR116[31:16], 16'h0}; 3 : VAR208 <= {VAR116[31:8], 8'h0}; endcase end reg VAR212; reg VAR296; reg VAR263; assign VAR160 = (VAR238 & ~VAR314 & VAR27 & (&VAR113)) |(VAR64 & VAR19 & (&VAR113)); always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR267 <= 1'b0; end else if(VAR160 & ~VAR183) VAR267 <= 1'b1; else if(VAR296 | VAR183) VAR267 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR212 <= 1'b0; end else if(VAR267) VAR212 <= 1'b1; else VAR212 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR296 <= 1'b0; end else VAR296 <= VAR212; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR263 <= 1'b0; end else VAR263 <= VAR296; end wire VAR210; assign VAR210 = VAR296 & ~VAR263; reg [3:0] VAR65; reg VAR218 ; reg VAR311 ; always @(posedge VAR179) VAR65 <= {VAR65[2:0], VAR119 & ~VAR206}; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR218 <= 0; else if(VAR65[3:2] == 2'b01) VAR218 <= ~VAR218; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR311 <= 0; else if(VAR217 & VAR84 & VAR221) VAR311 <= ~VAR311; reg VAR290; reg VAR193; reg VAR147; reg VAR148; wire VAR189; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR290 <= 0; end else if(VAR314 & ~VAR147) VAR290 <= 1; else if(VAR147) VAR290 <= 0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR193 <= 0; end else if(VAR290) VAR193 <= 1; else VAR193 <= 0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR147 <= 0; end else VAR147 <= VAR193; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR148 <= 0; end else VAR148 <= VAR147; end wire VAR75; assign VAR75 = VAR147 & ~VAR148; assign VAR189 = VAR75; wire [31:0] VAR315; wire VAR264; wire VAR143; wire VAR73; wire VAR128; wire VAR141; wire VAR272; wire VAR201; wire [31:0] VAR159; wire VAR154; wire VAR86; wire VAR9; wire VAR139; wire VAR163; wire VAR104; wire VAR57; wire [VAR257-1:0] VAR158; wire [VAR257-1:0] VAR191; assign VAR264 = (!VAR218) & VAR210 & ~VAR128; assign VAR143 = (!VAR311) & VAR39 & VAR166 & ~VAR272; assign VAR73 = (!VAR218) & VAR189; assign VAR154 = (VAR218) & VAR210 & ~VAR139; assign VAR86 = (VAR311) & VAR39 & VAR166 & ~VAR104; assign VAR9 = (VAR218) & VAR189; VAR277 #( ) VAR90 ( .clk (VAR179 ), .reset (VAR140 ), .VAR180 (VAR208 ), .write (VAR264 ), .read (VAR143 ), .VAR220 (VAR73 ), .VAR10 (VAR315), .VAR150 (VAR128 ), .VAR237 (), .VAR80 (VAR201 ), .VAR70 (VAR272 ), .VAR17 (VAR158 ) ); VAR277 #( ) VAR55 ( .clk (VAR179 ), .reset (VAR140 ), .VAR180 (VAR208 ), .write (VAR154 ), .read (VAR86 ), .VAR220 (VAR9 ), .VAR10 (VAR159), .VAR150 (VAR139 ), .VAR237 (), .VAR80 (VAR57 ), .VAR70 (VAR104 ), .VAR17 (VAR191 ) ); assign VAR94 = VAR311 ? VAR159 : VAR315; assign VAR197 = VAR311 ? VAR191 : VAR158; assign VAR59 = VAR311 ? VAR57 : VAR201; assign VAR83 = VAR311 ? VAR104 : VAR272; assign VAR274 = VAR311 ? VAR139 : VAR128; wire VAR184; assign VAR184 = !VAR207 | VAR117; wire VAR309; assign VAR133 = VAR197>=(VAR242); assign VAR29 = VAR197>(VAR242 - 1); assign VAR309 = VAR27 & (VAR311 == VAR218) ? (VAR197>(VAR242) + 2) | (|VAR43) : ~VAR83; assign VAR133 = VAR197>=VAR242; assign VAR29 = VAR197>VAR242; assign VAR309 = ~VAR83; assign VAR313 = VAR309 & !VAR184; assign VAR254 = VAR122 & VAR313; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR224 <= 1'b0; end else if(~VAR183 & VAR160 & VAR308) VAR224 <= 1'b1; else if(VAR183 | VAR312 & VAR235) VAR224 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR119 <= 1'b0; end else VAR119 <= VAR224; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR206 <= 1'b0; end else VAR206 <= VAR119; end always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR295 <= 1'b0; else if (!VAR295) VAR295 <= VAR119 & ~VAR206; else if (VAR217 & VAR84 & VAR221) VAR295 <= 0; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR217 <= 0; else if (VAR217 & VAR84 & VAR221) VAR217 <= 0; else VAR217 <= VAR295 & VAR83 & !VAR210; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR312 <= 1'b0; end else VAR312 <= VAR206; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR235 <= 1'b0; end else VAR235 <= VAR312; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR27 <= 1'b0; end else if(VAR314) VAR27 <= 1'b1; else if(VAR89 | VAR183) VAR27 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR176 <= 1'b0; end else VAR176 <= VAR161; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR32 <= 1'b0; end else VAR32 <= VAR176; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR225 <= 1'b0; end else VAR225 <= VAR32; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR181 <= 1'b0; end else VAR181 <= VAR225; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR109 <= 1'b0; end else VAR109 <= VAR32; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR44 <= 1'b0; end else VAR44 <= VAR109; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR161 <= 1'b0; end else if(VAR44) VAR161 <= 1'b0; else if(VAR183) VAR161 <= 1'b1; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR46[15:0] <= 16'h0; end else if(VAR170) VAR46[15:0] <= VAR131[15:0]; end assign VAR213 = {VAR105, VAR98, VAR25, VAR23, VAR8, VAR78, VAR41, VAR33, VAR88}; always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) VAR93 <= 'h0; end else if(VAR170) VAR93 <= VAR213; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR25 <= 1'b0; end else if(VAR252) VAR25 <= 1'b0; else if(VAR274 & VAR210) VAR25 <= 1'b1; end wire VAR317; assign VAR317 = VAR219 | VAR69 | VAR67 | VAR305; wire VAR6; assign VAR6 = (|VAR93[6:3]) | (|VAR93[1:0]); reg VAR245; reg VAR280; reg VAR107; reg VAR215; reg VAR79; always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR245 <= 1'b0; end else if(VAR79) VAR245 <= 1'b0; else if(VAR252) VAR245 <= 1'b1; end always @ (posedge VAR281 or posedge VAR140) begin if(VAR140) begin VAR280 <= 1'b0; VAR107 <= 1'b0; end else begin VAR280 <= VAR245; VAR107 <= VAR280; end end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) begin VAR215 <= 1'b0; VAR79 <= 1'b0; end else begin VAR215 <= VAR107; VAR79 <= VAR215; end end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR162 <= 1'b0; end else if(VAR209 & VAR279) VAR162 <= ~VAR317; else VAR162 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR260 <= 1'b0; end else if(VAR209 & VAR279) VAR260 <= VAR317; else VAR260 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR126 <= 1'b0; end else if(VAR252 & VAR71 & VAR204 & (~VAR105 | VAR105 & VAR175 & (~VAR300))) VAR126 <= (~VAR6); else VAR126 <= 1'b0; end always @ (posedge VAR179 or posedge VAR140) begin if(VAR140) VAR15 <= 1'b0; end else if(VAR252 & VAR71 & (~VAR105 | VAR105 & VAR175 & (~VAR300))) VAR15 <= VAR6; else VAR15 <= 1'b0; end reg VAR298; always @ (posedge VAR179) if (VAR140) VAR298 <= 0; else if (VAR269) VAR298 <= 0; else if (((VAR218 != VAR311) | VAR233 | VAR20) & VAR75) VAR298 <= 1; reg VAR129; always @ (posedge VAR179 or posedge VAR140) if(VAR140) VAR129 <= 0; else if (VAR129) VAR129 <= 0; else if ((VAR218 == VAR311) & ((VAR298 & VAR269 & ~VAR68) | (!VAR298 & !VAR233 & !VAR20 & VAR75 & VAR297)) ) VAR129 <= 1; assign VAR187 = VAR129; always @(posedge VAR187) (%VAR273) VAR275 VAR95 VAR307 VAR286 VAR130", ); assign VAR61[31] = 0; assign VAR61[30:28] = VAR43; assign VAR61[27] = 0; assign VAR61[26:24] = VAR192; assign VAR61[23] = 0; assign VAR61[22] = 0; assign VAR61[21] = VAR254; assign VAR61[20] = VAR122; assign VAR61[19] = 0; assign VAR61[18] = 0; assign VAR61[17] = VAR87; assign VAR61[16] = VAR316; assign VAR61[15:8] = { 1'b0, VAR182}; assign VAR61[7:0] = {VAR1,VAR39, VAR185,VAR313, VAR270,VAR102, VAR87,VAR254}; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfrtp_ov2/sky130_fd_sc_lp__sdfrtp_ov2.behavioral.v
2,790
module MODULE1 ( VAR26 , VAR5 , VAR25 , VAR27 , VAR23 , VAR31 ); output VAR26 ; input VAR5 ; input VAR25 ; input VAR27 ; input VAR23 ; input VAR31; supply1 VAR6; supply0 VAR19; supply1 VAR12 ; supply0 VAR20 ; wire VAR16 ; wire VAR1 ; wire VAR3 ; reg VAR7 ; wire VAR30 ; wire VAR11 ; wire VAR28 ; wire VAR9; wire VAR8 ; wire VAR2 ; wire VAR10 ; wire VAR15 ; wire VAR13 ; wire VAR17 ; wire VAR21 ; not VAR29 (VAR1 , VAR9 ); VAR14 VAR18 (VAR3, VAR30, VAR11, VAR28 ); VAR22 VAR24 (VAR16 , VAR3, VAR8, VAR1, VAR7, VAR6, VAR19); assign VAR2 = ( VAR6 === 1'b1 ); assign VAR10 = ( ( VAR9 === 1'b1 ) && VAR2 ); assign VAR15 = ( ( VAR28 === 1'b0 ) && VAR10 ); assign VAR13 = ( ( VAR28 === 1'b1 ) && VAR10 ); assign VAR17 = ( ( VAR30 !== VAR11 ) && VAR10 ); assign VAR21 = ( ( VAR31 === 1'b1 ) && VAR2 ); buf VAR4 (VAR26 , VAR16 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfsbp/sky130_fd_sc_ls__dfsbp.pp.blackbox.v
1,372
module MODULE1 ( VAR3 , VAR6 , VAR7 , VAR4 , VAR9, VAR2 , VAR8 , VAR5 , VAR1 ); output VAR3 ; output VAR6 ; input VAR7 ; input VAR4 ; input VAR9; input VAR2 ; input VAR8 ; input VAR5 ; input VAR1 ; endmodule
apache-2.0
varunnagpaal/Digital-Hardware-Modelling
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_pointer_basic_0_1/design_1_pointer_basic_0_1_stub.v
3,150
module MODULE1(VAR3, VAR7, VAR4, VAR5, VAR6, VAR2, VAR12, VAR13, VAR9, VAR16, VAR8, VAR15, VAR18, VAR14, VAR11, VAR10, VAR17, VAR1, VAR19, interrupt) ; input [4:0]VAR3; input VAR7; output VAR4; input [31:0]VAR5; input [3:0]VAR6; input VAR2; output VAR12; output [1:0]VAR13; output VAR9; input VAR16; input [4:0]VAR8; input VAR15; output VAR18; output [31:0]VAR14; output [1:0]VAR11; output VAR10; input VAR17; input VAR1; input VAR19; output interrupt; endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9144/axi_ad9144_core.v
9,453
module MODULE1 ( VAR77, VAR37, VAR2, VAR87, VAR43, VAR9, VAR25, VAR64, VAR51, VAR69, VAR36, VAR30, VAR79, VAR82, VAR34, VAR23, VAR73, VAR22, VAR29, VAR17, VAR49, VAR38, VAR31, VAR26, VAR47, VAR80, VAR76, VAR89, VAR42, VAR63, VAR54, VAR32, VAR71, VAR5, VAR45, VAR28, VAR68, VAR39, VAR90, VAR35, VAR55, VAR59); parameter VAR81 = 0; parameter VAR33 = 0; input VAR77; output VAR37; output [15:0] VAR2; output [15:0] VAR87; output [15:0] VAR43; output [15:0] VAR9; output [15:0] VAR25; output [15:0] VAR64; output [15:0] VAR51; output [15:0] VAR69; output [15:0] VAR36; output [15:0] VAR30; output [15:0] VAR79; output [15:0] VAR82; output [15:0] VAR34; output [15:0] VAR23; output [15:0] VAR73; output [15:0] VAR22; output VAR29; output VAR17; input [63:0] VAR49; output VAR38; output VAR31; input [63:0] VAR26; output VAR47; output VAR80; input [63:0] VAR76; output VAR89; output VAR42; input [63:0] VAR63; input VAR54; input VAR32; input VAR71; input VAR5; input VAR45; input [13:0] VAR28; input [31:0] VAR68; output VAR39; input VAR90; input [13:0] VAR35; output [31:0] VAR55; output VAR59; reg [31:0] VAR55 = 'd0; reg VAR59 = 'd0; reg VAR39 = 'd0; wire VAR1; wire VAR40; wire [31:0] VAR53; wire VAR95; wire VAR15; wire [31:0] VAR56; wire VAR46; wire VAR94; wire [31:0] VAR70; wire VAR7; wire VAR58; wire [31:0] VAR62; wire VAR93; wire VAR78; wire [31:0] VAR91; wire VAR4; wire VAR88; assign VAR29 = 1'b1; assign VAR38 = 1'b1; assign VAR47 = 1'b1; assign VAR89 = 1'b1; always @(negedge VAR71 or posedge VAR5) begin if (VAR71 == 0) begin VAR55 <= 'd0; VAR59 <= 'd0; VAR39 <= 'd0; end else begin VAR55 <= VAR91 | VAR53 | VAR56 | VAR70 | VAR62; VAR59 <= VAR4 | VAR95 | VAR46 | VAR7 | VAR93; VAR39 <= VAR88 | VAR15 | VAR94 | VAR58 | VAR78; end end VAR72 #(.VAR57(0), .VAR33(VAR33)) VAR48 ( .VAR77 (VAR77), .VAR37 (VAR37), .VAR92 (VAR17), .VAR67 ({VAR9, VAR43, VAR87, VAR2}), .VAR27 (VAR49), .VAR21 (VAR1), .VAR3 (VAR40), .VAR71 (VAR71), .VAR5 (VAR5), .VAR45 (VAR45), .VAR28 (VAR28), .VAR68 (VAR68), .VAR39 (VAR15), .VAR90 (VAR90), .VAR35 (VAR35), .VAR55 (VAR53), .VAR59 (VAR95)); VAR72 #(.VAR57(1), .VAR33(VAR33)) VAR41 ( .VAR77 (VAR77), .VAR37 (VAR37), .VAR92 (VAR31), .VAR67 ({VAR69, VAR51, VAR64, VAR25}), .VAR27 (VAR26), .VAR21 (VAR1), .VAR3 (VAR40), .VAR71 (VAR71), .VAR5 (VAR5), .VAR45 (VAR45), .VAR28 (VAR28), .VAR68 (VAR68), .VAR39 (VAR94), .VAR90 (VAR90), .VAR35 (VAR35), .VAR55 (VAR56), .VAR59 (VAR46)); VAR72 #(.VAR57(2), .VAR33(VAR33)) VAR16 ( .VAR77 (VAR77), .VAR37 (VAR37), .VAR92 (VAR80), .VAR67 ({VAR82, VAR79, VAR30, VAR36}), .VAR27 (VAR76), .VAR21 (VAR1), .VAR3 (VAR40), .VAR71 (VAR71), .VAR5 (VAR5), .VAR45 (VAR45), .VAR28 (VAR28), .VAR68 (VAR68), .VAR39 (VAR58), .VAR90 (VAR90), .VAR35 (VAR35), .VAR55 (VAR70), .VAR59 (VAR7)); VAR72 #(.VAR57(3), .VAR33(VAR33)) VAR52 ( .VAR77 (VAR77), .VAR37 (VAR37), .VAR92 (VAR42), .VAR67 ({VAR22, VAR73, VAR23, VAR34}), .VAR27 (VAR63), .VAR21 (VAR1), .VAR3 (VAR40), .VAR71 (VAR71), .VAR5 (VAR5), .VAR45 (VAR45), .VAR28 (VAR28), .VAR68 (VAR68), .VAR39 (VAR78), .VAR90 (VAR90), .VAR35 (VAR35), .VAR55 (VAR62), .VAR59 (VAR93)); VAR60 #(.VAR81(VAR81)) VAR44 ( .VAR61 (), .VAR77 (VAR77), .VAR37 (VAR37), .VAR24 (VAR1), .VAR6 (), .VAR97 (), .VAR50 (), .VAR86 (), .VAR19 (VAR40), .VAR84 (), .VAR10 (1'b1), .VAR74 (VAR54), .VAR13 (VAR32), .VAR11 (32'd4), .VAR85 (), .VAR75 (), .VAR83 (), .VAR66 (), .VAR96 (16'd0), .VAR65 (1'd0), .VAR14 (1'd1), .VAR20 (), .VAR8 (8'd3), .VAR12 (32'd0), .VAR18 (), .VAR71 (VAR71), .VAR5 (VAR5), .VAR45 (VAR45), .VAR28 (VAR28), .VAR68 (VAR68), .VAR39 (VAR88), .VAR90 (VAR90), .VAR35 (VAR35), .VAR55 (VAR91), .VAR59 (VAR4)); endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v
3,035
module MODULE1 #( parameter VAR38(VAR42 ) , parameter VAR38(VAR43 ) , parameter VAR41 = 0 ) ( input VAR18 , input VAR26 , input VAR31 , input [VAR43-1:0][VAR42-1:0] VAR21 , output VAR29 , output VAR5 , output [VAR42-1:0] VAR22 , input VAR3 ); logic [VAR43-1:0] VAR35; if (VAR43 == 1) begin : VAR9 assign VAR35 = 1'b1; assign VAR29 = VAR3; end else begin : VAR10 logic VAR13; VAR8 counter (.VAR18(VAR18) ,.VAR26(VAR26) ,.VAR12(VAR29 & VAR31) ,.VAR27(VAR5 & VAR3 & ~VAR13) ,.VAR39(VAR35) ); assign VAR13 = VAR35[VAR43-1]; assign VAR29 = VAR3 & VAR13; end logic [VAR43-1:0][VAR42-1:0] VAR17; if (VAR41 == 0) begin: VAR33 assign VAR17 = VAR21; end else begin: VAR6 VAR1 VAR34 (.VAR16(VAR21) ,.VAR37(VAR17) ); end VAR7 VAR19 (.VAR21(VAR17) ,.VAR15(VAR35) ,.VAR22(VAR22) ); assign VAR5 = VAR31; logic [VAR43-1:0][VAR42-1:0] VAR23; VAR45 VAR44 (.VAR18(VAR18) ,.VAR30(VAR35[0] & VAR31) ,.VAR21(VAR21) ,.VAR22(VAR23) ); VAR14 @(negedge VAR18) begin if (~VAR26 & (VAR35[0] === 1'b0)) begin assert (VAR31) end else ("VAR31 VAR32 VAR4 VAR2 VAR36 VAR28 VAR11 VAR40 VAR24 VAR25"); assert (VAR21 == VAR23) end else ("VAR21 VAR32 VAR4 VAR2 VAR20 VAR28 VAR11 VAR40 VAR24 VAR25"); end end endmodule
bsd-3-clause
jncronin/jca
cpu/spi.v
6,129
module MODULE1(clk, rst, VAR15, addr, VAR14, VAR8, VAR10, VAR9, VAR13, VAR7, VAR1); input clk; input rst; inout [7:0] VAR15; input [7:0] addr; input VAR14; input VAR8; input VAR10; output [7:0] VAR9; output VAR13; output VAR7; input VAR1; parameter VAR3 = 32'd125; reg [7:0] VAR6[0:11]; assign VAR15 = (~VAR14 & ~VAR8) ? VAR6[addr] : 8'VAR4; reg [7:0] VAR11 = 8'hff; reg [7:0] VAR12 = 8'd0; reg [31:0] VAR2 = 32'd0; reg VAR13 = 0; reg [7:0] VAR9 = 8'hff; reg VAR7 = 0; reg VAR5 = 0; begin end else begin end begin begin begin begin begin
mit
iori-yja/ball_detector
divider.v
4,447
module MODULE1 ( VAR16, VAR6, VAR3, VAR2, VAR4); input VAR16; input [4:0] VAR6; input [10:0] VAR3; output [10:0] VAR2; output [4:0] VAR4; wire [4:0] VAR23; wire [10:0] VAR12; wire [4:0] VAR4 = VAR23[4:0]; wire [10:0] VAR2 = VAR12[10:0]; VAR7 VAR1 ( .VAR16 (VAR16), .VAR6 (VAR6), .VAR3 (VAR3), .VAR4 (VAR23), .VAR2 (VAR12), .VAR17 (1'b0), .VAR8 (1'b1)); VAR1.VAR14 = "VAR15", VAR1.VAR24 = "VAR18=6,VAR21=VAR9", VAR1.VAR19 = "VAR13", VAR1.VAR22 = 1, VAR1.VAR11 = "VAR5", VAR1.VAR10 = 5, VAR1.VAR20 = 11; endmodule
mit
jas0n1ee/THU-DSD
FB/key.v
2,296
module MODULE1 ( address, VAR8, clk, VAR4, VAR3, VAR7, VAR5, irq, VAR9 ) ; output irq; output [ 31: 0] VAR9; input [ 1: 0] address; input VAR8; input clk; input VAR4; input VAR3; input VAR7; input [ 31: 0] VAR5; wire VAR10; wire VAR2; wire irq; reg VAR6; wire VAR1; reg [ 31: 0] VAR9; assign VAR10 = 1; assign VAR1 = ({1 {(address == 0)}} & VAR2) | ({1 {(address == 2)}} & VAR6); always @(posedge clk or negedge VAR3) begin if (VAR3 == 0) VAR9 <= 0; end else if (VAR10) VAR9 <= {{{32 - 1}{1'b0}},VAR1}; end assign VAR2 = VAR4; always @(posedge clk or negedge VAR3) begin if (VAR3 == 0) VAR6 <= 0; end else if (VAR8 && ~VAR7 && (address == 2)) VAR6 <= VAR5; end assign irq = |(VAR2 & VAR6); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4/sky130_fd_sc_lp__and4.functional.v
1,296
module MODULE1 ( VAR2, VAR6, VAR1, VAR4, VAR8 ); output VAR2; input VAR6; input VAR1; input VAR4; input VAR8; wire VAR5; and VAR7 (VAR5, VAR6, VAR1, VAR4, VAR8 ); buf VAR3 (VAR2 , VAR5 ); endmodule
apache-2.0
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/db/ip/tracking_camera_system/submodules/tracking_camera_system_sram_0.v
7,232
module MODULE1 ( clk, reset, address, VAR9, read, write, VAR7, VAR10, VAR5, VAR6, VAR14, VAR8, VAR4, VAR3, VAR13, VAR12 ); input clk; input reset; input [17: 0] address; input [ 1: 0] VAR9; input read; input write; input [15: 0] VAR7; inout [15: 0] VAR10; output reg [15: 0] VAR5; output reg VAR6; output reg [17: 0] VAR14; output reg VAR8; output reg VAR4; output reg VAR3; output reg VAR13; output reg VAR12; reg VAR2; reg VAR1; reg [15: 0] VAR11; always @(posedge clk) begin VAR5 <= VAR10; VAR6 <= VAR2; VAR14 <= address; VAR8 <= ~(VAR9[0] & (read | write)); VAR4 <= ~(VAR9[1] & (read | write)); VAR3 <= ~(read | write); VAR13 <= ~read; VAR12 <= ~write; end always @(posedge clk) begin if (reset) VAR2 <= 1'b0; end else VAR2 <= read; end always @(posedge clk) begin if (reset) VAR1 <= 1'b0; end else VAR1 <= write; end always @(posedge clk) begin VAR11 <= VAR7; end assign VAR10 = (VAR1) ? VAR11 : 16'VAR15; endmodule
gpl-2.0
nickdesaulniers/Omicron
instruction_decode.v
1,956
module MODULE1( input VAR21, input [6:0] VAR11, input [15:0] VAR3, input VAR12, input [15:0] VAR17, input [2:0] VAR16, output [3:0] VAR1, output [6:0] VAR20, output [15:0] VAR19, output [15:0] VAR8, output [6:0] VAR7, output [2:0] VAR9 ); assign VAR20 = VAR11; assign VAR1 = VAR3[15:12]; assign VAR9 = VAR3[8:6]; assign VAR7 = VAR3[6:0]; VAR10 VAR18( .VAR21(VAR21), .VAR4(VAR12), .VAR6(VAR3[11:9]), .VAR5(VAR3[5:3]), .VAR14(VAR16[2:0]), .VAR15(VAR17[15:0]), .VAR13(VAR19[15:0]), .VAR2(VAR8[15:0]) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o41a/sky130_fd_sc_hs__o41a.pp.symbol.v
1,340
module MODULE1 ( input VAR4 , input VAR7 , input VAR8 , input VAR6 , input VAR3 , output VAR2 , input VAR1, input VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfrbp/sky130_fd_sc_hvl__sdfrbp.symbol.v
1,542
module MODULE1 ( input VAR5 , output VAR3 , output VAR7 , input VAR6, input VAR11 , input VAR2 , input VAR8 ); supply1 VAR1; supply0 VAR4; supply1 VAR9 ; supply0 VAR10 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/decap/sky130_fd_sc_lp__decap.functional.v
1,039
module MODULE1 (); endmodule
apache-2.0
siamumar/TinyGarbled
circuit_synthesis/cordic/alpha_table.v
7,592
module MODULE1 parameter VAR4 = 2, parameter VAR12 = 14, parameter VAR10 = VAR5 ) ( VAR1, VAR8 ); localparam VAR9 = VAR4 + VAR12; localparam VAR14 = VAR12 + 1; localparam VAR7 = VAR15(VAR14); input [VAR7-1:0] VAR1; output reg [VAR9-1:0] VAR8; generate if(VAR9== 16) begin: VAR13 if(VAR10 == VAR5) begin:VAR2 always @ begin case(VAR1) 0: VAR8 = 16'b0100000000000000; 1: VAR8 = 16'b0010000000000000; 2: VAR8 = 16'b0001000000000000; 3: VAR8 = 16'b0000100000000000; 4: VAR8 = 16'b0000010000000000; 5: VAR8 = 16'b0000001000000000; 6: VAR8 = 16'b0000000100000000; 7: VAR8 = 16'b0000000010000000; 8: VAR8 = 16'b0000000001000000; 9: VAR8 = 16'b0000000000100000; 10: VAR8 = 16'b0000000000010000; 11: VAR8 = 16'b0000000000001000; 12: VAR8 = 16'b0000000000000100; 13: VAR8 = 16'b0000000000000010; 14: VAR8 = 16'b0000000000000001; default: VAR8 = 16'b0; endcase end end else begin:VAR11 always @ begin case(VAR1) 00: VAR8 = 32'h3243F6A8; 01: VAR8 = 32'h1DAC6705; 02: VAR8 = 32'h0FADBAFC; 03: VAR8 = 32'h07F56EA6; 04: VAR8 = 32'h03FEAB76; 05: VAR8 = 32'h01FFD55B; 06: VAR8 = 32'h00FFFAAA; 07: VAR8 = 32'h007FFF55; 08: VAR8 = 32'h003FFFEA; 09: VAR8 = 32'h001FFFFD; 10: VAR8 = 32'h000FFFFF; 11: VAR8 = 32'h0007FFFF; 12: VAR8 = 32'h0003FFFF; 13: VAR8 = 32'h0001FFFF; 14: VAR8 = 32'h0000FFFF; 15: VAR8 = 32'h00007FFF; 16: VAR8 = 32'h00003FFF; 17: VAR8 = 32'h00001FFF; 18: VAR8 = 32'h00000FFF; 19: VAR8 = 32'h000007FF; 20: VAR8 = 32'h000003FF; 21: VAR8 = 32'h000001FF; 22: VAR8 = 32'h000000FF; 23: VAR8 = 32'h0000007F; 24: VAR8 = 32'h0000003F; 25: VAR8 = 32'h0000001F; 26: VAR8 = 32'h0000000F; 27: VAR8 = 32'h00000008; 28: VAR8 = 32'h00000004; 29: VAR8 = 32'h00000002; 30: VAR8 = 32'h00000001; 31: VAR8 = 32'h00000000; default: VAR8 = 32'b0; endcase end end else if (VAR10 == VAR3) begin:VAR6 always @ begin case(VAR1) 00: VAR8 = 32'h80000000; 01: VAR8 = 32'h40000000; 02: VAR8 = 32'h20000000; 03: VAR8 = 32'h10000000; 04: VAR8 = 32'h08000000; 05: VAR8 = 32'h04000000; 06: VAR8 = 32'h02000000; 07: VAR8 = 32'h01000000; 08: VAR8 = 32'h00800000; 09: VAR8 = 32'h00400000; 10: VAR8 = 32'h00200000; 11: VAR8 = 32'h00100000; 12: VAR8 = 32'h00080000; 13: VAR8 = 32'h00040000; 14: VAR8 = 32'h00020000; 15: VAR8 = 32'h00010000; 16: VAR8 = 32'h00008000; 17: VAR8 = 32'h00004000; 18: VAR8 = 32'h00002000; 19: VAR8 = 32'h00001000; 20: VAR8 = 32'h00000800; 21: VAR8 = 32'h00000400; 22: VAR8 = 32'h00000200; 23: VAR8 = 32'h00000100; 24: VAR8 = 32'h00000080; 25: VAR8 = 32'h00000040; 26: VAR8 = 32'h00000020; 27: VAR8 = 32'h00000010; 28: VAR8 = 32'h00000008; 29: VAR8 = 32'h00000004; 30: VAR8 = 32'h00000002; 31: VAR8 = 32'h00000001; default: VAR8 = 16'b0; endcase end end endgenerate endmodule
gpl-3.0
monotone-RK/FACE
IEICE-Trans/16-way/src/riffa/sg_list_reader_64.v
5,056
module MODULE1 #( parameter VAR4 = 9'd64 ) ( input VAR2, input VAR22, input [VAR4-1:0] VAR21, input VAR8, output VAR9, output VAR10, output VAR24, input VAR18, output [63:0] VAR7, output [31:0] VAR11 ); reg [1:0] VAR13=VAR12, VAR13=VAR12; reg [1:0] VAR23=VAR5, VAR23=VAR5; reg [VAR4-1:0] VAR14={VAR4{1'd0}}, VAR14={VAR4{1'd0}}; reg [63:0] VAR6=64'd0, VAR6=64'd0; reg [31:0] VAR17=0, VAR17=0; reg VAR19=0, VAR19=0; reg VAR3=0, VAR3=0; assign VAR9 = VAR13[0]; assign VAR10 = VAR23[1]; assign VAR24 = (VAR8 & VAR13[0]); assign VAR7 = VAR6; assign VAR11 = VAR17; always @ (posedge VAR2) begin VAR13 <= (VAR22 ? VAR12 : VAR13); VAR23 <= (VAR22 ? VAR5 : VAR23); VAR14 <= VAR14; VAR19 <= (VAR22 ? 1'd0 : VAR19); VAR3 <= (VAR22 ? 1'd0 : VAR3); VAR6 <= VAR6; VAR17 <= VAR17; end always @ (*) begin VAR13 = VAR13; VAR23 = VAR23; VAR6 = VAR6; VAR17 = VAR17; VAR14 = VAR21; VAR19 = (VAR9 & !VAR8); VAR3 = VAR19; case (VAR23) if (VAR3) begin VAR6 = VAR14; VAR23 = VAR1; end end if (VAR3) begin VAR17 = VAR14[31:0]; VAR23 = VAR16; end end if (VAR18) VAR23 = VAR5; end default: begin VAR23 = VAR5; end endcase case (VAR13) VAR13 = VAR20; end VAR13 = VAR15; end VAR13 = VAR12; end default: begin VAR13 = VAR12; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a31o/sky130_fd_sc_hdll__a31o_2.v
2,353
module MODULE2 ( VAR2 , VAR10 , VAR8 , VAR9 , VAR6 , VAR3, VAR11, VAR1 , VAR5 ); output VAR2 ; input VAR10 ; input VAR8 ; input VAR9 ; input VAR6 ; input VAR3; input VAR11; input VAR1 ; input VAR5 ; VAR4 VAR7 ( .VAR2(VAR2), .VAR10(VAR10), .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6), .VAR3(VAR3), .VAR11(VAR11), .VAR1(VAR1), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR2 , VAR10, VAR8, VAR9, VAR6 ); output VAR2 ; input VAR10; input VAR8; input VAR9; input VAR6; supply1 VAR3; supply0 VAR11; supply1 VAR1 ; supply0 VAR5 ; VAR4 VAR7 ( .VAR2(VAR2), .VAR10(VAR10), .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6) ); endmodule
apache-2.0
gbraad/minimig-de1
rtl/ctrl/ctrl_top.v
14,380
module MODULE1 ( input wire VAR6, input wire VAR4, output wire VAR8, output wire VAR32, output wire VAR38, output wire VAR36, input wire VAR24, input wire [ 4-1:0] VAR37, output wire VAR19, output wire VAR2, output wire VAR27, output wire VAR17, output wire [ 4-1:0] VAR41, input wire [ 4-1:0] VAR31, output wire [ 18-1:0] VAR42, output wire VAR7, output wire VAR11, output wire VAR3, output wire VAR16, output wire VAR35, output wire [ 16-1:0] VAR39, input wire [ 16-1:0] VAR33, output wire [ 22-1:0] VAR5, output wire VAR20, output wire VAR26, output wire VAR44, output wire VAR21, output wire [ 8-1:0] VAR18, input wire [ 8-1:0] VAR25, output wire [ 22-1:0] VAR15, output wire VAR9, output wire VAR22, output wire [ 4-1:0] VAR29, output wire [ 32-1:0] VAR28, input wire [ 32-1:0] VAR12, input wire VAR43, input wire VAR23, output wire VAR30, input wire VAR13, output wire [ 4-1:0] VAR14, output wire VAR40, output wire VAR1, input wire VAR34 ); reg VAR10;
gpl-3.0
yanhongwang/ColorImage
CTC/CTC_not_synthesis.v
46,606
module MODULE2 ( input VAR2, input reset, input[ VAR23 - 1 : 0 ]VAR13, input[ VAR23 - 1 : 0 ]VAR39, input[ VAR23 - 1 : 0 ]VAR34, output reg[ VAR8 - 1 : 0 ]VAR7, output reg[ VAR8 - 1 : 0 ]VAR3 ); reg[ 3 : 0 ]VAR14; reg[ 3 : 0 ]VAR22; parameter[ 1 : 0 ]VAR15 = 0; parameter[ 1 : 0 ]VAR36 = 1; reg[ VAR8 - 1 : 0 ]VAR17; reg[ VAR8 - 1 : 0 ]VAR26; reg[ VAR8 - 1 : 0 ]VAR20; reg[ VAR8 - 1 : 0 ]VAR18; reg[ VAR8 - 1 : 0 ]VAR10; reg[ VAR8 - 1 : 0 ]VAR5[ 0 : VAR31 - 1 ]; reg[ VAR8 - 1 : 0 ]VAR27[ 0 : VAR31 - 1 ]; reg[ VAR8 - 1 : 0 ]VAR6[ 0 : VAR31 - 1 ]; integer VAR4; reg[ VAR8 - 1 : 0 ]VAR40; reg[ VAR8 - 1 : 0 ]VAR21; reg[ VAR8 - 1 : 0 ]VAR28; always@( posedge VAR2 or posedge reset ) begin if( reset == 1'b1 ) begin VAR17 = 0; VAR26 = 0; VAR20 = 0; VAR14 = VAR15; end else VAR14 = VAR22; end always@( VAR14 ) begin case( VAR14 ) VAR15: begin for( VAR4 = 0; VAR4 < VAR31; VAR4 = VAR4 + 1 ) begin@( posedge VAR2 ) VAR5[ VAR4 ] = VAR13 << VAR1; VAR27[ VAR4 ] = VAR39 << VAR1; VAR6[ VAR4 ] = VAR34 << VAR1; end VAR22 = VAR36; end VAR36: begin for( VAR4 = 0; VAR4 < VAR31; VAR4 = VAR4 + 1 ) begin VAR17 = VAR17 + VAR5[ VAR4 ]; VAR26 = VAR26 + VAR27[ VAR4 ]; VAR20 = VAR20 + VAR6[ VAR4 ]; end VAR18 = VAR25( VAR26, VAR17 >> 4 ); VAR10 = VAR25( VAR26, VAR20 >> 4 ); if( VAR18 >= 16 && VAR18 <= 40 ) VAR40 = VAR18 - 16; end else if( VAR18 < 16 ) VAR40 = 0; end else VAR40 = 24; if( VAR10 >= 16 && VAR10 <= 40 ) VAR21 = VAR10 - 16; else if( VAR10 < 16 ) VAR21 = 0; else VAR21 = 24; VAR28 = VAR40 * 24 + VAR21; VAR32( VAR28, VAR7, VAR3 ); VAR7 = ( VAR7 * VAR12 ) >> VAR1; VAR3 = ( VAR3 * VAR11 ) >> VAR1; end endcase end function[ VAR8 - 1 : 0 ]VAR25; input[ VAR8 - 1 : 0 ]VAR37; input[ VAR8 - 1 : 0 ]VAR16; reg[ VAR8 - 1 : 0 ]VAR35; reg[ VAR8 - 1 : 0 ]VAR30; reg[ VAR8 : 0 ]VAR19; reg[ VAR8 - 1 : 0 ]VAR9; begin VAR35 = VAR37; VAR9 = VAR16; VAR19 = { VAR8'h00, 1'b0 }; for( VAR4 = 0; VAR4 < VAR8; VAR4 = VAR4 + 1 ) begin VAR19 = { VAR19[ VAR8 - 1 : 0 ], VAR35[ VAR8 - 1 ] }; VAR35 = { VAR35[ VAR8 - 2 : 0 ], 1'b0 }; VAR19 = VAR19 + { ~{ 1'b0, VAR9 } + 1'b1 }; if( VAR19[ VAR8 ] == 1'b0 ) VAR35[ 0 ] = 1'b1; end else begin VAR19 = VAR19 + VAR9; VAR35[ 0 ] = 1'b0; end end VAR30 = VAR19[ VAR8 - 1 : 0 ]; if( VAR30 * 10 >= VAR16 * 5 ) VAR25 = VAR35 + 1; else VAR25 = VAR35; end endfunction task VAR32; input[ VAR8 - 1 : 0 ]VAR28; output[ VAR8 - 1 : 0 ]VAR7; output[ VAR8 - 1 : 0 ]VAR3; begin case( VAR28 ) 0 : begin VAR7 = 417; VAR3 = 414; end 1 : begin VAR7 = 405; VAR3 = 427; end 2 : begin VAR7 = 394; VAR3 = 440; end 3 : begin VAR7 = 383; VAR3 = 452; end 4 : begin VAR7 = 373; VAR3 = 464; end 5 : begin VAR7 = 364; VAR3 = 476; end 6 : begin VAR7 = 356; VAR3 = 487; end 7 : begin VAR7 = 348; VAR3 = 498; end 8 : begin VAR7 = 341; VAR3 = 509; end 9 : begin VAR7 = 334; VAR3 = 520; end 10 : begin VAR7 = 327; VAR3 = 530; end 11 : begin VAR7 = 321; VAR3 = 540; end 12 : begin VAR7 = 315; VAR3 = 551; end 13 : begin VAR7 = 310; VAR3 = 560; end 14 : begin VAR7 = 305; VAR3 = 570; end 15 : begin VAR7 = 300; VAR3 = 580; end 16 : begin VAR7 = 295; VAR3 = 589; end 17 : begin VAR7 = 291; VAR3 = 599; end 18 : begin VAR7 = 286; VAR3 = 608; end 19 : begin VAR7 = 282; VAR3 = 617; end 20 : begin VAR7 = 278; VAR3 = 626; end 21 : begin VAR7 = 274; VAR3 = 634; end 22 : begin VAR7 = 271; VAR3 = 643; end 23 : begin VAR7 = 267; VAR3 = 652; end 24 : begin VAR7 = 430; VAR3 = 402; end 25 : begin VAR7 = 417; VAR3 = 414; end 26 : begin VAR7 = 405; VAR3 = 427; end 27 : begin VAR7 = 395; VAR3 = 438; end 28 : begin VAR7 = 385; VAR3 = 450; end 29 : begin VAR7 = 375; VAR3 = 461; end 30 : begin VAR7 = 367; VAR3 = 472; end 31 : begin VAR7 = 359; VAR3 = 483; end 32 : begin VAR7 = 351; VAR3 = 494; end 33 : begin VAR7 = 344; VAR3 = 504; end 34 : begin VAR7 = 337; VAR3 = 514; end 35 : begin VAR7 = 331; VAR3 = 524; end 36 : begin VAR7 = 325; VAR3 = 534; end 37 : begin VAR7 = 319; VAR3 = 544; end 38 : begin VAR7 = 314; VAR3 = 553; end 39 : begin VAR7 = 309; VAR3 = 562; end 40 : begin VAR7 = 304; VAR3 = 572; end 41 : begin VAR7 = 299; VAR3 = 581; end 42 : begin VAR7 = 295; VAR3 = 590; end 43 : begin VAR7 = 291; VAR3 = 598; end 44 : begin VAR7 = 287; VAR3 = 607; end 45 : begin VAR7 = 283; VAR3 = 615; end 46 : begin VAR7 = 279; VAR3 = 624; end 47 : begin VAR7 = 275; VAR3 = 632; end 48 : begin VAR7 = 442; VAR3 = 390; end 49 : begin VAR7 = 429; VAR3 = 403; end 50 : begin VAR7 = 417; VAR3 = 415; end 51 : begin VAR7 = 406; VAR3 = 426; end 52 : begin VAR7 = 396; VAR3 = 437; end 53 : begin VAR7 = 386; VAR3 = 448; end 54 : begin VAR7 = 377; VAR3 = 459; end 55 : begin VAR7 = 369; VAR3 = 470; end 56 : begin VAR7 = 361; VAR3 = 480; end 57 : begin VAR7 = 354; VAR3 = 490; end 58 : begin VAR7 = 347; VAR3 = 500; end 59 : begin VAR7 = 340; VAR3 = 510; end 60 : begin VAR7 = 334; VAR3 = 519; end 61 : begin VAR7 = 328; VAR3 = 528; end 62 : begin VAR7 = 323; VAR3 = 538; end 63 : begin VAR7 = 318; VAR3 = 547; end 64 : begin VAR7 = 313; VAR3 = 555; end 65 : begin VAR7 = 308; VAR3 = 564; end 66 : begin VAR7 = 303; VAR3 = 573; end 67 : begin VAR7 = 299; VAR3 = 581; end 68 : begin VAR7 = 295; VAR3 = 590; end 69 : begin VAR7 = 291; VAR3 = 598; end 70 : begin VAR7 = 287; VAR3 = 606; end 71 : begin VAR7 = 283; VAR3 = 614; end 72 : begin VAR7 = 454; VAR3 = 380; end 73 : begin VAR7 = 441; VAR3 = 392; end 74 : begin VAR7 = 428; VAR3 = 403; end 75 : begin VAR7 = 417; VAR3 = 415; end 76 : begin VAR7 = 406; VAR3 = 426; end 77 : begin VAR7 = 396; VAR3 = 436; end 78 : begin VAR7 = 387; VAR3 = 447; end 79 : begin VAR7 = 379; VAR3 = 457; end 80 : begin VAR7 = 371; VAR3 = 467; end 81 : begin VAR7 = 363; VAR3 = 477; end 82 : begin VAR7 = 356; VAR3 = 486; end 83 : begin VAR7 = 350; VAR3 = 496; end 84 : begin VAR7 = 343; VAR3 = 505; end 85 : begin VAR7 = 337; VAR3 = 514; end 86 : begin VAR7 = 332; VAR3 = 523; end 87 : begin VAR7 = 326; VAR3 = 532; end 88 : begin VAR7 = 321; VAR3 = 541; end 89 : begin VAR7 = 316; VAR3 = 549; end 90 : begin VAR7 = 312; VAR3 = 558; end 91 : begin VAR7 = 307; VAR3 = 566; end 92 : begin VAR7 = 303; VAR3 = 574; end 93 : begin VAR7 = 299; VAR3 = 582; end 94 : begin VAR7 = 295; VAR3 = 590; end 95 : begin VAR7 = 291; VAR3 = 598; end 96 : begin VAR7 = 466; VAR3 = 370; end 97 : begin VAR7 = 452; VAR3 = 382; end 98 : begin VAR7 = 439; VAR3 = 393; end 99 : begin VAR7 = 428; VAR3 = 404; end 100 : begin VAR7 = 417; VAR3 = 415; end 101 : begin VAR7 = 407; VAR3 = 425; end 102 : begin VAR7 = 397; VAR3 = 436; end 103 : begin VAR7 = 389; VAR3 = 445; end 104 : begin VAR7 = 380; VAR3 = 455; end 105 : begin VAR7 = 373; VAR3 = 465; end 106 : begin VAR7 = 365; VAR3 = 474; end 107 : begin VAR7 = 359; VAR3 = 483; end 108 : begin VAR7 = 352; VAR3 = 492; end 109 : begin VAR7 = 346; VAR3 = 501; end 110 : begin VAR7 = 340; VAR3 = 510; end 111 : begin VAR7 = 335; VAR3 = 519; end 112 : begin VAR7 = 329; VAR3 = 527; end 113 : begin VAR7 = 324; VAR3 = 535; end 114 : begin VAR7 = 319; VAR3 = 543; end 115 : begin VAR7 = 315; VAR3 = 552; end 116 : begin VAR7 = 310; VAR3 = 559; end 117 : begin VAR7 = 306; VAR3 = 567; end 118 : begin VAR7 = 302; VAR3 = 575; end 119 : begin VAR7 = 298; VAR3 = 583; end 120 : begin VAR7 = 477; VAR3 = 361; end 121 : begin VAR7 = 463; VAR3 = 373; end 122 : begin VAR7 = 450; VAR3 = 384; end 123 : begin VAR7 = 438; VAR3 = 394; end 124 : begin VAR7 = 427; VAR3 = 405; end 125 : begin VAR7 = 417; VAR3 = 415; end 126 : begin VAR7 = 407; VAR3 = 425; end 127 : begin VAR7 = 398; VAR3 = 435; end 128 : begin VAR7 = 390; VAR3 = 444; end 129 : begin VAR7 = 382; VAR3 = 454; end 130 : begin VAR7 = 374; VAR3 = 463; end 131 : begin VAR7 = 367; VAR3 = 472; end 132 : begin VAR7 = 361; VAR3 = 480; end 133 : begin VAR7 = 354; VAR3 = 489; end 134 : begin VAR7 = 348; VAR3 = 498; end 135 : begin VAR7 = 343; VAR3 = 506; end 136 : begin VAR7 = 337; VAR3 = 514; end 137 : begin VAR7 = 332; VAR3 = 522; end 138 : begin VAR7 = 327; VAR3 = 530; end 139 : begin VAR7 = 323; VAR3 = 538; end 140 : begin VAR7 = 318; VAR3 = 546; end 141 : begin VAR7 = 314; VAR3 = 554; end 142 : begin VAR7 = 310; VAR3 = 561; end 143 : begin VAR7 = 306; VAR3 = 569; end 144 : begin VAR7 = 488; VAR3 = 353; end 145 : begin VAR7 = 474; VAR3 = 364; end 146 : begin VAR7 = 460; VAR3 = 375; end 147 : begin VAR7 = 448; VAR3 = 385; end 148 : begin VAR7 = 437; VAR3 = 396; end 149 : begin VAR7 = 426; VAR3 = 406; end 150 : begin VAR7 = 416; VAR3 = 415; end 151 : begin VAR7 = 407; VAR3 = 425; end 152 : begin VAR7 = 399; VAR3 = 434; end 153 : begin VAR7 = 391; VAR3 = 443; end 154 : begin VAR7 = 383; VAR3 = 452; end 155 : begin VAR7 = 376; VAR3 = 461; end 156 : begin VAR7 = 369; VAR3 = 469; end 157 : begin VAR7 = 363; VAR3 = 478; end 158 : begin VAR7 = 356; VAR3 = 486; end 159 : begin VAR7 = 351; VAR3 = 494; end 160 : begin VAR7 = 345; VAR3 = 502; end 161 : begin VAR7 = 340; VAR3 = 510; end 162 : begin VAR7 = 335; VAR3 = 518; end 163 : begin VAR7 = 330; VAR3 = 526; end 164 : begin VAR7 = 325; VAR3 = 533; end 165 : begin VAR7 = 321; VAR3 = 541; end 166 : begin VAR7 = 317; VAR3 = 548; end 167 : begin VAR7 = 313; VAR3 = 556; end 168 : begin VAR7 = 499; VAR3 = 345; end 169 : begin VAR7 = 484; VAR3 = 356; end 170 : begin VAR7 = 471; VAR3 = 367; end 171 : begin VAR7 = 458; VAR3 = 377; end 172 : begin VAR7 = 446; VAR3 = 387; end 173 : begin VAR7 = 436; VAR3 = 397; end 174 : begin VAR7 = 426; VAR3 = 406; end 175 : begin VAR7 = 416; VAR3 = 415; end 176 : begin VAR7 = 407; VAR3 = 424; end 177 : begin VAR7 = 399; VAR3 = 433; end 178 : begin VAR7 = 391; VAR3 = 442; end 179 : begin VAR7 = 384; VAR3 = 451; end 180 : begin VAR7 = 377; VAR3 = 459; end 181 : begin VAR7 = 371; VAR3 = 467; end 182 : begin VAR7 = 364; VAR3 = 476; end 183 : begin VAR7 = 358; VAR3 = 484; end 184 : begin VAR7 = 353; VAR3 = 491; end 185 : begin VAR7 = 347; VAR3 = 499; end 186 : begin VAR7 = 342; VAR3 = 507; end 187 : begin VAR7 = 337; VAR3 = 514; end 188 : begin VAR7 = 333; VAR3 = 522; end 189 : begin VAR7 = 328; VAR3 = 529; end 190 : begin VAR7 = 324; VAR3 = 536; end 191 : begin VAR7 = 320; VAR3 = 543; end 192 : begin VAR7 = 510; VAR3 = 338; end 193 : begin VAR7 = 494; VAR3 = 349; end 194 : begin VAR7 = 481; VAR3 = 359; end 195 : begin VAR7 = 468; VAR3 = 369; end 196 : begin VAR7 = 456; VAR3 = 379; end 197 : begin VAR7 = 445; VAR3 = 388; end 198 : begin VAR7 = 435; VAR3 = 398; end 199 : begin VAR7 = 425; VAR3 = 407; end 200 : begin VAR7 = 416; VAR3 = 416; end 201 : begin VAR7 = 408; VAR3 = 424; end 202 : begin VAR7 = 400; VAR3 = 433; end 203 : begin VAR7 = 392; VAR3 = 441; end 204 : begin VAR7 = 385; VAR3 = 449; end 205 : begin VAR7 = 378; VAR3 = 458; end 206 : begin VAR7 = 372; VAR3 = 465; end 207 : begin VAR7 = 366; VAR3 = 473; end 208 : begin VAR7 = 360; VAR3 = 481; end 209 : begin VAR7 = 355; VAR3 = 489; end 210 : begin VAR7 = 350; VAR3 = 496; end 211 : begin VAR7 = 344; VAR3 = 503; end 212 : begin VAR7 = 340; VAR3 = 511; end 213 : begin VAR7 = 335; VAR3 = 518; end 214 : begin VAR7 = 331; VAR3 = 525; end 215 : begin VAR7 = 326; VAR3 = 532; end 216 : begin VAR7 = 520; VAR3 = 331; end 217 : begin VAR7 = 505; VAR3 = 342; end 218 : begin VAR7 = 490; VAR3 = 352; end 219 : begin VAR7 = 477; VAR3 = 362; end 220 : begin VAR7 = 465; VAR3 = 371; end 221 : begin VAR7 = 454; VAR3 = 380; end 222 : begin VAR7 = 443; VAR3 = 390; end 223 : begin VAR7 = 434; VAR3 = 398; end 224 : begin VAR7 = 425; VAR3 = 407; end 225 : begin VAR7 = 416; VAR3 = 416; end 226 : begin VAR7 = 408; VAR3 = 424; end 227 : begin VAR7 = 400; VAR3 = 432; end 228 : begin VAR7 = 393; VAR3 = 440; end 229 : begin VAR7 = 386; VAR3 = 448; end 230 : begin VAR7 = 380; VAR3 = 456; end 231 : begin VAR7 = 373; VAR3 = 464; end 232 : begin VAR7 = 368; VAR3 = 471; end 233 : begin VAR7 = 362; VAR3 = 479; end 234 : begin VAR7 = 357; VAR3 = 486; end 235 : begin VAR7 = 351; VAR3 = 493; end 236 : begin VAR7 = 347; VAR3 = 500; end 237 : begin VAR7 = 342; VAR3 = 507; end 238 : begin VAR7 = 337; VAR3 = 514; end 239 : begin VAR7 = 333; VAR3 = 521; end 240 : begin VAR7 = 530; VAR3 = 325; end 241 : begin VAR7 = 514; VAR3 = 335; end 242 : begin VAR7 = 500; VAR3 = 345; end 243 : begin VAR7 = 486; VAR3 = 354; end 244 : begin VAR7 = 474; VAR3 = 364; end 245 : begin VAR7 = 463; VAR3 = 373; end 246 : begin VAR7 = 452; VAR3 = 382; end 247 : begin VAR7 = 442; VAR3 = 391; end 248 : begin VAR7 = 433; VAR3 = 399; end 249 : begin VAR7 = 424; VAR3 = 408; end 250 : begin VAR7 = 416; VAR3 = 416; end 251 : begin VAR7 = 408; VAR3 = 424; end 252 : begin VAR7 = 401; VAR3 = 432; end 253 : begin VAR7 = 394; VAR3 = 440; end 254 : begin VAR7 = 387; VAR3 = 447; end 255 : begin VAR7 = 381; VAR3 = 455; end 256 : begin VAR7 = 375; VAR3 = 462; end 257 : begin VAR7 = 369; VAR3 = 469; end 258 : begin VAR7 = 364; VAR3 = 477; end 259 : begin VAR7 = 358; VAR3 = 484; end 260 : begin VAR7 = 353; VAR3 = 491; end 261 : begin VAR7 = 348; VAR3 = 498; end 262 : begin VAR7 = 344; VAR3 = 504; end 263 : begin VAR7 = 339; VAR3 = 511; end 264 : begin VAR7 = 540; VAR3 = 319; end 265 : begin VAR7 = 524; VAR3 = 329; end 266 : begin VAR7 = 509; VAR3 = 338; end 267 : begin VAR7 = 496; VAR3 = 348; end 268 : begin VAR7 = 483; VAR3 = 357; end 269 : begin VAR7 = 471; VAR3 = 366; end 270 : begin VAR7 = 461; VAR3 = 375; end 271 : begin VAR7 = 450; VAR3 = 383; end 272 : begin VAR7 = 441; VAR3 = 392; end 273 : begin VAR7 = 432; VAR3 = 400; end 274 : begin VAR7 = 424; VAR3 = 408; end 275 : begin VAR7 = 416; VAR3 = 416; end 276 : begin VAR7 = 408; VAR3 = 424; end 277 : begin VAR7 = 401; VAR3 = 431; end 278 : begin VAR7 = 394; VAR3 = 439; end 279 : begin VAR7 = 388; VAR3 = 446; end 280 : begin VAR7 = 382; VAR3 = 453; end 281 : begin VAR7 = 376; VAR3 = 461; end 282 : begin VAR7 = 370; VAR3 = 468; end 283 : begin VAR7 = 365; VAR3 = 475; end 284 : begin VAR7 = 360; VAR3 = 481; end 285 : begin VAR7 = 355; VAR3 = 488; end 286 : begin VAR7 = 350; VAR3 = 495; end 287 : begin VAR7 = 346; VAR3 = 501; end 288 : begin VAR7 = 550; VAR3 = 313; end 289 : begin VAR7 = 533; VAR3 = 323; end 290 : begin VAR7 = 518; VAR3 = 332; end 291 : begin VAR7 = 505; VAR3 = 342; end 292 : begin VAR7 = 492; VAR3 = 351; end 293 : begin VAR7 = 480; VAR3 = 359; end 294 : begin VAR7 = 469; VAR3 = 368; end 295 : begin VAR7 = 459; VAR3 = 376; end 296 : begin VAR7 = 449; VAR3 = 385; end 297 : begin VAR7 = 440; VAR3 = 393; end 298 : begin VAR7 = 431; VAR3 = 401; end 299 : begin VAR7 = 423; VAR3 = 408; end 300 : begin VAR7 = 416; VAR3 = 416; end 301 : begin VAR7 = 408; VAR3 = 424; end 302 : begin VAR7 = 401; VAR3 = 431; end 303 : begin VAR7 = 395; VAR3 = 438; end 304 : begin VAR7 = 389; VAR3 = 445; end 305 : begin VAR7 = 383; VAR3 = 452; end 306 : begin VAR7 = 377; VAR3 = 459; end 307 : begin VAR7 = 372; VAR3 = 466; end 308 : begin VAR7 = 366; VAR3 = 473; end 309 : begin VAR7 = 361; VAR3 = 479; end 310 : begin VAR7 = 357; VAR3 = 486; end 311 : begin VAR7 = 352; VAR3 = 492; end 312 : begin VAR7 = 559; VAR3 = 308; end 313 : begin VAR7 = 543; VAR3 = 317; end 314 : begin VAR7 = 527; VAR3 = 327; end 315 : begin VAR7 = 513; VAR3 = 336; end 316 : begin VAR7 = 500; VAR3 = 344; end 317 : begin VAR7 = 488; VAR3 = 353; end 318 : begin VAR7 = 477; VAR3 = 362; end 319 : begin VAR7 = 467; VAR3 = 370; end 320 : begin VAR7 = 457; VAR3 = 378; end 321 : begin VAR7 = 447; VAR3 = 386; end 322 : begin VAR7 = 439; VAR3 = 394; end 323 : begin VAR7 = 431; VAR3 = 401; end 324 : begin VAR7 = 423; VAR3 = 409; end 325 : begin VAR7 = 415; VAR3 = 416; end 326 : begin VAR7 = 408; VAR3 = 423; end 327 : begin VAR7 = 402; VAR3 = 431; end 328 : begin VAR7 = 395; VAR3 = 438; end 329 : begin VAR7 = 389; VAR3 = 444; end 330 : begin VAR7 = 384; VAR3 = 451; end 331 : begin VAR7 = 378; VAR3 = 458; end 332 : begin VAR7 = 373; VAR3 = 465; end 333 : begin VAR7 = 368; VAR3 = 471; end 334 : begin VAR7 = 363; VAR3 = 478; end 335 : begin VAR7 = 358; VAR3 = 484; end 336 : begin VAR7 = 569; VAR3 = 302; end 337 : begin VAR7 = 552; VAR3 = 312; end 338 : begin VAR7 = 536; VAR3 = 321; end 339 : begin VAR7 = 522; VAR3 = 330; end 340 : begin VAR7 = 509; VAR3 = 339; end 341 : begin VAR7 = 497; VAR3 = 347; end 342 : begin VAR7 = 485; VAR3 = 356; end 343 : begin VAR7 = 474; VAR3 = 364; end 344 : begin VAR7 = 464; VAR3 = 372; end 345 : begin VAR7 = 455; VAR3 = 379; end 346 : begin VAR7 = 446; VAR3 = 387; end 347 : begin VAR7 = 438; VAR3 = 395; end 348 : begin VAR7 = 430; VAR3 = 402; end 349 : begin VAR7 = 422; VAR3 = 409; end 350 : begin VAR7 = 415; VAR3 = 416; end 351 : begin VAR7 = 409; VAR3 = 423; end 352 : begin VAR7 = 402; VAR3 = 430; end 353 : begin VAR7 = 396; VAR3 = 437; end 354 : begin VAR7 = 390; VAR3 = 444; end 355 : begin VAR7 = 384; VAR3 = 450; end 356 : begin VAR7 = 379; VAR3 = 457; end 357 : begin VAR7 = 374; VAR3 = 463; end 358 : begin VAR7 = 369; VAR3 = 469; end 359 : begin VAR7 = 364; VAR3 = 476; end 360 : begin VAR7 = 578; VAR3 = 297; end 361 : begin VAR7 = 561; VAR3 = 307; end 362 : begin VAR7 = 545; VAR3 = 316; end 363 : begin VAR7 = 531; VAR3 = 325; end 364 : begin VAR7 = 517; VAR3 = 333; end 365 : begin VAR7 = 505; VAR3 = 342; end 366 : begin VAR7 = 493; VAR3 = 350; end 367 : begin VAR7 = 482; VAR3 = 358; end 368 : begin VAR7 = 472; VAR3 = 366; end 369 : begin VAR7 = 462; VAR3 = 373; end 370 : begin VAR7 = 453; VAR3 = 381; end 371 : begin VAR7 = 445; VAR3 = 388; end 372 : begin VAR7 = 437; VAR3 = 395; end 373 : begin VAR7 = 429; VAR3 = 403; end 374 : begin VAR7 = 422; VAR3 = 410; end 375 : begin VAR7 = 415; VAR3 = 416; end 376 : begin VAR7 = 409; VAR3 = 423; end 377 : begin VAR7 = 402; VAR3 = 430; end 378 : begin VAR7 = 396; VAR3 = 436; end 379 : begin VAR7 = 391; VAR3 = 443; end 380 : begin VAR7 = 385; VAR3 = 449; end 381 : begin VAR7 = 380; VAR3 = 456; end 382 : begin VAR7 = 375; VAR3 = 462; end 383 : begin VAR7 = 370; VAR3 = 468; end 384 : begin VAR7 = 587; VAR3 = 293; end 385 : begin VAR7 = 570; VAR3 = 302; end 386 : begin VAR7 = 554; VAR3 = 311; end 387 : begin VAR7 = 539; VAR3 = 319; end 388 : begin VAR7 = 525; VAR3 = 328; end 389 : begin VAR7 = 513; VAR3 = 336; end 390 : begin VAR7 = 501; VAR3 = 344; end 391 : begin VAR7 = 490; VAR3 = 352; end 392 : begin VAR7 = 479; VAR3 = 360; end 393 : begin VAR7 = 470; VAR3 = 367; end 394 : begin VAR7 = 461; VAR3 = 375; end 395 : begin VAR7 = 452; VAR3 = 382; end 396 : begin VAR7 = 444; VAR3 = 389; end 397 : begin VAR7 = 436; VAR3 = 396; end 398 : begin VAR7 = 429; VAR3 = 403; end 399 : begin VAR7 = 422; VAR3 = 410; end 400 : begin VAR7 = 415; VAR3 = 417; end 401 : begin VAR7 = 409; VAR3 = 423; end 402 : begin VAR7 = 403; VAR3 = 430; end 403 : begin VAR7 = 397; VAR3 = 436; end 404 : begin VAR7 = 391; VAR3 = 442; end 405 : begin VAR7 = 386; VAR3 = 448; end 406 : begin VAR7 = 381; VAR3 = 455; end 407 : begin VAR7 = 376; VAR3 = 461; end 408 : begin VAR7 = 596; VAR3 = 288; end 409 : begin VAR7 = 578; VAR3 = 297; end 410 : begin VAR7 = 562; VAR3 = 306; end 411 : begin VAR7 = 547; VAR3 = 315; end 412 : begin VAR7 = 533; VAR3 = 323; end 413 : begin VAR7 = 520; VAR3 = 331; end 414 : begin VAR7 = 508; VAR3 = 339; end 415 : begin VAR7 = 497; VAR3 = 347; end 416 : begin VAR7 = 487; VAR3 = 354; end 417 : begin VAR7 = 477; VAR3 = 362; end 418 : begin VAR7 = 468; VAR3 = 369; end 419 : begin VAR7 = 459; VAR3 = 376; end 420 : begin VAR7 = 451; VAR3 = 383; end 421 : begin VAR7 = 443; VAR3 = 390; end 422 : begin VAR7 = 435; VAR3 = 397; end 423 : begin VAR7 = 428; VAR3 = 404; end 424 : begin VAR7 = 421; VAR3 = 410; end 425 : begin VAR7 = 415; VAR3 = 417; end 426 : begin VAR7 = 409; VAR3 = 423; end 427 : begin VAR7 = 403; VAR3 = 429; end 428 : begin VAR7 = 397; VAR3 = 435; end 429 : begin VAR7 = 392; VAR3 = 442; end 430 : begin VAR7 = 387; VAR3 = 448; end 431 : begin VAR7 = 382; VAR3 = 454; end 432 : begin VAR7 = 605; VAR3 = 284; end 433 : begin VAR7 = 587; VAR3 = 293; end 434 : begin VAR7 = 570; VAR3 = 302; end 435 : begin VAR7 = 555; VAR3 = 310; end 436 : begin VAR7 = 541; VAR3 = 318; end 437 : begin VAR7 = 528; VAR3 = 326; end 438 : begin VAR7 = 516; VAR3 = 334; end 439 : begin VAR7 = 505; VAR3 = 342; end 440 : begin VAR7 = 494; VAR3 = 349; end 441 : begin VAR7 = 484; VAR3 = 356; end 442 : begin VAR7 = 475; VAR3 = 364; end 443 : begin VAR7 = 466; VAR3 = 371; end 444 : begin VAR7 = 457; VAR3 = 378; end 445 : begin VAR7 = 449; VAR3 = 384; end 446 : begin VAR7 = 442; VAR3 = 391; end 447 : begin VAR7 = 435; VAR3 = 398; end 448 : begin VAR7 = 428; VAR3 = 404; end 449 : begin VAR7 = 421; VAR3 = 410; end 450 : begin VAR7 = 415; VAR3 = 417; end 451 : begin VAR7 = 409; VAR3 = 423; end 452 : begin VAR7 = 403; VAR3 = 429; end 453 : begin VAR7 = 398; VAR3 = 435; end 454 : begin VAR7 = 392; VAR3 = 441; end 455 : begin VAR7 = 387; VAR3 = 447; end 456 : begin VAR7 = 614; VAR3 = 280; end 457 : begin VAR7 = 595; VAR3 = 289; end 458 : begin VAR7 = 579; VAR3 = 297; end 459 : begin VAR7 = 563; VAR3 = 305; end 460 : begin VAR7 = 549; VAR3 = 314; end 461 : begin VAR7 = 536; VAR3 = 321; end 462 : begin VAR7 = 523; VAR3 = 329; end 463 : begin VAR7 = 512; VAR3 = 337; end 464 : begin VAR7 = 501; VAR3 = 344; end 465 : begin VAR7 = 491; VAR3 = 351; end 466 : begin VAR7 = 481; VAR3 = 358; end 467 : begin VAR7 = 472; VAR3 = 365; end 468 : begin VAR7 = 464; VAR3 = 372; end 469 : begin VAR7 = 456; VAR3 = 379; end 470 : begin VAR7 = 448; VAR3 = 385; end 471 : begin VAR7 = 441; VAR3 = 392; end 472 : begin VAR7 = 434; VAR3 = 398; end 473 : begin VAR7 = 427; VAR3 = 405; end 474 : begin VAR7 = 421; VAR3 = 411; end 475 : begin VAR7 = 415; VAR3 = 417; end 476 : begin VAR7 = 409; VAR3 = 423; end 477 : begin VAR7 = 403; VAR3 = 429; end 478 : begin VAR7 = 398; VAR3 = 435; end 479 : begin VAR7 = 393; VAR3 = 440; end 480 : begin VAR7 = 622; VAR3 = 276; end 481 : begin VAR7 = 604; VAR3 = 285; end 482 : begin VAR7 = 587; VAR3 = 293; end 483 : begin VAR7 = 571; VAR3 = 301; end 484 : begin VAR7 = 557; VAR3 = 309; end 485 : begin VAR7 = 543; VAR3 = 317; end 486 : begin VAR7 = 531; VAR3 = 325; end 487 : begin VAR7 = 519; VAR3 = 332; end 488 : begin VAR7 = 508; VAR3 = 339; end 489 : begin VAR7 = 498; VAR3 = 346; end 490 : begin VAR7 = 488; VAR3 = 353; end 491 : begin VAR7 = 479; VAR3 = 360; end 492 : begin VAR7 = 470; VAR3 = 367; end 493 : begin VAR7 = 462; VAR3 = 373; end 494 : begin VAR7 = 454; VAR3 = 380; end 495 : begin VAR7 = 447; VAR3 = 386; end 496 : begin VAR7 = 440; VAR3 = 393; end 497 : begin VAR7 = 433; VAR3 = 399; end 498 : begin VAR7 = 427; VAR3 = 405; end 499 : begin VAR7 = 421; VAR3 = 411; end 500 : begin VAR7 = 415; VAR3 = 417; end 501 : begin VAR7 = 409; VAR3 = 423; end 502 : begin VAR7 = 404; VAR3 = 429; end 503 : begin VAR7 = 398; VAR3 = 434; end 504 : begin VAR7 = 631; VAR3 = 272; end 505 : begin VAR7 = 612; VAR3 = 281; end 506 : begin VAR7 = 595; VAR3 = 289; end 507 : begin VAR7 = 579; VAR3 = 297; end 508 : begin VAR7 = 564; VAR3 = 305; end 509 : begin VAR7 = 551; VAR3 = 313; end 510 : begin VAR7 = 538; VAR3 = 320; end 511 : begin VAR7 = 526; VAR3 = 327; end 512 : begin VAR7 = 515; VAR3 = 335; end 513 : begin VAR7 = 505; VAR3 = 342; end 514 : begin VAR7 = 495; VAR3 = 348; end 515 : begin VAR7 = 485; VAR3 = 355; end 516 : begin VAR7 = 477; VAR3 = 362; end 517 : begin VAR7 = 468; VAR3 = 368; end 518 : begin VAR7 = 461; VAR3 = 375; end 519 : begin VAR7 = 453; VAR3 = 381; end 520 : begin VAR7 = 446; VAR3 = 387; end 521 : begin VAR7 = 439; VAR3 = 393; end 522 : begin VAR7 = 433; VAR3 = 399; end 523 : begin VAR7 = 426; VAR3 = 405; end 524 : begin VAR7 = 420; VAR3 = 411; end 525 : begin VAR7 = 415; VAR3 = 417; end 526 : begin VAR7 = 409; VAR3 = 423; end 527 : begin VAR7 = 404; VAR3 = 428; end 528 : begin VAR7 = 639; VAR3 = 269; end 529 : begin VAR7 = 620; VAR3 = 277; end 530 : begin VAR7 = 603; VAR3 = 285; end 531 : begin VAR7 = 586; VAR3 = 293; end 532 : begin VAR7 = 572; VAR3 = 301; end 533 : begin VAR7 = 558; VAR3 = 308; end 534 : begin VAR7 = 545; VAR3 = 316; end 535 : begin VAR7 = 533; VAR3 = 323; end 536 : begin VAR7 = 522; VAR3 = 330; end 537 : begin VAR7 = 511; VAR3 = 337; end 538 : begin VAR7 = 501; VAR3 = 344; end 539 : begin VAR7 = 492; VAR3 = 351; end 540 : begin VAR7 = 483; VAR3 = 357; end 541 : begin VAR7 = 475; VAR3 = 364; end 542 : begin VAR7 = 467; VAR3 = 370; end 543 : begin VAR7 = 459; VAR3 = 376; end 544 : begin VAR7 = 452; VAR3 = 382; end 545 : begin VAR7 = 445; VAR3 = 388; end 546 : begin VAR7 = 438; VAR3 = 394; end 547 : begin VAR7 = 432; VAR3 = 400; end 548 : begin VAR7 = 426; VAR3 = 406; end 549 : begin VAR7 = 420; VAR3 = 411; end 550 : begin VAR7 = 415; VAR3 = 417; end 551 : begin VAR7 = 409; VAR3 = 423; end 552 : begin VAR7 = 647; VAR3 = 265; end 553 : begin VAR7 = 628; VAR3 = 273; end 554 : begin VAR7 = 610; VAR3 = 281; end 555 : begin VAR7 = 594; VAR3 = 289; end 556 : begin VAR7 = 579; VAR3 = 297; end 557 : begin VAR7 = 565; VAR3 = 304; end 558 : begin VAR7 = 552; VAR3 = 312; end 559 : begin VAR7 = 540; VAR3 = 319; end 560 : begin VAR7 = 528; VAR3 = 326; end 561 : begin VAR7 = 518; VAR3 = 333; end 562 : begin VAR7 = 508; VAR3 = 339; end 563 : begin VAR7 = 498; VAR3 = 346; end 564 : begin VAR7 = 489; VAR3 = 352; end 565 : begin VAR7 = 481; VAR3 = 359; end 566 : begin VAR7 = 473; VAR3 = 365; end 567 : begin VAR7 = 465; VAR3 = 371; end 568 : begin VAR7 = 458; VAR3 = 377; end 569 : begin VAR7 = 451; VAR3 = 383; end 570 : begin VAR7 = 444; VAR3 = 389; end 571 : begin VAR7 = 438; VAR3 = 395; end 572 : begin VAR7 = 431; VAR3 = 401; end 573 : begin VAR7 = 426; VAR3 = 406; end 574 : begin VAR7 = 420; VAR3 = 412; end 575 : begin VAR7 = 414; VAR3 = 417; end endcase end endtask endmodule module MODULE1; reg VAR2; reg reset; reg[ VAR23 - 1 : 0 ]VAR13; reg[ VAR23 - 1 : 0 ]VAR39; reg[ VAR23 - 1 : 0 ]VAR34; reg[ VAR23 - 1 : 0 ]VAR24[ 0 : VAR31 - 1 ]; reg[ VAR23 - 1 : 0 ]VAR33[ 0 : VAR31 - 1 ]; reg[ VAR23 - 1 : 0 ]VAR29[ 0 : VAR31 - 1 ]; wire[ VAR8 - 1 : 0 ]VAR7; wire[ VAR8 - 1 : 0 ]VAR3; integer VAR4; MODULE2 VAR38 ( VAR2, reset, VAR13, VAR39, VAR34, VAR7, VAR3 ); begin begin begin begin begin
mit
bangonkali/quartus-sockit
soc_system/synthesis/submodules/soc_system_hps_0.v
30,548
module MODULE1 #( parameter VAR154 = 3, parameter VAR177 = 2 ) ( output wire VAR182, input wire VAR164, input wire [7:0] VAR138, input wire [31:0] VAR60, input wire [3:0] VAR82, input wire [2:0] VAR52, input wire [1:0] VAR168, input wire [1:0] VAR30, input wire [3:0] VAR19, input wire [2:0] VAR55, input wire VAR46, output wire VAR10, input wire [4:0] VAR50, input wire [7:0] VAR171, input wire [127:0] VAR159, input wire [15:0] VAR35, input wire VAR49, input wire VAR109, output wire VAR108, output wire [7:0] VAR68, output wire [1:0] VAR145, output wire VAR54, input wire VAR9, input wire [7:0] VAR186, input wire [31:0] VAR4, input wire [3:0] VAR122, input wire [2:0] VAR95, input wire [1:0] VAR166, input wire [1:0] VAR96, input wire [3:0] VAR62, input wire [2:0] VAR115, input wire VAR157, output wire VAR38, input wire [4:0] VAR119, output wire [7:0] VAR48, output wire [127:0] VAR176, output wire [1:0] VAR140, output wire VAR91, output wire VAR125, input wire VAR90, input wire VAR169, output wire [11:0] VAR188, output wire [20:0] VAR130, output wire [3:0] VAR155, output wire [2:0] VAR94, output wire [1:0] VAR106, output wire [1:0] VAR1, output wire [3:0] VAR99, output wire [2:0] VAR181, output wire VAR7, input wire VAR139, output wire [11:0] VAR22, output wire [31:0] VAR111, output wire [3:0] VAR144, output wire VAR184, output wire VAR2, input wire VAR59, input wire [11:0] VAR110, input wire [1:0] VAR6, input wire VAR85, output wire VAR124, output wire [11:0] VAR114, output wire [20:0] VAR172, output wire [3:0] VAR75, output wire [2:0] VAR192, output wire [1:0] VAR173, output wire [1:0] VAR67, output wire [3:0] VAR51, output wire [2:0] VAR87, output wire VAR13, input wire VAR129, input wire [11:0] VAR163, input wire [31:0] VAR23, input wire [1:0] VAR36, input wire VAR167, input wire VAR147, output wire VAR148, input wire VAR190, output wire [11:0] VAR11, output wire [29:0] VAR121, output wire [3:0] VAR33, output wire [2:0] VAR162, output wire [1:0] VAR58, output wire [1:0] VAR105, output wire [3:0] VAR80, output wire [2:0] VAR132, output wire VAR100, input wire VAR44, output wire [11:0] VAR101, output wire [63:0] VAR78, output wire [7:0] VAR185, output wire VAR28, output wire VAR41, input wire VAR183, input wire [11:0] VAR118, input wire [1:0] VAR175, input wire VAR116, output wire VAR84, output wire [11:0] VAR72, output wire [29:0] VAR63, output wire [3:0] VAR117, output wire [2:0] VAR56, output wire [1:0] VAR141, output wire [1:0] VAR83, output wire [3:0] VAR24, output wire [2:0] VAR149, output wire VAR113, input wire VAR70, input wire [11:0] VAR136, input wire [63:0] VAR5, input wire [1:0] VAR76, input wire VAR123, input wire VAR31, output wire VAR152, input wire [31:0] VAR26, input wire [31:0] VAR137, output wire [14:0] VAR112, output wire [2:0] VAR187, output wire VAR45, output wire VAR179, output wire VAR18, output wire VAR29, output wire VAR32, output wire VAR92, output wire VAR120, output wire VAR81, inout wire [31:0] VAR64, inout wire [3:0] VAR150, inout wire [3:0] VAR27, output wire VAR40, output wire [3:0] VAR12, input wire VAR66, output wire VAR131, output wire VAR170, output wire VAR158, output wire VAR153, output wire VAR103, input wire VAR98, inout wire VAR71, output wire VAR25, input wire VAR102, output wire VAR53, input wire VAR14, input wire VAR180, input wire VAR97, input wire VAR77, inout wire VAR42, inout wire VAR93, inout wire VAR126, inout wire VAR104, output wire VAR160, output wire VAR178, inout wire VAR127, inout wire VAR133, inout wire VAR161, output wire VAR37, inout wire VAR74, inout wire VAR156, inout wire VAR47, inout wire VAR17, inout wire VAR89, inout wire VAR174, inout wire VAR8, inout wire VAR65, inout wire VAR73, inout wire VAR191, input wire VAR15, output wire VAR142, input wire VAR151, input wire VAR86, output wire VAR193, output wire VAR3, input wire VAR134, output wire VAR21, output wire VAR189, output wire VAR16, input wire VAR20, output wire VAR39, input wire VAR61, output wire VAR194, inout wire VAR135, inout wire VAR43, inout wire VAR79, inout wire VAR143, inout wire VAR165, inout wire VAR128, inout wire VAR88, inout wire VAR69, inout wire VAR107, inout wire VAR34, inout wire VAR57, inout wire VAR146 ); generate if (VAR154 != 3) begin begin
mit
ptracton/pmodacl2
soc/uart_pb/pb_uart_regs.v
5,160
module MODULE1 ( VAR22, interrupt, VAR25, VAR21, VAR13, enable, VAR23, clk, reset, VAR27, VAR7, VAR4, VAR14, VAR8, VAR28, VAR2, VAR1, VAR15, VAR26, VAR29 ) ; input clk; input reset; input [7:0] VAR27; input [7:0] VAR7; output [7:0] VAR22; input VAR4; input VAR14; output interrupt; output VAR25; output [7:0] VAR21; output VAR13; input [7:0] VAR8; input VAR28; input VAR2; input VAR1; input VAR15; input VAR26; input VAR29; output enable; output [15:0] VAR23; parameter VAR3 = 8'h00; wire VAR5 = (VAR27 == (VAR3 + 0)); wire VAR24 = (VAR27 == (VAR3 + 0)); wire VAR18 = (VAR27 == (VAR3 + 1)); wire VAR9 = (VAR27 == (VAR3 + 2)); wire VAR10 = (VAR27 == (VAR3 + 3)); wire VAR17 = (VAR27 == (VAR3 + 4)); wire VAR16 = (VAR27 == (VAR3 + 5)); wire VAR6 = (VAR27 == (VAR3 + 6)); reg [7:0] VAR12 = 8'h00; reg [2:0] VAR11 = 3'h0; reg [2:0] VAR20 = 3'h0; reg [15:0] VAR23 = 16'h0000; reg VAR13 = 1'b0; reg VAR25 = 1'b0; reg [7:0] VAR21 = 8'h00; reg [7:0] VAR19 = 8'h00; reg [7:0] VAR22 = 8'h00; reg interrupt = 1'b0; always @(posedge clk) if (reset) begin interrupt <= 0; end else begin interrupt <= VAR28; end always @(posedge clk) if (reset) begin VAR25 <= 0; VAR21 <= 0; VAR12 <= 0; VAR11 <= 0; VAR23 <= 0; end else if (VAR14 == 1'b1) begin if (VAR5) begin VAR21 <= VAR7; VAR25 <= 1'b1; end if (VAR18) begin VAR12 <= VAR7; end if (VAR10) begin VAR11 <= VAR7[2:0]; end if (VAR16) begin VAR23[7:0] <= VAR7; end if (VAR6) begin VAR23[15:8] <= VAR7; end end else begin VAR25 <= 1'b0; end always @(posedge clk) if (reset) begin VAR22 <= 0; VAR13 <= 0; end else begin if (VAR24) begin VAR22 <= VAR8; VAR13 <= 1'b1; end else if (VAR18) begin VAR22 <= VAR12; end else if (VAR9) begin VAR22 <= {2'b00, VAR29, VAR26, VAR15, VAR1, VAR2, VAR28}; end else if (VAR10) begin VAR22 <= {5'b0, VAR11}; end else if (VAR17) begin VAR22 <= {5'b0, VAR20}; end else if (VAR16) begin VAR22 <= VAR23[7:0]; end else if (VAR6) begin VAR22 <= VAR23[15:8]; end else begin VAR22 <= 8'h00; VAR13 <= 1'b0; end end endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi222/gf180mcu_fd_sc_mcu9t5v0__aoi222_2.functional.pp.v
3,028
module MODULE1( VAR24, VAR29, VAR20, VAR19, VAR10, VAR30, VAR28, VAR37, VAR14 ); input VAR30, VAR28, VAR10, VAR19, VAR24, VAR20; inout VAR37, VAR14; output VAR29; wire VAR1; not VAR6( VAR1, VAR30 ); wire VAR31; not VAR12( VAR31, VAR10 ); wire VAR16; not VAR22( VAR16, VAR24 ); wire VAR35; and VAR7( VAR35, VAR1, VAR31, VAR16 ); wire VAR11; not VAR15( VAR11, VAR20 ); wire VAR2; and VAR21( VAR2, VAR1, VAR31, VAR11 ); wire VAR9; not VAR13( VAR9, VAR19 ); wire VAR25; and VAR3( VAR25, VAR1, VAR9, VAR16 ); wire VAR26; and VAR36( VAR26, VAR1, VAR9, VAR11 ); wire VAR34; not VAR33( VAR34, VAR28 ); wire VAR32; and VAR38( VAR32, VAR34, VAR31, VAR16 ); wire VAR4; and VAR8( VAR4, VAR34, VAR31, VAR11 ); wire VAR23; and VAR18( VAR23, VAR34, VAR9, VAR16 ); wire VAR27; and VAR5( VAR27, VAR34, VAR9, VAR11 ); or VAR17( VAR29, VAR35, VAR2, VAR25, VAR26, VAR32, VAR4, VAR23, VAR27 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor3b/sky130_fd_sc_lp__nor3b.behavioral.v
1,513
module MODULE1 ( VAR3 , VAR2 , VAR13 , VAR6 ); output VAR3 ; input VAR2 ; input VAR13 ; input VAR6; supply1 VAR5; supply0 VAR12; supply1 VAR11 ; supply0 VAR7 ; wire VAR10 ; wire VAR8; nor VAR9 (VAR10 , VAR2, VAR13 ); and VAR1 (VAR8, VAR6, VAR10 ); buf VAR4 (VAR3 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlybuf4s50kapwr/sky130_fd_sc_lp__dlybuf4s50kapwr.behavioral.v
1,493
module MODULE1 ( VAR2, VAR10 ); output VAR2; input VAR10; supply1 VAR8 ; supply0 VAR5 ; supply1 VAR3; supply1 VAR4 ; supply0 VAR9 ; wire VAR7; buf VAR1 (VAR7, VAR10 ); buf VAR6 (VAR2 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a2bb2oi/sky130_fd_sc_hs__a2bb2oi_1.v
2,350
module MODULE1 ( VAR4 , VAR1, VAR3, VAR8 , VAR6 , VAR5, VAR7 ); output VAR4 ; input VAR1; input VAR3; input VAR8 ; input VAR6 ; input VAR5; input VAR7; VAR2 VAR9 ( .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8), .VAR6(VAR6), .VAR5(VAR5), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR4 , VAR1, VAR3, VAR8 , VAR6 ); output VAR4 ; input VAR1; input VAR3; input VAR8 ; input VAR6 ; supply1 VAR5; supply0 VAR7; VAR2 VAR9 ( .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfxbp/sky130_fd_sc_hs__sdfxbp_2.v
2,316
module MODULE1 ( VAR5 , VAR1 , VAR4 , VAR8 , VAR7 , VAR10 , VAR9, VAR3 ); input VAR5 ; input VAR1 ; output VAR4 ; output VAR8 ; input VAR7 ; input VAR10 ; input VAR9; input VAR3; VAR2 VAR6 ( .VAR5(VAR5), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR7(VAR7), .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR5, VAR1 , VAR4 , VAR8, VAR7, VAR10 ); input VAR5; input VAR1 ; output VAR4 ; output VAR8; input VAR7; input VAR10; supply1 VAR9; supply0 VAR3; VAR2 VAR6 ( .VAR5(VAR5), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR7(VAR7), .VAR10(VAR10) ); endmodule
apache-2.0
chriswynnyk/american-put-verilog
american_put_cyclone/src/fp_mult_slow.v
30,031
module MODULE1 ( VAR58, VAR1, VAR45, VAR3, VAR49) ; input VAR58; input VAR1; input [63:0] VAR45; input [63:0] VAR3; output [63:0] VAR49; reg VAR33; reg VAR29; reg VAR31; reg VAR61; reg VAR42; reg VAR48; reg VAR5; reg VAR6; reg [12:0] VAR19; reg [12:0] VAR20; reg VAR60; reg VAR39; reg [11:0] VAR36; reg [10:0] VAR10; reg VAR55; reg VAR38; reg VAR34; reg VAR59; reg VAR52; reg VAR57; reg VAR12; reg VAR4; reg VAR25; reg VAR43; reg [51:0] VAR37; reg [52:0] VAR23; reg [53:0] VAR32; reg VAR27; reg [0:0] VAR64; reg [0:0] VAR41; reg [0:0] VAR24; reg [0:0] VAR30; reg [0:0] VAR50; reg VAR56; wire [11:0] VAR53; wire [12:0] VAR47; wire [12:0] VAR17; wire [53:0] VAR54; wire [105:0] VAR11; wire VAR28; wire [12:0] VAR21; wire [10:0] VAR13; wire [10:0] VAR22; wire [51:0] VAR63; wire [10:0] VAR46; wire [10:0] VAR40; wire [51:0] VAR26; wire VAR51; wire VAR35; wire [12:0] VAR7; wire [10:0] VAR8; wire VAR44; wire [52:0] VAR9; wire [53:0] VAR15; wire [10:0] VAR16; wire [11:0] VAR18; wire VAR62; wire VAR2; wire [51:0] VAR14;
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v
16,462
\ module MODULE1 \ (input VAR4 \ , input VAR5 \ , input VAR24 \ , input [VAR26-1:0] VAR20 \ , output [VAR26-1:0] VAR33 \ , output [VAR26-1:0] VAR7 \ ); \ \ \ genvar VAR45; \ \ logic [VAR26-1:0] VAR2; \ assign VAR33 = VAR2; \ \ VAR43 @(VAR22 VAR4) \ begin \ \ if (VAR5) \ VAR2 <= {VAR26{1'b0}}; \ end else \ VAR2 <= VAR20; \ end \ \ logic [VAR26-1:0] VAR35; \ \ assign VAR7 = VAR35; \ \ for (VAR45 = 0; VAR45 < VAR26; VAR45++) \ begin \ VAR18 VAR32 \ (.VAR25 (VAR2[VAR45]) \ ,.VAR6 (VAR24) \ ,.VAR39 (1'b0) \ ,.VAR23 (1'b0) \ ,.VAR15 (VAR35[VAR45]) \ ); \ end \ endmodule \ module MODULE2 \ (input VAR4 \ , input VAR5 \ , input VAR24 \ , input [VAR26-1:0] VAR20 \ , output [VAR26-1:0] VAR33 \ , output [VAR26-1:0] VAR7 \ ); \ \ \ genvar VAR45; \ \ logic [VAR26-1:0] VAR2; \ assign VAR33 = VAR2; \ \ VAR43 @(VAR22 VAR4 or posedge VAR5) \ begin : VAR1 \ \ if (VAR5) \ VAR2 <= {VAR26{1'b0}}; \ end else \ VAR2 <= VAR20; \ end \ \ logic [VAR26-1:0] VAR3; \ logic [VAR26-1:0] VAR35; \ \ assign VAR7 = VAR35; \ \ for (VAR45 = 0; VAR45 < VAR26; VAR45++) \ begin : VAR8 \ VAR47 VAR32 \ (.VAR25 (VAR2[VAR45]) \ ,.VAR6 (VAR24) \ ,.VAR9 (~VAR5) \ ,.VAR39 (1'b0) \ ,.VAR23 (1'b0) \ ,.VAR15 (VAR35[VAR45]) \ ); \ end \ endmodule VAR28 VAR34 \ (.VAR4 \ ,.VAR5 \ ,.VAR24 \ ,.VAR20(VAR20[VAR17-1-:VAR38]) \ ,.VAR33(VAR33[VAR17-1-:VAR38]) \ ,.VAR7(VAR7[VAR17-1-:VAR38]) \ ); end VAR42 VAR34 \ (.VAR4 \ ,.VAR5 \ ,.VAR24 \ ,.VAR20(VAR20[VAR17-1-:VAR38]) \ ,.VAR33(VAR33[VAR17-1-:VAR38]) \ ,.VAR7(VAR7[VAR17-1-:VAR38]) \ ); end module MODULE3 #(parameter VAR16(VAR17) , parameter VAR13 = 0 , parameter VAR10 = 0) (input VAR4 , input VAR5 , input VAR24 , input [VAR17-1:0] VAR20 , output [VAR17-1:0] VAR33 , output [VAR17-1:0] VAR7 ); VAR30 assert (VAR5 !== 'VAR44) else begin ("%VAR14 VAR46 VAR37 VAR40 VAR41"); end genvar VAR45; if (VAR10 == 0) begin: sync if (VAR13) begin: VAR21 for (VAR45 = 0; VAR45 < (VAR17/VAR36); VAR45 = VAR45 + 1) begin : VAR27 VAR19 VAR34 (.VAR4 ,.VAR5 ,.VAR24 ,.VAR20(VAR20[VAR45*VAR36+:VAR36]) ,.VAR33(VAR33[VAR45*VAR36+:VAR36]) ,.VAR7(VAR7[VAR45*VAR36+:VAR36]) ); end end else begin: VAR12 for (VAR45 = 0; VAR45 < (VAR17/VAR36); VAR45 = VAR45 + 1) begin : VAR27 VAR11 VAR34 (.VAR4 ,.VAR5 ,.VAR24 ,.VAR20(VAR20[VAR45*VAR36+:VAR36]) ,.VAR33(VAR33[VAR45*VAR36+:VAR36]) ,.VAR7(VAR7[VAR45*VAR36+:VAR36]) ); end end end else begin: async if (VAR13) begin: VAR21 for (VAR45 = 0; VAR45 < (VAR17/VAR36); VAR45 = VAR45 + 1) begin : VAR27 VAR31 VAR34 (.VAR4 ,.VAR5 ,.VAR24 ,.VAR20(VAR20[VAR45*VAR36+:VAR36]) ,.VAR33(VAR33[VAR45*VAR36+:VAR36]) ,.VAR7(VAR7[VAR45*VAR36+:VAR36]) ); end end else begin: VAR12 for (VAR45 = 0; VAR45 < (VAR17/VAR36); VAR45 = VAR45 + 1) begin : VAR27 VAR29 VAR34 (.VAR4 ,.VAR5 ,.VAR24 ,.VAR20(VAR20[VAR45*VAR36+:VAR36]) ,.VAR33(VAR33[VAR45*VAR36+:VAR36]) ,.VAR7(VAR7[VAR45*VAR36+:VAR36]) ); end end end endmodule
bsd-3-clause
ShepardSiegel/ocpi
coregen/dram_k7_mig11/mig_7series_v1_1/user_design/rtl/phy/mc_phy_wrapper.v
44,770
module MODULE1 # ( parameter VAR248 = 100, parameter VAR130 = 2500, parameter VAR333 = "VAR16", parameter VAR309 = 4, parameter VAR297 = 1, parameter VAR182 = 3, parameter VAR178 = 1, parameter VAR10 = 1, parameter VAR186 = "VAR294", parameter VAR58 = 8, parameter VAR321 = 16, parameter VAR256 = 3, parameter VAR296 = 8, parameter VAR331 = "VAR142", parameter VAR107 = 4, parameter VAR215 = "VAR245", parameter VAR266 = 16, parameter VAR308 = 1, parameter VAR241 = 1, parameter VAR116 = "VAR245", parameter VAR192 = "VAR157", parameter VAR324 = 4'hc, parameter VAR255 = 4'hf, parameter VAR261 = 4'hf, parameter VAR214 = 4'hf, parameter VAR64 = 4'hf, parameter VAR77 = 4'b1111, parameter VAR34 = 4'b0000, parameter VAR36 = 4'b0000, parameter VAR94 = 4'b0000, parameter VAR106 = 4'b0000, parameter VAR81 = 48'h000000000000, parameter VAR326 = 48'h000000000000, parameter VAR237 = 48'h000000000000, parameter VAR82 = 3, parameter VAR199 = 12, parameter VAR11 = 144'h000000000000000000000000000000000000, parameter VAR302 = 192'h000000000000000000000000000000000000000000000000, parameter VAR23 = 36'h000000000, parameter VAR247 = 12'h000, parameter VAR80 = 8'h00, parameter VAR73 = 120'h000000000000000000000000000000, parameter VAR202 = 12'h000, parameter VAR102 = 12'h000, parameter VAR299 = 12'h000, parameter VAR69 = 144'h000000000000000000000000000000000000, parameter VAR146 = 96'h000000000000000000000000, parameter VAR288 = 96'h000000000000000000000000, parameter VAR123 = 96'h000000000000000000000000, parameter VAR24 = 96'h000000000000000000000000, parameter VAR195 = 96'h000000000000000000000000, parameter VAR162 = 96'h000000000000000000000000, parameter VAR246 = 96'h000000000000000000000000, parameter VAR159 = 96'h000000000000000000000000, parameter VAR37 = 96'h000000000000000000000000, parameter VAR145 = 96'h000000000000000000000000, parameter VAR304 = 96'h000000000000000000000000, parameter VAR137 = 96'h000000000000000000000000, parameter VAR312 = 96'h000000000000000000000000, parameter VAR295 = 96'h000000000000000000000000, parameter VAR120 = 96'h000000000000000000000000, parameter VAR71 = 96'h000000000000000000000000, parameter VAR17 = 96'h000000000000000000000000, parameter VAR274 = 96'h000000000000000000000000, parameter VAR250 = 108'h000000000000000000000000000, parameter VAR67 = 108'h000000000000000000000000000 ) ( input rst, input clk, input VAR55, input VAR279, input VAR165, input VAR193, input VAR216, input VAR272, input VAR140, input [31:0] VAR228, input VAR289, input [3:0] VAR234, input [3:0] VAR318, output VAR204, output VAR49, output VAR86, output VAR232, output [1:0] VAR38, output VAR72, input VAR95, input VAR12, input VAR200, input [5:0] VAR207, input [VAR82-1:0] VAR144, input VAR114, input VAR163, input VAR128, input VAR315, input VAR268, input VAR231, input [8:0] VAR270, input VAR90, input VAR96, input VAR115, input VAR42, input [5:0] VAR191, output VAR6, output VAR300, output VAR53, output VAR284, output VAR155, input VAR253, input [VAR309*VAR266-1:0] VAR126, input [VAR309*VAR182-1:0] VAR275, input [VAR309-1:0] VAR305, input [VAR10*VAR297*VAR309-1:0] VAR211, input [VAR309-1:0] VAR281, input [VAR309-1:0] VAR259, input [VAR309-1:0] VAR244, input [2*VAR309*VAR321-1:0] VAR227, input [2*VAR309*(VAR321/8)-1:0] VAR219, output [2*VAR309*VAR321-1:0] VAR314, output [VAR266-1:0] VAR139, output [VAR182-1:0] VAR147, output VAR190, output [VAR178-1:0] VAR252, output [VAR10*VAR297-1:0] VAR45, output [VAR58-1:0] VAR153, output [VAR107-1:0] VAR20, output VAR243, output VAR132, output VAR74, inout [VAR321-1:0] VAR217, inout [VAR296-1:0] VAR43, inout [VAR296-1:0] VAR119 ); localparam VAR184 = (VAR116 == "VAR245") ? "VAR157" : ((VAR116 == "VAR282") ? "VAR70" : "VAR167"); localparam VAR164 = VAR321 / VAR296; localparam VAR173 = 2*VAR309; localparam VAR1 = 4 / VAR309; localparam VAR57 = {VAR274[12*VAR164-1:0], VAR17[12*VAR164-1:0], VAR71[12*VAR164-1:0], VAR120[12*VAR164-1:0], VAR295[12*VAR164-1:0], VAR312[12*VAR164-1:0], VAR137[12*VAR164-1:0], VAR304[12*VAR164-1:0], VAR145[12*VAR164-1:0], VAR37[12*VAR164-1:0], VAR159[12*VAR164-1:0], VAR246[12*VAR164-1:0], VAR162[12*VAR164-1:0], VAR195[12*VAR164-1:0], VAR24[12*VAR164-1:0], VAR123[12*VAR164-1:0], VAR288[12*VAR164-1:0], VAR146[12*VAR164-1:0]}; localparam VAR124 = {VAR67, VAR250}; localparam VAR98 = (VAR11[7:4]); localparam VAR238 = (VAR98 != 0) ? "VAR225" : ((VAR11[1:0] == 2'b00) ? "VAR4" : ((VAR11[1:0] == 2'b01) ? "VAR7" : ((VAR11[1:0] == 2'b10) ? "VAR32" : "VAR48"))); localparam VAR8 = (VAR98 != 1) ? "VAR225" : ((VAR11[1:0] == 2'b00) ? "VAR4" : ((VAR11[1:0] == 2'b01) ? "VAR7" : ((VAR11[1:0] == 2'b10) ? "VAR32" : "VAR48"))); localparam VAR41 = (VAR98 != 2) ? "VAR225" : ((VAR11[1:0] == 2'b00) ? "VAR4" : ((VAR11[1:0] == 2'b01) ? "VAR7" : ((VAR11[1:0] == 2'b10) ? "VAR32" : "VAR48"))); function [143:0] VAR263; input [215:0] VAR329; integer VAR313; begin VAR263 = 'b0; for (VAR313 = 0; VAR313 < VAR58; VAR313 = VAR313 + 1) VAR263[48*VAR329[(12*VAR313+8)+:3] + 12*VAR329[(12*VAR313+4)+:2] + VAR329[12*VAR313+:4]] = 1'b1; end endfunction localparam VAR218 = VAR263(VAR124); localparam VAR66 = VAR218[47:0]; localparam VAR251 = VAR218[95:48]; localparam VAR208 = VAR218[143:96]; localparam VAR254 = (VAR80[7:4] == 4'h0) ? 0 : ((VAR80[7:4] == 4'h1) ? 1 : ((VAR80[7:4] == 4'h2) ? 2 : ((VAR80[7:4] == 4'h3) ? 3 : ((VAR80[7:4] == 4'h4) ? 4 : -1)))); localparam VAR205 = (VAR80[3:0] == 4'h0) ? "VAR4" : ((VAR80[3:0] == 4'h1) ? "VAR7" : ((VAR80[3:0] == 4'h2) ? "VAR32" : ((VAR80[3:0] == 4'h3) ? "VAR48" : "VAR167"))); localparam VAR154 = "VAR70"; localparam VAR104 = VAR130 > 2500 ? "VAR188" : "VAR323"; localparam real VAR30 = 1.0/((VAR130/(VAR104 == "VAR188" ? 2 : 1) / 1000.0) / 1000) ; localparam real VAR169 = VAR30/10000.0 + 0.4548; localparam real VAR226 = ((0.25 * (VAR104 == "VAR188" ? 2 : 1)) - VAR169 - (VAR154 == "VAR70" ? 1 : 0) * 0.5) ; localparam real VAR180 = (VAR226 + (VAR226 < 0 )+0) * 64; localparam real VAR134 = VAR180 + ((VAR130 < 1900 ) || VAR130 > 3000) ; localparam real VAR298 = (1 + 0.3); localparam real VAR222 = (VAR130 < 1000) ? 0.708 : ((VAR130 < 1100) ? 0.748 : ((VAR130 < 1300) ? 0.742 : ((VAR130 < 1600) ? 0.709 : ((VAR130 < 2500) ? 0.637 : 0.425)))); localparam real VAR99 = (VAR154 == "VAR70") ? 0.5 : 0; localparam real VAR59 = (VAR298 - VAR222 - VAR99) * 100; localparam integer VAR181 = VAR134 + 0.5; localparam VAR87 = (VAR130 < 1000) ? 0 : ((VAR130 < 1330) ? 1 : ((VAR130 < 2300) ? 3 : ((VAR130 < 2500) ? 5 : 6))); localparam VAR111 = 10; localparam VAR235 = 10; localparam VAR151 = 10; localparam VAR158 = 10; localparam VAR327 = 10; localparam VAR187 = 10; localparam VAR2 = 10; localparam VAR113 = 10; wire [((VAR199+3)/4)*4-1:0] VAR201; wire [VAR199-1:0] VAR285; wire [VAR199-1:0] VAR283; wire [VAR199-1:0] VAR301; wire [VAR199*10-1:0] VAR160; wire [VAR199*12-1:0] VAR325; wire [VAR199*12-1:0] VAR21; wire [VAR321-1:0] VAR33; wire [VAR296-1:0] VAR85; wire [VAR266-1:0] VAR51; wire [VAR182-1:0] VAR316; wire VAR293; wire [VAR10*VAR297-1:0] VAR213; wire [VAR58-1:0] VAR330; wire [VAR321-1:0] VAR175; wire [VAR296-1:0] VAR271; wire VAR103; wire VAR15; wire VAR264; wire [VAR199*80-1:0] VAR172; wire [VAR199*80-1:0] VAR88; wire [VAR58-1:0] VAR206; wire [VAR321-1:0] VAR47; wire [VAR296-1:0] VAR328; generate if (VAR107 == 1) begin : VAR260 assign VAR252 = VAR201[0]; if (VAR241 == 1) begin: VAR46 assign VAR20 = VAR201[1]; end else begin assign VAR20 = 1'b0; end end else begin: VAR276 assign VAR252 = {VAR201[2],VAR201[0]}; if (VAR241 == 1) begin: VAR46 assign VAR20 = {VAR201[3],VAR201[1]}; end else begin assign VAR20 = 2'b00; end end endgenerate generate genvar VAR131, VAR262; for (VAR131 = 0; VAR131 < VAR321; VAR131 = VAR131 + 1) begin: VAR65 for (VAR262 = 0; VAR262 < VAR173; VAR262 = VAR262 + 1) begin: VAR233 assign VAR314[VAR321*VAR262 + VAR131] = VAR172[(320*VAR57[(12*VAR131+8)+:3]+ 80*VAR57[(12*VAR131+4)+:2] + 8*VAR57[12*VAR131+:4]) + VAR262]; end end endgenerate assign VAR293 = VAR325[48*VAR247[10:8] + 12*VAR247[5:4] + VAR247[3:0]]; generate if (VAR247[3:0] < 4'hA) begin: VAR170 assign VAR88[(320*VAR247[10:8] + 80*VAR247[5:4] + 8*VAR247[3:0])+:4] = {VAR305[3/VAR1], VAR305[2/VAR1], VAR305[1/VAR1], VAR305[0]}; end else begin: VAR166 assign VAR88[(320*VAR247[10:8] + 80*VAR247[5:4] + 8*(VAR247[3:0]-5) + 4)+:4] = {VAR305[3/VAR1], VAR305[2/VAR1], VAR305[1/VAR1], VAR305[0]}; end endgenerate assign VAR15 = VAR325[48*VAR102[10:8] + 12*VAR102[5:4] + VAR102[3:0]]; generate if (VAR102[3:0] < 4'hA) begin: VAR286 assign VAR88[(320*VAR102[10:8] + 80*VAR102[5:4] + 8*VAR102[3:0])+:4] = {VAR281[3/VAR1], VAR281[2/VAR1], VAR281[1/VAR1], VAR281[0]}; end else begin: VAR278 assign VAR88[(320*VAR102[10:8] + 80*VAR102[5:4] + 8*(VAR102[3:0]-5) + 4)+:4] = {VAR281[3/VAR1], VAR281[2/VAR1], VAR281[1/VAR1], VAR281[0]}; end endgenerate assign VAR264 = VAR325[48*VAR299[10:8] + 12*VAR299[5:4] + VAR299[3:0]]; generate if (VAR299[3:0] < 4'hA) begin: VAR136 assign VAR88[(320*VAR299[10:8] + 80*VAR299[5:4] + 8*VAR299[3:0])+:4] = {VAR259[3/VAR1], VAR259[2/VAR1], VAR259[1/VAR1], VAR259[0]}; end else begin: VAR18 assign VAR88[(320*VAR299[10:8] + 80*VAR299[5:4] + 8*(VAR299[3:0]-5) + 4)+:4] = {VAR259[3/VAR1], VAR259[2/VAR1], VAR259[1/VAR1], VAR259[0]}; end endgenerate generate if ((VAR331 == "VAR142") && (VAR215 == "VAR282")) begin: VAR92 assign VAR103 = VAR325[48*VAR202[10:8] + 12*VAR202[5:4] + VAR202[3:0]]; if (VAR202[3:0] < 4'hA) begin: VAR176 assign VAR88[(320*VAR202[10:8] + 80*VAR202[5:4] + 8*VAR202[3:0])+:4] = {VAR244[3/VAR1], VAR244[2/VAR1], VAR244[1/VAR1], VAR244[0]}; end else begin: VAR112 assign VAR88[(320*VAR202[10:8] + 80*VAR202[5:4] + 8*(VAR202[3:0]-5) + 4)+:4] = {VAR244[3/VAR1], VAR244[2/VAR1], VAR244[1/VAR1], VAR244[0]}; end end endgenerate generate genvar VAR265, VAR310; for (VAR265 = 0; VAR265 < VAR266; VAR265 = VAR265 + 1) begin: VAR121 assign VAR51[VAR265] = VAR325[48*VAR302[(12*VAR265+8)+:3] + 12*VAR302[(12*VAR265+4)+:2] + VAR302[12*VAR265+:4]]; if (VAR302[12*VAR265+:4] < 4'hA) begin: VAR176 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR302[(12*VAR265+8)+:3] + 80*VAR302[(12*VAR265+4)+:2] + 8*VAR302[12*VAR265+:4] + VAR310] = VAR126[VAR266*(VAR310/VAR1) + VAR265]; end end else begin: VAR112 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR302[(12*VAR265+8)+:3] + 80*VAR302[(12*VAR265+4)+:2] + 8*(VAR302[12*VAR265+:4]-5) + 4 + VAR310] = VAR126[VAR266*(VAR310/VAR1) + VAR265]; end end end for (VAR265 = 0; VAR265 < VAR182; VAR265 = VAR265 + 1) begin: VAR229 assign VAR316[VAR265] = VAR325[48*VAR23[(12*VAR265+8)+:3] + 12*VAR23[(12*VAR265+4)+:2] + VAR23[12*VAR265+:4]]; if (VAR23[12*VAR265+:4] < 4'hA) begin: VAR176 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR23[(12*VAR265+8)+:3] + 80*VAR23[(12*VAR265+4)+:2] + 8*VAR23[12*VAR265+:4] + VAR310] = VAR275[VAR182*(VAR310/VAR1) + VAR265]; end end else begin: VAR112 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR23[(12*VAR265+8)+:3] + 80*VAR23[(12*VAR265+4)+:2] + 8*(VAR23[12*VAR265+:4]-5) + 4 + VAR310] = VAR275[VAR182*(VAR310/VAR1) + VAR265]; end end end for (VAR265 = 0; VAR265 < VAR10*VAR297; VAR265 = VAR265 + 1) begin: VAR177 assign VAR213[VAR265] = VAR325[48*VAR73[(12*VAR265+8)+:3] + 12*VAR73[(12*VAR265+4)+:2] + VAR73[12*VAR265+:4]]; if (VAR73[12*VAR265+:4] < 4'hA) begin: VAR176 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR73[(12*VAR265+8)+:3] + 80*VAR73[(12*VAR265+4)+:2] + 8*VAR73[12*VAR265+:4] + VAR310] = VAR211[VAR10*VAR297*(VAR310/VAR1) + VAR265]; end end else begin: VAR112 for (VAR310 = 0; VAR310 < 4; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR73[(12*VAR265+8)+:3] + 80*VAR73[(12*VAR265+4)+:2] + 8*(VAR73[12*VAR265+:4]-5) + 4 + VAR310] = VAR211[VAR10*VAR297*(VAR310/VAR1) + VAR265]; end end end if (VAR308 == 1) begin: VAR63 for (VAR265 = 0; VAR265 < VAR58; VAR265 = VAR265 + 1) begin: VAR63 assign VAR330[VAR265] = VAR325[48*VAR124[(12*VAR265+8)+:3] + 12*VAR124[(12*VAR265+4)+:2] + VAR124[12*VAR265+:4]]; assign VAR206[VAR265] = VAR21[48*VAR124[(12*VAR265+8)+:3] + 12*VAR124[(12*VAR265+4)+:2] + VAR124[12*VAR265+:4]]; for (VAR310 = 0; VAR310 < VAR173; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR124[(12*VAR265+8)+:3] + 80*VAR124[(12*VAR265+4)+:2] + 8*VAR124[12*VAR265+:4] + VAR310] = VAR219[VAR58*VAR310 + VAR265]; end end end for (VAR265 = 0; VAR265 < VAR321; VAR265 = VAR265 + 1) begin: VAR311 assign VAR160[40*VAR57[(12*VAR265+8)+:3] + 10*VAR57[(12*VAR265+4)+:2] + VAR57[12*VAR265+:4]] = VAR33[VAR265]; assign VAR175[VAR265] = VAR325[48*VAR57[(12*VAR265+8)+:3] + 12*VAR57[(12*VAR265+4)+:2] + VAR57[12*VAR265+:4]]; assign VAR47[VAR265] = VAR21[48*VAR57[(12*VAR265+8)+:3] + 12*VAR57[(12*VAR265+4)+:2] + VAR57[12*VAR265+:4]]; for (VAR310 = 0; VAR310 < VAR173; VAR310 = VAR310 + 1) begin: VAR209 assign VAR88[320*VAR57[(12*VAR265+8)+:3] + 80*VAR57[(12*VAR265+4)+:2] + 8*VAR57[12*VAR265+:4] + VAR310] = VAR227[VAR321*VAR310 + VAR265]; end end for (VAR265 = 0; VAR265 < VAR296; VAR265 = VAR265 + 1) begin: VAR22 assign VAR285[4*VAR69[(8*VAR265+4)+:3] + VAR69[(8*VAR265)+:2]] = VAR85[VAR265]; assign VAR271[VAR265] = VAR283[4*VAR69[(8*VAR265+4)+:3] + VAR69[(8*VAR265)+:2]]; assign VAR328[VAR265] = VAR301[4*VAR69[(8*VAR265+4)+:3] + VAR69[(8*VAR265)+:2]]; end endgenerate VAR143 VAR83 ( .VAR105 (VAR293), .VAR290 (VAR190) ); VAR143 VAR125 ( .VAR105 (VAR15), .VAR290 (VAR132) ); VAR143 VAR27 ( .VAR105 (VAR264), .VAR290 (VAR74) ); generate genvar VAR280; for (VAR280 = 0; VAR280 < VAR266; VAR280 = VAR280 + 1) begin: VAR79 VAR143 VAR84 ( .VAR105 (VAR51[VAR280]), .VAR290 (VAR139[VAR280]) ); end for (VAR280 = 0; VAR280 < VAR182; VAR280 = VAR280 + 1) begin: VAR138 VAR143 VAR212 ( .VAR105 (VAR316[VAR280]), .VAR290 (VAR147[VAR280]) ); end for (VAR280 = 0; VAR280 < VAR10*VAR297; VAR280 = VAR280 + 1) begin: VAR152 VAR143 VAR334 ( .VAR105 (VAR213[VAR280]), .VAR290 (VAR45[VAR280]) ); end if ((VAR331 == "VAR142") && (VAR215 == "VAR282")) begin: VAR291 VAR143 VAR133 ( .VAR105 (VAR103), .VAR290 (VAR243) ); end else begin: VAR148 assign VAR243 = 1'b0; end if (VAR308 == 1) begin: VAR91 for (VAR280 = 0; VAR280 < VAR58; VAR280 = VAR280 + 1) begin: VAR44 VAR210 VAR14 ( .VAR105 (VAR330[VAR280]), .VAR230 (VAR206[VAR280]), .VAR290 (VAR153[VAR280]) ); end end else begin: VAR258 assign VAR153 = 'b0; end for (VAR280 = 0; VAR280 < VAR321; VAR280 = VAR280 + 1) begin: VAR122 VAR110 # ( .VAR184 (VAR184) ) VAR39 ( .VAR105 (VAR175[VAR280]), .VAR230 (VAR47[VAR280]), .VAR290 (VAR33[VAR280]), .VAR28 (VAR217[VAR280]) ); end for (VAR280 = 0; VAR280 < VAR296; VAR280 = VAR280 + 1) begin: VAR242 if ((VAR331 == "VAR5") && (VAR186 != "VAR294")) begin: VAR54 VAR110 # ( .VAR184 (VAR184) ) VAR277 ( .VAR105 (VAR271[VAR280]), .VAR230 (VAR328[VAR280]), .VAR290 (VAR85[VAR280]), .VAR28 (VAR43[VAR280]) ); assign VAR119[VAR280] = 1'b0; end else begin: VAR303 VAR203 # ( .VAR184 (VAR184) ) VAR277 ( .VAR105 (VAR271[VAR280]), .VAR230 (VAR328[VAR280]), .VAR290 (VAR85[VAR280]), .VAR28 (VAR43[VAR280]), .VAR196 (VAR119[VAR280]) ); end end endgenerate VAR168 # ( .VAR192 ("VAR157"), .VAR78 ("VAR70"), .VAR26 ("VAR157"), .VAR104 (VAR104), .VAR324 (VAR324), .VAR255 (VAR255), .VAR261 (VAR261), .VAR214 (VAR214), .VAR64 (VAR64), .VAR77 (VAR77), .VAR34 (VAR34), .VAR36 (VAR36), .VAR94 (VAR94), .VAR106 (VAR106), .VAR81 (VAR81), .VAR326 (VAR326), .VAR237 (VAR237), .VAR66 (VAR66), .VAR251 (VAR251), .VAR208 (VAR208), .VAR13 (VAR254), .VAR62 (VAR205), .VAR76 (VAR98), .VAR320 (VAR238), .VAR198 (VAR8), .VAR135 (VAR41), .VAR249 (63), .VAR56 (18), .VAR181 (VAR181), .VAR161 (VAR181), .VAR319 (VAR181), .VAR61 (VAR181), .VAR171 (VAR181), .VAR185 (VAR181), .VAR108 (VAR181), .VAR194 (VAR181), .VAR141 (VAR181), .VAR68 (VAR181), .VAR335 (VAR181), .VAR117 (VAR181), .VAR240 (VAR154), .VAR87 (VAR87), .VAR40 (VAR87), .VAR292 (VAR87), .VAR149 (VAR87), .VAR29 (VAR87), .VAR224 (VAR87), .VAR287 (VAR87), .VAR322 (VAR87), .VAR269 (VAR87), .VAR75 (VAR87), .VAR317 (VAR87), .VAR129 (VAR87), .VAR127 (6), .VAR332 (6), .VAR19 (6), .VAR100 (6), .VAR267 (6), .VAR93 (6), .VAR183 (6), .VAR109 (6), .VAR111 (VAR111), .VAR235 (VAR235), .VAR151 (VAR151), .VAR158 (VAR158), .VAR327 (VAR327), .VAR187 (VAR187), .VAR2 (VAR2), .VAR113 (VAR113), .VAR101 (10), .VAR333 (VAR333) ) VAR174 ( .rst (rst), .VAR35 (1'b1), .VAR97 (clk), .VAR55 (VAR55), .VAR279 (VAR279), .VAR257 (clk), .VAR165 (VAR165), .VAR193 (VAR193), .VAR88 (VAR88), .VAR272 (VAR272), .VAR140 (VAR140), .VAR228 (VAR228), .VAR289 (VAR289), .VAR234 (VAR234), .VAR318 (VAR318), .VAR9 (), .VAR239 (), .VAR204 (VAR204), .VAR189 (VAR86), .VAR52 (VAR232), .VAR179 (), .VAR50 (), .VAR60 (1'b0), .VAR236 (1'b0), .VAR223 (), .VAR172 (VAR172), .VAR25 (VAR49), .VAR49 (), .VAR325 (VAR325), .VAR21 (VAR21), .VAR160 (VAR160), .VAR283 (VAR283), .VAR301 (VAR301), .VAR285 (VAR285), .VAR201 (VAR201), .VAR306 (), .VAR197 (), .VAR38 (VAR38), .VAR118 (), .VAR89 (VAR72), .VAR95 (VAR95), .VAR12 (VAR12), .VAR207 (VAR207), .VAR200 (VAR200), .VAR144 (VAR144), .VAR114 (VAR114), .VAR163 (VAR163), .VAR128 (VAR128), .VAR315 (VAR315), .VAR268 (VAR268), .VAR231 (VAR231), .VAR270 (VAR270), .VAR273 (), .VAR150 (), .VAR31 (), .VAR3 (), .VAR90 (VAR90), .VAR96 (VAR96), .VAR115 (VAR115), .VAR42 (VAR42), .VAR220 (), .VAR191 (VAR191), .VAR221 (), .VAR156 (), .VAR6 (VAR6), .VAR300 (VAR300), .VAR53 (), .VAR307 (VAR53), .VAR284 (VAR284), .VAR155 (VAR155) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/probe_p/sky130_fd_sc_hvl__probe_p_8.v
2,062
module MODULE1 ( VAR7 , VAR6 , VAR4, VAR5, VAR1 , VAR3 ); output VAR7 ; input VAR6 ; input VAR4; input VAR5; input VAR1 ; input VAR3 ; VAR8 VAR2 ( .VAR7(VAR7), .VAR6(VAR6), .VAR4(VAR4), .VAR5(VAR5), .VAR1(VAR1), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR7, VAR6 ); output VAR7; input VAR6; supply1 VAR4; supply0 VAR5; supply1 VAR1 ; supply0 VAR3 ; VAR8 VAR2 ( .VAR7(VAR7), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfstp/sky130_fd_sc_ms__dfstp.functional.v
1,624
module MODULE1 ( VAR5 , VAR1 , VAR3 , VAR7 ); output VAR5 ; input VAR1 ; input VAR3 ; input VAR7; wire VAR9; wire VAR11 ; not VAR4 (VAR11 , VAR7 ); VAR10 VAR8 VAR2 (VAR9 , VAR3, VAR1, VAR11 ); buf VAR6 (VAR5 , VAR9 ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/GeAr_N8_R1_P2_syn.v
2,363
module MODULE1 ( VAR62, VAR65, VAR12 ); input [7:0] VAR62; input [7:0] VAR65; output [8:0] VAR12; wire VAR21, VAR40, VAR84, VAR58, VAR67, VAR17, VAR43, VAR87, VAR11, VAR2, VAR24, VAR83, VAR71, VAR31, VAR63, VAR29, VAR18, VAR45, VAR41, VAR30, VAR59, VAR16, VAR61, VAR50; VAR10 VAR88 ( .VAR73(VAR62[0]), .VAR33(VAR65[0]), .VAR82(VAR50) ); VAR66 VAR56 ( .VAR15(VAR65[2]), .VAR70(VAR62[2]), .VAR77(VAR59), .VAR82(VAR61) ); VAR4 VAR25 ( .VAR73(VAR65[6]), .VAR33(VAR62[6]), .VAR82(VAR31) ); VAR69 VAR68 ( .VAR73(VAR62[4]), .VAR33(VAR65[4]), .VAR82(VAR24) ); VAR66 VAR85 ( .VAR15(VAR45), .VAR70(VAR18), .VAR77(VAR29), .VAR82(VAR41) ); VAR66 VAR60 ( .VAR15(VAR43), .VAR70(VAR18), .VAR77(VAR17), .VAR82(VAR67) ); VAR69 VAR46 ( .VAR73(VAR62[5]), .VAR33(VAR65[5]), .VAR82(VAR18) ); VAR66 VAR36 ( .VAR15(VAR58), .VAR70(VAR11), .VAR77(VAR84), .VAR82(VAR40) ); VAR69 VAR76 ( .VAR73(VAR62[3]), .VAR33(VAR65[3]), .VAR82(VAR11) ); VAR51 VAR3 ( .VAR15(VAR65[2]), .VAR70(VAR62[2]), .VAR77(VAR65[1]), .VAR38(VAR62[1]), .VAR82(VAR21) ); VAR66 VAR19 ( .VAR15(VAR71), .VAR70(VAR24), .VAR77(VAR83), .VAR82(VAR2) ); VAR9 VAR7 ( .VAR73(VAR62[3]), .VAR33(VAR65[3]), .VAR82(VAR87) ); VAR54 VAR81 ( .VAR73(VAR87), .VAR82(VAR58) ); VAR9 VAR53 ( .VAR73(VAR65[2]), .VAR33(VAR62[2]), .VAR82(VAR59) ); VAR9 VAR22 ( .VAR73(VAR59), .VAR33(VAR21), .VAR82(VAR84) ); VAR37 VAR34 ( .VAR15(VAR58), .VAR70(VAR84), .VAR72(VAR11), .VAR77(VAR40), .VAR82(VAR12[3]) ); VAR9 VAR8 ( .VAR73(VAR62[5]), .VAR33(VAR65[5]), .VAR82(VAR29) ); VAR54 VAR5 ( .VAR73(VAR29), .VAR82(VAR43) ); VAR9 VAR35 ( .VAR73(VAR62[4]), .VAR33(VAR65[4]), .VAR82(VAR45) ); VAR52 VAR78 ( .VAR15(VAR24), .VAR70(VAR87), .VAR77(VAR45), .VAR82(VAR17) ); VAR37 VAR27 ( .VAR15(VAR43), .VAR70(VAR17), .VAR72(VAR18), .VAR77(VAR67), .VAR82(VAR12[5]) ); VAR54 VAR75 ( .VAR73(VAR45), .VAR82(VAR71) ); VAR52 VAR28 ( .VAR15(VAR11), .VAR70(VAR59), .VAR77(VAR87), .VAR82(VAR83) ); VAR37 VAR44 ( .VAR15(VAR71), .VAR70(VAR83), .VAR72(VAR24), .VAR77(VAR2), .VAR82(VAR12[4]) ); VAR64 VAR1 ( .VAR77(VAR29), .VAR14(VAR31), .VAR42(VAR65[6]), .VAR47(VAR62[6]), .VAR82(VAR63) ); VAR49 VAR32 ( .VAR73(VAR62[7]), .VAR33(VAR65[7]), .VAR80(VAR63), .VAR23(VAR12[8]), .VAR6(VAR12[7]) ); VAR74 VAR13 ( .VAR73(VAR41), .VAR33(VAR65[6]), .VAR82(VAR30) ); VAR74 VAR57 ( .VAR73(VAR62[6]), .VAR33(VAR30), .VAR82(VAR12[6]) ); VAR49 VAR86 ( .VAR73(VAR65[1]), .VAR33(VAR62[1]), .VAR80(VAR50), .VAR23(VAR16), .VAR6(VAR12[1]) ); VAR74 VAR26 ( .VAR73(VAR61), .VAR33(VAR16), .VAR82(VAR12[2]) ); VAR39 VAR55 ( .VAR42(VAR62[0]), .VAR47(VAR65[0]), .VAR77(VAR50), .VAR82(VAR12[0]) ); VAR48 ("VAR79.VAR20"); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latq/gf180mcu_fd_sc_mcu9t5v0__latq_2.behavioral.pp.v
1,784
module MODULE1( VAR11, VAR3, VAR7, VAR4, VAR5 ); input VAR3, VAR11; inout VAR4, VAR5; output VAR7; reg VAR6; VAR10 VAR13(.VAR11(VAR11),.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR5(VAR5),.VAR6(VAR6)); VAR10 VAR1(.VAR11(VAR11),.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR5(VAR5),.VAR6(VAR6)); not VAR9(VAR2,VAR3); buf VAR8(VAR12,VAR3);
apache-2.0
jlrandulfe/UviSpace
DE1-SoC/FPGA_Design/ip/7-segment_displays/SEG7_LUT.v
2,449
module MODULE1 ( VAR2,VAR1 ); input [3:0] VAR1; output [6:0] VAR2; reg [6:0] VAR2; always @(VAR1) begin case(VAR1) 4'h1: VAR2 = 7'b1111001; 4'h2: VAR2 = 7'b0100100; 4'h3: VAR2 = 7'b0110000; 4'h4: VAR2 = 7'b0011001; 4'h5: VAR2 = 7'b0010010; 4'h6: VAR2 = 7'b0000010; 4'h7: VAR2 = 7'b1111000; 4'h8: VAR2 = 7'b0000000; 4'h9: VAR2 = 7'b0011000; 4'ha: VAR2 = 7'b0001000; 4'hb: VAR2 = 7'b0000011; 4'hc: VAR2 = 7'b1000110; 4'hd: VAR2 = 7'b0100001; 4'he: VAR2 = 7'b0000110; 4'hf: VAR2 = 7'b0001110; 4'h0: VAR2 = 7'b1000000; endcase end endmodule
gpl-3.0