repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_006.v
1,515
module MODULE1 ( VAR4, VAR11 ); input [31:0] VAR4; output [31:0] VAR11; wire [31:0] VAR8, VAR7, VAR1, VAR12, VAR9, VAR5, VAR6, VAR14, VAR10; assign VAR8 = VAR4; assign VAR9 = VAR12 - VAR1; assign VAR12 = VAR1 << 3; assign VAR7 = VAR8 << 9; assign VAR1 = VAR8 + VAR7; assign VAR5 = VAR8 << 6; assign VAR6 = VAR8 + VAR5; assign VAR10 = VAR14 - VAR6; assign VAR14 = VAR9 << 1; assign VAR11 = VAR10; endmodule module MODULE2( VAR4, VAR11, clk ); input [31:0] VAR4; output [31:0] VAR11; reg [31:0] VAR11; input clk; reg [31:0] VAR13; wire [30:0] VAR3; always @(posedge clk) begin VAR13 <= VAR4; VAR11 <= VAR3; end MODULE1 MODULE1( .VAR4(VAR13), .VAR11(VAR3) ); endmodule
mit
julioamerico/prj_crc_ip
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/rtl/vlog/amba_bfm/bfm_ahbslave.v
2,062
module MODULE1 ( VAR21 , VAR30 , VAR24 , VAR31 , VAR35 , VAR12 , VAR16 , VAR26 , VAR32 , VAR28 , VAR6 , VAR27 , VAR15 , VAR36 , VAR4 ) ; parameter VAR14 = 10 ; parameter VAR20 = 256 ; parameter VAR2 = " " ; parameter VAR5 = 0 ; parameter VAR17 = 0 ; parameter VAR18 = 1 ; parameter VAR1 = - 1 ; localparam VAR10 = 0 ; localparam VAR23 = 2 ; input VAR21 ; input VAR30 ; input VAR24 ; input VAR31 ; input [ VAR14 - 1 : 0 ] VAR35 ; input [ 31 : 0 ] VAR12 ; output [ 31 : 0 ] VAR16 ; input VAR26 ; output VAR32 ; input [ 1 : 0 ] VAR28 ; input [ 2 : 0 ] VAR6 ; input [ 2 : 0 ] VAR27 ; input VAR15 ; input [ 3 : 0 ] VAR36 ; output VAR4 ; wire VAR29 ; wire VAR34 ; wire VAR19 ; wire [ VAR14 - 1 : 0 ] VAR13 ; wire [ 31 : 0 ] VAR3 ; assign VAR29 = 1 'VAR22 0 ; assign VAR34 = 1 'VAR22 0 ; assign VAR19 = 1 'VAR22 0 ; assign VAR13 = 0 ; assign VAR3 = { 32 { 1 'VAR22 VAR8 } } ; VAR33 ( .VAR14 ( VAR14 ) , .VAR20 ( VAR20 ) , .VAR23 ( VAR23 ) , .VAR2 ( VAR2 ) , .VAR5 ( VAR5 ) , .VAR17 ( VAR17 ) , .VAR10 ( VAR10 ) , .VAR18 ( VAR18 ) , .VAR1 ( VAR1 ) ) VAR25 ( .VAR21 ( VAR21 ) , .VAR30 ( VAR30 ) , .VAR24 ( VAR24 ) , .VAR31 ( VAR31 ) , .VAR35 ( VAR35 ) , .VAR12 ( VAR12 ) , .VAR16 ( VAR16 ) , .VAR26 ( VAR26 ) , .VAR32 ( VAR32 ) , .VAR28 ( VAR28 ) , .VAR6 ( VAR6 ) , .VAR27 ( VAR27 ) , .VAR15 ( VAR15 ) , .VAR36 ( VAR36 ) , .VAR4 ( VAR4 ) , .VAR9 ( VAR7 ) , .VAR11 ( VAR7 ) , .VAR29 ( VAR29 ) , .VAR34 ( VAR34 ) , .VAR19 ( VAR19 ) , .VAR13 ( VAR13 ) , .VAR3 ( VAR3 ) ) ; endmodule
gpl-3.0
neale/CS-program
474-VLSI/UART/db/ADC_PLL_altpll.v
4,532
module MODULE1 ( VAR5, clk, VAR6, VAR2) ; input VAR5; output [4:0] clk; input [1:0] VAR6; output VAR2; tri0 VAR5; tri0 [1:0] VAR6; reg VAR4; wire [4:0] VAR7; wire VAR1; wire VAR3;
unlicense
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbuflv2hv_clkiso_hlkg/sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg.blackbox.v
1,568
module MODULE1 ( VAR4 , VAR5 , VAR1 ); output VAR4 ; input VAR5 ; input VAR1; supply1 VAR8 ; supply0 VAR3 ; supply1 VAR7; supply1 VAR2 ; supply0 VAR6 ; endmodule
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/mc/mc_tq.v
12,747
module MODULE1 ( clk , VAR29 , VAR18 , VAR38 , VAR20 , VAR2 , VAR16 , VAR14 , VAR25 , VAR27 , VAR24 , VAR19 , VAR9 , VAR7 , VAR26 , VAR39 , VAR11 , VAR43 , VAR12 , VAR28 , VAR40 ); input [1-1:0] clk ; input [1-1:0] VAR29 ; input [1-1:0] VAR18 ; input [2-1:0] VAR38 ; output [1-1:0] VAR20 ; input [42-1:0] VAR2 ; output [1-1:0] VAR16 ; output [1-1:0] VAR14 ; output [2-1:0] VAR25 ; output [2-1:0] VAR27 ; output [4-1:0] VAR24 ; output [4-1:0] VAR19 ; output [16*VAR17-1:0] VAR9 ; input [1-1:0] VAR7 ; input [5-1:0] VAR26 ; output [1-1:0] VAR39 ; output [2-1:0] VAR11 ; output [4-1:0] VAR43 ; output [4-1:0] VAR12 ; output [5-1:0] VAR28 ; input [32*VAR17-1:0] VAR40 ; parameter VAR6 = 'd0; parameter VAR32 = 'd1; parameter VAR42 = 'd2; parameter VAR49 = 'd3; parameter VAR50 = 'd4; parameter VAR47 = 'd5; parameter VAR23 = 'd6; parameter VAR15 = 2'd3; parameter VAR13 = 2'd2; parameter VAR31 = 2'd1; parameter VAR4 = 2'd0; reg [3-1:0] VAR46, VAR8; reg [2-1:0] VAR10, VAR3; wire [2-1:0] VAR36; reg [5-1:0] VAR33; wire VAR48, VAR1, VAR22, VAR30, VAR5, VAR44; reg [2-1:0] VAR51, VAR34, VAR45; reg [3-1:0] VAR21,VAR41; wire VAR35, VAR37; reg [1-1:0] VAR14 ; reg [2-1:0] VAR27 ; reg [4-1:0] VAR24 ; reg [4-1:0] VAR19 ; wire [16*VAR17-1:0] VAR9 ; assign VAR36 = VAR2[1:0]; always @ begin case({VAR51,VAR34}) 4'd00:VAR3 = VAR2[11 : 10]; 4'd01:VAR3 = VAR2[13 : 12]; 4'd02:VAR3 = VAR2[15 : 14]; 4'd03:VAR3 = VAR2[17 : 16]; 4'd04:VAR3 = VAR2[19 : 18]; 4'd05:VAR3 = VAR2[21 : 20]; 4'd06:VAR3 = VAR2[23 : 22]; 4'd07:VAR3 = VAR2[25 : 24]; 4'd08:VAR3 = VAR2[27 : 26]; 4'd09:VAR3 = VAR2[29 : 28]; 4'd10:VAR3 = VAR2[31 : 30]; 4'd11:VAR3 = VAR2[33 : 32]; 4'd12:VAR3 = VAR2[35 : 34]; 4'd13:VAR3 = VAR2[37 : 36]; 4'd14:VAR3 = VAR2[39 : 38]; 4'd15:VAR3 = VAR2[41 : 40]; endcase end always @ begin case(VAR27) VAR4 : VAR33 = 6'd0; VAR31 : VAR33 = 6'd4; VAR13 : VAR33 = 6'd14; VAR15 : VAR33 = 6'd31; endcase end assign VAR20 = (VAR46 == VAR23) && (VAR7) && (VAR26 == VAR33) && (VAR51 == 'd0 && VAR34 == 'd0 && VAR45 == 'd0); endmodule
gpl-3.0
eda-globetrotter/MarcheProcessor
processor/syn/src/spare/build1/sipo.v
5,890
module MODULE1(VAR11, VAR9, VAR7, VAR3, VAR6, clk); output [7:0] VAR11; output VAR9; input VAR7; input clk; input VAR6; input VAR3; reg VAR9; reg [7:0] VAR11; reg [7:0] VAR2; reg VAR5; reg VAR8; reg VAR1; reg VAR10; reg VAR4; reg VAR14; reg VAR12; reg VAR13; always @(~VAR6) begin VAR9<=1'd0; VAR11<=8'd0; VAR2<=8'd0; VAR5<=1'd1; VAR8<=1'd1; VAR1<=1'd1; VAR10<=1'd1; VAR4<=1'd1; VAR14<=1'd1; VAR12<=1'd1; VAR13<=1'd1; end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if(VAR5==1) begin VAR2[0]<=VAR7; VAR5<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR8==1) && (VAR5==0)) begin VAR2[1]<=VAR7; VAR8<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR1==1) && (VAR8==0)) begin VAR2[2]<=VAR7; VAR1<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR10==1) && (VAR1==0)) begin VAR2[3]<=VAR7; VAR10<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR4==1) && (VAR10==0)) begin VAR2[4]<=VAR7; VAR4<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR14==1) && (VAR4==0)) begin VAR2[5]<=VAR7; VAR14<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR12==1) && (VAR14==0)) begin VAR2[6]<=VAR7; VAR12<=1'd0; end end end always @(posedge clk) begin if(VAR3 && VAR6 && (~VAR9)) begin if((VAR13==1) && (VAR12==0)) begin VAR2[7]<=VAR7; VAR13<=1'd0; VAR9<=1'd1; end end else if(VAR9) begin VAR11<=VAR2; VAR5<=1'd1; VAR8<=1'd1; VAR1<=1'd1; VAR10<=1'd1; VAR4<=1'd1; VAR14<=1'd1; VAR12<=1'd1; VAR13<=1'd1; VAR9<=1'd0; VAR2<=8'd0; end end endmodule
mit
aaiijmrtt/JUCSE
VeryLargeScaleIntegration/mux.v
1,580
module MODULE5(in, VAR1, out); input wire[3: 0] in; input wire[1: 0] VAR1; output wire out; assign out = in[VAR1]; endmodule module MODULE3(in, VAR1, out); input wire[3: 0] in; input wire[1: 0] VAR1; output wire out; assign out = VAR1 == 2'b00 ? in[0] : VAR1 == 2'b01 ? in[1] : VAR1 == 2'b10 ? in[2] : VAR1 == 2'b11 ? in[3] : 1'b0; endmodule module MODULE2(in, VAR1, out); input wire[3: 0] in; input wire[1: 0] VAR1; output wire out; reg out; always @(in or VAR1) case (VAR1) 2'b00: out = in[0]; 2'b01: out = in[1]; 2'b10: out = in[2]; 2'b11: out = in[3]; default: out = 1'b0; endcase assign out = out; endmodule module MODULE1(in, VAR1, out); input wire[3: 0] in; input wire[1: 0] VAR1; output wire out; reg out; always @(in or VAR1) if(VAR1 == 2'b00) out = in[0]; else if(VAR1 == 2'b01) out = in[1]; else if(VAR1 == 2'b10) out = in[2]; else if(VAR1 == 2'b11) out = in[3]; else out = 1'b0; assign out = out; endmodule module MODULE6(in, VAR1, out); input wire[1: 0] in; input wire VAR1; output wire out; assign out = VAR1 == 1'b0 ? in[0] : VAR1 == 1'b1 ? in[1] : 1'b0; endmodule module MODULE4(in, VAR1, out); input wire[7: 0] in; input wire[2: 0] VAR1; output wire out; wire[5: 0] out; MODULE6 MODULE7({in[0], in[1]}, VAR1[0], out[0]); MODULE6 MODULE3({in[2], in[3]}, VAR1[0], out[1]); MODULE6 MODULE6({in[4], in[5]}, VAR1[0], out[2]); MODULE6 MODULE4({in[6], in[7]}, VAR1[0], out[3]); MODULE6 MODULE2({out[0], out[1]}, VAR1[1], out[4]); MODULE6 MODULE5({out[2], out[3]}, VAR1[1], out[5]); MODULE6 MODULE1({out[4], out[5]}, VAR1[2], out); endmodule
mit
cpulabs/mist1032isa
src/core/execute/divider/div_pipelined_latch.v
1,630
module MODULE1 #( parameter VAR21 = 4 )( input wire VAR5, input wire VAR9, input wire VAR13, input wire VAR8, output wire VAR14, input wire VAR12, input wire [31:0] VAR1, input wire [31:0] VAR6, input wire [VAR21-1:0] VAR17, input wire [30:0] VAR7, output wire VAR15, input wire VAR18, output wire VAR2, output wire [31:0] VAR24, output wire [31:0] VAR19, output wire [VAR21-1:0] VAR23, output wire [30:0] VAR11 ); reg VAR10; reg VAR3; reg [31:0] VAR22; reg [31:0] VAR4; reg [VAR21-1:0] VAR16; reg [30:0] VAR20; always@(posedge VAR5 or negedge VAR9)begin if(!VAR9)begin VAR10 <= 1'b0; VAR3 <= 1'b0; VAR22 <= {32{1'b0}}; VAR4 <= {32{1'b0}}; VAR16 <= {VAR21{1'b0}}; VAR20 <= {31{1'b0}}; end else if(VAR13)begin VAR10 <= 1'b0; VAR3 <= 1'b0; VAR22 <= {32{1'b0}}; VAR4 <= {32{1'b0}}; VAR16 <= {VAR21{1'b0}}; VAR20 <= {31{1'b0}}; end else begin if(!VAR18)begin VAR10 <= VAR8; VAR3 <= VAR12; VAR22 <= VAR1; VAR4 <= VAR6; VAR16 <= VAR17; VAR20 <= VAR7; end end end assign VAR14 = VAR18; assign VAR15 = VAR10; assign VAR2 = VAR3; assign VAR24 = VAR22; assign VAR19 = VAR4; assign VAR23 = VAR16; assign VAR11 = VAR20; endmodule
bsd-2-clause
lynxis/lpc_sniffer
lpc.v
3,266
module MODULE1( input [3:0] VAR14, input VAR17, input VAR5, input VAR13, input reset, output [3:0] VAR7, output [31:0] VAR6, output [7:0] VAR11, output VAR9, output reg VAR8); reg [3:0] state = 0; localparam VAR2 = 0, VAR16 = 1, VAR1 = 2, address = 3, VAR15 = 4, sync = 5, VAR4 = 6, VAR3 = 7; reg [3:0] counter; reg [3:0] VAR10; reg [31:0] addr; reg [7:0] VAR12; always @(negedge VAR17 or negedge VAR13) begin if (~VAR13) begin state <= VAR2; counter <= 1; end else begin if (~VAR5) begin counter <= 1; if (VAR14 == 4'b0000) state <= VAR1; end else state <= VAR2; end else begin counter <= counter - 1; case (state) VAR1: VAR10 <= VAR14; address: begin addr[31:4] <= addr[27:0]; addr[3:0] <= VAR14; end VAR4: begin VAR12[7:4] <= VAR14; VAR12[3:0] <= VAR12[7:4]; end sync: begin if (VAR14 == 4'b0000) if (VAR10[3] == 0) begin state <= VAR4; VAR12 <= 0; counter <= 2; end else state <= VAR2; end default: begin end endcase if (counter == 1) begin case (state) VAR2: begin end VAR1: begin VAR8 <= 0; VAR9 <= 0; if (VAR14[3:2] == 2'b00) begin state <= address; counter <= 4; addr <= 0; end else if (VAR14[3:2] == 2'b01) begin state <= address; counter <= 8; addr <= 0; end else begin state <= VAR2; end end address: begin if (VAR10[1]) state <= VAR4; end else state <= VAR15; counter <= 2; end VAR15: begin state <= sync; counter <= 1; end sync: begin if (VAR14 == 4'b1111) begin VAR9 <= 1; VAR8 <= 1; state <= VAR2; end end VAR4: begin VAR8 <= 1; state <= VAR2; end VAR3: counter <= 2; endcase end end end end assign VAR7 = VAR10; assign VAR11 = VAR12; assign VAR6 = addr; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o2bb2ai/sky130_fd_sc_hdll__o2bb2ai.functional.v
1,586
module MODULE1 ( VAR10 , VAR4, VAR11, VAR1 , VAR7 ); output VAR10 ; input VAR4; input VAR11; input VAR1 ; input VAR7 ; wire VAR3 ; wire VAR12 ; wire VAR2; nand VAR5 (VAR3 , VAR11, VAR4 ); or VAR6 (VAR12 , VAR7, VAR1 ); nand VAR8 (VAR2, VAR3, VAR12); buf VAR9 (VAR10 , VAR2 ); endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_fpga_m/synth/ghrd_10as066n2_fpga_m.v
2,060
module MODULE1 ( input wire VAR5, input wire VAR6, output wire [31:0] VAR3, input wire [31:0] VAR9, output wire VAR1, output wire VAR15, output wire [31:0] VAR10, input wire VAR4, input wire VAR7, output wire [3:0] VAR12, output wire VAR2 ); VAR14 #( .VAR13 (0), .VAR16 (50000), .VAR11 (2) ) VAR8 ( .VAR5 (VAR5), .VAR6 (VAR6), .VAR3 (VAR3), .VAR9 (VAR9), .VAR1 (VAR1), .VAR15 (VAR15), .VAR10 (VAR10), .VAR4 (VAR4), .VAR7 (VAR7), .VAR12 (VAR12), .VAR2 (VAR2) ); endmodule
mit
ssabogal/nocturnal
noc_dev/noc_dev.ip_user_files/ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_arb_wr.v
3,958
module MODULE1( VAR23, VAR25, VAR21, VAR22, VAR29, VAR4, VAR8, VAR5, VAR12, VAR2, VAR19, VAR9, VAR10, VAR20, VAR1, VAR3, VAR15, VAR18, VAR17, VAR11 ); input VAR23, VAR25; input [VAR27-1:0] VAR21,VAR22; input [VAR7-1:0] VAR8,VAR5; input [VAR14-1:0] VAR12,VAR2; input [VAR28:0] VAR19,VAR9; input VAR29, VAR4, VAR11; output reg VAR10,VAR20,VAR3; output reg [VAR7-1:0] VAR15; output reg [VAR14-1:0] VAR18; output reg [VAR28:0] VAR17; output reg [VAR27-1:0] VAR1; parameter VAR13 = 2'b00, VAR16 = 2'b01, VAR26 = 2'b10,VAR6 = 2'b11; reg [1:0] state,VAR24; always@(posedge VAR25 or negedge VAR23) begin if(!VAR23) begin state = VAR13; VAR3 = 1'b0; VAR10 = 1'b0; VAR20 = 1'b0; VAR1 = 0; end else begin case(state) VAR13:begin state = VAR13; VAR10 = 1'b0; VAR20 = 1'b0; VAR3 = 1'b0; if(VAR29 && !VAR4) begin state = VAR16; VAR3 = 1; VAR15 = VAR8; VAR18 = VAR12; VAR17 = VAR19; VAR1 = VAR21; end else if(!VAR29 && VAR4) begin state = VAR26; VAR3 = 1; VAR1 = VAR22; VAR15 = VAR5; VAR18 = VAR2; VAR17 = VAR9; end else if(VAR29 && VAR4) begin if(VAR21 > VAR22) begin VAR3 = 1; VAR1 = VAR21; VAR15 = VAR8; VAR18 = VAR12; VAR17 = VAR19; state = VAR16; end else if(VAR21 < VAR22) begin VAR3 = 1; VAR1 = VAR22; VAR15 = VAR5; VAR18 = VAR2; VAR17 = VAR9; state = VAR26; end else begin VAR3 = 1; VAR1 = VAR21; VAR15 = VAR8; VAR18 = VAR12; VAR17 = VAR19; state = VAR16; end end end VAR16:begin state = VAR16; VAR20 = 1'b0; if(VAR11) begin VAR10 = 1'b1; VAR3 = 0; if(VAR4) begin VAR3 = 1; VAR1 = VAR22; VAR15 = VAR5; VAR18 = VAR2; VAR17 = VAR9; state = VAR26; end else begin state = VAR6; end end end VAR26:begin state = VAR26; VAR10 = 1'b0; if(VAR11) begin VAR20 = 1'b1; VAR3 = 0; if(VAR29) begin VAR3 = 1; VAR1 = VAR21; VAR15 = VAR8; VAR18 = VAR12; VAR17 = VAR19; state = VAR16; end else begin state = VAR6; end end end VAR6:begin VAR10 = 1'b0; VAR20 = 1'b0; state = VAR6; if(!VAR11) state = VAR13; end endcase end end endmodule
mit
lvd2/zxevo
unsupported/solegstar/fpga/current/vga/example.v
3,713
module MODULE1 ( VAR3,VAR13,VAR19, VAR23,VAR15,request, VAR10,VAR8,VAR16,VAR11,VAR14,VAR25,VAR9, VAR21,VAR1); input [9:0] VAR3,VAR13,VAR19; output [9:0] VAR23; output [9:0] VAR15; output request; output [9:0] VAR10, VAR8, VAR16; output VAR11, VAR14, VAR25, VAR9; input VAR21, VAR1; parameter VAR20 = 16; parameter VAR29 = 96; parameter VAR24 = 48; parameter VAR28 = 640;parameter VAR18 = VAR20+VAR29+VAR24; parameter VAR4 = VAR20+VAR29+VAR24+VAR28; parameter VAR12 = 11; parameter VAR7 = 2; parameter VAR17 = 31; parameter VAR6 = 480; parameter VAR30 = VAR12+VAR7+VAR17; parameter VAR2 = VAR12+VAR7+VAR17+VAR6; reg [9:0] VAR5, VAR26, VAR23, VAR15; reg VAR22, VAR27, VAR11, VAR14; assign VAR25 = VAR22 & VAR27; assign VAR9 = ~VAR21; assign VAR10 = VAR3; assign VAR8 = VAR13; assign VAR16 = VAR19; assign request = ((VAR5>=VAR18 && VAR5<VAR4) && (VAR26>=VAR30 && VAR26<VAR2)); always @(posedge VAR21) begin if(VAR1) begin VAR5 <= 0; VAR26 <= 0; VAR11 <= 1'b1; VAR14 <= 1'b1; VAR23 <= 0; VAR15 <= 0; VAR22 <= 1'b0; VAR27 <= 1'b0; end else begin if(VAR5 != VAR4) begin VAR5 <= VAR5 + 1'b1; if (VAR22) VAR23 <= VAR23 + 1'b1; if (VAR5 == VAR18-1) VAR22 <= 1'b1; end else begin VAR5 <= 0; VAR22 <= 1'b0; VAR23 <= 0; end if(VAR5 == VAR20-1) begin VAR11 <= 1'b0; end if (VAR5 == VAR20+VAR29-1) begin VAR11 <= 1'b1; if(VAR26 != VAR2) begin VAR26 <= VAR26 + 1'b1; if (VAR27) VAR15 <= VAR15 + 1'b1; if (VAR26 == VAR30-1) VAR27 <= 1'b1; end else begin VAR26 <= 0; VAR15 <= 0; VAR27 <= 1'b0; end if(VAR26 == VAR12-1) VAR14 <= 1'b0; if(VAR26 == VAR12+VAR7-1) VAR14 <= 1'b1; end end end endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/iobdg/i2c/rtl/i2c_cpx_cnt.v
3,923
module MODULE1 ( VAR3, VAR6, clk, VAR11, VAR8 ); input VAR6; input clk; input VAR11; input VAR8; output VAR3; wire VAR12; wire VAR13; wire [1:0] VAR1; wire [1:0] VAR9; wire [1:0] VAR7; reg [1:0] VAR2; wire VAR3; assign VAR12 = VAR11 & ~VAR8; assign VAR13 = VAR8 & ~VAR11; assign VAR1 = VAR7 + 2'b01; assign VAR9 = VAR7 - 2'b01; always @(VAR7 or VAR9 or VAR13 or VAR1 or VAR12) case ({VAR13,VAR12}) 2'b00:VAR2 = VAR7; 2'b01:VAR2 = VAR1; 2'b10:VAR2 = VAR9; 2'b11:VAR2 = VAR7; endcase VAR4 #(2) VAR10 (.din(VAR2), .clk(clk), .VAR6(VAR6), .VAR5(VAR7)); assign VAR3 = VAR7[1]; endmodule
gpl-2.0
ultraembedded/altor32
rtl/cpu/altor32_ram_sp.v
3,243
module MODULE1 parameter [31:0] VAR7 = 8, parameter [31:0] VAR1 = 14 ) ( input VAR10 , output [(VAR7 - 1):0] VAR8 , input [(VAR7 - 1):0] VAR3 , input [(VAR1 - 1):0] VAR9 , input VAR6 ); reg [(VAR7 - 1):0] VAR5 [((2<< (VAR1-1)) - 1):0] ; reg [(VAR1 - 1):0] VAR4; always @ (posedge VAR10) begin if (VAR6 == 1'b1) VAR5[VAR9] <= VAR3; VAR4 <= VAR9; end assign VAR8 = VAR5[VAR4]; integer VAR2; begin begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a21bo/sky130_fd_sc_hs__a21bo_2.v
2,191
module MODULE2 ( VAR1 , VAR8 , VAR2 , VAR6, VAR3, VAR7 ); output VAR1 ; input VAR8 ; input VAR2 ; input VAR6; input VAR3; input VAR7; VAR4 VAR5 ( .VAR1(VAR1), .VAR8(VAR8), .VAR2(VAR2), .VAR6(VAR6), .VAR3(VAR3), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR1 , VAR8 , VAR2 , VAR6 ); output VAR1 ; input VAR8 ; input VAR2 ; input VAR6; supply1 VAR3; supply0 VAR7; VAR4 VAR5 ( .VAR1(VAR1), .VAR8(VAR8), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
ridecore/ridecore
src/fpga/multiplier.v
1,588
module MODULE2( input wire [1:0] sel, input wire [2*VAR16-1:0] VAR2, input wire [2*VAR16-1:0] VAR6, input wire [2*VAR16-1:0] VAR14, input wire [2*VAR16-1:0] VAR17, output reg [2*VAR16-1:0] out ); always @(*) begin case(sel) 0: begin out = VAR2; end 1: begin out = VAR6; end 2: begin out = VAR14; end 3: begin out = VAR17; end endcase end endmodule module MODULE1( input wire signed [VAR16-1:0] VAR12, input wire signed [VAR16-1:0] VAR7, input wire VAR18, input wire VAR10, input wire VAR5, output wire [VAR16-1:0] VAR3 ); wire signed [VAR16:0] VAR15 = {1'b0, VAR12}; wire signed [VAR16:0] VAR11 = {1'b0, VAR7}; wire signed [2*VAR16-1:0] VAR1 = VAR12 * VAR7; wire signed [2*VAR16-1:0] VAR8 = VAR12 * VAR11; wire signed [2*VAR16-1:0] VAR9 = VAR15 * VAR7; wire signed [2*VAR16-1:0] VAR4 = VAR15 * VAR11; wire [2*VAR16-1:0] VAR19; MODULE2 MODULE1( .sel({VAR18, VAR10}), .VAR2(VAR4), .VAR6(VAR9), .VAR14(VAR8), .VAR17(VAR1), .out(VAR19) ); assign VAR3 = VAR5 ? VAR19[VAR16+:VAR16] : VAR19[VAR16-1:0]; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/xnor3/sky130_fd_sc_hs__xnor3.behavioral.v
1,716
module MODULE1 ( VAR2 , VAR9 , VAR10 , VAR5 , VAR7, VAR6 ); output VAR2 ; input VAR9 ; input VAR10 ; input VAR5 ; input VAR7; input VAR6; wire VAR8 ; wire VAR3; xnor VAR11 (VAR8 , VAR9, VAR10, VAR5 ); VAR4 VAR12 (VAR3, VAR8, VAR7, VAR6); buf VAR1 (VAR2 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/decap/sky130_fd_sc_ls__decap_4.v
1,870
module MODULE2 ( VAR5, VAR1, VAR4 , VAR2 ); input VAR5; input VAR1; input VAR4 ; input VAR2 ; VAR3 VAR6 ( .VAR5(VAR5), .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE2 (); supply1 VAR5; supply0 VAR1; supply1 VAR4 ; supply0 VAR2 ; VAR3 VAR6 (); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/buf/sky130_fd_sc_ls__buf.blackbox.v
1,202
module MODULE1 ( VAR2, VAR3 ); output VAR2; input VAR3; supply1 VAR4; supply0 VAR5; supply1 VAR1 ; supply0 VAR6 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor4/gf180mcu_fd_sc_mcu9t5v0__nor4_2.behavioral.pp.v
1,402
module MODULE1( VAR7, VAR1, VAR8, VAR4, VAR2, VAR10, VAR9 ); input VAR2, VAR4, VAR7, VAR8; inout VAR10, VAR9; output VAR1; VAR6 VAR3(.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8),.VAR4(VAR4),.VAR2(VAR2),.VAR10(VAR10),.VAR9(VAR9)); VAR6 VAR5(.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8),.VAR4(VAR4),.VAR2(VAR2),.VAR10(VAR10),.VAR9(VAR9));
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai222/gf180mcu_fd_sc_mcu7t5v0__oai222_1.behavioral.pp.v
7,224
module MODULE1( VAR3, VAR10, VAR7, VAR8, VAR9, VAR2, VAR1, VAR11, VAR5 ); input VAR1, VAR2, VAR7, VAR9, VAR10, VAR3; inout VAR11, VAR5; output VAR8; VAR4 VAR12(.VAR3(VAR3),.VAR10(VAR10),.VAR7(VAR7),.VAR8(VAR8),.VAR9(VAR9),.VAR2(VAR2),.VAR1(VAR1),.VAR11(VAR11),.VAR5(VAR5)); VAR4 VAR6(.VAR3(VAR3),.VAR10(VAR10),.VAR7(VAR7),.VAR8(VAR8),.VAR9(VAR9),.VAR2(VAR2),.VAR1(VAR1),.VAR11(VAR11),.VAR5(VAR5));
apache-2.0
ptracton/vscale_soc
rtl/uart16550-1.5.4/bench/verilog/uart_device_utilities.v
11,409
module MODULE1; task VAR1; input [3:0] VAR12; begin end endtask task VAR2; begin end endtask task VAR11; begin end endtask task VAR38; begin end endtask task VAR17; begin end endtask task VAR43; begin end endtask task VAR7; input VAR35; begin if (~VAR35) begin end begin end else begin end end endtask task VAR13; input [3:0] VAR12; begin end endtask task VAR51; begin end endtask task VAR16; begin end endtask task VAR41; begin end endtask task VAR33; begin end endtask task VAR48; begin end endtask task VAR28; begin end endtask task VAR18; begin end endtask task VAR20; begin end endtask task VAR46; begin end endtask task VAR8; input [23:0] VAR25; begin if (VAR25 == 0) begin end else begin end end endtask task VAR30; input [15:0] VAR32; begin end endtask task VAR15; begin end endtask task VAR49; input [7:0] VAR29; begin end endtask task VAR10; begin end endtask task VAR19; input [31:0] VAR27; integer VAR5; begin end endtask endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/tse_mac1_loopback.v
3,192
module MODULE1 ( VAR3, VAR4, VAR1 ); output VAR3; input VAR4; output VAR1; reg VAR2;
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlxtn/sky130_fd_sc_ls__dlxtn.behavioral.v
1,947
module MODULE1 ( VAR13 , VAR16 , VAR5 ); output VAR13 ; input VAR16 ; input VAR5; supply1 VAR11; supply0 VAR4; supply1 VAR8 ; supply0 VAR7 ; wire VAR3 ; wire VAR1 ; wire VAR2; wire VAR17 ; reg VAR6 ; wire VAR10 ; not VAR15 (VAR3 , VAR2 ); VAR12 VAR14 (VAR1 , VAR17, VAR3, VAR6, VAR11, VAR4); buf VAR9 (VAR13 , VAR1 ); assign VAR10 = ( VAR11 === 1'b1 ); endmodule
apache-2.0
leekeith/DEVBOX
Dev_Box_HW/soc_system/synthesis/submodules/soc_system_vga_char_buffer.v
13,444
module MODULE1 ( clk, reset, VAR30, VAR77, VAR17, VAR61, VAR73, VAR79, VAR48, VAR58, VAR25, VAR97, VAR31, VAR53, VAR55, VAR49, VAR78, VAR100, VAR18, VAR4, VAR57, VAR87, VAR5 ); parameter VAR37 = 8; parameter VAR99 = 0; parameter VAR47 = 13; parameter VAR60 = 8192; parameter VAR46 = 640; parameter VAR111 = 480; input clk; input reset; input VAR30; input [ 3: 0] VAR77; input VAR17; input VAR61; input VAR73; input [31: 0] VAR79; input [(VAR47-1): 0] VAR48; input VAR58; input VAR25; input VAR97; input VAR31; input [ 7: 0] VAR53; input VAR55; output reg [31: 0] VAR49; output reg [ 7: 0] VAR78; output VAR100; output [39: 0] VAR18; output VAR4; output VAR57; output [ 1: 0] VAR87; output VAR5; wire [VAR37: 1] VAR15; wire [VAR37: 1] VAR59; wire [VAR47: 1] VAR89; wire [15: 0] VAR66; wire VAR22; wire [ 9: 0] VAR71; wire [ 9: 0] VAR88; wire [ 9: 0] VAR90; reg [31: 0] VAR105; reg [ 1: 0] VAR84; reg VAR74; reg [ 9: 0] VAR9; reg [ 8: 0] VAR67; reg [ 5: 0] VAR8; reg [ 5: 0] VAR14; reg [ 3: 0] VAR103; reg [ 3: 0] VAR102; always @(posedge clk) begin if (reset) VAR49 <= 32'h00000000; end else if (VAR17 & VAR61 & VAR30) VAR49 <= {16'd60, 16'd80}; else if (VAR17 & VAR61) VAR49 <= VAR105; end always @(posedge clk) begin if (reset) VAR78 <= 8'h00; end else if (VAR25 & VAR97) VAR78 <= {1'b0, VAR59[7:1]}; end always @(posedge clk) begin if (reset) VAR105 <= 32'h00010000; end else if (VAR17 & VAR73 & ~VAR30) begin if (VAR77[0]) VAR105[ 7: 0] <= VAR79[ 7: 0]; if (VAR77[1]) VAR105[15: 8] <= VAR79[15: 8]; if (VAR77[2]) VAR105[23:16] <= VAR79[23:16]; if (VAR77[3]) VAR105[31:24] <= VAR79[31:24]; end else if (VAR74 & VAR55 & (VAR9 == (VAR46 - 1)) && (VAR67 == (VAR111 - 1))) VAR105[16] <= 1'b0; end always @(posedge clk) begin if (reset) VAR84 <= 2'h0; end else if (VAR25 & VAR97) VAR84 <= {VAR84[0], 1'b1}; else VAR84 <= 2'h0; end always @(posedge clk) begin if (reset) VAR74 <= 1'b1; end else if (~(VAR105[16])) VAR74 <= 1'b0; else if ((VAR9 == 10'h000) && (VAR67 == 9'h000)) VAR74 <= 1'b1; end always @(posedge clk) begin if (reset) VAR9 <= 10'h000; end else if (VAR55) begin if (VAR9 == (VAR46 - 1)) VAR9 <= 10'h000; end else VAR9 <= VAR9 + 10'h001; end end always @(posedge clk) begin if (reset) VAR67 <= 9'h000; end else if (VAR55 && (VAR9 == (VAR46 - 1))) begin if (VAR67 == (VAR111 - 1)) VAR67 <= 9'h000; end else VAR67 <= VAR67 + 9'h001; end end always @(posedge clk) begin if (reset) begin VAR8 <= 6'h00; VAR14 <= 6'h00; end else if (VAR55) begin VAR8 <= {VAR8[2:0], VAR9[(VAR99+2):VAR99]}; VAR14 <= {VAR14[2:0], VAR67[(VAR99+2):VAR99]}; end end always @(posedge clk) begin if (reset) VAR103 <= 4'h0; end else if (VAR55) begin VAR103[3:1] <= VAR103[2:0]; if ((VAR9 == 10'h000) && (VAR67 == 9'h000)) VAR103[0] <= 1'b1; end else VAR103[0] <= 1'b0; end end always @(posedge clk) begin if (reset) VAR102 <= 4'h0; end else if (VAR55) begin VAR102[3:1] <= VAR102[2:0]; if ((VAR9 == (VAR46 - 1)) && (VAR67 == (VAR111 - 1))) VAR102[0] <= 1'b1; end else VAR102[0] <= 1'b0; end end assign VAR100 = (VAR25 & VAR97) & ~VAR84[1]; assign VAR18[39:30] = {10{VAR22}}; assign VAR18[29: 0] = {VAR71, VAR88, VAR90}; assign VAR4 = VAR103[3]; assign VAR57 = VAR102[3]; assign VAR87 = 2'h0; assign VAR5 = 1'b1; assign VAR15 = {VAR105[(VAR37-8):0], VAR53[6:0]}; assign VAR89 = {VAR67[8:(3 + VAR99)], VAR9[9:(3 + VAR99)]}; assign VAR71 = {10{VAR22}}; assign VAR88 = {10{VAR22}}; assign VAR90 = {10{VAR22}}; VAR65 VAR95 ( .VAR98 (clk), .VAR80 (VAR48), .VAR6 (VAR58 & VAR25 & VAR31), .VAR24 (VAR15), .VAR10 (clk), .VAR19 (VAR55), .VAR50 (VAR89), .VAR96 (VAR74), .VAR54 ({{(VAR37 - 7){1'b0}}, 7'h20}), .VAR32 (VAR59), .VAR62 (VAR66), .VAR82 (1'b1), .VAR85 (1'b0), .VAR38 (1'b0), .VAR43 (1'b1), .VAR26 (1'b1), .VAR12 (1'b1), .VAR107 (1'b1), .VAR41 (1'b1), .VAR91 (1'b1), .VAR36 (1'b0), .VAR7 (1'b0) ); VAR95.VAR70 = "VAR20", VAR95.VAR56 = "VAR101 VAR44", VAR95.VAR39 = "VAR65", VAR95.VAR69 = "VAR92", VAR95.VAR1 = "VAR27", VAR95.VAR29 = "VAR21", VAR95.VAR72 = "VAR75", VAR95.VAR110 = "VAR75", VAR95.VAR2 = "VAR75", VAR95.VAR104 = "VAR16", VAR95.VAR51 = "VAR40", VAR95.VAR42 = "VAR16", VAR95.VAR52 = "VAR40", VAR95.VAR93 = VAR60, VAR95.VAR34 = VAR60, VAR95.VAR108 = "VAR11", VAR95.VAR23 = "VAR11", VAR95.VAR63 = "VAR28", VAR95.VAR35 = "VAR75", VAR95.VAR13 = VAR47, VAR95.VAR45 = VAR47, VAR95.VAR76 = VAR37, VAR95.VAR64 = VAR37, VAR95.VAR3 = 1, VAR95.VAR68 = 1; VAR33 VAR109 ( .clk (clk), .VAR83 (VAR55), .VAR86 (VAR66[ 6: 0]), .VAR106 (VAR8[ 5: 3]), .VAR94 (VAR14[ 5: 3]), .VAR81 (VAR22) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_dlatch_pr_pp_pg_n/sky130_fd_sc_hdll__udp_dlatch_pr_pp_pg_n.symbol.v
1,513
module MODULE1 ( input VAR3 , output VAR5 , input VAR4 , input VAR2 , input VAR7, input VAR6 , input VAR1 ); endmodule
apache-2.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_106.v
1,551
module MODULE2 ( VAR12, VAR8 ); input [31:0] VAR12; output [31:0] VAR8; wire [31:0] VAR6, VAR14, VAR9, VAR1, VAR7, VAR11, VAR5, VAR4, VAR13, VAR15; assign VAR6 = VAR12; assign VAR11 = VAR6 << 1; assign VAR14 = VAR6 << 8; assign VAR9 = VAR6 + VAR14; assign VAR1 = VAR9 << 4; assign VAR5 = VAR7 - VAR11; assign VAR7 = VAR9 + VAR1; assign VAR13 = VAR5 + VAR4; assign VAR4 = VAR6 << 6; assign VAR15 = VAR13 << 1; assign VAR8 = VAR15; endmodule module MODULE1( VAR12, VAR8, clk ); input [31:0] VAR12; output [31:0] VAR8; reg [31:0] VAR8; input clk; reg [31:0] VAR2; wire [30:0] VAR10; always @(posedge clk) begin VAR2 <= VAR12; VAR8 <= VAR10; end MODULE2 MODULE1( .VAR12(VAR2), .VAR8(VAR10) ); endmodule
mit
tmatsuya/milkymist-ml401
boards/xilinx-ml401/rtl/vga.v
2,083
module MODULE1 #( parameter VAR20 = 4'h0, parameter VAR6 = 26 ) ( input VAR4, input VAR14, input [13:0] VAR7, input VAR16, input [31:0] VAR28, output [31:0] VAR9, output [VAR6-1:0] VAR19, output VAR17, input VAR15, input [63:0] VAR18, output VAR12, output [VAR6-1:0] VAR24, input [63:0] VAR22, input VAR27, output VAR11, output VAR10, output VAR3, output VAR26, output VAR2, output [7:0] VAR21, output [7:0] VAR8, output [7:0] VAR13, output VAR5 ); wire VAR1; reg [1:0] VAR25; always @(posedge VAR4) VAR25 <= VAR25 + 2'd1; assign VAR1 = VAR25[1]; assign VAR5 = VAR1; VAR23 #( .VAR20(VAR20), .VAR6(VAR6) ) VAR23 ( .VAR4(VAR4), .VAR14(VAR14), .VAR7(VAR7), .VAR16(VAR16), .VAR28(VAR28), .VAR9(VAR9), .VAR19(VAR19), .VAR17(VAR17), .VAR15(VAR15), .VAR18(VAR18), .VAR12(VAR12), .VAR24(VAR24), .VAR22(VAR22), .VAR27(VAR27), .VAR1(VAR1), .VAR11(VAR11), .VAR10(VAR10), .VAR3(VAR3), .VAR26(VAR26), .VAR2(VAR2), .VAR21(VAR21), .VAR8(VAR8), .VAR13(VAR13) ); endmodule
lgpl-3.0
ultraembedded/riscv
top_cache_axi/src_v/dcache.v
12,743
module MODULE1 parameter VAR160 = 0 ) ( input VAR187 ,input VAR169 ,input [ 31:0] VAR109 ,input [ 31:0] VAR123 ,input VAR22 ,input [ 3:0] VAR125 ,input VAR139 ,input [ 10:0] VAR80 ,input VAR130 ,input VAR182 ,input VAR118 ,input VAR183 ,input VAR24 ,input VAR49 ,input [ 1:0] VAR88 ,input [ 3:0] VAR15 ,input VAR159 ,input VAR157 ,input [ 31:0] VAR41 ,input [ 1:0] VAR62 ,input [ 3:0] VAR200 ,input VAR17 ,output [ 31:0] VAR126 ,output VAR189 ,output VAR67 ,output VAR107 ,output [ 10:0] VAR176 ,output VAR36 ,output [ 31:0] VAR84 ,output [ 3:0] VAR146 ,output [ 7:0] VAR153 ,output [ 1:0] VAR52 ,output VAR195 ,output [ 31:0] VAR131 ,output [ 3:0] VAR99 ,output VAR47 ,output VAR162 ,output VAR53 ,output [ 31:0] VAR93 ,output [ 3:0] VAR81 ,output [ 7:0] VAR23 ,output [ 1:0] VAR175 ,output VAR98 ); wire VAR64; wire VAR65; wire VAR150; wire [ 7:0] VAR73; wire [ 3:0] VAR72; wire [ 31:0] VAR158; wire VAR20; wire VAR156; wire [ 7:0] VAR164; wire VAR113; wire VAR85; wire VAR63; wire [ 31:0] VAR100; wire VAR44; wire VAR165; wire [ 31:0] VAR59; wire [ 10:0] VAR60; wire VAR92; wire VAR143; wire [ 31:0] VAR155; wire [ 31:0] VAR178; wire [ 31:0] VAR173; wire [ 31:0] VAR196; wire VAR138; wire VAR185; wire [ 31:0] VAR163; wire [ 31:0] VAR21; wire [ 3:0] VAR170; wire VAR25; wire [ 10:0] VAR1; wire [ 7:0] VAR12; wire [ 31:0] VAR132; wire [ 3:0] VAR115; wire VAR96; wire VAR74; wire VAR127; wire [ 31:0] VAR142; wire VAR151; wire [ 3:0] VAR154; wire VAR16; wire [ 10:0] VAR168; wire [ 31:0] VAR137; wire [ 10:0] VAR69; wire VAR29; wire VAR120; wire [ 3:0] VAR167; wire VAR149; wire VAR55; wire VAR161; wire [ 31:0] VAR122; wire VAR147; wire [ 31:0] VAR190; wire VAR148; wire [ 31:0] VAR76; wire VAR144; wire VAR201; VAR56 VAR106 ( .VAR187(VAR187) ,.VAR169(VAR169) ,.VAR109(VAR190) ,.VAR123(VAR155) ,.VAR22(VAR55) ,.VAR125(VAR167) ,.VAR139(VAR127) ,.VAR80(VAR168) ,.VAR130(VAR64) ,.VAR182(VAR151) ,.VAR118(VAR138) ,.VAR30(VAR113) ,.VAR177(VAR156) ,.VAR141(VAR185) ,.VAR179(VAR196) ,.VAR126(VAR163) ,.VAR189(VAR150) ,.VAR67(VAR92) ,.VAR107(VAR149) ,.VAR176(VAR69) ,.VAR192(VAR170) ,.VAR51(VAR148) ,.VAR50(VAR12) ,.VAR89(VAR178) ,.VAR136(VAR137) ); VAR86 VAR68 ( .VAR187(VAR187) ,.VAR169(VAR169) ,.VAR30(VAR161) ,.VAR177(VAR143) ,.VAR141(VAR165) ,.VAR179(VAR76) ,.VAR117(VAR96) ,.VAR26(VAR170) ,.VAR181(VAR148) ,.VAR188(VAR12) ,.VAR172(VAR178) ,.VAR71(VAR137) ,.VAR129(VAR154) ,.VAR97(VAR44) ,.VAR102(VAR73) ,.VAR19(VAR100) ,.VAR112(VAR122) ,.VAR192(VAR115) ,.VAR51(VAR29) ,.VAR50(VAR164) ,.VAR89(VAR59) ,.VAR136(VAR21) ,.VAR42(VAR113) ,.VAR6(VAR156) ,.VAR166(VAR185) ,.VAR70(VAR196) ,.VAR3(VAR65) ,.VAR202(VAR63) ,.VAR35(VAR16) ,.VAR108(VAR158) ); VAR27 VAR114 ( .VAR187(VAR187) ,.VAR169(VAR169) ,.VAR109(VAR109) ,.VAR123(VAR123) ,.VAR22(VAR22) ,.VAR125(VAR125) ,.VAR139(VAR139) ,.VAR80(VAR80) ,.VAR130(VAR130) ,.VAR182(VAR182) ,.VAR118(VAR118) ,.VAR28(VAR173) ,.VAR91(VAR85) ,.VAR193(VAR144) ,.VAR94(VAR147) ,.VAR45(VAR1) ,.VAR4(VAR163) ,.VAR186(VAR150) ,.VAR32(VAR92) ,.VAR191(VAR149) ,.VAR121(VAR69) ,.VAR126(VAR126) ,.VAR189(VAR189) ,.VAR67(VAR67) ,.VAR107(VAR107) ,.VAR176(VAR176) ,.VAR140(VAR142) ,.VAR13(VAR132) ,.VAR37(VAR25) ,.VAR2(VAR72) ,.VAR128(VAR120) ,.VAR58(VAR60) ,.VAR75(VAR20) ,.VAR95(VAR201) ,.VAR104(VAR74) ,.VAR199(VAR190) ,.VAR174(VAR155) ,.VAR38(VAR55) ,.VAR194(VAR167) ,.VAR119(VAR127) ,.VAR90(VAR168) ,.VAR134(VAR64) ,.VAR78(VAR151) ,.VAR203(VAR138) ,.VAR82(VAR96) ); VAR124 VAR87 ( .VAR187(VAR187) ,.VAR169(VAR169) ,.VAR109(VAR142) ,.VAR123(VAR132) ,.VAR22(VAR25) ,.VAR125(VAR72) ,.VAR139(VAR120) ,.VAR80(VAR60) ,.VAR130(VAR20) ,.VAR182(VAR201) ,.VAR118(VAR74) ,.VAR30(VAR65) ,.VAR177(VAR63) ,.VAR141(VAR16) ,.VAR179(VAR158) ,.VAR126(VAR173) ,.VAR189(VAR85) ,.VAR67(VAR144) ,.VAR107(VAR147) ,.VAR176(VAR1) ,.VAR192(VAR154) ,.VAR51(VAR44) ,.VAR50(VAR73) ,.VAR89(VAR100) ,.VAR136(VAR122) ); VAR48 .VAR160(VAR160) ) VAR145 ( .VAR187(VAR187) ,.VAR169(VAR169) ,.VAR33(VAR183) ,.VAR5(VAR24) ,.VAR11(VAR49) ,.VAR83(VAR88) ,.VAR46(VAR15) ,.VAR43(VAR159) ,.VAR40(VAR157) ,.VAR77(VAR41) ,.VAR31(VAR62) ,.VAR171(VAR200) ,.VAR79(VAR17) ,.VAR103(VAR115) ,.VAR14(VAR29) ,.VAR110(VAR164) ,.VAR10(VAR59) ,.VAR54(VAR21) ,.VAR116(VAR36) ,.VAR34(VAR84) ,.VAR57(VAR146) ,.VAR111(VAR153) ,.VAR180(VAR52) ,.VAR133(VAR195) ,.VAR39(VAR131) ,.VAR105(VAR99) ,.VAR184(VAR47) ,.VAR18(VAR162) ,.VAR61(VAR53) ,.VAR135(VAR93) ,.VAR66(VAR81) ,.VAR8(VAR23) ,.VAR7(VAR175) ,.VAR152(VAR98) ,.VAR101(VAR161) ,.VAR9(VAR143) ,.VAR198(VAR165) ,.VAR197(VAR76) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and4/sky130_fd_sc_hs__and4_1.v
2,115
module MODULE1 ( VAR3 , VAR7 , VAR1 , VAR4 , VAR8 , VAR5, VAR9 ); output VAR3 ; input VAR7 ; input VAR1 ; input VAR4 ; input VAR8 ; input VAR5; input VAR9; VAR2 VAR6 ( .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR3, VAR7, VAR1, VAR4, VAR8 ); output VAR3; input VAR7; input VAR1; input VAR4; input VAR8; supply1 VAR5; supply0 VAR9; VAR2 VAR6 ( .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8) ); endmodule
apache-2.0
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/system/synthesis/submodules/system_mm_interconnect_0.v
19,278
module MODULE1 ( input wire VAR13, input wire VAR2, input wire VAR45, input wire VAR37, input wire [29:0] VAR46, output wire VAR43, input wire [4:0] VAR8, input wire [31:0] VAR82, input wire VAR69, output wire [255:0] VAR26, output wire VAR77, input wire VAR36, input wire [255:0] VAR96, output wire [29:0] VAR21, output wire VAR52, output wire VAR93, input wire [255:0] VAR42, output wire [255:0] VAR32, output wire [4:0] VAR23, output wire [31:0] VAR61, input wire VAR100, input wire VAR58, output wire VAR70 ); wire VAR10; wire [255:0] VAR94; wire VAR103; wire [29:0] VAR39; wire VAR73; wire [31:0] VAR85; wire VAR92; wire VAR22; wire VAR75; wire [255:0] VAR44; wire [9:0] VAR50; VAR88 #( .VAR5 (30), .VAR12 (256), .VAR6 (5), .VAR51 (32), .VAR89 (30), .VAR74 (10), .VAR97 (1), .VAR91 (1), .VAR95 (0), .VAR55 (0), .VAR40 (0), .VAR4 (1), .VAR99 (1), .VAR66 (1), .VAR27 (0), .VAR34 (0), .VAR64 (32), .VAR59 (1), .VAR79 (0), .VAR9 (1), .VAR57 (1), .VAR18 (0), .VAR81 (0) ) VAR24 ( .clk (VAR13), .reset (VAR37), .VAR29 (VAR39), .VAR33 (VAR50), .VAR102 (VAR73), .VAR31 (VAR75), .VAR56 (VAR10), .VAR41 (VAR92), .VAR101 (VAR85), .VAR84 (VAR94), .VAR78 (VAR44), .VAR38 (VAR22), .VAR16 (VAR103), .VAR48 (VAR46), .VAR25 (VAR43), .VAR60 (VAR8), .VAR15 (VAR82), .VAR28 (VAR69), .VAR54 (VAR26), .VAR49 (VAR77), .VAR104 (VAR36), .VAR1 (VAR96), .VAR11 (1'b0), .VAR3 (1'b0), .VAR83 (1'b0), .VAR17 (1'b0), .VAR86 (1'b0), .VAR90 (), .VAR65 (1'b1), .VAR62 (2'b00), .VAR67 (), .VAR7 (1'b0), .VAR87 () ); VAR47 #( .VAR5 (30), .VAR12 (256), .VAR72 (256), .VAR6 (5), .VAR51 (32), .VAR19 (32), .VAR89 (30), .VAR74 (10), .VAR30 (0), .VAR99 (1), .VAR66 (1), .VAR14 (0), .VAR27 (0), .VAR34 (0), .VAR64 (32), .VAR59 (1), .VAR79 (0), .VAR9 (0), .VAR57 (0), .VAR20 (0), .VAR63 (0), .VAR53 (0), .VAR68 (0), .VAR35 (0), .VAR76 (0) ) VAR98 ( .clk (VAR13), .reset (VAR45), .VAR29 (VAR39), .VAR33 (VAR50), .VAR102 (VAR73), .VAR31 (VAR75), .VAR56 (VAR10), .VAR41 (VAR92), .VAR101 (VAR85), .VAR84 (VAR94), .VAR78 (VAR44), .VAR38 (VAR22), .VAR16 (VAR103), .VAR48 (VAR21), .VAR104 (VAR52), .VAR28 (VAR93), .VAR54 (VAR42), .VAR1 (VAR32), .VAR60 (VAR23), .VAR15 (VAR61), .VAR49 (VAR100), .VAR25 (VAR58), .VAR86 (VAR70), .VAR3 (), .VAR11 (), .VAR80 (), .VAR17 (), .VAR83 (), .VAR65 (), .VAR90 (1'b0), .VAR71 (), .VAR62 (), .VAR67 (2'b00), .VAR7 (), .VAR87 (1'b0) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or4bb/sky130_fd_sc_hdll__or4bb.blackbox.v
1,334
module MODULE1 ( VAR3 , VAR2 , VAR8 , VAR5, VAR4 ); output VAR3 ; input VAR2 ; input VAR8 ; input VAR5; input VAR4; supply1 VAR6; supply0 VAR9; supply1 VAR7 ; supply0 VAR1 ; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/pcie_4243_trn_v5_gtp_x1_125/source/pcie_soft_int.v
6,052
module MODULE1 ( input wire clk, input wire VAR23, input wire VAR13, input wire VAR22, input wire [31:0] VAR21, input wire VAR30, output [3:0] VAR8, output wire VAR24, input wire VAR11, output wire VAR18, input wire VAR10, input wire VAR5, input wire [7:0] VAR32, output [2:0] VAR26, output [7:0] VAR7, output VAR20, input wire [31:0] VAR29, input wire [31:0] VAR17, input wire [15:0] VAR25, input wire [15:0] VAR31, input wire [15:0] VAR6, output wire VAR28, output wire VAR14, output wire [1:0] VAR19, output wire [7:0] VAR27 ); wire VAR15; assign VAR18 = ~VAR15; assign VAR20 = VAR31[0]; assign VAR24 = 0; assign VAR8 = 4'd0; assign VAR26 = VAR31[6:4]; assign VAR7 = VAR6[7:0]; VAR9 VAR2 ( .clk (clk) ,.rst (~VAR23) ,.VAR28 (VAR28) ,.VAR14 (VAR14) ,.VAR19 (VAR19) ,.VAR15 (VAR15) ,.VAR11 (VAR11) ,.VAR5 (VAR5) ,.VAR32 (VAR32) ,.VAR26 (VAR26) ,.VAR12 (VAR6) ,.VAR27 (VAR27) ,.VAR1 ( {556'd0, VAR10 ,467'd0} ) ,.VAR3 (VAR25) ,.VAR16 (VAR31) ,.VAR29 (VAR29) ,.VAR17 (VAR17) ,.VAR4 (VAR22 & VAR13) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
models/udp_dff_ps_pp_pg_n/sky130_fd_sc_hvl__udp_dff_ps_pp_pg_n.symbol.v
1,482
module MODULE1 ( input VAR4 , output VAR2 , input VAR7 , input VAR5 , input VAR3, input VAR6 , input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/tapvpwrvgnd/sky130_fd_sc_hd__tapvpwrvgnd.functional.pp.v
1,200
module MODULE1 ( VAR1, VAR2, VAR3 , VAR4 ); input VAR1; input VAR2; input VAR3 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21o/sky130_fd_sc_ms__a21o_4.v
2,248
module MODULE2 ( VAR10 , VAR9 , VAR3 , VAR5 , VAR2, VAR7, VAR6 , VAR8 ); output VAR10 ; input VAR9 ; input VAR3 ; input VAR5 ; input VAR2; input VAR7; input VAR6 ; input VAR8 ; VAR1 VAR4 ( .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR10 , VAR9, VAR3, VAR5 ); output VAR10 ; input VAR9; input VAR3; input VAR5; supply1 VAR2; supply0 VAR7; supply1 VAR6 ; supply0 VAR8 ; VAR1 VAR4 ( .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3), .VAR5(VAR5) ); endmodule
apache-2.0
Elphel/x393_sata
ahci/axi_ahci_regs.v
28,710
module MODULE1#( parameter VAR69 = 10, parameter VAR163 = 9, parameter VAR86 = 1 )( input VAR176, input VAR54, input [31:0] VAR83, input VAR93, output VAR136, input [11:0] VAR63, input [ 3:0] VAR122, input [ 1:0] VAR9, input [ 1:0] VAR177, input [31:0] VAR80, input VAR151, output VAR183, input [11:0] VAR121, input VAR182, input [ 3:0] VAR114, output VAR82, input VAR147, output [11:0] VAR113, output [ 1:0] VAR95, input [31:0] VAR185, input VAR197, output VAR154, input [11:0] VAR153, input [ 3:0] VAR166, input [ 1:0] VAR85, input [ 1:0] VAR170, output [31:0] VAR36, output VAR135, input VAR44, output [11:0] VAR24, output VAR138, output [ 1:0] VAR105, output [VAR69-1:0] VAR67, output [31:0] VAR194, output VAR188, output VAR119, output VAR94, output VAR103, input VAR198, input VAR59, input [VAR69-1:0] VAR143, input VAR199, input [1:0] VAR10, input [31:0] VAR75, output [31:0] VAR97, output reg [17:0] VAR52, output reg VAR11, output reg VAR91, output reg [ 3:0] VAR150, output reg [ 3:0] VAR19, output VAR35, output VAR25, output VAR112, input [31:0] VAR76, input [31:0] VAR42, input [31:0] VAR51, input [31:0] VAR117 ,output reg VAR161, output reg VAR55, output reg [14:0] VAR90, output reg [15:0] VAR146, input VAR181, input [15:0] VAR34 ,input VAR156, input [VAR69-1:0] VAR20, input VAR12, input [31:0] VAR32, input VAR132, input [VAR69-1:0] VAR172, input VAR48, input [31:0] VAR116 ); localparam VAR74 = 'h3fb; reg [15:0] VAR96; reg VAR169; reg VAR13; localparam VAR202 = VAR69 + 2; wire [31:0] VAR99; reg [1:0] VAR58; wire [31:0] VAR125; reg [1:0] VAR184; always @ (posedge VAR176) begin VAR58 <= {VAR58[0], ~VAR159[VAR69+1] & VAR159[VAR69]}; VAR184 <= {VAR184[0], VAR159[VAR69+1] & ~VAR159[VAR69]}; end localparam VAR202 = VAR69; VAR129 wire [VAR202-1:0] VAR159; wire [31:0] VAR22; wire VAR1; wire VAR110; wire [ 3:0] VAR30; wire [31:0] VAR66; wire [VAR69-1:0] VAR160; wire [1:0] VAR39; reg VAR78; wire VAR109; wire VAR18 = VAR78 || VAR109 || VAR152; reg [31:0] VAR37; reg [31:0] VAR27; wire [63:0] VAR120; wire [31:0] VAR101; reg [ 3:0] VAR7; reg VAR152; wire [31:0] VAR204 = {{8{VAR7[3]}},{8{VAR7[2]}},{8{VAR7[1]}},{8{VAR7[0]}}}; reg [VAR69-1:0] VAR70; reg [VAR163-1:0] VAR89; reg VAR43; reg VAR21; reg VAR57 = 1; wire VAR15 = VAR70[VAR69-1]; wire VAR23 = VAR152 && !VAR15 && (VAR160 == VAR31); wire VAR61 = VAR152 && !VAR15 && (VAR160 == VAR106); wire VAR62 = |(VAR101 & VAR115); wire VAR196 = VAR152 && !VAR15 && (VAR160 == VAR6) && (VAR101 & VAR5); localparam VAR2 = VAR149 & ~1; wire VAR139 = VAR152 && !VAR15 && (VAR160 == VAR68) && ((VAR101 & VAR2) == 0); wire VAR38 = VAR139 && VAR101[0]; reg VAR195; reg VAR128; reg [2:0] VAR41; reg [2:0] VAR180; reg [2:0] VAR148 = ~0; reg VAR190 = VAR86; wire VAR72 = VAR152 || VAR39[0]; reg VAR189 = 0; reg [31:0] VAR33; assign VAR160 = VAR39[0] ? VAR159[VAR69-1:0] : (VAR152 ? VAR70 : VAR56[VAR69-1:0]); assign VAR119 = VAR43; assign VAR94 = VAR21; assign VAR103 = VAR57; assign VAR25 = VAR41[0]; assign VAR112 = VAR180[0]; always @(posedge VAR176) begin if (VAR56 == VAR74) begin VAR146 <= VAR66[15: 0]; VAR90 <= VAR66[30:16]; end VAR161 <= (VAR56 == VAR74); VAR55 <= (VAR56 == VAR74) && VAR66[31]; if (VAR54 || (VAR56 == VAR74)) VAR13 <= 0; end else if (VAR181) VAR13 <= 1; if (VAR181) VAR96 <= VAR34; if (VAR39[0]) VAR169 <= (VAR159 == VAR74); if (VAR54) VAR78 <= 0; else if (VAR109) VAR78 <= 1; else if (!VAR1) VAR78 <= 0; if (VAR110) VAR37 <= VAR66; VAR7 <= {4{VAR110}} & VAR30; VAR152 <= VAR110; if (VAR110) VAR70 <= VAR56[VAR69-1:0]; if (VAR39[0]) VAR189 <= (&VAR159[VAR69-1:4]) && !VAR159[VAR69]; else if (VAR39[0]) VAR189 <= (&VAR159[VAR69-1:4]); VAR129 (VAR159[0] ? VAR42: VAR76); if (VAR39[1]) VAR27 <= VAR169? {VAR13, 15'b0,VAR96}: (VAR189? VAR33 : VAR22); if (VAR39[1]) VAR27 <= VAR189? VAR33 : VAR22; end generate genvar VAR3; for (VAR3=0; VAR3 < 32; VAR3=VAR3+1) begin: VAR201 assign VAR101[VAR3] = (VAR120[2*VAR3+1] && VAR204[VAR3] && !VAR15)? ((VAR120[2*VAR3] && VAR204[VAR3])? (VAR22[VAR3] || VAR37[VAR3]): (VAR22[VAR3] && !VAR37[VAR3])): (((VAR120[2*VAR3] && VAR204[VAR3]) || VAR15)? (VAR37[VAR3]): (VAR22[VAR3])); end endgenerate always @ (posedge VAR176) begin if (VAR54) VAR190 <= VAR86; end else if (VAR72) VAR190 <= 0; if (VAR54) VAR57 <= 1; else if (VAR139) VAR57 <= VAR101[0]; else if (VAR190 && VAR72) VAR57 <= 0; else if (VAR148[2] && !VAR148[1]) VAR57 <= VAR190; end always @(posedge VAR176) begin end if (VAR54) VAR89 <= 0; else if (VAR196) VAR89 <= {VAR163{1'b1}}; else if (|VAR89) VAR89 <= VAR89 - 1; VAR43 <= VAR89 != 0; if (VAR54) VAR21 <= 0; else if (VAR139) VAR21 <= VAR101[0]; if (VAR54 || VAR38) VAR195 <= 0; else if (VAR196) VAR195 <= 1; if (VAR54 || VAR196) VAR128 <= 0; else if (VAR38) VAR128 <= 1; if (VAR54) VAR148 <= ~0; else VAR148 <= VAR148 << 1; end always @ (posedge VAR176) begin VAR41 <= {VAR195, VAR41[2:1]}; VAR180 <= {VAR128, VAR180[2:1]}; end always @(posedge VAR176) begin if (VAR54) {VAR150,VAR19} <= 8'h33; end else if (VAR23) {VAR150,VAR19} <= VAR101[7:0]; end always @(posedge VAR176) begin if (VAR54) {VAR11,VAR91} <= 0; end else {VAR11,VAR91} <= {2{VAR61}} & {VAR62, ~VAR62}; if (VAR61) VAR52 <= VAR101[17:0]; end VAR165 #( .VAR69(VAR202) ) VAR100 ( .VAR176 (VAR176), .VAR54 (VAR54), .VAR83 (VAR83), .VAR93 (VAR93), .VAR136 (VAR136), .VAR63 (VAR63), .VAR122 (VAR122), .VAR9 (VAR9), .VAR177 (VAR177), .VAR80 (VAR80), .VAR151 (VAR151), .VAR183 (VAR183), .VAR121 (VAR121), .VAR182 (VAR182), .VAR114 (VAR114), .VAR82 (VAR82), .VAR147 (VAR147), .VAR113 (VAR113), .VAR95 (VAR95), .VAR17 (), .VAR158 (VAR109), .VAR88 (!VAR110), .VAR26 (), .VAR56 (VAR56), .VAR1(VAR1), .VAR110 (VAR110), .VAR30 (VAR30), .VAR66 (VAR66) ); VAR192 #( .VAR69(VAR202) ) VAR173 ( .VAR176 (VAR176), .VAR54 (VAR54), .VAR185 (VAR185), .VAR197 (VAR197), .VAR154 (VAR154), .VAR153 (VAR153), .VAR166 (VAR166), .VAR85 (VAR85), .VAR170 (VAR170), .VAR36 (VAR36), .VAR135 (VAR135), .VAR44 (VAR44), .VAR24 (VAR24), .VAR138 (VAR138), .VAR105 (VAR105), .VAR64 (), .VAR158 (), .VAR88 (!VAR18), .VAR200 (), .VAR159 (VAR159), .VAR39 (VAR39[0]), .VAR65 (VAR39[1]), VAR155 VAR133 .VAR22 ((VAR58[1] | VAR184[1]) ? (VAR184[1]? VAR125 : VAR99) : VAR27) else .VAR22 (VAR27) VAR129 ); VAR141 #( .VAR53 (0), .VAR127 (1), .VAR16 (5), .VAR142 (5), .VAR126("VAR144"), .VAR137("VAR144") ) VAR8 ( .VAR171 (VAR176), .VAR167 (VAR160), .VAR49 (VAR39[0] || VAR110 || VAR152), .VAR175 (1'b0), .VAR46 (VAR7), .VAR118 (VAR22), .VAR134 (VAR101), .VAR187 (VAR198), .VAR124 (VAR143), .VAR140 (VAR199 || VAR10[0]), .VAR108 (VAR10[1]), .VAR145 ({4{VAR199}}), .VAR123 (VAR97), .VAR45 (VAR75) ); VAR164 #( .VAR92 (0), .VAR186 (6), .VAR107 (6), .VAR40(0) ) VAR111 ( .VAR162 (VAR176), .VAR81 (VAR160[8:0]), .VAR77 (VAR110 && !VAR160[9]), .VAR174 (1'b0), .VAR98 (VAR120), .VAR60 (1'b0), .VAR193 (9'b0), .VAR168 (1'b0), .VAR145 (8'b0), .VAR84 (64'b0) ); VAR164 #( .VAR92 (0), .VAR186 (5), .VAR107 (5), .VAR40(0) ) VAR203 ( .VAR162 (VAR176), .VAR81 (VAR159[9:0]), .VAR77 (VAR39[0]), .VAR174 (VAR39[1]), .VAR98 (VAR99), .VAR60 (VAR156), .VAR193 (VAR20), .VAR168 (VAR12), .VAR145 (8'hff), .VAR84 (VAR32) ); VAR164 #( .VAR92 (0), .VAR186 (5), .VAR107 (5), .VAR40(0) ) VAR179 ( .VAR162 (VAR176), .VAR81 (VAR159[9:0]), .VAR77 (VAR39[0]), .VAR174 (VAR39[1]), .VAR98 (VAR125), .VAR60 (VAR132), .VAR193 (VAR172), .VAR168 (VAR48), .VAR145 (8'hff), .VAR84 (VAR116) ); VAR130 #( .VAR50(VAR69+32), .VAR131(4) ) VAR4 ( .rst (1'b0), .VAR87 (VAR59), .VAR157 (VAR54), .VAR162 (VAR198), .VAR60 (VAR176), .VAR168 (VAR152 && !VAR15), .VAR29 (VAR188), .VAR84 ({VAR160, VAR101}), .VAR98 ({VAR67,VAR194}), .VAR191 (VAR188), .VAR71 () ); VAR14 #( .VAR47(0) ) VAR79 ( .rst (VAR54), .VAR102 (VAR176), .VAR178 (VAR198), .VAR104 (VAR23), .VAR28 (VAR35), .VAR73() ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/busdrivernovlp/sky130_fd_sc_lp__busdrivernovlp.symbol.v
1,420
module MODULE1 ( input VAR7 , output VAR5 , input VAR1 ); supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR6 ; endmodule
apache-2.0
SymbiFlow/symbiflow-arch-defs
xc/xc7/techmap/clean_carry_map.v
2,436
module MODULE2(input VAR24, input VAR23, input VAR11, input VAR16, output VAR26); parameter VAR14 = 1'b0; assign VAR26 = VAR24; endmodule module MODULE3(input VAR24, input VAR23, input VAR11, input VAR16, output VAR26); parameter VAR14 = 1'b0; generate if(VAR14) VAR15 #(.VAR5(8'b01110100)) VAR21 (.VAR22(VAR23), .VAR4(VAR11), .VAR9(VAR16), .VAR23(VAR26)); else VAR7 #(.VAR5(4'b0110)) VAR1 (.VAR22(VAR23), .VAR4(VAR11), .VAR23(VAR26)); endgenerate endmodule module MODULE1(input VAR24, input VAR23, input VAR11, input VAR16, output VAR26); parameter VAR14 = 1'b0; wire VAR3; VAR19 VAR17( .VAR12(VAR24), .VAR20(VAR3) ); VAR2 #( .VAR8(1'b0), .VAR18(1'b0), .VAR6(1'b0) ) VAR10 ( .VAR12(VAR3), .VAR13(VAR26), .VAR25(1'b0) ); endmodule
isc
cfangmeier/VFPIX-telescope-Code
DAQ_Firmware/src/ram/alt_mem_ddrx_wdata_path.v
53,451
module MODULE1 parameter VAR123 = 16, VAR203 = 8, VAR245 = 1, VAR154 = 5, VAR252 = 4, VAR141 = 1, VAR94 = 1, VAR125 = 8, VAR210 = 10, VAR209 = 2, VAR107 = 1, VAR127 = 0, VAR187 = 1, VAR175 = 8, VAR117 = 5, VAR227 = 1, VAR51 = 1, VAR163 = 1, VAR90 = 1, VAR88 = 8, VAR131 = 0 ) ( VAR192, VAR190, VAR80, VAR188, VAR13, VAR72, VAR172, VAR89, VAR147, VAR22, VAR37, VAR33, VAR44, VAR71, VAR56, VAR58, VAR139, VAR226, VAR79, VAR180, VAR42, VAR205, VAR53, VAR254, VAR253, VAR137, VAR45, VAR65, VAR114, VAR115, VAR97, VAR264, VAR251, VAR136, VAR118, VAR159, VAR153, VAR26, VAR5, VAR99, VAR38, VAR196, VAR186, VAR86, VAR116, VAR2, VAR260, VAR109, VAR259, VAR106, VAR228, VAR102, VAR77, VAR128, VAR198, VAR155 ); localparam VAR4 = VAR203 / VAR245; localparam VAR19 = VAR210+1; localparam VAR179 = VAR107; localparam VAR29 = VAR107 * VAR175; localparam VAR206 = VAR123 + VAR179 + VAR29; localparam VAR7 = (VAR154 == 1) ? VAR154 : VAR154-1; localparam VAR201 = VAR123 / 8; localparam VAR160 = VAR123 / VAR4; localparam VAR214 = VAR88; localparam VAR185 = VAR88 - 2; localparam integer VAR148 = (2**VAR252); localparam VAR52 = VAR123 / VAR94 / VAR209; localparam VAR93 = VAR160 / VAR94 / VAR209; input VAR192; input VAR190; input [VAR117 - 1 : 0] VAR80; input [VAR227 - 1 : 0] VAR188; input [VAR51 - 1 : 0] VAR13; input [VAR163 - 1 : 0] VAR72; input [VAR90 - 1 : 0] VAR172; input [VAR88 - 1 : 0] VAR89; output VAR147; output [VAR252-1:0] VAR22; input VAR37; input VAR33; input VAR44; input VAR71; input [VAR125-1:0] VAR56; input [VAR154-1:0] VAR58; output VAR139; input VAR226; input [VAR123-1:0] VAR79; input [VAR201-1:0] VAR180; output [VAR125-1:0] VAR42; output VAR205; input VAR53; output VAR254; input [VAR141-1:0] VAR253; input [VAR141*VAR252-1:0] VAR137; input [VAR141*VAR148-1:0] VAR45; input [VAR141-1:0] VAR65; input [VAR141-1:0] VAR114; input [VAR141-1:0] VAR115; input VAR97; input [VAR252-1:0] VAR264; input [VAR148-1:0] VAR251; input VAR136; input VAR118; input VAR159; input [VAR141-1:0] VAR153; input [VAR141-1:0] VAR26; input VAR5; input [VAR252-1:0] VAR99; input [VAR148-1:0] VAR38; input VAR196; input VAR186; input VAR86; output [VAR123-1:0] VAR116; output [VAR123-1:0] VAR2; output [VAR123-1:0] VAR260; output VAR109; output VAR259; output [VAR160-1:0] VAR106; output [VAR107 * VAR175 - 1 : 0] VAR228; output [VAR107 - 1 : 0] VAR102; input VAR77; input [VAR123-1:0] VAR128; input [VAR107- 1 : 0] VAR198; input [VAR107 * VAR175 - 1 : 0] VAR155; wire VAR192; wire VAR190; wire [VAR117 - 1 : 0] VAR80; wire [VAR227 - 1 : 0] VAR188; wire [VAR51 - 1 : 0] VAR13; wire [VAR163 - 1 : 0] VAR72; wire [VAR90 - 1 : 0] VAR172; wire [VAR88 - 1 : 0] VAR89; wire VAR147; wire VAR34; wire [VAR252-1:0] VAR22; wire [VAR148-1:0] VAR50; wire VAR37; wire VAR33; wire VAR44; wire VAR71; wire [VAR125-1:0] VAR56; wire [VAR154-1:0] VAR58; wire VAR139; wire VAR226; wire [VAR123-1:0] VAR79; wire [VAR201-1:0] VAR180; wire [VAR125-1:0] VAR42; wire VAR205; wire VAR254; wire [VAR141-1:0] VAR253; wire [VAR141*VAR252-1:0] VAR137; wire [VAR141-1:0] VAR65; wire [VAR141-1:0] VAR114; wire [VAR141-1:0] VAR115; wire [VAR123-1:0] VAR116; wire [VAR123-1:0] VAR2; wire [VAR123-1:0] VAR260; wire VAR109; wire VAR259; wire [VAR160-1:0] VAR106; reg [VAR107 * VAR175 - 1 : 0] VAR228; reg [VAR107 - 1 : 0] VAR102; wire VAR77; wire [VAR123-1:0] VAR128; wire [VAR107- 1 : 0] VAR198; wire [VAR107 * VAR175 - 1 : 0] VAR155; reg [VAR214 - 1 : 0] VAR237; reg [VAR185 - 1 : 0] VAR161; wire VAR130; wire VAR151; wire [VAR252-1:0] VAR96; wire [VAR125-1:0] VAR240; wire [VAR154-1:0] VAR145; wire VAR54; wire VAR176; wire VAR224; wire [VAR123-1:0] VAR235; wire [VAR201-1:0] VAR233; reg [VAR160-1:0] VAR199; reg [VAR160-1:0] VAR256; wire VAR9; wire VAR168; wire [VAR210-1:0] VAR15; reg [VAR107-1:0] VAR193; wire [VAR125-1:0] VAR218; wire VAR138; wire [VAR141-1:0] VAR129; wire [VAR141*VAR252-1:0] VAR43; wire [VAR141*VAR148-1:0] VAR152; reg [VAR141*VAR252-1:0] VAR213; wire VAR63; wire [VAR252-1:0] VAR100; wire [VAR148-1:0] VAR135; wire [VAR141-1:0] VAR67; wire VAR40; wire [VAR252-1:0] VAR31; wire [VAR148-1:0] VAR177; wire [VAR141-1:0] VAR231; reg [VAR123-1:0] VAR120; reg [VAR123-1:0] VAR108; reg [VAR123-1:0] VAR248; reg VAR238; reg VAR112; reg [VAR160-1:0] VAR158; wire [VAR141*VAR210-1:0] VAR200; wire VAR74; wire VAR25; wire [VAR123-1:0] VAR174; wire [VAR160-1:0] VAR8; wire VAR222; wire VAR83; wire VAR75; wire VAR85; wire [VAR252-1:0] VAR66; wire [VAR148-1:0] VAR189; wire [VAR19-1:0] VAR27; wire [VAR19-1:0] VAR36; wire VAR225; wire [VAR154-1:0] VAR211; wire VAR14; wire [VAR210-1:0] VAR110; wire VAR30; wire [VAR210-1:0] VAR59; wire [VAR206-1:0] VAR208; wire [VAR206-1:0] VAR32; wire VAR69; wire VAR113; reg VAR255; wire VAR119; reg VAR212; wire [VAR123-1:0] VAR149; reg [VAR123-1:0] VAR23; wire [VAR107- 1 : 0] VAR146; wire [VAR107 * VAR175 - 1 : 0] VAR258; reg [VAR123-1:0] VAR191; reg VAR229; reg VAR49; reg VAR234; reg VAR239; wire VAR194; wire VAR250; assign VAR151 = ~VAR37 & VAR33 & VAR71 & VAR44; assign VAR240 = VAR56; assign VAR145 = VAR58; assign VAR96 = VAR22; assign VAR139 = ~VAR54; assign VAR176 = VAR226; assign VAR235 = VAR79; assign VAR233 = VAR180; assign VAR42 = VAR218; assign VAR205 = VAR119 | VAR212; assign VAR254 = VAR138; assign VAR129 = VAR253 & VAR65 & ~VAR114; assign VAR43 = VAR137; assign VAR152 = VAR45; assign VAR63 = VAR97 & VAR136 & ~VAR118; assign VAR100 = VAR264; assign VAR135 = VAR251; assign VAR67 = VAR26; assign VAR40 = VAR5 & VAR196 & ~VAR186 ; assign VAR31 = VAR99; assign VAR177 = VAR38; assign VAR116 = VAR120; assign VAR2 = VAR108; assign VAR260 = VAR248; assign VAR109 = VAR238; assign VAR259 = VAR112; assign VAR106 = VAR158; assign VAR222 = VAR151; assign VAR75= VAR222 & VAR147; assign VAR147 = VAR34 & VAR130; always @ (posedge VAR192 or negedge VAR190) begin if (!VAR190) begin VAR237 <= 0; end else begin if (VAR188) begin VAR237 <= VAR89 - VAR175; end else begin VAR237 <= VAR89; end end end always @ (posedge VAR192 or negedge VAR190) begin if (!VAR190) begin VAR161 <= 0; end else begin VAR161 <= VAR237 / VAR4; end end always @ (posedge VAR192 or negedge VAR190) begin if (~VAR190) begin VAR213 <= 0; end else begin VAR213 <= VAR43; end end VAR21 .VAR91 (VAR252), .VAR207 (VAR148), .VAR197 ("VAR124"), .VAR173 ("VAR122") ) VAR10 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR241 (VAR222), .VAR17 (VAR34), .VAR60 (VAR22), .VAR195 (VAR50), .VAR82 (VAR25), .VAR157 (VAR74), .VAR20 (VAR213) ); VAR21 .VAR91 (VAR252), .VAR207 (VAR148), .VAR197 ("VAR223"), .VAR173 ("VAR39") ) VAR78 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR241 (VAR225), .VAR17 (VAR85), .VAR60 (VAR66), .VAR195 (VAR189), .VAR82 (VAR83), .VAR157 (VAR75), .VAR20 (VAR22) ); VAR48 .VAR19 (VAR19), .VAR210 (VAR210), .VAR154 (VAR154) ) VAR140 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR202 (VAR54), .VAR220 (VAR176), .VAR247 (VAR27), .VAR16 (VAR36), .VAR169 (VAR225), .VAR165 (VAR211) ); VAR133 .VAR252 (VAR252), .VAR94 (VAR94), .VAR141 (VAR141), .VAR210 (VAR210), .VAR154 (VAR154), .VAR125 (VAR125), .VAR19 (VAR19), .VAR117 (VAR117), .VAR209 (VAR209), .VAR131 (VAR131) ) VAR242 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR80 (VAR80), .VAR188 (VAR188), .VAR13 (VAR13), .VAR72 (VAR72), .VAR171 (VAR130), .VAR246 (VAR151), .VAR92 (VAR96), .VAR230 (VAR145), .VAR262 (VAR240), .VAR70 (VAR85), .VAR182 (VAR66), .VAR62 (VAR189), .VAR142 (VAR27), .VAR134 (VAR36), .VAR126 (VAR225), .VAR257 (VAR211), .VAR181 (VAR218), .VAR156 (VAR138), .VAR35 (VAR54), .VAR57 (VAR176), .VAR178 (VAR224), .VAR104 (VAR15), .VAR18 (VAR9), .VAR111 (VAR168), .VAR95 (VAR129), .VAR216 (VAR43), .VAR46 (VAR152), .VAR11 (VAR63), .VAR167 (VAR100), .VAR143 (VAR135), .VAR164 (VAR67), .VAR87 (VAR40), .VAR68 (VAR31), .VAR243 (VAR177), .VAR121 (VAR200), .VAR236 (VAR231), .VAR6 (VAR74) ); genvar VAR55; genvar VAR132; generate for (VAR55 = 0;VAR55 < VAR209;VAR55 = VAR55 + 1) begin : VAR81 for (VAR132 = 0;VAR132 < VAR94;VAR132 = VAR132 + 1) begin : VAR103 VAR61 .VAR217 (VAR210), .VAR150 (VAR52), .VAR215 (VAR127) ) VAR28 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR144 (VAR224), .VAR184 (VAR15), .VAR79 (VAR235 [(VAR55 * VAR52 * VAR94) + ((VAR132 + 1) * VAR52) - 1 : (VAR55 * VAR52 * VAR94) + (VAR132 * VAR52)]), .VAR244 (VAR129 [VAR132]), .VAR249 (VAR200 [(VAR132 + 1) * VAR210 - 1 : VAR132 * VAR210]), .VAR170 (VAR174 [(VAR55 * VAR52 * VAR94) + ((VAR132 + 1) * VAR52) - 1 : (VAR55 * VAR52 * VAR94) + (VAR132 * VAR52)]) ); VAR61 .VAR217 (VAR210), .VAR150 (VAR93), .VAR215 (VAR127) ) VAR47 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR144 (VAR224), .VAR184 (VAR15), .VAR79 (VAR256 [(VAR55 * VAR93 * VAR94) + ((VAR132 + 1) * VAR93) - 1 : (VAR55 * VAR93 * VAR94) + (VAR132 * VAR93)]), .VAR244 (VAR129 [VAR132]), .VAR249 (VAR200 [(VAR132 + 1) * VAR210 - 1 : VAR132 * VAR210]), .VAR170 (VAR8 [(VAR55 * VAR93 * VAR94) + ((VAR132 + 1) * VAR93) - 1 : (VAR55 * VAR93 * VAR94) + (VAR132 * VAR93)]) ); end end endgenerate genvar VAR76, VAR183; generate if (VAR131) begin reg [VAR107-1:0] VAR162; reg [VAR107-1:0] VAR204; reg [(VAR160/VAR107)-1:0] VAR232 [VAR107-1:0]; reg [(VAR160/VAR107)-1:0] VAR12 [VAR107-1:0]; reg [(VAR160/VAR107)-1:0] VAR166 [VAR107-1:0]; assign VAR9 = |VAR193; assign VAR168 = (!VAR72 & &VAR204) ? 1'b1 : 1'b0; for (VAR183 = 0;VAR183 < VAR160;VAR183 = VAR183 + 1) begin : VAR3 always @ begin VAR162[VAR76] = &VAR12[VAR76]; VAR204[VAR76] = ~(|VAR166[VAR76]); VAR232 [VAR76] = VAR199 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))]; end for (VAR183 = 0; VAR183 < (VAR160/VAR107); VAR183 = VAR183 + 1'b1) begin : VAR64 always @ begin if (VAR72) begin VAR193[VAR76] = ~VAR162[VAR76]; end else begin VAR193[VAR76] = ~(VAR162[VAR76] | VAR204[VAR76]); end if (VAR188) begin if (VAR204[VAR76]) begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR166 [VAR76]; end else begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR12 [VAR76]; end end else begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR166 [VAR76]; end end end end else begin reg [(VAR160/VAR107)-1:0] VAR232 [VAR107-1:0]; reg [(VAR160/VAR107)-1:0] VAR12 [VAR107-1:0]; reg [(VAR160/VAR107)-1:0] VAR166 [VAR107-1:0]; assign VAR9 = |VAR193; assign VAR168 = 0; for (VAR183 = 0;VAR183 < VAR160;VAR183 = VAR183 + 1) begin : VAR3 always @ begin VAR232 [VAR76] = VAR199 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))]; end for (VAR183 = 0; VAR183 < (VAR160/VAR107); VAR183 = VAR183 + 1'b1) begin : VAR64 always @ begin if (VAR72) begin VAR193[VAR76] = ~VAR162; end else begin VAR193[VAR76] = ~( VAR162 | VAR204 ); end if (VAR188) begin if (VAR204) begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR166 [VAR76]; end else begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR12 [VAR76]; end end else begin VAR256 [(VAR76+1)*(VAR160/VAR107)-1 : (VAR76*(VAR160/VAR107))] = VAR166 [VAR76]; end end end end endgenerate assign VAR119 = VAR113 & ~VAR255; always @ (posedge VAR192 or negedge VAR190) begin if (!VAR190) begin VAR212 <= 1'b0; end else begin if (VAR53) begin VAR212 <= 1'b0; end else if (VAR119) begin VAR212 <= 1'b1; end end end always @ (posedge VAR192 or negedge VAR190) begin if (~VAR190) begin VAR255 <= 1'b0; VAR229 <= 1'b0; VAR49 <= 1'b0; VAR234 <= 1'b0; VAR239 <= 1'b0; end else begin VAR255 <= VAR113; VAR229 <= VAR114; VAR49 <= VAR115; VAR234 <= VAR229; VAR239 <= VAR49; end end always @ (posedge VAR192 or negedge VAR190) begin if (!VAR190) begin VAR23 <= 0; end else begin VAR23 <= VAR149; end end assign VAR208 = {VAR155, VAR198, VAR128}; assign {VAR258, VAR146, VAR149} = VAR32; assign VAR69 = VAR229 | (&VAR231 & VAR49); assign VAR250 = VAR77 & ~VAR194; VAR263 .VAR24 (VAR206), .VAR219 (VAR7) ) VAR84 ( .VAR192 (VAR192), .VAR190 (VAR190), .VAR73 (VAR69), .VAR98 (VAR113), .VAR221 (VAR32), .VAR41 (VAR194), .VAR101 (VAR77), .VAR1 (VAR208) ); genvar VAR105; generate for (VAR105 = 0; VAR105 < ((VAR160)); VAR105 = VAR105 + 1) begin : VAR261 always @ begin if (VAR188 | VAR72) begin VAR120 = VAR174; VAR108 = VAR191; VAR248 = VAR23; VAR238 = VAR239; VAR112 = VAR234; if (VAR234 | VAR239) begin VAR158 = {(VAR160){1'b1}}; end else begin VAR158 = VAR8; end end else begin VAR158 = VAR8; VAR120 = VAR174; VAR108 = 0; VAR248 = 0; VAR238 = 1'b0; VAR112 = 1'b0; end end always @ (posedge VAR192 or negedge VAR190) begin if (!VAR190) begin VAR228 <= 0; VAR102 <= 0; end else begin VAR228 <= VAR258; if (VAR172) begin if (VAR229) begin VAR102 <= VAR146; end else if (VAR49) begin if ( (|VAR8) | (~VAR113) ) begin VAR102 <= {VAR107{1'b0}}; end else begin VAR102 <= VAR146; end end else begin VAR102 <= {VAR107{1'b0}}; end end else begin VAR102 <= {VAR107{1'b0}}; end end end end else begin always @ begin VAR228 = VAR258; if (VAR172) begin if (VAR229) begin VAR102 = VAR146; end else if (VAR49) begin if ( (|VAR8) | (~VAR113) ) begin VAR102 = {VAR107{1'b0}}; end else begin VAR102 = VAR146; end end else begin VAR102 = {VAR107{1'b0}}; end end else begin VAR102 = {VAR107{1'b0}}; end end end endgenerate endmodule
gpl-2.0
jotego/jt12
hdl/mixer/jt12_interpol.v
2,753
module MODULE1 #(parameter VAR6=18, VAR11=16, VAR8=2, VAR4=1, VAR21=2 )( input rst, input clk, input VAR19, input VAR5, input signed [VAR11-1:0] VAR17, output reg signed [VAR11-1:0] VAR24 ); reg signed [VAR6-1:0] VAR3; wire signed [VAR6-1:0] VAR18, VAR7; localparam VAR12 = VAR6 - VAR11; generate genvar VAR2; wire [VAR6-1:0] VAR20[0:VAR8]; assign VAR20[0] = { {VAR12{VAR17[VAR11-1]}}, VAR17 }; assign VAR18 = VAR20[VAR8]; for(VAR2=0;VAR2<VAR8;VAR2=VAR2+1) begin : VAR10 VAR23 #(.VAR15(VAR6),.VAR4(VAR4)) VAR14( .rst ( rst ), .clk ( clk ), .VAR1 ( VAR19 ), .VAR17 ( VAR20[VAR2] ), .VAR24( VAR20[VAR2+1] ) ); end endgenerate reg [VAR21-1:0] VAR22; always @(posedge clk) if(rst) begin VAR3 <= {VAR6{1'b0}}; VAR22 <= { {(VAR21-1){1'b0}}, 1'b1}; end else if(VAR5) begin VAR3 <= VAR22[0] ? VAR18 : {VAR6{1'b0}}; VAR22 <= { VAR22[0], VAR22[VAR21-1:1] }; end generate genvar VAR13; reg [VAR6-1:0] VAR9[0:VAR8]; assign VAR7 = VAR9[VAR8]; always @(*) VAR9[0] = VAR3; for(VAR13=1;VAR13<=VAR8;VAR13=VAR13+1) begin : VAR16 always @(posedge clk) if(rst) begin VAR9[VAR13] <= {VAR6{1'b0}}; end else if(VAR5) begin VAR9[VAR13] <= VAR9[VAR13] + VAR9[VAR13-1]; end end endgenerate always @(posedge clk) if(rst) begin VAR24 <= {VAR11{1'b0}}; end else if(VAR5) begin VAR24<= VAR7[VAR6-1:VAR12]; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o2bb2a/sky130_fd_sc_ls__o2bb2a.behavioral.v
1,658
module MODULE1 ( VAR11 , VAR9, VAR16, VAR14 , VAR6 ); output VAR11 ; input VAR9; input VAR16; input VAR14 ; input VAR6 ; supply1 VAR8; supply0 VAR1; supply1 VAR7 ; supply0 VAR13 ; wire VAR2 ; wire VAR15 ; wire VAR12; nand VAR4 (VAR2 , VAR16, VAR9 ); or VAR5 (VAR15 , VAR6, VAR14 ); and VAR3 (VAR12, VAR2, VAR15); buf VAR10 (VAR11 , VAR12 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or2b/sky130_fd_sc_ls__or2b.blackbox.v
1,266
module MODULE1 ( VAR5 , VAR7 , VAR1 ); output VAR5 ; input VAR7 ; input VAR1; supply1 VAR4; supply0 VAR2; supply1 VAR6 ; supply0 VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/tapvgnd2/sky130_fd_sc_hdll__tapvgnd2.behavioral.pp.v
1,245
module MODULE1 ( VAR2, VAR1, VAR3 , VAR4 ); input VAR2; input VAR1; input VAR3 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_lsbuf_lh_isowell_tap/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap.functional.pp.v
2,050
module MODULE1 ( VAR5 , VAR6 , VAR1, VAR7 , VAR12 , VAR8 ); output VAR5 ; input VAR6 ; input VAR1; input VAR7 ; input VAR12 ; input VAR8 ; wire VAR4; wire VAR9 ; VAR11 VAR10 (VAR4, VAR6, VAR1, VAR12 ); buf VAR3 (VAR9 , VAR4 ); VAR11 VAR2 (VAR5 , VAR9, VAR7, VAR12); endmodule
apache-2.0
FAST-Switch/fast
projects/SDTS/example/hw-src/top_mdio/top_mido.v
1,610
module MODULE1( input reset, input clk, output VAR4, inout VAR14, output [3:0] VAR8 ); wire VAR10; wire [1:0] VAR11; wire [4:0] VAR7; wire [4:0] VAR12; wire [15:0] VAR1; wire VAR6; wire [15:0] VAR3; wire VAR5; VAR9 VAR9( .reset(reset), .clk(clk), .VAR4(VAR4),.VAR14(VAR14), .VAR10(VAR10),.VAR11(VAR11), .VAR7(VAR7),.VAR12(VAR12),.VAR1(VAR1), .VAR6(VAR6),.VAR3(VAR3), .VAR5(VAR5) ); VAR13 VAR13 ( .clk(clk), .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR12(VAR12), .VAR10(VAR10), .VAR11(VAR11), .reset(reset), .VAR5(VAR5), .VAR2(VAR6) ); endmodule
apache-2.0
sgq995/rc4-de0-nano-soc
fpga/hps/soc_system/synthesis/submodules/soc_system_mm_interconnect_0_avalon_st_adapter_001.v
6,176
module MODULE1 #( parameter VAR18 = 34, parameter VAR16 = 0, parameter VAR11 = 34, parameter VAR21 = 0, parameter VAR12 = 0, parameter VAR10 = 0, parameter VAR19 = 1, parameter VAR20 = 1, parameter VAR14 = 0, parameter VAR2 = 34, parameter VAR1 = 0, parameter VAR25 = 1, parameter VAR8 = 0, parameter VAR4 = 1, parameter VAR5 = 1, parameter VAR23 = 0 ) ( input wire VAR13, input wire VAR9, input wire [33:0] VAR6, input wire VAR15, output wire VAR22, output wire [33:0] VAR7, output wire VAR3, input wire VAR24, output wire [0:0] VAR17 ); generate if (VAR18 != 34) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
mit
Canaan-Creative/MM
verilog/superkdf9/components/alink/rx_phy.v
3,448
module MODULE1( input clk , input rst , input VAR16 , input VAR6 , input VAR2 , input [31:0] VAR8 , input [31:0] VAR19 , input [31:0] VAR13 , output VAR4 , output VAR7 , output VAR5 , output [31:0] VAR9 , input VAR14 , input VAR15 ); parameter VAR17 = 32'd0 ; reg [3:0] VAR21 ; reg [3:0] VAR22 ; always@(posedge clk or posedge rst )begin if( VAR16 || rst || ~VAR6) begin VAR21 <= 4'hf ; VAR22 <= 4'hf ; end else begin VAR21 <= {VAR21[2:0], VAR14}; VAR22 <= {VAR22[2:0], VAR15}; end end wire VAR12 = ((~VAR22[3]) && (&VAR22[2:1])) && ~(|VAR21[3:1]); wire VAR18 = ((~VAR21[3]) && (&VAR21[2:1])) && ~(|VAR22[3:1]); wire VAR11 = (VAR21[3]^VAR22[3]) && (VAR21[2]^VAR22[2]) && (VAR21[1]&VAR22[1]) ; reg [31:0] VAR3; always@(posedge clk)begin if(VAR12) VAR3 <= {1'b0, VAR3[31:1]}; end else if(VAR18) VAR3 <= {1'b1, VAR3[31:1]}; end reg [31:0] VAR23 ; reg [31:0] VAR20 ; always @ ( posedge clk ) begin if( ~VAR5 && VAR2 ) begin VAR23 <= VAR8 ; VAR20 <= VAR19 ; end end reg [2:0] VAR10 ; always @ ( posedge clk ) begin if( rst ) VAR10 <= 3'b0 ; end else if( VAR6 && VAR11 && ~VAR5) VAR10 <= 3'b1 ; else if( |VAR10 && VAR10 < VAR1 ) VAR10 <= VAR10 + 3'b1 ; else VAR10 <= 3'b0 ; end assign VAR5 = |VAR10 ; assign VAR9 = VAR10 == 1 ? VAR17 : VAR10 == 2 ? VAR23 : VAR10 == 3 ? VAR20 : VAR10 == 4 ? VAR13 : VAR3 ; assign VAR4 = VAR6 && VAR11 && ~VAR5 ; assign VAR7 = VAR10 == VAR1 ; endmodule
unlicense
ShepardSiegel/ocpi
coregen/pcie_4243_axi_v6_gtx_x4_250/source/axi_basic_rx_pipeline.v
26,811
module MODULE1 #( parameter VAR14 = 128, parameter VAR19 = "VAR18", parameter VAR6 = 1, parameter VAR17 = (VAR14 == 128) ? 2 : 1, parameter VAR7 = VAR14 / 8 ) ( output reg [VAR14-1:0] VAR13, output reg VAR32, input VAR30, output [VAR7-1:0] VAR71, output VAR27, output reg [21:0] VAR59, input [VAR14-1:0] VAR73, input VAR33, input VAR45, input VAR78, output reg VAR58, input VAR76, input [VAR17-1:0] VAR4, input VAR47, input [6:0] VAR82, input VAR22, input VAR54, input VAR55, input [VAR7-1:0] VAR87, input VAR35, input [4:0] VAR75, output [2:0] VAR46, input VAR39, input VAR44 ); wire [4:0] VAR57; wire [4:0] VAR69; wire [4:0] VAR62; wire [4:0] VAR50; reg [VAR7-1:0] VAR74; wire [VAR7-1:0] VAR84; wire [VAR7-1:0] VAR36; reg VAR67; wire VAR24; wire [VAR14-1:0] VAR65; reg [VAR14-1:0] VAR63; wire VAR48; reg VAR21; reg VAR25; reg [VAR17-1:0] VAR43; reg VAR11; reg VAR8; reg VAR56; reg [6:0] VAR9; reg VAR34; reg VAR86; reg VAR81; reg VAR42; wire VAR20; wire VAR70; reg VAR51; reg VAR61; assign VAR24 = VAR78 && (VAR42 || (VAR33 && !VAR76)); always @(posedge VAR39) begin if(VAR44) begin end else begin if(VAR58) begin end end end generate if(VAR14 == 128) begin : VAR72 assign VAR65 = {VAR73[31:0], VAR73[63:32], VAR73[95:64], VAR73[127:96]}; end else if(VAR14 == 64) begin : VAR80 assign VAR65 = {VAR73[31:0], VAR73[63:32]}; end else begin : VAR2 assign VAR65 = VAR73; end endgenerate always @(posedge VAR39) begin if(VAR44) begin end else begin if(!VAR48) begin if(VAR21) begin end else begin end end end end assign VAR48 = (!VAR30 && VAR32); always @(posedge VAR39) begin if(VAR44) begin end else begin end end always @(posedge VAR39) begin if(VAR44) begin end else begin if(!VAR48) begin if(VAR81) begin end else if(VAR21) begin end else begin end end end generate if(VAR14 == 128) begin : VAR85 assign VAR27 = 1'b0; assign VAR71 = {VAR7{1'b1}}; end else begin : VAR60 assign VAR27 = VAR67; assign VAR71 = VAR74; end endgenerate generate if(VAR14 == 128) begin : VAR28 assign VAR84 = 16'h0000; assign VAR36 = 16'h0000; end else if(VAR14 == 64) begin : VAR79 assign VAR84 = VAR4 ? 8'hFF : 8'h0F; assign VAR36 = VAR43 ? 8'hFF : 8'h0F; end else begin : VAR66 assign VAR84 = 4'hF; assign VAR36 = 4'hF; end endgenerate generate if(VAR14 == 128) begin : VAR40 assign VAR57 = {(VAR33 && !VAR76), (VAR33 && !VAR4[1]), 3'b000}; assign VAR69 = {(VAR56 && !VAR8), (VAR56 && !VAR43[1]), 3'b000}; end else begin : VAR5 assign VAR57 = {(VAR33 && !VAR76), 4'b0000}; assign VAR69 = {(VAR56 && !VAR8), 4'b0000}; end endgenerate generate if(VAR14 == 128) begin : VAR52 assign VAR62 = {VAR45, VAR4, 2'b11}; assign VAR50 = {VAR25, VAR43, 2'b11}; end else if(VAR14 == 64) begin : VAR29 assign VAR62 = {VAR45, 1'b0, VAR4, 2'b11}; assign VAR50 = {VAR25, 1'b0, VAR43, 2'b11}; end else begin : VAR10 assign VAR62 = {VAR45, 4'b0011}; assign VAR50 = {VAR25, 4'b0011}; end endgenerate always @(posedge VAR39) begin if(VAR44) begin end else begin if(VAR81 && VAR30) begin end else if(VAR20) begin end else if(VAR32) begin end else begin end end end always @(posedge VAR39) begin if(VAR44) begin end else begin if(VAR81 && VAR55 && VAR30) begin end else if(VAR20 && !VAR48) begin end end end always @(posedge VAR39) begin if(VAR44) begin end else begin if(VAR33 && !VAR45 && VAR24 && VAR58) begin end else if(VAR76) begin end else if(VAR45 && !VAR33 && VAR78 && VAR58) begin end end end assign VAR70 = VAR76 && !VAR61 && VAR42 && (!VAR33 || VAR45) && !(VAR58 && VAR45); always @(posedge VAR39) begin if(VAR44) begin end else begin if(VAR70) begin end else if(VAR81) begin end end end assign VAR20 = VAR70 || VAR51; generate if(VAR19 == "VAR68" && VAR14 == 128) begin : VAR64 reg [2:0] VAR41; wire VAR15 = (!(|VAR13[92:88]) && !VAR13[94]); wire VAR37 = (VAR13[92:88] == 5'b00001); wire VAR38 = (VAR13[92:88] == 5'b00010); wire VAR49 = (VAR13[92:89] == 4'b0010); wire VAR1 = ((&VAR13[91:90]) && VAR13[94]); wire VAR12 = (VAR15 || VAR37 || VAR38 || VAR49 || VAR1) && VAR59[13]; wire VAR53 = (!(|VAR13[28:24]) && !VAR13[30]); wire VAR31 = (VAR13[28:24] == 5'b00001); wire VAR3 = (VAR13[28:24] == 5'b00010); wire VAR16 = (VAR13[28:25] == 4'b0010); wire VAR83 = ((&VAR13[27:26]) && VAR13[30]); wire VAR77 = (VAR53 || VAR31 || VAR3 || VAR16 || VAR83) && !VAR59[13]; wire VAR23 = VAR59[14] && VAR30 && VAR32; always @(posedge VAR39) begin if (VAR44) begin end else begin if((VAR12 || VAR77) && VAR23) begin end end end assign VAR46 = VAR41; end else begin : VAR26 assign VAR46 = 3'h0; end endgenerate endmodule
lgpl-3.0
monotone-RK/FACE
MCSoC-15/4-way_4-parallel/ise/ipcore_dir/dram/example_design/rtl/traffic_gen/mig_7series_v1_9_vio_init_pattern_bram.v
13,203
module MODULE1 # ( parameter VAR33 = 100, parameter VAR50 = 32'h00000000, parameter VAR26 = 8, parameter VAR30 = 4, parameter VAR36 = 16, parameter VAR1 = 8, parameter VAR46 = VAR1 ) ( input VAR43, input VAR47, input VAR35, input [31:0] VAR55, input VAR29, input [3:0] VAR59, input [31:0] VAR13, input [31:0] VAR45, input [31:0] VAR15, input [31:0] VAR9, input [31:0] VAR7, input [31:0] VAR38, input [31:0] VAR41, input [31:0] VAR28, input [31:0] VAR52, output reg VAR27, input VAR51, output [31:0] VAR11 ); function integer VAR23; input [31:0] VAR42; integer VAR58; begin VAR58 = VAR42; for(VAR23=1; VAR58>0; VAR23=VAR23+1) VAR58 = VAR58 >> 1; end endfunction reg [VAR30 - 1:0] VAR8 ; reg [VAR30 - 1:0] VAR53 ; reg VAR6; reg VAR44; reg VAR32; reg [31:0] VAR20; reg [31:0] VAR56; reg [31:0] VAR18; reg [31:0] VAR22; reg [31:0] VAR37; reg [31:0] VAR34; reg [31:0] VAR54; reg [31:0] VAR40; reg [31:0] VAR19; reg [31:0] VAR14; reg [31:0] VAR31; reg [31:0] VAR10; reg [31:0] VAR57; reg [31:0] VAR48; reg [31:0] VAR21; reg [31:0] VAR12; reg [31:0] VAR16; reg [7:0] VAR3 [0:VAR36 - 1]; reg [7:0] VAR4 [0:VAR36 - 1]; reg [7:0] VAR24 [0:VAR36 - 1]; reg [7:0] VAR49 [0:VAR36 - 1]; reg [31:0] VAR25; reg VAR5; reg VAR39; integer VAR58,VAR17,VAR2; always @ (posedge VAR43) begin VAR44 <= VAR29; VAR32 <= VAR44; end always @ (posedge VAR43) begin if (VAR47) VAR6 <= 'b0; end else if (VAR8 == {4'b0111}) VAR6 <= 'b1; else if (VAR44 && ~VAR32 && VAR59 != 4'b0010) VAR6 <= 'b1; end always @ (posedge VAR43) begin if (VAR47) VAR5 <= 1'b1; end else if (VAR6 && VAR59 != 4'b0010) VAR5 <= 1'b1; end always @ (posedge VAR43) begin if (VAR47) VAR8 <= 'b0; end else if (VAR59 == 4'h1) VAR8 <= 4'b1000; else if (VAR59 == 4'b0011) VAR8 <= 4'b1001; else if (~VAR6 && VAR59 == 4'b0100) VAR8 <= 4'b0000; else if (VAR6 && VAR5 && VAR59 != 4'b0010 && VAR8 != 15) VAR8 <= VAR8 + 1'b1; end always @ (posedge VAR43) begin for (VAR58= 0; VAR58 <= 31; VAR58= VAR58+1) if (VAR58 >= VAR1) begin if (VAR46 == VAR1) VAR16[VAR58] <= 1'b0; end else if ( ((VAR58 == VAR46-1) || (VAR58-VAR1) == VAR46 || (VAR58-(VAR1*2)) == VAR46 || (VAR58-(VAR1*3)) == VAR46)) VAR16[VAR58] <= 1'b1; end else VAR16[VAR58] <= 1'b0; end else VAR16[VAR58] <= 1'b1; end begin end begin begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/buf/sky130_fd_sc_hdll__buf.functional.v
1,231
module MODULE1 ( VAR5, VAR2 ); output VAR5; input VAR2; wire VAR4; buf VAR1 (VAR4, VAR2 ); buf VAR3 (VAR5 , VAR4 ); endmodule
apache-2.0
toyoshim/tvcl
FourDigitSevenSegmentLED.v
2,268
module MODULE1( clk, VAR11, VAR7, VAR32, VAR6, VAR5, VAR14, VAR29, VAR28, VAR8, VAR31, VAR35, VAR23, VAR22, VAR15, VAR33, VAR3, VAR18, VAR34, VAR21); input clk; input VAR11; input [3:0] VAR7; input [3:0] VAR32; input [3:0] VAR6; input [3:0] VAR5; input VAR14; input VAR29; input VAR28; input VAR8; output VAR31; output VAR35; output VAR23; output VAR22; output VAR15; output VAR33; output VAR3; output VAR18; output [3:0] VAR34; output VAR21; wire [3:0] VAR4; wire VAR20; wire VAR1; reg [3:0] VAR9; assign VAR34 = VAR9; assign VAR21 = VAR20 | VAR1; always @ (posedge clk or negedge VAR11) begin if (!VAR11) begin VAR9 <= 4'b1110; end else begin VAR9 <= { VAR9[2:0], VAR9[3] }; end end VAR17 #(.VAR12(4)) VAR10( .VAR5 (VAR5 ), .VAR6 (VAR6 ), .VAR32 (VAR32 ), .VAR7 (VAR7 ), .VAR16(!VAR9[0]), .VAR13(!VAR9[1]), .VAR30(!VAR9[2]), .VAR24(!VAR9[3]), .VAR2 (VAR4 ), .VAR21 (VAR20)); VAR17 VAR25( .VAR5 (VAR8 ), .VAR6 (VAR28 ), .VAR32 (VAR29 ), .VAR7 (VAR14 ), .VAR16(!VAR9[0]), .VAR13(!VAR9[1]), .VAR30(!VAR9[2]), .VAR24(!VAR9[3]), .VAR2 (VAR18 ), .VAR21 (VAR1 )); VAR19 VAR26( .VAR27 (VAR4 ), .VAR31 (VAR31 ), .VAR35 (VAR35 ), .VAR23 (VAR23 ), .VAR22 (VAR22 ), .VAR15 (VAR15 ), .VAR33 (VAR33 ), .VAR3 (VAR3 )); endmodule
bsd-3-clause
origintfj/riscv
rv32i/rtl/merlin_id_stage.v
18,184
module MODULE1 ( input wire VAR28, input wire VAR113, input wire VAR109, output wire VAR119, output reg [1:0] VAR89, input wire [VAR50] VAR96, input wire [31:0] VAR21, input wire VAR110, input wire [VAR39-1:0] VAR74, output reg [VAR39-1:0] VAR7, output reg VAR65, input wire VAR9, output reg [VAR50] VAR103, output reg [1:0] VAR42, output reg VAR68, output reg VAR79, output reg VAR5, output reg VAR59, output reg VAR16, output reg VAR66, output reg VAR101, output reg [1:0] VAR14, output reg VAR112, output reg [VAR60] VAR20, output reg VAR125, output wire [VAR39-1:0] VAR63, output reg [VAR91] VAR41, output reg [VAR39-1:0] VAR62, output reg [VAR39-1:0] VAR102, output reg [VAR39-1:0] VAR17, output wire [VAR39-1:0] VAR70, output wire [VAR39-1:0] VAR61, output reg [4:0] VAR107, output reg [2:0] VAR23, output reg VAR53, output reg VAR99, output reg [11:0] VAR38, output reg [VAR39-1:0] VAR111, input wire VAR18, input wire VAR81, input wire [4:0] VAR46, input wire [VAR39-1:0] VAR67, input wire VAR114, input wire [4:0] VAR87, input wire [VAR39-1:0] VAR95 ); wire VAR80; wire VAR94; wire VAR126; wire VAR76; wire [31:0] VAR36; wire VAR75; reg [31:0] VAR83; wire VAR34; wire VAR93; wire VAR108; wire VAR57; wire VAR98; wire VAR43; wire [1:0] VAR56; wire [VAR60] VAR117; wire VAR71; wire [4:0] VAR90; wire VAR6; wire [4:0] VAR115; wire VAR82; wire [4:0] VAR88; wire [VAR39-1:0] VAR58; wire VAR69; wire VAR77; wire VAR118; wire VAR106; wire VAR12; wire [VAR91] VAR37; wire [2:0] VAR32; wire VAR73; wire VAR72; wire [11:0] VAR120; wire VAR44; wire VAR19; wire VAR24; reg VAR1; reg [31:1] VAR116; wire [VAR39-1:0] VAR8; wire [VAR39-1:0] VAR128; reg [VAR39-1:0] VAR127; reg [VAR39-1:0] VAR27; reg VAR86; reg VAR84; reg VAR78; reg VAR85; reg VAR29; reg [4:0] VAR124; reg VAR123; reg [4:0] VAR45; reg [VAR39-1:0] VAR51; reg [VAR39-1:0] VAR104; assign VAR63 = VAR127; assign VAR70 = VAR51; assign VAR61 = VAR104; assign VAR119 = VAR109 & ~VAR1 & ~VAR94; assign VAR80 = VAR119; assign VAR94 = VAR9 & VAR65; VAR105 VAR97 ( .VAR40 (VAR21[15:0]), .VAR26 (VAR126), .VAR47 (VAR76), .VAR25 (VAR36) ); assign VAR75 = VAR34 | (VAR126 & VAR76); always @ begin VAR1 = 1'b0; if (VAR109) begin if (VAR115 != 5'b0 && VAR6 && VAR116[VAR115] && !VAR19) begin VAR1 = 1'b1; end if (VAR88 != 5'b0 && VAR82 && VAR116[VAR88] && !VAR24) begin VAR1 = 1'b1; end if (VAR90 != 5'b0 && VAR71 && VAR116[VAR90]) begin VAR1 = 1'b1; end end end always @ VAR92(VAR28, VAR113) begin if (VAR113) begin VAR116 <= 31'b0; end else begin if (VAR90 != 5'b0 && VAR80 && VAR117 == VAR3) begin VAR116[VAR90] <= 1'b1; end if (VAR46 != 5'b0 && VAR18) begin VAR116[VAR46] <= 1'b0; end if (VAR87 != 5'b0 && VAR114) begin VAR116[VAR87] <= 1'b0; end end end VAR52 VAR4 ( .VAR28 (VAR28), .VAR113 (VAR113), .VAR33 (VAR81), .VAR15 (VAR46), .VAR31 (VAR67), .VAR35 (VAR114), .VAR55 (VAR87), .VAR121 (VAR95), .VAR10 (VAR29), .VAR54 (VAR124), .VAR122 (VAR8), .VAR100 (VAR123), .VAR22 (VAR45), .VAR64 (VAR128) ); always @ VAR92(VAR28, VAR113) begin if (VAR113) begin VAR65 <= 1'b0; end else begin if (VAR80) begin VAR65 <= 1'b1; end else if (~VAR94) begin VAR65 <= 1'b0; end end end always @ VAR48(VAR28) begin if (VAR80) begin VAR7 <= { { VAR39-32 {1'b0} }, VAR21 }; VAR103 <= VAR96; VAR5 <= VAR93; VAR59 <= VAR108; VAR16 <= VAR57; VAR66 <= VAR98; VAR101 <= VAR43; VAR14 <= VAR56; VAR127 <= VAR74; VAR42 <= VAR89; VAR68 <= VAR75; VAR79 <= VAR110; VAR20 <= VAR117; VAR107 <= VAR90; VAR27 <= VAR58; VAR125 <= VAR69; VAR86 <= VAR77; VAR84 <= VAR118; VAR78 <= VAR106; VAR85 <= VAR12; VAR41 <= VAR37; VAR23 <= VAR32; VAR53 <= VAR73; VAR99 <= VAR72; VAR38 <= VAR120; VAR112 <= VAR44; VAR29 <= VAR6; VAR124 <= VAR115; VAR123 <= VAR82; VAR45 <= VAR88; end end always @ begin if (VAR45 == 5'b0) begin VAR104 = VAR128; end else if (VAR81 && VAR46 == VAR45) begin VAR104 = VAR67; end else if (VAR114 && VAR87 == VAR45) begin VAR104 = VAR95; end else begin VAR104 = VAR128; end end always @ begin if (VAR78) begin VAR102 = VAR27; end else begin VAR102 = VAR104; end end always @ begin if (VAR84) begin VAR111 = VAR27; end else begin VAR111 = VAR51; end end always @ VAR48(VAR28) begin !(VAR119 == 1'b1 && VAR115 != 5'b0 && VAR6 && VAR116[VAR115] && !VAR19), "VAR2 read VAR30 VAR13 VAR49 VAR11." ) !(VAR119 == 1'b1 && VAR88 != 5'b0 && VAR82 && VAR116[VAR88] && !VAR24), "VAR2 read VAR30 VAR13 VAR49 VAR11." ) end endmodule
apache-2.0
donnaware/AGC
rtl/de0/modules/SEG7_OUT4.v
1,366
module MODULE2( input [15:0] VAR7, output [ 6:0] VAR10, output [ 6:0] VAR1, output [ 6:0] VAR6, output [ 6:0] VAR5 ); MODULE1 MODULE1(VAR7[ 3: 0], VAR10); MODULE1 MODULE3(VAR7[ 7: 4], VAR1); MODULE1 MODULE4(VAR7[11: 8], VAR6); MODULE1 MODULE2(VAR7[15:12], VAR5); endmodule module MODULE1( input [3:0] VAR7, output reg [6:0] VAR3 ); always @(VAR7) begin case(VAR7) 4'h0: VAR3 = 7'b1000000; 4'h1: VAR3 = 7'b1111001; 4'h2: VAR3 = 7'b0100100; 4'h3: VAR3 = 7'b0110000; 4'h4: VAR3 = 7'b0011001; 4'h5: VAR3 = 7'b0010010; 4'h6: VAR3 = 7'b0000010; 4'h7: VAR3 = 7'b1111000; 4'h8: VAR3 = 7'b0000000; 4'h9: VAR3 = 7'b0011000; 4'ha: VAR3 = 7'b0001000; 4'hb: VAR3 = 7'b0000011; 4'hc: VAR3 = 7'b1000110; 4'hd: VAR3 = 7'b0100001; 4'he: VAR3 = 7'b0000110; 4'hf: VAR3 = 7'b0001110; endcase end endmodule
gpl-3.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
Dilation/ip/Dilation/acl_fp_log_double.v
247,725
module MODULE1 ( VAR4, VAR1, VAR6, VAR12, VAR14, VAR2) ; input VAR4; input VAR1; input VAR6; input [63:0] VAR12; input [5:0] VAR14; output [63:0] VAR2; tri0 VAR4; tri1 VAR1; tri0 VAR6; reg [0:0] VAR5; reg [63:0] VAR7; wire [6:0] VAR10; wire VAR8; wire [31:0] VAR3; wire [447:0] VAR13; wire [5:0] VAR11; wire [383:0] VAR9;
mit
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/clk_gen/clk_gen_stub.v
1,186
module MODULE1(VAR2, VAR1, reset) ; input VAR2; output VAR1; input reset; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/mux2/sky130_fd_sc_hs__mux2.functional.v
1,768
module MODULE1 ( VAR8, VAR7, VAR2 , VAR6 , VAR10 , VAR1 ); input VAR8; input VAR7; output VAR2 ; input VAR6 ; input VAR10 ; input VAR1 ; wire VAR4 ; wire VAR11; VAR5 VAR9 (VAR4 , VAR6, VAR10, VAR1 ); VAR13 VAR12 (VAR11, VAR4, VAR8, VAR7); buf VAR3 (VAR2 , VAR11 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/traffic_gen/afifo.v
6,916
module MODULE1 # ( parameter VAR22 = 100, parameter VAR13 = 32, parameter VAR1 = 16, parameter VAR8 = 4, parameter VAR42 = 1 ) ( input VAR6, input rst, input VAR19, input [VAR13-1:0] VAR14, input VAR28, input VAR31, output [VAR13-1:0] VAR20, output reg VAR9, output reg VAR27, output reg VAR16 ); reg [VAR13-1:0] VAR25 [0:VAR1-1]; reg [VAR8:0] VAR18; reg [VAR8:0] VAR26; reg [VAR8:0] VAR32; reg [VAR8:0] VAR34; reg [VAR8:0] VAR4; reg [VAR8:0] VAR5; reg [VAR8:0] VAR11; reg [VAR8:0] VAR23; reg [VAR8:0] VAR37; reg [VAR8:0] VAR38; wire [VAR8:0] VAR24; wire [VAR8:0] VAR21; wire [VAR8-1:0] VAR3, VAR39; reg [VAR8:0] VAR2, VAR17; integer VAR36,VAR35,VAR15; generate if (VAR42 == 1) begin: VAR33 always @ (VAR17) VAR32 = VAR17; end endgenerate generate if (VAR42 == 1) begin: VAR30 always @ (VAR2) VAR23 = VAR2; end endgenerate assign VAR3 = VAR2; assign VAR20 = VAR25[VAR39]; always @(posedge VAR6) begin if (VAR19 && !VAR9) end assign VAR39 = VAR17[VAR8-1:0]; assign VAR41 = VAR28 && !VAR27; integer VAR12; reg [VAR8:0] VAR29; always @ (VAR17) begin VAR18[VAR8] = VAR17[VAR8]; for (VAR12=0; VAR12 < VAR8; VAR12=VAR12+1) VAR18[VAR12] = VAR17[VAR12] ^ VAR17[VAR12+1]; end always @(posedge VAR31) begin if (rst) begin end else begin if (VAR41) end end assign VAR21 = VAR23 - VAR17; always @ (posedge VAR31 ) begin if (rst) end else if ((VAR21 == 0) || (VAR21 == 1 && VAR41)) else end reg [VAR8:0] VAR7; wire [VAR8:0] VAR40, VAR10; always @(posedge VAR31) begin if (rst) begin end else begin if (VAR19) end end always @ (VAR2) begin VAR11[VAR8] = VAR2[VAR8]; for (VAR12=0; VAR12 < VAR8; VAR12=VAR12+1) VAR11[VAR12] = VAR2[VAR12] ^ VAR2[VAR12+1]; end assign VAR24 = (VAR32 + VAR1) - VAR2; always @ (posedge VAR6 ) begin if (rst) end else if ((VAR24 == 0) || (VAR24 == 1 && VAR19)) else end always @ (posedge VAR6 ) begin if (rst) end else if ((VAR24 == VAR1 - 2 ) || ((VAR24 == VAR1 -3) && VAR19)) else end endmodule
lgpl-3.0
alexforencich/verilog-ethernet
rtl/axis_baser_rx_64.v
19,244
module MODULE1 # ( parameter VAR5 = 64, parameter VAR17 = (VAR5/8), parameter VAR20 = 2, parameter VAR3 = 4'h6, parameter VAR16 = 16'h6666, parameter VAR1 = 0, parameter VAR11 = 96, parameter VAR10 = (VAR1 ? VAR11 : 0) + 1 ) ( input wire clk, input wire rst, input wire [VAR5-1:0] VAR14, input wire [VAR20-1:0] VAR9, output wire [VAR5-1:0] VAR18, output wire [VAR17-1:0] VAR19, output wire VAR7, output wire VAR12, output wire [VAR10-1:0] VAR6, input wire [VAR11-1:0] VAR8, output wire [1:0] VAR4, output wire VAR13, output wire VAR2, output wire VAR15 );
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2.1/cccfdc3350413fa5/zqynq_lab_1_design_system_ila_0_0_stub.v
1,328
module MODULE1(clk, VAR1) ; input clk; input [0:0]VAR1; endmodule
mit
545/Atari7800
lab3sound/lab3sound.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_1_stub.v
1,493
module MODULE1(VAR2, VAR1, VAR5, VAR9, VAR4, VAR3, VAR7, VAR8, VAR6) ; input VAR2; input VAR1; input [0:0]VAR5; input [17:0]VAR9; input [15:0]VAR4; input VAR3; input VAR7; input [17:0]VAR8; output [15:0]VAR6; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor4b/sky130_fd_sc_hdll__nor4b.functional.pp.v
2,008
module MODULE1 ( VAR13 , VAR4 , VAR17 , VAR10 , VAR9 , VAR7, VAR15, VAR5 , VAR14 ); output VAR13 ; input VAR4 ; input VAR17 ; input VAR10 ; input VAR9 ; input VAR7; input VAR15; input VAR5 ; input VAR14 ; wire VAR12 ; wire VAR6 ; wire VAR11; not VAR3 (VAR12 , VAR9 ); nor VAR8 (VAR6 , VAR4, VAR17, VAR10, VAR12 ); VAR1 VAR2 (VAR11, VAR6, VAR7, VAR15); buf VAR16 (VAR13 , VAR11 ); endmodule
apache-2.0
tmolteno/TART
hardware/FPGA/ddrmem/iobs_hard_wired.v
12,550
module MODULE1 ( VAR13, VAR93, VAR108, VAR114, VAR32, VAR69, VAR107, VAR6, VAR10, VAR56, VAR65, VAR110, VAR118, VAR88, VAR49, VAR22, VAR5, VAR9, VAR7, VAR44, VAR30, VAR66, VAR83, VAR52, VAR45, VAR20, VAR117 ); parameter VAR19 = 16; parameter VAR61 = 13; parameter VAR58 = 2; parameter VAR97 = VAR19 / VAR58 - 1; input VAR13; input VAR93; input VAR108; input VAR114; input VAR32; input VAR69; input VAR107; input VAR6; input [1:0] VAR10; input [VAR61-1:0] VAR56; input VAR88; input [VAR58*2-1:0] VAR65; input [VAR19*2-1:0] VAR110; input VAR118; output [VAR19*2-1:0] VAR49; output VAR22; output VAR5; output VAR9; output VAR7; output VAR30; output VAR44; output VAR66; output [1:0] VAR83; output [VAR61-1:0] VAR52; output [VAR58-1:0] VAR45; inout [VAR19-1:0] VAR20; inout [VAR58-1:0] VAR117; wire VAR18; wire VAR115; reg VAR71 = 1; reg VAR105 = 1; reg VAR75 = 1; reg VAR12 = 1; reg VAR38 = 1; reg [1:0] VAR48; reg [12:0] VAR109; wire [1:0] VAR60; wire [1:0] VAR54; wire [1:0] VAR53; VAR85 VAR92 ( .VAR78 (VAR18), .VAR67 (VAR13), .VAR84 (~VAR13), .VAR41 (VAR37), .VAR80 (0), .VAR98 (1), .VAR43 (VAR108), .VAR55 (0) ); VAR85 VAR103 ( .VAR78 (VAR115), .VAR67 (VAR13), .VAR84 (~VAR13), .VAR41 (VAR37), .VAR80 (1), .VAR98 (0), .VAR43 (0), .VAR55 (VAR108) ); VAR57 VAR90 ( .VAR99 (VAR18), .VAR81 (VAR22)); VAR57 VAR91 ( .VAR99 (VAR115), .VAR81 (VAR5)); always @(posedge VAR13) begin if (VAR108) begin VAR71 <= 0; VAR105 <= 1; VAR75 <= 1; VAR12 <= 1; VAR38 <= 1; end else begin VAR71 <= 1; VAR105 <= VAR32; VAR75 <= VAR69; VAR12 <= VAR107; VAR38 <= VAR6; end end VAR57 VAR2 ( .VAR99 (VAR71), .VAR81 (VAR9)); VAR57 VAR82 ( .VAR99 (VAR105), .VAR81 (VAR7)); VAR57 VAR102 ( .VAR99 (VAR75), .VAR81 (VAR44)); VAR57 VAR4 ( .VAR99 (VAR12), .VAR81 (VAR30)); VAR57 VAR29 ( .VAR99 (VAR38), .VAR81 (VAR66)); always @(posedge VAR13) begin VAR48 <= VAR10; VAR109 <= VAR56; end VAR57 VAR50 ( .VAR99 (VAR48 [0]), .VAR81 (VAR83 [0])); VAR57 VAR95 ( .VAR99 (VAR48 [1]), .VAR81 (VAR83 [1])); VAR57 VAR34 ( .VAR99 (VAR109 [0]), .VAR81 (VAR52 [0])); VAR57 VAR21 ( .VAR99 (VAR109 [1]), .VAR81 (VAR52 [1])); VAR57 VAR86 ( .VAR99 (VAR109 [2]), .VAR81 (VAR52 [2])); VAR57 VAR77 ( .VAR99 (VAR109 [3]), .VAR81 (VAR52 [3])); VAR57 VAR70 ( .VAR99 (VAR109 [4]), .VAR81 (VAR52 [4])); VAR57 VAR14 ( .VAR99 (VAR109 [5]), .VAR81 (VAR52 [5])); VAR57 VAR112 ( .VAR99 (VAR109 [6]), .VAR81 (VAR52 [6])); VAR57 VAR72 ( .VAR99 (VAR109 [7]), .VAR81 (VAR52 [7])); VAR57 VAR46 ( .VAR99 (VAR109 [8]), .VAR81 (VAR52 [8])); VAR57 VAR3 ( .VAR99 (VAR109 [9]), .VAR81 (VAR52 [9])); VAR57 VAR26 ( .VAR99 (VAR109 [10]), .VAR81 (VAR52 [10])); VAR57 VAR76 ( .VAR99 (VAR109 [11]), .VAR81 (VAR52 [11])); VAR57 VAR51 ( .VAR99 (VAR109 [12]), .VAR81 (VAR52 [12])); reg VAR59 = 0; always @(negedge VAR13) begin if (VAR108) VAR59 <= 0; end else if (VAR88) VAR59 <= 1; else VAR59 <= 0; end VAR85 VAR8 ( .VAR67 (VAR13), .VAR84 (~VAR13), .VAR41 (1'b1), .VAR80 (1'b0), .VAR98 (1'b1), .VAR43 (~VAR118), .VAR55 (1'b0), .VAR78 (VAR60 [0]) ); VAR85 VAR106 ( .VAR67 (VAR13), .VAR84 (~VAR13), .VAR41 (1'b1), .VAR80 (1'b0), .VAR98 (1'b1), .VAR43 (~VAR118), .VAR55 (1'b0), .VAR78 (VAR60 [1]) ); VAR63 VAR36 ( .VAR64 (VAR88 & VAR59), .VAR99 (VAR60 [0]), .VAR81 (VAR117 [0]) ); VAR63 VAR94 ( .VAR64 (VAR88 & VAR59), .VAR99 (VAR60 [1]), .VAR81 (VAR117 [1]) ); wire [1:0] VAR111; VAR31 VAR39 ( .VAR99 (VAR117 [0]), .VAR81 (VAR111 [0]) ); VAR31 VAR68 ( .VAR99 (VAR117 [1]), .VAR81 (VAR111 [1]) ); VAR85 VAR96 ( .VAR67 (VAR93), .VAR84 (~VAR93), .VAR41 (1'b1), .VAR80 (VAR65 [2]), .VAR98 (VAR65 [0]), .VAR43 (1'b0), .VAR55 (1'b0), .VAR78 (VAR54 [0]) ); VAR85 VAR79 ( .VAR67 (VAR93), .VAR84 (~VAR93), .VAR41 (1'b1), .VAR80 (VAR65 [3]), .VAR98 (VAR65 [1]), .VAR43 (1'b0), .VAR55 (1'b0), .VAR78 (VAR54 [1]) ); VAR57 VAR33 ( .VAR99 (VAR54 [0]), .VAR81 (VAR45 [0])); VAR57 VAR87 ( .VAR99 (VAR54 [1]), .VAR81 (VAR45 [1])); assign VAR53 = VAR117; VAR113 VAR73 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [16], VAR110 [0]}), .VAR100 ({VAR49 [16], VAR49 [0]}), .VAR113 (VAR20 [0]) ); VAR113 VAR24 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [17], VAR110 [1]}), .VAR100 ({VAR49 [17], VAR49 [1]}), .VAR113 (VAR20 [1]) ); VAR113 VAR27 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [18], VAR110 [2]}), .VAR100 ({VAR49 [18], VAR49 [2]}), .VAR113 (VAR20 [2]) ); VAR113 VAR40 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [19], VAR110 [3]}), .VAR100 ({VAR49 [19], VAR49 [3]}), .VAR113 (VAR20 [3]) ); VAR113 VAR47 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [20], VAR110 [4]}), .VAR100 ({VAR49 [20], VAR49 [4]}), .VAR113 (VAR20 [4]) ); VAR113 VAR11 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [21], VAR110 [5]}), .VAR100 ({VAR49 [21], VAR49 [5]}), .VAR113 (VAR20 [5]) ); VAR113 VAR1 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [22], VAR110 [6]}), .VAR100 ({VAR49 [22], VAR49 [6]}), .VAR113 (VAR20 [6]) ); VAR113 VAR17 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [0]), .VAR15 ({VAR110 [23], VAR110 [7]}), .VAR100 ({VAR49 [23], VAR49 [7]}), .VAR113 (VAR20 [7]) ); VAR113 VAR16 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [24], VAR110 [8]}), .VAR100 ({VAR49 [24], VAR49 [8]}), .VAR113 (VAR20 [8]) ); VAR113 VAR104 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [25], VAR110 [9]}), .VAR100 ({VAR49 [25], VAR49 [9]}), .VAR113 (VAR20 [9]) ); VAR113 VAR74 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [26], VAR110 [10]}), .VAR100 ({VAR49 [26], VAR49 [10]}), .VAR113 (VAR20 [10]) ); VAR113 VAR28 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [27], VAR110 [11]}), .VAR100 ({VAR49 [27], VAR49 [11]}), .VAR113 (VAR20 [11]) ); VAR113 VAR101 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [28], VAR110 [12]}), .VAR100 ({VAR49 [28], VAR49 [12]}), .VAR113 (VAR20 [12]) ); VAR113 VAR116 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [29], VAR110 [13]}), .VAR100 ({VAR49 [29], VAR49 [13]}), .VAR113 (VAR20 [13]) ); VAR113 VAR35 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [30], VAR110 [14]}), .VAR100 ({VAR49 [30], VAR49 [14]}), .VAR113 (VAR20 [14]) ); VAR113 VAR25 ( .VAR23 (~VAR93), .VAR62 (VAR93), .VAR89 (VAR88 & VAR59), .VAR42 (VAR111 [1]), .VAR15 ({VAR110 [31], VAR110 [15]}), .VAR100 ({VAR49 [31], VAR49 [15]}), .VAR113 (VAR20 [15]) ); endmodule
lgpl-3.0
rellermeyer/99tsp
verilog/sa/src/mojo_top.v
3,456
module MODULE1( input clk, input VAR36, input VAR10, output[7:0]VAR3, output VAR32, input VAR21, input VAR6, input VAR13, output [3:0] VAR18, input VAR42, output VAR28, input VAR45 ); wire rst = ~VAR36; wire [7:0] VAR23; wire VAR48, VAR47; reg [7:0] VAR33, VAR12; reg VAR35, VAR51; VAR34 VAR34 ( .clk(clk), .rst(rst), .VAR10(VAR10), .VAR32(VAR32), .VAR6(VAR6), .VAR13(VAR13), .VAR21(VAR21), .VAR18(VAR18), .VAR41(VAR28), .VAR19(VAR42), .VAR5(4'd15), .VAR50(), .VAR8(), .VAR30(), .VAR4(VAR33), .VAR22(VAR35), .VAR47(VAR47), .VAR38(VAR45), .VAR1(VAR23), .VAR25(VAR48) ); wire [31:0] VAR2; VAR46 rng ( .clk(clk), .rst(rst), .VAR14(128'h8de97cc56144a7eb653f6dee8b49b282), .out(VAR2) ); wire VAR24, VAR26; wire [31:0] VAR11; wire [7:0] VAR43; VAR20 VAR20( .clk(clk), .rst(rst), .VAR44(VAR23), .VAR16(VAR48), .VAR24(VAR24), .VAR27(VAR43), .VAR11(VAR11), .VAR26(VAR26), .rng(VAR2) ); reg [31:0] VAR49, VAR37; reg [27:0] VAR7, VAR31; reg [4:0] VAR15, VAR52; reg VAR40, VAR29; always @(*) begin VAR12 = VAR33; VAR51 = 0; VAR37 = VAR49; VAR52 = VAR15; VAR29 = 0; VAR31 = VAR7 - 1; if (VAR48) begin if (VAR23 == 114 && !VAR47) begin if (VAR15 == 0) begin VAR37 = VAR2; VAR52 = 8; end end else if (VAR47 || VAR15 > 0 || !VAR24) begin end else begin VAR12 = VAR23; VAR51 = 1; end end if (VAR15 > 0 && !VAR47 && !VAR40) begin VAR51 = 1; if (VAR49[3:0] < 10) begin VAR12 = {4'b0,VAR49[3:0]} + 48; end else begin VAR12 = {4'b0,VAR49[3:0]} + 87; end VAR37 = VAR49 >> 4; VAR52 = VAR15 - 1; VAR29 = 1; end if (VAR26 || VAR7 == 0) begin VAR37 = VAR11; VAR52 = 8; VAR12 = "\VAR9"; VAR51 = 1; VAR31 = 100000000; end end always @(posedge clk) begin if (rst) begin VAR35 <= 0; VAR49 <= 0; VAR15 <= 0; end else begin VAR33 <= VAR12; VAR35 <= VAR51; VAR49 <= VAR37; VAR15 <= VAR52; VAR40 <= VAR29; VAR7 <= VAR31; end end assign VAR3 = VAR11[15:8]; assign VAR32 = 1'VAR17; assign VAR28 = 1'VAR17; assign VAR18 = 4'VAR39; endmodule
bsd-3-clause
dvanmali/Superscalar_Pipeline_Processor
pipeem.v
1,104
module MODULE1(clk,VAR13,VAR12,VAR9,VAR24,VAR19,VAR2,VAR17,VAR1, VAR15,VAR14,VAR11,VAR22,VAR25,VAR5,VAR10,VAR21, VAR4,VAR7,VAR8,VAR6,VAR20,VAR26,VAR23,VAR16,VAR18,VAR3); input clk; input [4:0] VAR13,VAR12; input [31:0] VAR9,VAR19,VAR24,VAR2; input VAR17,VAR1,VAR15,VAR14,VAR11,VAR22,VAR18,VAR3; output reg VAR25,VAR10,VAR4,VAR5,VAR21,VAR7; output reg [4:0] VAR8, VAR6; output reg [31:0] VAR20,VAR23,VAR26,VAR16; always @ (posedge clk) begin if (!VAR18) begin VAR25<=VAR15; VAR10<=VAR11; VAR4<=VAR17; VAR20<=VAR9; VAR23<=VAR19; VAR8<=VAR13; VAR5<=VAR14; VAR21<=VAR22; VAR7<=VAR1; VAR26<=VAR24; VAR16<=VAR2; VAR6<=VAR12; end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfxbp/sky130_fd_sc_hd__dfxbp.blackbox.v
1,295
module MODULE1 ( VAR5 , VAR6, VAR7, VAR1 ); output VAR5 ; output VAR6; input VAR7; input VAR1 ; supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR8 ; endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/GDA_dyn_N16_M4_syn.v
8,372
module MODULE1 ( VAR95, VAR107, VAR48, VAR179, VAR237, VAR114, VAR111 ); input [15:0] VAR95; input [15:0] VAR107; input [2:0] VAR48; input [1:0] VAR237; input [2:0] VAR114; output [16:0] VAR111; input VAR179; wire VAR238, VAR233, VAR110, VAR125, VAR62, VAR211, VAR277, VAR88, VAR26, VAR240, VAR39, VAR186, VAR4, VAR232, VAR274, VAR241, VAR181, VAR188, VAR49, VAR89, VAR283, VAR297, VAR243, VAR209, VAR135, VAR17, VAR282, VAR247, VAR54, VAR177, VAR37, VAR178, VAR231, VAR20, VAR55, VAR256, VAR59, VAR32, VAR146, VAR230, VAR1, VAR58, VAR71, VAR87, VAR77, VAR173, VAR302, VAR164, VAR298, VAR158, VAR78, VAR104, VAR8, VAR144, VAR123, VAR76, VAR218, VAR272, VAR222, VAR182, VAR167, VAR14, VAR28, VAR234, VAR154, VAR224, VAR108, VAR155, VAR68, VAR293, VAR6, VAR133, VAR106, VAR150, VAR92, VAR12, VAR239, VAR170, VAR11, VAR53, VAR142, VAR56, VAR40, VAR79, VAR152, VAR216, VAR203, VAR278, VAR161, VAR244, VAR193, VAR192, VAR153, VAR65, VAR36, VAR189, VAR64, VAR284, VAR217, VAR63, VAR223, VAR21, VAR18, VAR201, VAR257, VAR253, VAR285, VAR50, VAR183, VAR169, VAR196, VAR23, VAR199, VAR127, VAR175; VAR271 VAR140 ( .VAR129(VAR175), .VAR156(VAR125), .VAR82(VAR233), .VAR96(VAR111[16]) ); VAR61 VAR162 ( .VAR204(VAR77), .VAR147(VAR87), .VAR174(VAR48[2]), .VAR96(VAR175) ); VAR141 VAR83 ( .VAR204(VAR107[15]), .VAR147(VAR95[15]), .VAR96(VAR23) ); VAR141 VAR289 ( .VAR204(VAR107[14]), .VAR147(VAR95[14]), .VAR96(VAR144) ); VAR141 VAR81 ( .VAR204(VAR107[4]), .VAR147(VAR95[4]), .VAR96(VAR284) ); VAR212 VAR7 ( .VAR129(VAR37), .VAR156(VAR237[1]), .VAR82(VAR237[0]), .VAR29(VAR32), .VAR96( VAR230) ); VAR141 VAR159 ( .VAR204(VAR107[12]), .VAR147(VAR95[12]), .VAR96(VAR14) ); VAR281 VAR166 ( .VAR204(VAR95[3]), .VAR147(VAR107[3]), .VAR268(VAR50), .VAR273(VAR55), .VAR219(VAR111[3]) ); VAR141 VAR30 ( .VAR204(VAR95[11]), .VAR147(VAR107[11]), .VAR96(VAR108) ); VAR141 VAR52 ( .VAR204(VAR107[6]), .VAR147(VAR95[6]), .VAR96(VAR153) ); VAR202 VAR13 ( .VAR129(VAR17), .VAR156(VAR135), .VAR82(VAR209), .VAR29(VAR243), .VAR27(VAR153), .VAR300(VAR59), .VAR96(VAR32) ); VAR51 VAR263 ( .VAR204(VAR107[13]), .VAR147(VAR95[13]), .VAR96(VAR240) ); VAR214 VAR185 ( .VAR204(VAR107[9]), .VAR147(VAR95[9]), .VAR96(VAR53) ); VAR163 VAR72 ( .VAR129(VAR114[0]), .VAR156(VAR58), .VAR82(VAR177), .VAR96(VAR77) ); VAR141 VAR47 ( .VAR204(VAR123), .VAR147(VAR144), .VAR96(VAR76) ); VAR141 VAR248 ( .VAR204(VAR240), .VAR147(VAR222), .VAR96(VAR182) ); VAR288 VAR225 ( .VAR204(VAR8), .VAR96(VAR123) ); VAR288 VAR160 ( .VAR204(VAR23), .VAR96(VAR199) ); VAR141 VAR103 ( .VAR204(VAR211), .VAR147(VAR23), .VAR96(VAR302) ); VAR280 VAR260 ( .VAR204(VAR167), .VAR147(VAR182), .VAR96(VAR111[13]) ); VAR280 VAR269 ( .VAR204(VAR56), .VAR147(VAR142), .VAR96(VAR111[9]) ); VAR280 VAR205 ( .VAR204(VAR11), .VAR147(VAR170), .VAR96(VAR111[10]) ); VAR280 VAR275 ( .VAR204(VAR293), .VAR147(VAR68), .VAR96(VAR111[11]) ); VAR61 VAR126 ( .VAR204(VAR230), .VAR147(VAR39), .VAR174(VAR48[1]), .VAR96(VAR79) ); VAR280 VAR255 ( .VAR204(VAR257), .VAR147(VAR201), .VAR96(VAR111[5]) ); VAR280 VAR16 ( .VAR204(VAR244), .VAR147(VAR161), .VAR96(VAR111[7]) ); VAR280 VAR200 ( .VAR204(VAR189), .VAR147(VAR36), .VAR96(VAR111[6]) ); VAR287 VAR270 ( .VAR204(VAR196), .VAR147(VAR211), .VAR96(VAR125) ); VAR139 VAR128 ( .VAR204(VAR152), .VAR147(VAR59), .VAR96(VAR146) ); VAR187 VAR157 ( .VAR129(VAR133), .VAR156(VAR92), .VAR82(VAR12), .VAR96(VAR154) ); VAR35 VAR221 ( .VAR129(VAR62), .VAR156(VAR277), .VAR82(VAR234), .VAR96(VAR133) ); VAR290 VAR60 ( .VAR129(VAR95[11]), .VAR156(VAR107[11]), .VAR82(VAR95[10]), .VAR27(VAR107[10]), .VAR96( VAR274) ); VAR74 VAR66 ( .VAR204(VAR107[8]), .VAR147(VAR95[8]), .VAR96(VAR88) ); VAR184 VAR94 ( .VAR204(VAR95[9]), .VAR147(VAR107[9]), .VAR96(VAR247) ); VAR74 VAR5 ( .VAR204(VAR107[12]), .VAR147(VAR95[12]), .VAR96(VAR26) ); VAR74 VAR9 ( .VAR204(VAR107[9]), .VAR147(VAR95[9]), .VAR96(VAR62) ); VAR74 VAR145 ( .VAR204(VAR107[15]), .VAR147(VAR95[15]), .VAR96(VAR211) ); VAR184 VAR100 ( .VAR204(VAR107[8]), .VAR147(VAR95[8]), .VAR96(VAR277) ); VAR109 VAR249 ( .VAR129(VAR107[2]), .VAR156(VAR95[2]), .VAR82(VAR95[3]), .VAR29(VAR107[3]), .VAR96(VAR49) ); VAR184 VAR85 ( .VAR204(VAR107[5]), .VAR147(VAR95[5]), .VAR96(VAR297) ); VAR61 VAR261 ( .VAR204(VAR55), .VAR147(VAR20), .VAR174(VAR231), .VAR96(VAR285) ); VAR290 VAR102 ( .VAR129(VAR95[1]), .VAR156(VAR107[1]), .VAR82(VAR95[0]), .VAR27(VAR107[0]), .VAR96(VAR188) ); VAR139 VAR73 ( .VAR204(VAR107[4]), .VAR147(VAR95[4]), .VAR96(VAR64) ); VAR69 VAR251 ( .VAR204(VAR107[5]), .VAR147(VAR95[5]), .VAR96(VAR223) ); VAR288 VAR165 ( .VAR204(VAR64), .VAR96(VAR63) ); VAR288 VAR122 ( .VAR204(VAR284), .VAR96(VAR217) ); VAR141 VAR291 ( .VAR204(VAR107[5]), .VAR147(VAR95[5]), .VAR96(VAR21) ); VAR139 VAR171 ( .VAR204(VAR64), .VAR147(VAR223), .VAR96(VAR192) ); VAR187 VAR70 ( .VAR129(VAR223), .VAR156(VAR284), .VAR82(VAR21), .VAR96(VAR193) ); VAR141 VAR228 ( .VAR204(VAR192), .VAR147(VAR65), .VAR96(VAR152) ); VAR271 VAR235 ( .VAR129(VAR193), .VAR156(VAR65), .VAR82(VAR216), .VAR96(VAR238) ); VAR288 VAR25 ( .VAR204(VAR153), .VAR96(VAR216) ); VAR287 VAR210 ( .VAR204(VAR224), .VAR147(VAR155), .VAR96(VAR110) ); VAR287 VAR115 ( .VAR204(VAR107[10]), .VAR147(VAR95[10]), .VAR96(VAR4) ); VAR190 VAR116 ( .VAR82(VAR188), .VAR29(VAR181), .VAR22(VAR107[2]), .VAR213(VAR95[2]), .VAR96(VAR89) ); VAR139 VAR195 ( .VAR204(VAR107[11]), .VAR147(VAR95[11]), .VAR96(VAR1) ); VAR141 VAR176 ( .VAR204(VAR88), .VAR147(VAR62), .VAR96(VAR6) ); VAR35 VAR301 ( .VAR129(VAR240), .VAR156(VAR272), .VAR82(VAR173), .VAR96(VAR158) ); VAR288 VAR220 ( .VAR204(VAR222), .VAR96(VAR173) ); VAR141 VAR168 ( .VAR204(VAR26), .VAR147(VAR240), .VAR96(VAR298) ); VAR288 VAR259 ( .VAR204(VAR133), .VAR96(VAR106) ); VAR288 VAR226 ( .VAR204(VAR6), .VAR96(VAR150) ); VAR288 VAR197 ( .VAR204(VAR1), .VAR96(VAR155) ); VAR69 VAR34 ( .VAR204(VAR107[10]), .VAR147(VAR95[10]), .VAR96(VAR92) ); VAR288 VAR86 ( .VAR204(VAR53), .VAR96(VAR234) ); VAR141 VAR124 ( .VAR204(VAR107[10]), .VAR147(VAR95[10]), .VAR96(VAR12) ); VAR139 VAR80 ( .VAR204(VAR6), .VAR147(VAR92), .VAR96(VAR224) ); VAR214 VAR38 ( .VAR204(VAR107[13]), .VAR147(VAR95[13]), .VAR96(VAR222) ); VAR288 VAR258 ( .VAR204(VAR14), .VAR96(VAR272) ); VAR69 VAR137 ( .VAR204(VAR107[14]), .VAR147(VAR95[14]), .VAR96(VAR8) ); VAR288 VAR172 ( .VAR204(VAR158), .VAR96(VAR78) ); VAR288 VAR33 ( .VAR204(VAR298), .VAR96(VAR104) ); VAR187 VAR299 ( .VAR129(VAR158), .VAR156(VAR8), .VAR82(VAR144), .VAR96(VAR127) ); VAR139 VAR91 ( .VAR204(VAR298), .VAR147(VAR8), .VAR96(VAR196) ); VAR266 VAR19 ( .VAR204(VAR107[0]), .VAR147(VAR95[0]), .VAR273(VAR169), .VAR219(VAR111[0]) ); VAR141 VAR198 ( .VAR204(VAR18), .VAR147(VAR21), .VAR96(VAR201) ); VAR141 VAR143 ( .VAR204(VAR65), .VAR147(VAR153), .VAR96(VAR36) ); VAR288 VAR246 ( .VAR204(VAR152), .VAR96(VAR203) ); VAR35 VAR252 ( .VAR129(VAR79), .VAR156(VAR88), .VAR82(VAR277), .VAR96(VAR56) ); VAR141 VAR99 ( .VAR204(VAR239), .VAR147(VAR12), .VAR96(VAR170) ); VAR35 VAR41 ( .VAR129(VAR79), .VAR156(VAR150), .VAR82(VAR106), .VAR96(VAR11) ); VAR288 VAR250 ( .VAR204(VAR92), .VAR96(VAR239) ); VAR141 VAR119 ( .VAR204(VAR155), .VAR147(VAR108), .VAR96(VAR68) ); VAR35 VAR67 ( .VAR129(VAR79), .VAR156(VAR224), .VAR82(VAR154), .VAR96(VAR293) ); VAR141 VAR265 ( .VAR204(VAR26), .VAR147(VAR14), .VAR96(VAR28) ); VAR294 VAR75 ( .VAR204(VAR4), .VAR147(VAR186), .VAR268(VAR1), .VAR96(VAR232) ); VAR42 VAR296 ( .VAR204(VAR253), .VAR147(VAR297), .VAR268(VAR209), .VAR130(VAR178), .VAR96(VAR37) ); VAR208 VAR113 ( .VAR204(VAR283), .VAR147(VAR278), .VAR268(VAR153), .VAR96(VAR209) ); VAR288 VAR105 ( .VAR204(VAR256), .VAR96(VAR65) ); VAR139 VAR121 ( .VAR204(VAR223), .VAR147(VAR256), .VAR96(VAR283) ); VAR139 VAR227 ( .VAR204(VAR107[7]), .VAR147(VAR95[7]), .VAR96(VAR59) ); VAR215 VAR134 ( .VAR204(VAR285), .VAR147(VAR253), .VAR96(VAR111[4]) ); VAR35 VAR279 ( .VAR129(VAR285), .VAR156(VAR63), .VAR82(VAR217), .VAR96(VAR257) ); VAR35 VAR262 ( .VAR129(VAR285), .VAR156(VAR192), .VAR82(VAR193), .VAR96(VAR189) ); VAR35 VAR2 ( .VAR129(VAR285), .VAR156(VAR203), .VAR82(VAR238), .VAR96(VAR244) ); VAR290 VAR276 ( .VAR129(VAR241), .VAR156(VAR282), .VAR82(VAR274), .VAR27(VAR108), .VAR96(VAR58) ); VAR271 VAR191 ( .VAR129(VAR127), .VAR156(VAR211), .VAR82(VAR199), .VAR96(VAR233) ); VAR267 VAR180 ( .VAR129(VAR285), .VAR156(VAR146), .VAR82(VAR32), .VAR96(VAR39) ); VAR288 VAR120 ( .VAR204(VAR107[7]), .VAR96(VAR135) ); VAR288 VAR303 ( .VAR204(VAR48[0]), .VAR96(VAR231) ); VAR288 VAR10 ( .VAR204(VAR223), .VAR96(VAR18) ); VAR141 VAR132 ( .VAR204(VAR62), .VAR147(VAR53), .VAR96(VAR142) ); VAR35 VAR90 ( .VAR129(VAR95[8]), .VAR156(VAR107[8]), .VAR82(VAR247), .VAR96(VAR241) ); VAR245 VAR151 ( .VAR129(VAR95[9]), .VAR156(VAR107[9]), .VAR82(VAR107[10]), .VAR29(VAR95[10]), .VAR96( VAR186) ); VAR141 VAR15 ( .VAR204(VAR108), .VAR147(VAR232), .VAR96(VAR282) ); VAR141 VAR112 ( .VAR204(VAR95[1]), .VAR147(VAR107[1]), .VAR96(VAR181) ); VAR245 VAR97 ( .VAR129(VAR89), .VAR156(VAR49), .VAR82(VAR95[3]), .VAR29(VAR107[3]), .VAR96(VAR178) ); VAR139 VAR207 ( .VAR204(VAR107[6]), .VAR147(VAR95[6]), .VAR96(VAR256) ); VAR280 VAR254 ( .VAR204(VAR107[7]), .VAR147(VAR95[7]), .VAR96(VAR278) ); VAR215 VAR3 ( .VAR204(VAR107[4]), .VAR147(VAR95[4]), .VAR96(VAR253) ); VAR288 VAR57 ( .VAR204(VAR95[7]), .VAR96(VAR17) ); VAR35 VAR45 ( .VAR129(VAR95[4]), .VAR156(VAR107[4]), .VAR82(VAR297), .VAR96(VAR243) ); VAR236 VAR206 ( .VAR129(VAR37), .VAR156(VAR114[2]), .VAR82(VAR114[1]), .VAR29(VAR32), .VAR96(VAR54) ); VAR215 VAR292 ( .VAR204(VAR95[8]), .VAR147(VAR107[8]), .VAR96(VAR40) ); VAR46 VAR93 ( .VAR204(VAR54), .VAR147(VAR247), .VAR268(VAR282), .VAR130(VAR40), .VAR96(VAR177) ); VAR44 VAR138 ( .VAR264(VAR179), .VAR147(VAR178), .VAR96(VAR20) ); VAR288 VAR24 ( .VAR204(VAR58), .VAR96(VAR71) ); VAR286 VAR43 ( .VAR22(VAR79), .VAR213(VAR110), .VAR82(VAR71), .VAR96(VAR87) ); VAR149 VAR84 ( .VAR129(VAR175), .VAR156(VAR196), .VAR82(VAR127), .VAR96(VAR164) ); VAR280 VAR242 ( .VAR204(VAR164), .VAR147(VAR302), .VAR96(VAR111[15]) ); VAR149 VAR98 ( .VAR129(VAR175), .VAR156(VAR104), .VAR82(VAR78), .VAR96(VAR218) ); VAR280 VAR117 ( .VAR204(VAR218), .VAR147(VAR76), .VAR96(VAR111[14]) ); VAR149 VAR295 ( .VAR129(VAR175), .VAR156(VAR26), .VAR82(VAR272), .VAR96(VAR167) ); VAR215 VAR118 ( .VAR204(VAR175), .VAR147(VAR28), .VAR96(VAR111[12]) ); VAR215 VAR148 ( .VAR204(VAR79), .VAR147(VAR40), .VAR96(VAR111[8]) ); VAR288 VAR136 ( .VAR204(VAR278), .VAR96(VAR161) ); VAR281 VAR101 ( .VAR204(VAR95[2]), .VAR147(VAR107[2]), .VAR268(VAR183), .VAR273(VAR50), .VAR219(VAR111[2]) ); VAR281 VAR131 ( .VAR204(VAR95[1]), .VAR147(VAR107[1]), .VAR268(VAR169), .VAR273(VAR183), .VAR219(VAR111[1]) ); VAR194 ("VAR229.VAR31"); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi211/gf180mcu_fd_sc_mcu7t5v0__aoi211_2.behavioral.v
1,802
module MODULE1( VAR1, VAR8, VAR3, VAR6, VAR7 ); input VAR8, VAR1, VAR6, VAR7; output VAR3; VAR4 VAR2(.VAR1(VAR1),.VAR8(VAR8),.VAR3(VAR3),.VAR6(VAR6),.VAR7(VAR7)); VAR4 VAR5(.VAR1(VAR1),.VAR8(VAR8),.VAR3(VAR3),.VAR6(VAR6),.VAR7(VAR7));
apache-2.0
ShepardSiegel/ocpi
coregen/temac_v6_v1_5/example_design/v6_emac_v1_5_block.v
11,997
module MODULE1 ( VAR8, VAR38, VAR47, VAR15, VAR49, VAR4, VAR10, VAR30, VAR48, VAR34, VAR3, VAR9, VAR39, VAR70, VAR11, VAR22, VAR53, VAR19, VAR21, VAR36, VAR24, VAR43, VAR44, VAR45, VAR33, VAR41, VAR28, VAR26, VAR51, VAR37, VAR69, VAR42, VAR60, VAR35 ); output VAR8; input VAR38; output [7:0] VAR47; output VAR15; output VAR49; output VAR4; output VAR10; output [6:0] VAR30; output VAR48; output VAR34; input [7:0] VAR3; input VAR9; output VAR39; input VAR70; input VAR11; output VAR22; output VAR53; input [7:0] VAR19; output VAR21; output VAR36; output VAR24; input VAR43; input [15:0] VAR44; input VAR45; input VAR33; output [7:0] VAR41; output VAR28; output VAR26; output VAR51; input [7:0] VAR37; input VAR69; input VAR42; input VAR60; input VAR35; wire VAR27; wire VAR14; wire VAR64; wire VAR59; wire VAR13; wire VAR1; wire VAR56; wire VAR61; wire VAR68; wire VAR18; wire [7:0] VAR67; wire VAR6; wire VAR25; wire [7:0] VAR23; wire VAR29; wire VAR57; assign VAR27 = VAR35; assign VAR14 = VAR27; VAR16 VAR65 ( .VAR35 (VAR14), .VAR41 (VAR41), .VAR28 (VAR28), .VAR26 (VAR26), .VAR51 (VAR51), .VAR37 (VAR37), .VAR69 (VAR69), .VAR42 (VAR42), .VAR52 (VAR67), .VAR20 (VAR68), .VAR31 (VAR18), .VAR38 (VAR61), .VAR63 (VAR23), .VAR46 (VAR6), .VAR66 (VAR25), .VAR40 (VAR60) ); assign VAR57 = VAR33; assign VAR61 = VAR38; assign VAR29 = VAR45; assign VAR1 = VAR38; assign VAR59 = VAR29; assign VAR8 = VAR56; VAR50 VAR55 ( .VAR7 (VAR64), .VAR5 (VAR59), .VAR47 (VAR47), .VAR15 (VAR15), .VAR32 (), .VAR49 (VAR49), .VAR4 (VAR4), .VAR10 (VAR10), .VAR30 (VAR30), .VAR48 (VAR48), .VAR34 (VAR34), .VAR17 (VAR13), .VAR54 (VAR1), .VAR3 (VAR3), .VAR9 (VAR9), .VAR12 (1'b0), .VAR39 (VAR39), .VAR70 (VAR70), .VAR11 (VAR11), .VAR22 (VAR22), .VAR53 (VAR53), .VAR19 (VAR19), .VAR21 (VAR21), .VAR36 (VAR36), .VAR24 (VAR24), .VAR43 (VAR43), .VAR44 (VAR44), .VAR33 (VAR57), .VAR58 (VAR56), .VAR62 (VAR61), .VAR41 (VAR67), .VAR28 (VAR68), .VAR26 (VAR18), .VAR37 (VAR23), .VAR69 (VAR6), .VAR42 (VAR25), .VAR60 (VAR29), .VAR2 (1'b1), .VAR35 (VAR14) ); endmodule
lgpl-3.0
adamgreig/bladeRF
hdl/fpga/ip/opencores/i2c/bench/verilog/tst_bench_top.v
14,491
module MODULE1(); reg clk; reg VAR64; wire [31:0] VAR59; wire [ 7:0] VAR37, VAR60, VAR14, VAR8; wire VAR7; wire VAR56; wire VAR52; wire ack; wire VAR48; reg [7:0] VAR67, VAR65; wire VAR53, VAR26, VAR21, VAR49, VAR29; wire VAR66, VAR54, VAR28, VAR19, VAR33; parameter VAR10 = 3'b000; parameter VAR32 = 3'b001; parameter VAR35 = 3'b010; parameter VAR15 = 3'b011; parameter VAR6 = 3'b011; parameter VAR44 = 3'b100; parameter VAR34 = 3'b100; parameter VAR2 = 3'b101; parameter VAR40 = 3'b110; parameter VAR22 = 1'b1; parameter VAR36 = 1'b0; parameter VAR27 = 7'b0010000; always clk = ~clk; VAR58 #(8, 32) VAR68 ( .clk(clk), .rst(VAR64), .VAR59(VAR59), .din(VAR37), .dout(VAR60), .VAR52(VAR52), .VAR56(VAR56), .VAR7(VAR7), .sel(), .ack(ack), .VAR23(1'b0), .VAR41(1'b0) ); wire VAR50 = VAR56 & ~VAR59[3]; wire VAR12 = VAR56 & VAR59[3]; assign VAR37 = ({{8'd8}{VAR50}} & VAR14) | ({{8'd8}{VAR12}} & VAR8); VAR47 VAR1 ( .VAR3(clk), .VAR16(1'b0), .VAR31(VAR64), .VAR63(VAR59[2:0]), .VAR45(VAR60), .VAR38(VAR14), .VAR39(VAR7), .VAR57(VAR50), .VAR51(VAR52), .VAR4(ack), .VAR46(VAR48), .VAR9(VAR53), .VAR17(VAR26), .VAR55(VAR21), .VAR20(VAR66), .VAR61(VAR54), .VAR62(VAR28) ), VAR24 ( .VAR3(clk), .VAR16(1'b0), .VAR31(VAR64), .VAR63(VAR59[2:0]), .VAR45(VAR60), .VAR38(VAR8), .VAR39(VAR7), .VAR57(VAR12), .VAR51(VAR52), .VAR4(ack), .VAR46(VAR48), .VAR9(VAR53), .VAR17(VAR49), .VAR55(VAR29), .VAR20(VAR66), .VAR61(VAR19), .VAR62(VAR33) ); VAR13 #(VAR27) VAR43 ( .VAR53(VAR53), .VAR66(VAR66) ); delay VAR42 (VAR21 ? 1'VAR30 : VAR26, VAR53), VAR25 (VAR29 ? 1'VAR30 : VAR49, VAR53), VAR69 (VAR28 ? 1'VAR30 : VAR54, VAR66), VAR5 (VAR33 ? 1'VAR30 : VAR19, VAR66); pullup VAR11(VAR53); pullup VAR18(VAR66); begin end
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/tapvpwrvgnd/sky130_fd_sc_lp__tapvpwrvgnd.pp.symbol.v
1,228
module MODULE1 ( input VAR3 , input VAR4, input VAR1, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdlclkp/sky130_fd_sc_lp__sdlclkp.behavioral.pp.v
2,286
module MODULE1 ( VAR17, VAR3 , VAR14, VAR19 , VAR18, VAR2, VAR20 , VAR16 ); output VAR17; input VAR3 ; input VAR14; input VAR19 ; input VAR18; input VAR2; input VAR20 ; input VAR16 ; wire VAR11 ; wire VAR7 ; wire VAR4 ; wire VAR6 ; wire VAR12 ; wire VAR10 ; wire VAR1; reg VAR8 ; not VAR13 (VAR7 , VAR11 ); not VAR5 (VAR4 , VAR6 ); nor VAR21 (VAR1, VAR10, VAR12 ); VAR9 VAR22 (VAR11 , VAR1, VAR4, VAR8, VAR18, VAR2); and VAR15 (VAR17 , VAR7, VAR6 ); endmodule
apache-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_s0.v
38,048
module MODULE1 ( input wire VAR211, input wire VAR226, input wire VAR30, input wire VAR142, output wire [4:0] VAR158, output wire [5:0] VAR161, output wire [5:0] VAR70, output wire [0:0] VAR10, output wire [0:0] VAR222, output wire [0:0] VAR107, output wire VAR249, output wire VAR62, output wire VAR95, output wire [31:0] VAR223, output wire [0:0] VAR138, output wire [0:0] VAR83, input wire [31:0] VAR194, output wire [1:0] VAR82, output wire VAR251, input wire [7:0] VAR143, input wire VAR113, input wire VAR4, output wire [27:0] VAR76, output wire [3:0] VAR57, output wire [1:0] VAR146, output wire [1:0] VAR203, output wire [1:0] VAR231, output wire [1:0] VAR21, output wire [1:0] VAR39, output wire [1:0] VAR22, output wire [1:0] VAR27, output wire [31:0] VAR148, output wire [1:0] VAR204, output wire [3:0] VAR15, output wire [1:0] VAR202, output wire [1:0] VAR52, input wire [31:0] VAR65, input wire [1:0] VAR219, output wire [0:0] VAR150, output wire [0:0] VAR55, output wire [0:0] VAR5, output wire [7:0] VAR220, output wire [0:0] VAR124, input wire [0:0] VAR170, output wire [0:0] VAR133, input wire VAR106, input wire VAR8, input wire VAR1, input wire VAR192 ); wire VAR149; wire VAR165; wire [31:0] VAR153; wire VAR171; wire [19:0] VAR172; wire [3:0] VAR42; wire VAR179; wire VAR49; wire [31:0] VAR36; wire [31:0] VAR23; wire VAR61; wire [16:0] VAR174; wire VAR14; wire [31:0] VAR125; wire VAR252; wire [12:0] VAR46; wire VAR176; wire VAR123; wire [31:0] VAR115; wire [31:0] VAR66; wire VAR11; wire [12:0] VAR122; wire VAR60; wire VAR206; wire [31:0] VAR128; wire [31:0] VAR101; wire VAR104; wire [12:0] VAR54; wire VAR53; wire VAR155; wire [31:0] VAR134; wire VAR144; wire [31:0] VAR17; wire [11:0] VAR193; wire [3:0] VAR195; wire VAR63; wire [31:0] VAR139; wire [31:0] VAR112; wire VAR137; wire [12:0] VAR254; wire VAR135; wire VAR96; wire [31:0] VAR120; wire [31:0] VAR145; wire VAR217; wire [3:0] VAR178; wire VAR242; wire [3:0] VAR98; wire VAR6; wire [31:0] VAR74; wire [31:0] VAR93; VAR213 #( .VAR78 (10), .VAR186 (0) ) VAR157 ( .clk (VAR211), .rst (VAR226), .VAR91 (VAR149), .VAR227 (VAR165) ); VAR109 #( .VAR212 ("VAR159") ) VAR208 ( .clk (VAR211), .VAR196 (~VAR149), .VAR244 (VAR172), .VAR233 (VAR42), .VAR265 (VAR179), .VAR110 (VAR153), .VAR218 (VAR171), .VAR28 (VAR49), .VAR160 (VAR36), .VAR264 (VAR174), .VAR250 (VAR14), .VAR247 (VAR23), .VAR175 (VAR61), .VAR92 (VAR93), .VAR111 () ); VAR216 #( .VAR266 (32), .VAR51 (13), .VAR32 (1), .VAR131 (1), .VAR214 (8), .VAR33 (1), .VAR164 (1), .VAR259 (8), .VAR180 ("VAR159"), .VAR163 ("false"), .VAR229 (0), .VAR121 (0), .VAR116 (0), .VAR181 (1), .VAR235 (0) ) VAR20 ( .VAR211 (VAR211), .VAR226 (~VAR149), .VAR240 (VAR254), .VAR255 (VAR96), .VAR50 (VAR120), .VAR253 (VAR135), .VAR102 (VAR112), .VAR81 (VAR137), .VAR1 (VAR1), .VAR257 (VAR192), .VAR150 (VAR150), .VAR55 (VAR55), .VAR5 (VAR5), .VAR220 (VAR220), .VAR124 (VAR124), .VAR170 (VAR170), .VAR133 (VAR133), .VAR106 (VAR106), .VAR8 (VAR8), .VAR7 (), .VAR103 (), .VAR40 () ); VAR99 #( .VAR266 (32), .VAR51 (4), .VAR241 (4), .VAR79 (8), .VAR118 (0), .VAR29 (16) ) VAR168 ( .VAR211 (VAR211), .VAR226 (~VAR149), .VAR240 (VAR178), .VAR255 (VAR6), .VAR50 (VAR74), .VAR253 (VAR242), .VAR102 (VAR145), .VAR81 (VAR217), .VAR151 (VAR98) ); VAR44 #( .VAR266 (32), .VAR51 (13), .VAR199 (5), .VAR32 (1), .VAR131 (1), .VAR67 (32), .VAR167 (32), .VAR100 (6), .VAR201 (6), .VAR239 (6), .VAR258 (5), .VAR152 (8), .VAR117 (16), .VAR224 (2), .VAR16 (5), .VAR56 (0), .VAR236 (0), .VAR184 (1), .VAR212 ("VAR159") ) VAR72 ( .VAR211 (VAR211), .VAR226 (~VAR149), .VAR240 (VAR46), .VAR255 (VAR123), .VAR50 (VAR115), .VAR253 (VAR176), .VAR102 (VAR125), .VAR81 (VAR252), .VAR30 (VAR30), .VAR142 (VAR142), .VAR158 (VAR158), .VAR161 (VAR161), .VAR70 (VAR70), .VAR10 (VAR10), .VAR222 (VAR222), .VAR107 (VAR107), .VAR249 (VAR249), .VAR62 (VAR62), .VAR95 (VAR95), .VAR223 (VAR223), .VAR138 (VAR138), .VAR83 (VAR83), .VAR194 (VAR194), .VAR82 (VAR82), .VAR143 (VAR143), .VAR251 (VAR251) ); VAR263 #( .VAR266 (32), .VAR51 (13), .VAR199 (5), .VAR232 (1), .VAR167 (32), .VAR100 (6), .VAR201 (6), .VAR239 (6), .VAR258 (5), .VAR26 (8), .VAR117 (16), .VAR224 (2), .VAR16 (5), .VAR56 (0), .VAR140 (15) ) VAR200 ( .VAR211 (VAR211), .VAR226 (~VAR149), .VAR240 (VAR122), .VAR255 (VAR206), .VAR50 (VAR128), .VAR253 (VAR60), .VAR102 (VAR66), .VAR81 (VAR11) ); VAR2 #( .VAR197 ("VAR31"), .VAR266 (32), .VAR51 (13), .VAR45 (14), .VAR156 (1), .VAR13 (8), .VAR64 (1), .VAR164 (1), .VAR90 (1), .VAR230 (2), .VAR68 (1), .VAR34 (1), .VAR232 (1), .VAR209 (1), .VAR205 (2), .VAR188 (26), .VAR190 (0), .VAR212 ("VAR159"), .VAR147 ("VAR108.VAR19"), .VAR38 ("VAR73.VAR19"), .VAR119 (0), .VAR114 (1), .VAR182 (2) ) VAR126 ( .VAR211 (VAR211), .VAR226 (~VAR149), .VAR240 (VAR54), .VAR255 (VAR155), .VAR50 (VAR134), .VAR253 (VAR53), .VAR102 (VAR101), .VAR81 (VAR104), .VAR113 (VAR113), .VAR4 (VAR4), .VAR76 (VAR76), .VAR57 (VAR57), .VAR146 (VAR146), .VAR203 (VAR203), .VAR231 (VAR231), .VAR21 (VAR21), .VAR39 (VAR39), .VAR22 (VAR22), .VAR27 (VAR27), .VAR148 (VAR148), .VAR204 (VAR204), .VAR15 (VAR15), .VAR202 (VAR202), .VAR52 (VAR52), .VAR65 (VAR65), .VAR219 (VAR219), .VAR154 (), .VAR24 (), .VAR37 (), .VAR85 () ); VAR166 #( .VAR266 (32), .VAR51 (12), .VAR241 (4), .VAR79 (8), .VAR87 (13312), .VAR234 ("VAR86.VAR19"), .VAR237 ("VAR129") ) VAR191 ( .VAR69 (VAR211), .VAR127 (VAR149), .VAR210 (VAR165), .VAR260 (VAR193), .VAR245 (VAR63), .VAR136 (VAR139), .VAR243 (VAR17), .VAR215 (VAR195), .VAR187 (VAR144) ); VAR94 VAR189 ( .VAR238 (VAR211), .VAR47 (VAR149), .VAR172 (VAR172), .VAR171 (VAR171), .VAR42 (VAR42), .VAR179 (VAR179), .VAR153 (VAR153), .VAR49 (VAR49), .VAR36 (VAR36), .VAR174 (VAR174), .VAR61 (VAR61), .VAR14 (VAR14), .VAR23 (VAR23), .VAR130 (VAR122), .VAR162 (VAR206), .VAR59 (VAR60), .VAR221 (VAR66), .VAR48 (VAR128), .VAR105 (VAR11), .VAR89 (VAR193), .VAR43 (VAR63), .VAR80 (VAR17), .VAR248 (VAR139), .VAR58 (VAR195), .VAR35 (VAR144), .VAR262 (VAR46), .VAR75 (VAR123), .VAR173 (VAR176), .VAR18 (VAR125), .VAR3 (VAR115), .VAR12 (VAR252), .VAR77 (VAR178), .VAR141 (VAR6), .VAR71 (VAR242), .VAR183 (VAR145), .VAR169 (VAR74), .VAR41 (VAR98), .VAR246 (VAR217), .VAR185 (VAR54), .VAR97 (VAR155), .VAR225 (VAR53), .VAR228 (VAR101), .VAR207 (VAR134), .VAR9 (VAR104), .VAR84 (VAR254), .VAR267 (VAR96), .VAR198 (VAR135), .VAR256 (VAR112), .VAR261 (VAR120), .VAR132 (VAR137) ); VAR25 VAR177 ( .clk (VAR211), .reset (VAR149), .VAR88 (VAR93) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or2/sky130_fd_sc_hs__or2_2.v
1,948
module MODULE2 ( VAR4 , VAR5 , VAR2 , VAR7, VAR3 ); output VAR4 ; input VAR5 ; input VAR2 ; input VAR7; input VAR3; VAR1 VAR6 ( .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR4, VAR5, VAR2 ); output VAR4; input VAR5; input VAR2; supply1 VAR7; supply0 VAR3; VAR1 VAR6 ( .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0
camacazio/icestick_JSTK2_ORGB
source/Main_Control(CMOD).v
5,240
module MODULE1( VAR27, VAR32, VAR36, VAR1, VAR23, VAR28, VAR24, VAR9, VAR31, VAR2, VAR42, VAR21, VAR41, VAR39 ); input VAR27; input VAR32; output [4:0] VAR36; output VAR1; output VAR23; output VAR28; output VAR24; output VAR9; output VAR31; output VAR2; input VAR41; output VAR42; output VAR21; output VAR39; wire VAR38; wire VAR22; wire [39:0] VAR5; wire [9:0] VAR19; wire [9:0] VAR14; wire [39:0] VAR34; wire [23:0] VAR3; VAR18 VAR4( .VAR27(VAR27), .VAR32(VAR32), .VAR29(VAR22), .VAR1(VAR1), .VAR23(VAR23), .VAR28(VAR28), .VAR24(VAR24), .VAR9(VAR9), .VAR31(VAR31), .VAR2(VAR2), .VAR13(VAR19), .VAR43(VAR14) ); VAR8 VAR33( .VAR27(VAR27), .VAR32(VAR32), .VAR38(VAR38), .VAR10(VAR34), .VAR7(VAR41), .VAR20(VAR42), .VAR28(VAR39), .VAR11(VAR21), .VAR15(VAR5) ); VAR17 VAR26( .clk(VAR27), .VAR6(VAR5[1:0]), .VAR3(VAR3) ); VAR40 VAR16( .VAR27(VAR27), .VAR32(VAR32), .VAR12(VAR38), .VAR25(VAR22) ); VAR35 VAR30( .VAR37(VAR3), .VAR6(VAR5[1:0]), .VAR36(VAR36) ); assign VAR14 = {VAR5[25:24], VAR5[39:32]}; assign VAR19 = {VAR5[9:8], VAR5[23:16]}; assign VAR34 = {8'b10000100, VAR3, 8'b00000000}; endmodule
gpl-3.0
ZiCog/P8X32A_Emulation
P8X32A_DE0_Nano/cog_alu.v
7,000
module MODULE1 ( input [5:0] VAR19, input [31:0] VAR12, input [31:0] VAR21, input [8:0] VAR14, input VAR11, input VAR13, input VAR9, input [31:0] VAR17, input VAR8, output wr, output [31:0] VAR29, output VAR24, output VAR1 ); wire [31:0] VAR28 = { VAR21[0], VAR21[1], VAR21[2], VAR21[3], VAR21[4], VAR21[5], VAR21[6], VAR21[7], VAR21[8], VAR21[9], VAR21[10], VAR21[11], VAR21[12], VAR21[13], VAR21[14], VAR21[15], VAR21[16], VAR21[17], VAR21[18], VAR21[19], VAR21[20], VAR21[21], VAR21[22], VAR21[23], VAR21[24], VAR21[25], VAR21[26], VAR21[27], VAR21[28], VAR21[29], VAR21[30], VAR21[31] }; wire [7:0][30:0] VAR18 = { 31'b0, {31{VAR21[31]}}, {31{VAR13}}, {31{VAR13}}, 31'b0, 31'b0, VAR28[30:0], VAR21[30:0] }; wire [62:0] VAR26 = {VAR18[VAR19[2:0]], VAR19[0] ? VAR28 : VAR21} >> VAR12[4:0]; wire [31:0] VAR27 = { VAR26[0], VAR26[1], VAR26[2], VAR26[3], VAR26[4], VAR26[5], VAR26[6], VAR26[7], VAR26[8], VAR26[9], VAR26[10], VAR26[11], VAR26[12], VAR26[13], VAR26[14], VAR26[15], VAR26[16], VAR26[17], VAR26[18], VAR26[19], VAR26[20], VAR26[21], VAR26[22], VAR26[23], VAR26[24], VAR26[25], VAR26[26], VAR26[27], VAR26[28], VAR26[29], VAR26[30], VAR26[31] }; wire [31:0] VAR27 = ~&VAR19[2:1] && VAR19[0] ? VAR27 : VAR26[31:0]; wire VAR3 = ~&VAR19[2:1] && VAR19[0] ? VAR28[0] : VAR21[0]; wire [1:0] VAR23 = VAR19[2] ? {(VAR19[1] ? VAR9 : VAR13) ^ VAR19[0], 1'b0} : {VAR19[1], ~^VAR19[1:0]}; wire [3:0][31:0] VAR7 = { VAR21 ^ VAR12, VAR21 | VAR12, VAR21 & VAR12, VAR21 & ~VAR12 }; wire [3:0][31:0] VAR30 = { VAR21[31:9], VAR14, VAR12[8:0], VAR21[22:0], VAR21[31:18], VAR12[8:0], VAR21[8:0], VAR21[31:9], VAR12[8:0] }; wire [31:0] VAR20 = VAR19[3] ? VAR7[VAR23] : VAR19[2] ? VAR30[VAR19[1:0]] : VAR12; wire VAR31 = ^VAR20; wire [3:0] VAR10 = {VAR9, VAR13, VAR12[31], 1'b0}; wire VAR4 = VAR19[5:4] == 2'b10 ? VAR10[VAR19[2:1]] ^ VAR19[0] : VAR19[5:0] == 6'b110010 || VAR19[5:0] == 6'b110100 || VAR19[5:0] == 6'b110110 || VAR19[5:2] == 4'b1111 ? 1'b0 : 1'b1; wire VAR15 = VAR19[5:3] == 3'b110 && (VAR19[2:0] == 3'b001 || VAR19[1]) && VAR13 || VAR19[4:3] == 2'b11 && VAR19[1:0] == 2'b01; wire [31:0] VAR25 = VAR19[4:3] == 2'b01 ? 32'b0 : VAR21; wire [31:0] VAR22 = VAR19[4:0] == 5'b11001 || VAR19[4:1] == 4'b1101 ? 32'hFFFFFFFF : VAR4 ? ~VAR12 : VAR12; wire [34:0] VAR2 = {1'b0, VAR25[31], 1'b1, VAR25[30:0], 1'b1} + {1'b0, VAR22[31], 1'b0, VAR22[30:0], VAR15 ^ VAR4}; wire [31:0] addr = {VAR2[33], VAR2[31:1]}; wire VAR6 = VAR2[34]; wire VAR16 = !VAR2[32]; wire VAR5 = VAR6 ^ VAR25[31] ^ VAR22[31]; wire VAR32 = VAR19[5:0] == 6'b111000 ? VAR6 : VAR19[5:3] == 3'b101 ? VAR12[31] : VAR19[5] && VAR19[3:2] == 2'b01 ? VAR6 ^ VAR16 : VAR19[4:1] == 4'b1000 ? VAR5 : VAR6 ^ VAR4; assign wr = VAR19[5:2] == 4'b0100 ? VAR19[0] ^ (VAR19[1] ? !VAR6 : VAR5) : VAR19[5:0] == 6'b111000 ? VAR6 : 1'b1; assign VAR29 = VAR19[5] ? addr : VAR19[4] ? VAR20 : VAR19[3] ? VAR27 : VAR11 || ~&VAR14[8:4] ? VAR17 : 32'b0; assign VAR24 = VAR19[5:3] == 3'b000 ? VAR8 : VAR19[5:3] == 3'b001 ? VAR3 : VAR19[5:3] == 3'b011 ? VAR31 : VAR32; assign VAR1 = ~|VAR29 && (VAR9 || !(VAR19[5:3] == 3'b110 && (VAR19[2:0] == 3'b001 || VAR19[1]))); endmodule
gpl-3.0
DProvinciani/Arquitectura_TPF
Codigo_fuente/2-instruction_decode/registers_memory.v
3,567
module MODULE1 parameter VAR20=32, VAR1=5 ) ( input wire clk, input wire reset, input wire VAR41, input wire [VAR1-1:0] VAR10, VAR39, VAR42, input wire [VAR20-1:0] VAR17, output wire [VAR20-1:0] VAR23, VAR36, output wire [VAR20-1:0] VAR16, output wire [VAR20-1:0] VAR34, output wire [VAR20-1:0] VAR27, output wire [VAR20-1:0] VAR9, output wire [VAR20-1:0] VAR21, output wire [VAR20-1:0] VAR22, output wire [VAR20-1:0] VAR28, output wire [VAR20-1:0] VAR12, output wire [VAR20-1:0] VAR26, output wire [VAR20-1:0] VAR25, output wire [VAR20-1:0] VAR33, output wire [VAR20-1:0] VAR14, output wire [VAR20-1:0] VAR24, output wire [VAR20-1:0] VAR19, output wire [VAR20-1:0] VAR32, output wire [VAR20-1:0] VAR40, output wire [VAR20-1:0] VAR6, output wire [VAR20-1:0] VAR8, output wire [VAR20-1:0] VAR15, output wire [VAR20-1:0] VAR37, output wire [VAR20-1:0] VAR4, output wire [VAR20-1:0] VAR7, output wire [VAR20-1:0] VAR35, output wire [VAR20-1:0] VAR30, output wire [VAR20-1:0] VAR29, output wire [VAR20-1:0] VAR43, output wire [VAR20-1:0] VAR13, output wire [VAR20-1:0] VAR2, output wire [VAR20-1:0] VAR5, output wire [VAR20-1:0] VAR38, output wire [VAR20-1:0] VAR3, output wire [VAR20-1:0] VAR11 ); reg [VAR20-1:0] VAR18 [0:31]; integer VAR31; always @(negedge clk,posedge reset) begin if (reset) begin for (VAR31=0;VAR31<32;VAR31=VAR31+1) begin VAR18[VAR31] <= 0; end end else if (VAR41) VAR18[VAR10] <= VAR17; end assign VAR23 = VAR18[VAR39]; assign VAR36 = VAR18[VAR42]; assign VAR16 = VAR18[0]; assign VAR34 = VAR18[1]; assign VAR27 = VAR18[2]; assign VAR9 = VAR18[3]; assign VAR21 = VAR18[4]; assign VAR22 = VAR18[5]; assign VAR28 = VAR18[6]; assign VAR12 = VAR18[7]; assign VAR26 = VAR18[8]; assign VAR25 = VAR18[9]; assign VAR33 = VAR18[10]; assign VAR14 = VAR18[11]; assign VAR24 = VAR18[12]; assign VAR19 = VAR18[13]; assign VAR32 = VAR18[13]; assign VAR40 = VAR18[15]; assign VAR6 = VAR18[16]; assign VAR8 = VAR18[17]; assign VAR15 = VAR18[18]; assign VAR37 = VAR18[19]; assign VAR4 = VAR18[20]; assign VAR7 = VAR18[21]; assign VAR35 = VAR18[22]; assign VAR30 = VAR18[23]; assign VAR29 = VAR18[24]; assign VAR43 = VAR18[25]; assign VAR13 = VAR18[26]; assign VAR2 = VAR18[27]; assign VAR5 = VAR18[28]; assign VAR38 = VAR18[29]; assign VAR3 = VAR18[30]; assign VAR11 = VAR18[31]; endmodule
gpl-3.0
ShepardSiegel/ocpi
rtl/mkTLPCM.v
6,315
module MODULE1(VAR30, VAR11, VAR52, VAR54, VAR53, VAR56, VAR18, VAR39, VAR13, VAR1, VAR32, VAR4, VAR46, VAR16, VAR48, VAR44, VAR14, VAR2, VAR31, VAR41, VAR40); input [13 : 0] VAR30; input VAR11; input VAR52; input VAR54; output [152 : 0] VAR53; output VAR56; input [152 : 0] VAR18; input VAR39; output VAR13; input [152 : 0] VAR1; input VAR32; output VAR4; input VAR46; output [152 : 0] VAR16; output VAR48; input [152 : 0] VAR44; input VAR14; output VAR2; input VAR31; output [152 : 0] VAR41; output VAR40; wire [152 : 0] VAR53, VAR16, VAR41; wire VAR56, VAR13, VAR4, VAR48, VAR2, VAR40; wire [152 : 0] VAR19, VAR15, VAR29; wire VAR28, VAR33, VAR23, VAR17, VAR24, VAR22; wire [152 : 0] VAR43, VAR59, VAR9; wire VAR51, VAR38, VAR50, VAR7, VAR35, VAR37; reg [1 : 0] VAR36; wire [13 : 0] VAR45; assign VAR53 = VAR9 ; assign VAR56 = VAR37 ; assign VAR13 = VAR17 ; assign VAR4 = VAR7 ; assign VAR16 = VAR15 ; assign VAR48 = VAR24 ; assign VAR2 = VAR35 ; assign VAR41 = VAR29 ; assign VAR40 = VAR22 ; VAR60 VAR20(.VAR30(VAR45), .VAR11(VAR11), .VAR52(VAR52), .VAR58(VAR19), .VAR34(VAR28), .VAR3(VAR33), .VAR10(VAR23), .VAR5(VAR17), .VAR57(VAR15), .VAR47(VAR24), .VAR27(VAR29), .VAR8(VAR22)); VAR61 VAR25(.VAR11(VAR11), .VAR52(VAR52), .VAR63(VAR43), .VAR62(VAR59), .VAR49(VAR51), .VAR6(VAR38), .VAR42(VAR50), .VAR12(VAR7), .VAR26(VAR35), .VAR55(VAR9), .VAR21(VAR37)); assign VAR19 = VAR18 ; assign VAR28 = VAR39 ; assign VAR33 = VAR46 ; assign VAR23 = VAR31 ; assign VAR43 = VAR1 ; assign VAR59 = VAR44 ; assign VAR51 = VAR32 ; assign VAR38 = VAR14 ; assign VAR50 = VAR54 ; assign VAR45 = { VAR36, VAR30[11:0] } ; always@(VAR30) begin case (VAR30[13:12]) 2'd0, 2'd1, 2'd2: VAR36 = VAR30[13:12]; 2'd3: VAR36 = 2'd3; endcase end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlxbn/sky130_fd_sc_ls__dlxbn_2.v
2,312
module MODULE1 ( VAR3 , VAR10 , VAR9 , VAR2, VAR7 , VAR5 , VAR6 , VAR8 ); output VAR3 ; output VAR10 ; input VAR9 ; input VAR2; input VAR7 ; input VAR5 ; input VAR6 ; input VAR8 ; VAR4 VAR1 ( .VAR3(VAR3), .VAR10(VAR10), .VAR9(VAR9), .VAR2(VAR2), .VAR7(VAR7), .VAR5(VAR5), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR3 , VAR10 , VAR9 , VAR2 ); output VAR3 ; output VAR10 ; input VAR9 ; input VAR2; supply1 VAR7; supply0 VAR5; supply1 VAR6 ; supply0 VAR8 ; VAR4 VAR1 ( .VAR3(VAR3), .VAR10(VAR10), .VAR9(VAR9), .VAR2(VAR2) ); endmodule
apache-2.0
brabect1/risc8
hdl/verilog/cpu.v
28,041
module MODULE1 ( clk, reset, VAR145, VAR23, VAR78, VAR138, VAR108, VAR164, VAR171, VAR179, VAR109, VAR13, VAR41, VAR56, VAR121, VAR154 ); input clk; input reset; output [10:0] VAR145; input [11:0] VAR23; input [7:0] VAR78; output [7:0] VAR138; output [7:0] VAR108; input [7:0] VAR164; output [7:0] VAR171; output [6:0] VAR179; output VAR109; output VAR13; output [7:0] VAR41; output [10:0] VAR56; output [11:0] VAR121; output [7:0] VAR154; reg [10:0] VAR145; reg [7:0] VAR138; reg [7:0] VAR108; reg [7:0] VAR171; reg [6:0] VAR179; reg VAR109; reg VAR13; parameter [10:0] VAR131 = 11'h7FF; parameter [2:0] VAR69 = 3'h0, VAR84 = 3'h1, VAR45 = 3'h2, VAR74 = 3'h3, VAR35 = 3'h4, VAR50 = 3'h5, VAR104 = 3'h6, VAR26 = 3'h7; reg [11:0] VAR71; reg [10:0] VAR30, VAR153; reg [ 1:0] VAR174; reg [10:0] VAR77; reg [10:0] VAR87; reg [ 7:0] VAR142; reg [ 7:0] VAR75; reg [ 7:0] VAR122; reg [ 7:0] VAR148; reg [ 7:0] VAR49; reg [7:0] VAR172; reg [7:0] VAR86; reg [7:0] VAR175; reg [7:0] VAR100; reg [7:0] VAR88; reg [7:0] VAR43; reg [7:0] VAR4; reg VAR18; wire [ 7:0] VAR55; wire [ 4:0] VAR160; wire VAR31; wire [ 2:0] VAR91; reg [6:0] VAR29; reg VAR94; reg VAR96; reg VAR163; wire [1:0] VAR125; wire [1:0] VAR92; wire [3:0] VAR124; wire VAR176; wire VAR97; wire VAR120; wire VAR21; wire VAR80; wire VAR106; reg [7:0] VAR114; reg [7:0] VAR68; wire VAR54; reg [7:0] VAR7; wire [7:0] VAR165; reg VAR89; reg VAR63; reg [7:0] VAR61; reg [7:0] VAR129; reg [7:0] VAR107; reg [7:0] VAR32; wire [7:0] VAR15; wire VAR58; wire VAR37; parameter [1:0] VAR9 = 2'b00, VAR157 = 2'b01, VAR139 = 2'b10, VAR140 = 2'b11; parameter [1:0] VAR180 = 2'b00, VAR39 = 2'b01, VAR27 = 2'b10, VAR52 = 2'b11; parameter [3:0] VAR146 = 4'b0000; parameter [3:0] VAR10 = 4'b1000; parameter [3:0] VAR135 = 4'b0001; parameter [3:0] VAR57 = 4'b0010; parameter [3:0] VAR119 = 4'b0011; parameter [3:0] VAR82 = 4'b0100; parameter [3:0] VAR65 = 4'b0101; parameter [3:0] VAR134 = 4'b0110; parameter [3:0] VAR76 = 4'b0111; reg [1:0] VAR141; wire [1:0] VAR151; wire [1:0] VAR105; reg VAR127; reg VAR128; parameter [1:0] VAR40 = 2'b10; always @(posedge clk) begin if (reset) begin VAR141 <= 2'b00; end else begin VAR141 <= VAR105; end end assign VAR151 = VAR141 + 2'b01; assign VAR105 = VAR151 == VAR40 ? 2'b00 : VAR151; always @(posedge clk) begin if (reset) begin VAR127 <= 1'b1; VAR128 <= 1'b0; end else begin VAR127 <= VAR151 == (VAR40 ) ? 1'b1 : 1'b0; VAR128 <= VAR151 == (VAR40 - 2'b01) ? 1'b1 : 1'b0; end end VAR1 VAR1 ( .clk (clk), .reset (reset), .VAR34 (VAR89), .VAR62 (VAR63), .VAR72 (VAR29[6:5]), .VAR25 (VAR29[4:0]), .din (VAR7), .dout (VAR165) ); alu alu ( .VAR51 (VAR124), .VAR3 (VAR107), .VAR91 (VAR32), .VAR133 (VAR15), .VAR22 (VAR75[0]), .VAR24 (VAR58), .VAR81 (VAR37) ); wire VAR85; wire VAR44; wire VAR166; wire VAR46; VAR169 VAR169 ( .VAR71 (VAR71), .VAR125 (VAR125), .VAR92 (VAR92), .VAR124 (VAR124), .VAR106 (VAR85), .VAR80 (VAR44), .VAR176 (VAR166), .VAR97 (VAR46), .VAR54 (VAR54), .VAR172 (VAR120), .VAR95 (VAR21) ); assign VAR106 = VAR85; assign VAR80 = VAR44; assign VAR176 = VAR166; assign VAR97 = VAR46; assign VAR41 = VAR142; assign VAR56 = VAR30; assign VAR121 = VAR71; assign VAR154 = VAR75; always @(VAR160 or VAR122 or VAR75) begin if (VAR160 == VAR69) begin VAR29 = VAR122[6:0]; end else begin VAR29 = {VAR75[6:5], VAR160}; end end always @(VAR96 or VAR80 or VAR128) VAR89 = VAR128 & VAR96 & VAR80; always @(VAR96 or VAR125 or VAR92 or VAR127) VAR63 = VAR127 & VAR96 & ((VAR125 == VAR157) | (VAR92 == VAR39)); always @(VAR29) begin casex (VAR29) 7'VAR42: begin VAR94 = 1'b1; VAR96 = 1'b0; VAR163 = 1'b0; end 7'VAR103: begin VAR94 = 1'b0; VAR96 = 1'b0; VAR163 = 1'b1; end default: begin VAR94 = 1'b0; VAR96 = 1'b1; VAR163 = 1'b0; end endcase end always @(VAR61) VAR171 = VAR61; always @(VAR29) VAR179 = VAR29; always @(VAR163 or VAR125 or VAR92 or VAR127) VAR109 = VAR127 & VAR163 & ((VAR125 == VAR157) | (VAR92 == VAR39)); always @(VAR163 or VAR80 or VAR128) VAR13 = VAR128 & VAR163 & VAR80; always @(VAR160 or VAR122 or VAR148 or VAR30 or VAR75 or VAR88 or VAR43 or VAR4 or VAR165 or VAR164 or VAR94 or VAR96 or VAR163) begin if (VAR94) begin case (VAR160[2:0]) 3'h0: VAR129 = VAR122; 3'h1: VAR129 = VAR148; 3'h2: VAR129 = VAR30[7:0]; 3'h3: VAR129 = VAR75; 3'h4: VAR129 = VAR122; 3'h5: VAR129 = VAR88; 3'h6: VAR129 = VAR43; 3'h7: VAR129 = VAR4; endcase end else begin if (VAR163) begin VAR129 = VAR164; end else begin if (VAR96) begin VAR129 = VAR165; end else begin VAR129 = 8'h00; end end end end always @(VAR15) VAR61 = VAR15; always @(VAR61) VAR7 = VAR61; always @(VAR30) VAR145 = VAR30; assign VAR55 = VAR71[7:0]; assign VAR160 = VAR71[4:0]; assign VAR31 = VAR71[5]; assign VAR91 = VAR71[7:5]; always @(VAR91) begin case (VAR91) 3'b000: VAR68 = 8'b00000001; 3'b001: VAR68 = 8'b00000010; 3'b010: VAR68 = 8'b00000100; 3'b011: VAR68 = 8'b00001000; 3'b100: VAR68 = 8'b00010000; 3'b101: VAR68 = 8'b00100000; 3'b110: VAR68 = 8'b01000000; 3'b111: VAR68 = 8'b10000000; endcase end always @(VAR68 or VAR54) VAR114 = (VAR54) ? ~VAR68 : VAR68; always @(posedge clk) begin if (reset) begin VAR71 <= 12'h000; end else if (VAR128) begin if (VAR18 == 1'b1) begin VAR71 <= 12'b000000000000; end else begin VAR71 <= VAR23; end end end always @(VAR71 or VAR37) begin casex ({VAR71, VAR37}) 13'b10???????????: VAR18 = 1'b1; 13'b0110????????1: VAR18 = 1'b1; 13'b0111????????0: VAR18 = 1'b1; 13'b001011??????1: VAR18 = 1'b1; 13'b001111??????1: VAR18 = 1'b1; default: VAR18 = 1'b0; endcase end always @(VAR125 or VAR142 or VAR129 or VAR55 or VAR114) begin case (VAR125) 2'b00: VAR107 = VAR142; 2'b01: VAR107 = VAR129; 2'b10: VAR107 = VAR55; 2'b11: VAR107 = VAR114; endcase end always @(VAR92 or VAR142 or VAR129 or VAR55) begin case (VAR92) 2'b00: VAR32 = VAR142; 2'b01: VAR32 = VAR129; 2'b10: VAR32 = VAR55; 2'b11: VAR32 = 8'b00000001; endcase end always @(posedge clk) begin if (reset) begin VAR142 <= 8'h00; end else if (VAR128) begin if (VAR106) begin VAR142 <= VAR61; end end end always @(posedge clk) begin if (reset) begin VAR148 <= 8'h00; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR84)) begin VAR148 <= VAR61; end else begin if (~VAR172[5]) begin casex (VAR172[3:0]) 4'VAR64: VAR148 <= VAR148 + 1; 4'b0000: if (~|(VAR49 & 8'b00000001)) VAR148 <= VAR148 + 1; 4'b0001: if (~|(VAR49 & 8'b00000011)) VAR148 <= VAR148 + 1; 4'b0010: if (~|(VAR49 & 8'b00000111)) VAR148 <= VAR148 + 1; 4'b0011: if (~|(VAR49 & 8'b00001111)) VAR148 <= VAR148 + 1; 4'b0100: if (~|(VAR49 & 8'b00011111)) VAR148 <= VAR148 + 1; 4'b0101: if (~|(VAR49 & 8'b00111111)) VAR148 <= VAR148 + 1; 4'b0110: if (~|(VAR49 & 8'b01111111)) VAR148 <= VAR148 + 1; 4'b0111: if (~|(VAR49 & 8'b11111111)) VAR148 <= VAR148 + 1; endcase end end end end always @(posedge clk) begin if (reset) begin VAR49 <= 8'h00; end else if (VAR128) begin if (~VAR172[5]) begin VAR49 <= VAR49 + 1; end end end parameter [7:0] VAR83 = 8'h18; always @(posedge clk) begin if (reset) begin VAR75 <= VAR83; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR74)) begin VAR75 <= VAR61; end else begin VAR75 <= { VAR75[7], VAR75[6], VAR75[5], VAR75[4], VAR75[3], (VAR176) ? VAR37 : VAR75[2], VAR75[1], (VAR97) ? VAR58 : VAR75[0] }; end end end always @(posedge clk) begin if (reset) begin VAR122 <= 8'h00; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR35)) begin VAR122 <= VAR61; end end end parameter [7:0] VAR130 = 8'h3F; always @(posedge clk) begin if (reset) begin VAR172 <= VAR130; end else if (VAR128) begin if (VAR120) VAR172 <= VAR61; end end always @(posedge clk) if (reset) VAR88 <= 8'h00; else if (VAR127) VAR88 <= VAR78; always @(posedge clk) begin if (reset) begin VAR43 <= 8'h00; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR104) & ~VAR21) begin VAR43 <= VAR61; end end end always @(VAR43) VAR138 = VAR43; always @(posedge clk) begin if (reset) begin VAR4 <= 8'h00; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR26) & ~VAR21) begin VAR4 <= VAR61; end end end always @(VAR4) VAR108 = VAR4; always @(posedge clk) begin if (reset) begin VAR86 <= 8'hff; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR50) & VAR21) begin VAR86 <= VAR61; end end end always @(posedge clk) begin if (reset) begin VAR175 <= 8'hff; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR104) & VAR21) begin VAR175 <= VAR61; end end end always @(posedge clk) begin if (reset) begin VAR100 <= 8'hff; end else if (VAR128) begin if (VAR80 & VAR94 & (VAR29[2:0] == VAR26) & VAR21) begin VAR100 <= VAR61; end end end always @(posedge clk) if (reset) VAR30 <= VAR131; else if (VAR128) VAR30 <= VAR153; always @(VAR71 or VAR174 or VAR75 or VAR77 or VAR87 or VAR30 or VAR61) begin casex ({VAR71, VAR174}) 14'b101???????????: VAR153 = {VAR75[6:5], VAR71[8:0]}; 14'b1001??????????: VAR153 = {VAR75[6:5], 1'b0, VAR71[7:0]}; 14'b1000????????00: VAR153 = VAR77; 14'b1000????????01: VAR153 = VAR77; 14'b1000????????10: VAR153 = VAR87; 14'b1000????????11: VAR153 = VAR87; 14'b00?000100010??: VAR153 = {VAR30[10:8], VAR61}; default: VAR153 = VAR30 + 1; endcase end always @(posedge clk) begin if (reset) begin VAR77 <= 9'h000; end else if (VAR128) begin if (VAR71[11:8] == 4'b1001) begin case (VAR174) 2'b00: begin VAR77 <= VAR30; end 2'b01: begin VAR87 <= VAR30; end 2'b10: begin end 2'b11: begin end endcase end end end always @(posedge clk) begin if (reset == 1'b1) begin VAR174 <= 2'b00; end else if (VAR128) begin casex ({VAR71, VAR174}) 14'b1001????????00: VAR174 <= 2'b01; 14'b1001????????01: VAR174 <= 2'b10; 14'b1001????????10: VAR174 <= 2'b10; 14'b1001????????11: VAR174 <= 2'b00; 14'b1000????????00: VAR174 <= 2'b00; 14'b1000????????01: VAR174 <= 2'b00; 14'b1000????????10: VAR174 <= 2'b01; 14'b1000????????11: VAR174 <= 2'b10; default: VAR174 <= VAR174; endcase end end reg [8*8-1:0] VAR8; always @(VAR71) begin casex (VAR71) 12'b000000000000: VAR8 = "VAR16 "; 12'VAR173: VAR8 = "VAR70 "; 12'b000001000000: VAR8 = "VAR28 "; 12'VAR115: VAR8 = "VAR111 "; 12'VAR147: VAR8 = "VAR178 "; 12'VAR48: VAR8 = "VAR73 "; 12'VAR6: VAR8 = "VAR150 "; 12'VAR144: VAR8 = "VAR113 "; 12'VAR152: VAR8 = "VAR20 "; 12'VAR155: VAR8 = "VAR5 "; 12'VAR137: VAR8 = "VAR33 "; 12'VAR19: VAR8 = "VAR98 "; 12'VAR168: VAR8 = "VAR17 "; 12'VAR101: VAR8 = "VAR93 "; 12'VAR66: VAR8 = "VAR53 "; 12'VAR159: VAR8 = "VAR12 "; 12'VAR36: VAR8 = "VAR2 "; 12'VAR90: VAR8 = "VAR170 "; 12'VAR110: VAR8 = "VAR167 "; 12'VAR149: VAR8 = "VAR112 "; 12'VAR132: VAR8 = "VAR11 "; 12'VAR117: VAR8 = "VAR67 "; 12'b000000000010: VAR8 = "VAR158 "; 12'b000000000011: VAR8 = "VAR123 "; 12'b000000000100: VAR8 = "VAR156 "; 12'b000000000101: VAR8 = "VAR99 "; 12'b000000000110: VAR8 = "VAR99 "; 12'b000000000111: VAR8 = "VAR99 "; 12'VAR102: VAR8 = "VAR59 "; 12'VAR79: VAR8 = "VAR143 "; 12'VAR177: VAR8 = "VAR126 "; 12'VAR162: VAR8 = "VAR47 "; 12'VAR118: VAR8 = "VAR60 "; 12'VAR38: VAR8 = "VAR14 "; 12'VAR116: VAR8 = "VAR136 "; default: VAR8 = "-VAR161-"; endcase end endmodule
gpl-3.0
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_jtaggpioport.v
3,585
module MODULE1( input VAR32, input reset, output VAR9, output VAR10, output VAR18, input VAR20, output VAR29, input VAR8, input VAR12, output VAR11, output VAR26, output VAR3, output VAR30, output VAR36, input VAR25, output VAR19, output VAR1, output VAR21, output VAR17, output VAR15, input VAR34, output VAR27, output VAR33, output VAR6, output VAR35, output VAR28, input VAR14, output VAR13, output VAR7, output VAR37, output VAR16, output VAR4, input VAR31, output VAR38, output VAR22, output VAR2, output VAR23, output VAR24 ); wire VAR5; wire VAR39; assign VAR9 = VAR5; assign VAR10 = VAR25; assign VAR18 = VAR34; assign VAR29 = VAR39; assign VAR11 = 1'h0; assign VAR26 = 1'h0; assign VAR3 = 1'h1; assign VAR30 = 1'h1; assign VAR36 = 1'h0; assign VAR19 = 1'h0; assign VAR1 = 1'h0; assign VAR21 = 1'h1; assign VAR17 = 1'h1; assign VAR15 = 1'h0; assign VAR27 = 1'h0; assign VAR33 = 1'h0; assign VAR6 = 1'h1; assign VAR35 = 1'h1; assign VAR28 = 1'h0; assign VAR13 = VAR20; assign VAR7 = VAR8; assign VAR37 = 1'h0; assign VAR16 = 1'h0; assign VAR4 = 1'h0; assign VAR38 = 1'h0; assign VAR22 = 1'h0; assign VAR2 = 1'h1; assign VAR23 = 1'h1; assign VAR24 = 1'h0; assign VAR5 = (VAR12); assign VAR39 = ~ VAR31; endmodule
apache-2.0
rohit21122012/CPU
ALU/Logic/LSHIFTER/LSHIFTER_32bit.v
2,920
module MODULE1(VAR13, VAR35, VAR71); input [31:0] VAR35; input VAR71; output [31:0] VAR13; wire VAR39; wire [61:0]VAR63; not VAR50(VAR39,VAR71); and VAR61 (VAR63[0], VAR35[0],VAR71); and VAR59 (VAR13[0], VAR35[1],VAR39); and VAR72 (VAR63[2], VAR35[1],VAR71); and VAR47 (VAR63[3], VAR35[2],VAR39); and VAR14 (VAR63[4], VAR35[2],VAR71); and VAR40 (VAR63[5], VAR35[3],VAR39); and VAR45 (VAR63[6], VAR35[3],VAR71); and VAR60 (VAR63[7], VAR35[4],VAR39); and VAR6 (VAR63[8], VAR35[4],VAR71); and VAR16 (VAR63[9], VAR35[5],VAR39); and VAR24(VAR63[10], VAR35[5],VAR71); and VAR52(VAR63[11], VAR35[6],VAR39); and VAR52(VAR63[12], VAR35[6],VAR71); and VAR2(VAR63[13], VAR35[7],VAR39); and VAR5 (VAR63[14], VAR35[7],VAR71); and VAR55(VAR63[15], VAR35[8],VAR39); and VAR25(VAR63[16], VAR35[8],VAR71); and VAR64(VAR63[17], VAR35[9],VAR39); and VAR10(VAR63[18], VAR35[9],VAR71); and VAR73(VAR63[19], VAR35[10],VAR39); and VAR33(VAR63[20], VAR35[10],VAR71); and VAR65(VAR63[21], VAR35[11],VAR39); and VAR21(VAR63[22], VAR35[11],VAR71); and VAR8(VAR63[23], VAR35[12],VAR39); and VAR4(VAR63[24], VAR35[12],VAR71); and VAR17(VAR63[25], VAR35[13],VAR39); and VAR38(VAR63[26], VAR35[13],VAR71); and VAR32(VAR63[27], VAR35[14],VAR39); and VAR43(VAR63[28], VAR35[14],VAR71); and VAR23(VAR63[29], VAR35[15],VAR39); and VAR57(VAR63[30], VAR35[15],VAR71); and VAR66(VAR63[31], VAR35[16],VAR39); and VAR37(VAR63[32], VAR35[16],VAR71); and VAR62(VAR63[33], VAR35[17],VAR39); and VAR18(VAR63[34], VAR35[17],VAR71); and VAR20(VAR63[35], VAR35[18],VAR39); and VAR46(VAR63[36], VAR35[18],VAR71); and VAR70(VAR63[37], VAR35[19],VAR39); and VAR58(VAR63[38], VAR35[19],VAR71); and VAR44(VAR63[39], VAR35[20],VAR39); and VAR27(VAR63[40], VAR35[20],VAR71); and VAR29(VAR63[41], VAR35[21],VAR39); and VAR7(VAR63[42], VAR35[21],VAR71); and VAR49(VAR63[43], VAR35[22],VAR39); and VAR36(VAR63[44], VAR35[22],VAR71); and VAR28(VAR63[45], VAR35[23],VAR39); and VAR15(VAR63[46], VAR35[23],VAR71); and VAR1(VAR63[47], VAR35[24],VAR39); and VAR69(VAR63[48], VAR35[24],VAR71); and VAR31(VAR63[49], VAR35[25],VAR39); and VAR51(VAR63[50], VAR35[25],VAR71); and VAR19(VAR63[51], VAR35[26],VAR39); and VAR12(VAR63[52], VAR35[26],VAR71); and VAR11(VAR63[53], VAR35[27],VAR39); and VAR30(VAR63[54], VAR35[27],VAR71); and VAR67(VAR63[55], VAR35[28],VAR39); and VAR42(VAR63[56], VAR35[28],VAR71); and VAR34(VAR63[57], VAR35[29],VAR39); and VAR56(VAR63[58], VAR35[29],VAR71); and VAR54(VAR63[59], VAR35[30],VAR39); and VAR3(VAR13[31], VAR35[30],VAR71); and VAR53(VAR63[61], VAR35[31],VAR39); or VAR26 (VAR13[1], VAR63[0], VAR63[3]); or VAR68 (VAR13[2], VAR63[2], VAR63[5]); or VAR22 (VAR13[3], VAR63[4], VAR63[7]); or VAR9 (VAR13[4], VAR63[6], VAR63[9]); or VAR41 (VAR13[5], VAR63[8], VAR63[11]); or VAR48 (VAR13[6], VAR63[10], VAR63[13]); or VAR26 (VAR13[7], VAR63[12], VAR63[15]); or VAR68 (VAR13[8], VAR63[14], VAR63[17]); or VAR22 (VAR13[9], VAR63[16], VAR63[19]); or VAR9 (VAR13[10], VAR63[18], VAR63[21]); or VAR41 (VAR13[11], VAR63[20], VAR63[23]); or VAR48 (VAR13[12], VAR63[22], VAR63[25]); or VAR26 (VAR13[13], VAR63[24], VAR63[27]); or VAR68 (VAR13[14], VAR63[26], VAR63[29]); or VAR22 (VAR13[15], VAR63[28], VAR63[31]); or VAR9 (VAR13[16], VAR63[30], VAR63[33]); or VAR41 (VAR13[17], VAR63[32], VAR63[35]); or VAR48 (VAR13[18], VAR63[34], VAR63[37]); or VAR26 (VAR13[19], VAR63[36], VAR63[39]); or VAR68 (VAR13[20], VAR63[38], VAR63[41]); or VAR22 (VAR13[21], VAR63[40], VAR63[43]); or VAR9 (VAR13[22], VAR63[42], VAR63[45]); or VAR41 (VAR13[23], VAR63[44], VAR63[47]); or VAR48 (VAR13[24], VAR63[46], VAR63[49]); or VAR26 (VAR13[25], VAR63[48], VAR63[51]); or VAR68 (VAR13[26], VAR63[50], VAR63[53]); or VAR22 (VAR13[27], VAR63[52], VAR63[55]); or VAR9 (VAR13[28], VAR63[54], VAR63[57]); or VAR41 (VAR13[29], VAR63[56], VAR63[59]); or VAR48 (VAR13[30], VAR63[58], VAR63[61]); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkbuf/sky130_fd_sc_hd__clkbuf_16.v
2,040
module MODULE2 ( VAR3 , VAR4 , VAR1, VAR6, VAR5 , VAR8 ); output VAR3 ; input VAR4 ; input VAR1; input VAR6; input VAR5 ; input VAR8 ; VAR7 VAR2 ( .VAR3(VAR3), .VAR4(VAR4), .VAR1(VAR1), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR3, VAR4 ); output VAR3; input VAR4; supply1 VAR1; supply0 VAR6; supply1 VAR5 ; supply0 VAR8 ; VAR7 VAR2 ( .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_HLS_accel_0_0/hdl/verilog/HLS_accel_fcmp_32ns_32ns_1_1.v
2,474
module MODULE1 VAR35 = 4, VAR19 = 1, VAR12 = 32, VAR8 = 32, VAR23 = 1 )( input wire [VAR12-1:0] VAR31, input wire [VAR8-1:0] VAR41, input wire [4:0] VAR32, output wire [VAR23-1:0] dout ); localparam [4:0] VAR24 = 5'b00001, VAR14 = 5'b00010, VAR36 = 5'b00011, VAR28 = 5'b00100, VAR1 = 5'b00101, VAR27 = 5'b00110, VAR6 = 5'b01000; localparam [7:0] VAR33 = 8'b00010100, VAR7 = 8'b00100100, VAR39 = 8'b00110100, VAR9 = 8'b00001100, VAR2 = 8'b00011100, VAR22 = 8'b00101100, VAR16 = 8'b00000100; wire VAR37; wire [31:0] VAR21; wire VAR13; wire [31:0] VAR34; wire VAR30; reg [7:0] VAR4; wire VAR40; wire [7:0] VAR18; VAR5 VAR10 ( .VAR17 ( VAR37 ), .VAR3 ( VAR21 ), .VAR15 ( VAR13 ), .VAR20 ( VAR34 ), .VAR38 ( VAR30 ), .VAR29 ( VAR4 ), .VAR25 ( VAR40 ), .VAR11 ( VAR18 ) ); assign VAR37 = 1'b1; assign VAR21 = VAR31==='VAR26 ? 'b0 : VAR31; assign VAR13 = 1'b1; assign VAR34 = VAR41==='VAR26 ? 'b0 : VAR41; assign VAR30 = 1'b1; assign dout = VAR18[0]; always @(*) begin case (VAR32) VAR24 : VAR4 = VAR33; VAR14 : VAR4 = VAR7; VAR36 : VAR4 = VAR39; VAR28 : VAR4 = VAR9; VAR1 : VAR4 = VAR2; VAR27 : VAR4 = VAR22; VAR6 : VAR4 = VAR16; default : VAR4 = VAR33; endcase end endmodule
mit
freecores/sha3
high_throughput_core/rtl/padder1.v
1,164
module MODULE1(in, VAR1, out); input [63:0] in; input [2:0] VAR1; output reg [63:0] out; always @ (*) case (VAR1) 0: out = 64'h0100000000000000; 1: out = {in[63:56], 56'h01000000000000}; 2: out = {in[63:48], 48'h010000000000}; 3: out = {in[63:40], 40'h0100000000}; 4: out = {in[63:32], 32'h01000000}; 5: out = {in[63:24], 24'h010000}; 6: out = {in[63:16], 16'h0100}; 7: out = {in[63:8], 8'h01}; endcase endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/utils/generic_regs/src/generic_cntr_regs.v
12,168
module MODULE1 parameter VAR58 = 2, parameter VAR32 = 0, parameter VAR20 = 5, parameter VAR40 = 8, parameter VAR5 = 0, parameter VAR8 = 1, parameter VAR47 = 8, parameter VAR16 = VAR64, parameter VAR3 = 0, parameter VAR62 = VAR5 + VAR40, parameter VAR21 = VAR5 * VAR8, parameter VAR17 = VAR62 * VAR8 ) ( input VAR66, input VAR4, input VAR23, input [VAR59-1:0] VAR61, input [VAR64-1:0] VAR1, input [VAR58-1:0] VAR54, output reg VAR65, output reg VAR53, output reg VAR24, output reg [VAR59-1:0] VAR12, output reg [VAR64-1:0] VAR19, output reg [VAR58-1:0] VAR63, input [VAR17 - 1:VAR21] VAR27, input [VAR62-1:VAR5] VAR31, input clk, input reset ); localparam VAR44 = VAR40 + 1; localparam VAR18 = VAR50(VAR44, VAR47); localparam VAR42 = VAR41(VAR18); localparam VAR43 = VAR8 + VAR42 + 1; localparam VAR36 = 0, VAR49 = 1; reg [VAR16-1:0] VAR56 [VAR5:VAR62-1]; wire [VAR20-1:0] addr, VAR26; wire [VAR59-VAR20-1:0] VAR29; reg [VAR20-1:0] VAR28; wire [VAR20-1:0] VAR60; wire [VAR20-1:0] VAR57; reg [VAR20-1:0] VAR35; wire [VAR20-1:0] VAR11; reg [VAR43-1:0] VAR45[VAR5:VAR62-1]; wire [VAR43-1:0] VAR51; wire [VAR43-1:0] VAR30[VAR5:VAR62-1]; wire [VAR16-1:0] VAR14; reg [VAR16-1:0] VAR33; reg VAR2; reg [VAR20-1:0] VAR25; reg VAR39, VAR6; reg [VAR59-1:0] VAR9; reg [VAR64-1:0] VAR46; reg VAR52; reg VAR55; reg VAR10; reg [VAR58-1:0] VAR15; integer VAR38; reg state; assign addr = VAR61[VAR20-1:0]; assign VAR26 = VAR9[VAR20-1:0]; assign VAR29 = VAR61[VAR59 - 1:VAR20]; assign VAR22 = addr < VAR62 && addr >= VAR5; assign VAR48 = VAR29 == VAR32; assign VAR37 = (VAR48 && VAR22 && VAR66 && VAR23); assign VAR34 = (VAR48 && VAR22 && VAR66 && ~VAR23); assign VAR60 = (VAR28==VAR62-1'b1) ? VAR5 : VAR28 + 1'b1; assign VAR51 = VAR45[VAR25]; assign VAR57 = VAR37 ? addr : VAR28; assign VAR11 = (state == VAR36 ? VAR28 : (VAR6 || VAR39) ? VAR26 : VAR25); always @(*) begin VAR33 = VAR14 + {{(VAR16 - VAR43){VAR51[VAR43-1]}}, VAR51}; VAR2 = 0; if(state == VAR36 || (VAR39 && VAR3)) begin VAR2 = 1; VAR33 = 0; end else if(!VAR6 && !VAR39) begin VAR2 = 1; end else if(VAR6) begin VAR33 = VAR46; VAR2 = 1; end end generate genvar VAR7; for (VAR7 = VAR5; VAR7 < VAR62; VAR7 = VAR7 + 1) begin : VAR13 assign VAR30[VAR7] = {{(VAR43 - VAR8){1'b0}}, VAR27[(VAR7 + 1) * VAR8 - 1 : VAR7 * VAR8]}; end endgenerate always @(posedge clk) begin if(VAR2) begin VAR56[VAR11] <= VAR33; end VAR35 <= VAR57; end assign VAR14 = VAR56[VAR35]; always @(posedge clk) begin if(reset) begin VAR28 <= VAR5; VAR39 <= 0; VAR6 <= 0; VAR52 <= 0; VAR53 <= 0; VAR65 <= 0; state <= VAR36; for (VAR38 = VAR5; VAR38 < VAR62; VAR38 = VAR38 + 1) begin VAR45[VAR38] <= 0; end end else begin VAR25 <= VAR28; if(state == VAR36) begin VAR28 <= VAR60; if(VAR28 == VAR62-1'b1) begin state <= VAR49; end end else begin VAR28 <= (VAR37 || VAR34) ? VAR28 : VAR60; VAR39 <= VAR37; VAR6 <= VAR34; VAR9 <= VAR61; VAR46 <= VAR1; VAR52 <= VAR66; VAR55 <= VAR4; VAR10 <= VAR23; VAR15 <= VAR54; if(VAR4 && (VAR37 || VAR34)) begin end VAR53 <= VAR39 || VAR6 || VAR55; VAR19 <= VAR39 ? VAR14 : VAR46; VAR12 <= VAR9; VAR65 <= VAR52; VAR24 <= VAR10; VAR63 <= VAR15; for (VAR38 = VAR5; VAR38 < VAR62; VAR38 = VAR38 + 1) begin if ((VAR38==VAR25) && !VAR6 && !(VAR39 && VAR3) ) begin VAR45[VAR38] <= VAR31[VAR38] ? -VAR30[VAR38] : VAR30[VAR38]; end else begin VAR45[VAR38] <= VAR31[VAR38] ? VAR45[VAR38] - VAR30[VAR38] : VAR45[VAR38] + VAR30[VAR38]; end end end end end endmodule
mit
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6t_OA_RVT_SS_210930.v
242,184
module MODULE1 (VAR13, VAR1, VAR9, VAR3, VAR5, VAR6); output VAR13; input VAR1, VAR9, VAR3, VAR5, VAR6; wire VAR11, VAR10, VAR8; wire VAR12, VAR2, VAR4; wire VAR7; not (VAR2, VAR6); not (VAR12, VAR5); not (VAR8, VAR3); and (VAR4, VAR8, VAR12); not (VAR10, VAR9); not (VAR11, VAR1); and (VAR7, VAR11, VAR10, VAR12); or (VAR13, VAR7, VAR4, VAR2);
bsd-3-clause
mammenx/synesthesia_moksha
wxp/dgn/syn/limbus/synthesis/submodules/limbus_cpu_jtag_debug_module_sysclk.v
6,785
module MODULE1 ( clk, VAR28, VAR20, VAR3, VAR19, VAR12, VAR21, VAR27, VAR33, VAR16, VAR7, VAR31, VAR29, VAR11, VAR5, VAR25, VAR1, VAR26, VAR8 ) ; output [ 37: 0] VAR12; output VAR21; output VAR27; output VAR33; output VAR16; output VAR7; output VAR31; output VAR29; output VAR11; output VAR5; output VAR25; output VAR1; output VAR26; output VAR8; input clk; input [ 1: 0] VAR28; input [ 37: 0] VAR20; input VAR3; input VAR19; reg VAR2 ; reg [ 1: 0] VAR14 ; reg [ 37: 0] VAR12 ; reg VAR10 ; reg VAR18 ; reg VAR32 ; wire VAR4; wire VAR15; wire VAR21; wire VAR27; wire VAR33; wire VAR16; wire VAR7; wire VAR31; wire VAR29; wire VAR11; wire VAR5; wire VAR25; wire VAR1; wire VAR26; wire VAR8; wire VAR17; wire VAR9; reg VAR13 ; assign VAR17 = 1'b1; VAR30 VAR23 ( .clk (clk), .din (VAR3), .dout (VAR4), .VAR22 (VAR17) ); assign VAR9 = 1'b1; VAR30 VAR6 ( .clk (clk), .din (VAR19), .dout (VAR15), .VAR22 (VAR9) ); always @(posedge clk) begin VAR18 <= VAR4; VAR13 <= VAR4 & ~VAR18; VAR2 <= VAR13; VAR32 <= VAR15; VAR10 <= VAR15 & ~VAR32; end assign VAR16 = VAR2 && (VAR14 == 2'b00) && ~VAR12[35] && VAR12[34]; assign VAR26 = VAR2 && (VAR14 == 2'b00) && ~VAR12[35] && ~VAR12[34]; assign VAR7 = VAR2 && (VAR14 == 2'b00) && VAR12[35]; assign VAR29 = VAR2 && (VAR14 == 2'b01) && ~VAR12[37] && VAR12[36]; assign VAR8 = VAR2 && (VAR14 == 2'b01) && ~VAR12[37] && ~VAR12[36]; assign VAR11 = VAR2 && (VAR14 == 2'b01) && VAR12[37]; assign VAR21 = VAR2 && (VAR14 == 2'b10) && ~VAR12[36] && VAR12[37]; assign VAR5 = VAR2 && (VAR14 == 2'b10) && ~VAR12[36] && ~VAR12[37]; assign VAR27 = VAR2 && (VAR14 == 2'b10) && VAR12[36] && ~VAR12[35] && VAR12[37]; assign VAR25 = VAR2 && (VAR14 == 2'b10) && VAR12[36] && ~VAR12[35] && ~VAR12[37]; assign VAR33 = VAR2 && (VAR14 == 2'b10) && VAR12[36] && VAR12[35] && VAR12[37]; assign VAR1 = VAR2 && (VAR14 == 2'b10) && VAR12[36] && VAR12[35] && ~VAR12[37]; assign VAR31 = VAR2 && (VAR14 == 2'b11) && VAR12[15]; always @(posedge clk) begin if (VAR10) VAR14 <= VAR28; if (VAR13) VAR12 <= VAR20; end endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_misc/rtl/bw_io_hstl_drv.v
2,942
module MODULE1( VAR10, VAR7, VAR8, VAR6, VAR11, VAR3, VAR4, VAR9, VAR2, VAR5 ); output VAR10; input [8:1] VAR9; input [8:1] VAR2; input VAR7; input VAR8; input VAR6; input VAR11; input VAR3; input VAR4; inout VAR5; reg out; always @(VAR4 or VAR3 or VAR6 or VAR8 or VAR11 or VAR7) begin if (VAR11 == 1'b1) out = 1'VAR1; end else if (VAR11 == 1'b0) begin if (VAR7 == 1'b0) begin case ({VAR8, VAR6}) 2'b00: out = 1'b0; 2'b01: out = 1'VAR1; 2'b11: out = 1'b1; default: out = 1'VAR12; endcase end else if (VAR7 == 1'b1) begin case ({VAR3, VAR4}) 2'b00: out = 1'b0; 2'b01: out = 1'VAR1; 2'b11: out = 1'b1; default: out = 1'VAR12; endcase end else out = 1'VAR12; end else out = 1'VAR12; end assign (pull1, strong0) VAR10 = out; endmodule
gpl-2.0
origintfj/riscv
rv32i/rtl/merlin_int_regs.v
2,251
module MODULE1 ( input wire VAR5, input wire VAR11, input wire VAR4, input wire [4:0] VAR17, input wire [VAR13-1:0] VAR10, input wire VAR9, input wire [4:0] VAR8, input wire [VAR13-1:0] VAR6, input wire VAR3, input wire [4:0] VAR14, output reg [VAR13-1:0] VAR1, input wire VAR15, input wire [4:0] VAR12, output reg [VAR13-1:0] VAR7 ); reg [VAR13-1:0] VAR16[1:31]; always @ VAR2(VAR5) begin if (VAR4) begin VAR16[VAR17] <= VAR10; end if (VAR9) begin VAR16[VAR8] <= VAR6; end end always @ (*) begin if (VAR3 && VAR14 != 5'b0) begin VAR1 = VAR16[VAR14]; end else begin VAR1 = { VAR13 {1'b0} }; end if (VAR15 && VAR12 != 5'b0) begin VAR7 = VAR16[VAR12]; end else begin VAR7 = { VAR13 {1'b0} }; end end endmodule
apache-2.0
SiLab-Bonn/basil
basil/firmware/modules/jtag_master/jtag_master_core.v
12,259
module MODULE1 #( parameter VAR65 = 16, parameter VAR40 = 16 ) ( input wire VAR66, input wire VAR24, input wire [VAR65-1:0] VAR9, input wire [7:0] VAR58, input wire VAR82, input wire VAR33, output reg [7:0] VAR78, input wire VAR56, output wire VAR22, input wire VAR96, output reg VAR97, output reg VAR5, output reg VAR23, output reg VAR84 ); localparam VAR26 = 1; localparam VAR95 = 8*VAR40; reg [7:0] VAR20 [15:0]; wire VAR98; wire VAR6; wire VAR91; assign VAR98 = VAR24 || VAR6; always @(posedge VAR66) begin if (VAR98) begin VAR20[0] <= 0; VAR20[1] <= 0; VAR20[2] <= 0; VAR20[3] <= VAR95[7:0]; VAR20[4] <= VAR95[15:8]; VAR20[5] <= 0; VAR20[6] <= 0; VAR20[7] <= 0; VAR20[8] <= 0; VAR20[9] <= 1; VAR20[10] <= 0; VAR20[11] <= 0; VAR20[12] <= 0; VAR20[13] <= 0; end else if (VAR33 && VAR9 < 16) begin VAR20[VAR9[3:0]] <= VAR58; end end reg [7:0] VAR43; reg [7:0] VAR61; reg VAR69; wire VAR38; wire VAR34; assign VAR6 = (VAR9 == 0 && VAR33); assign VAR38 = (VAR9 == 1 && VAR33); wire [15:0] VAR99; assign VAR99 = {VAR20[4],VAR20[3]}; wire [15:0] VAR1; assign VAR1 = {VAR20 [12], VAR20 [11]}; wire [15:0] VAR2; assign VAR2 = {VAR20 [10], VAR20 [9]}; wire [31:0] VAR94; assign VAR94 = {VAR20[8], VAR20[7], VAR20[6], VAR20[5]}; wire VAR57; assign VAR57 = VAR20[13][0]; wire [32:0] VAR83; assign VAR83 = VAR99 + VAR94; reg [7:0] VAR35; always @(posedge VAR66) begin if (VAR82) begin if (VAR9 == 0) VAR35 <= VAR26; end else if (VAR9 == 1) VAR35 <= {7'b0, VAR69}; end else if (VAR9 == 13) VAR35 <= {7'b0, VAR57}; else if (VAR9 == 14) VAR35 <= VAR40[7:0]; else if (VAR9 == 15) VAR35 <= VAR40[15:8]; else if (VAR9 < 16) VAR35 <= VAR20[VAR9[3:0]]; end end reg [VAR65-1:0] VAR28; always @(posedge VAR66) begin if (VAR82) begin VAR28 <= VAR9; end end always @ begin for(VAR44 = 0;VAR44<8;VAR44 = VAR44+1) begin VAR13[VAR44] = VAR58[7-VAR44]; VAR43[VAR44] = VAR46[7-VAR44]; VAR61[VAR44] = VAR62[7-VAR44]; end end reg [15:0] state, VAR15; localparam VAR16 = 0, VAR101 = 1, VAR17 = 2, VAR42 = 3, VAR31 = 4, VAR19 = 5, VAR11 = 6, VAR72 = 7, VAR49 = 8, VAR10 = 9, VAR87 = 10, VAR73 = 11, VAR63 = 12, VAR75 = 13, VAR60 = 14, VAR102 = 15; wire VAR47; reg [32:0] VAR67; reg [32:0] VAR54; reg [32:0] VAR45; localparam VAR30 = VAR36(VAR40); wire [VAR30-1:0] VAR32; assign VAR32 = (VAR9 - 32'd16); wire [VAR30+2:0] VAR8; assign VAR8 = (VAR54 * VAR99) + VAR99 - 1 - VAR67; VAR21 #(.VAR50(VAR40), .VAR64(1)) VAR74 ( .VAR80(VAR66), .VAR59(VAR56), .VAR52(VAR33 && VAR9 >= 16 && VAR9 < 16+VAR40), .VAR93(1'b0), .VAR39(VAR32), .VAR55(VAR8), .VAR12(VAR13), .VAR76(VAR46), .VAR70(), .VAR89(VAR47) ); wire [VAR30-1:0] VAR92; assign VAR92 = (VAR9-16-VAR40); wire [VAR30+2:0] VAR29; assign VAR29 = (VAR54 * VAR99) + VAR99 - VAR67; reg VAR88; VAR21 #(.VAR50(VAR40), .VAR64(1)) VAR85 ( .VAR80(VAR66), .VAR59(VAR56), .VAR52(1'b0), .VAR93(VAR88 && (state == VAR31 || state == VAR73)), .VAR39(VAR92), .VAR55(VAR29), .VAR12(VAR13), .VAR76(VAR62), .VAR70(VAR96), .VAR89() ); localparam VAR41 = 1, VAR3 = 0; reg VAR81 = 0; always @(posedge VAR56) begin if (VAR91) state <= VAR16; end else state <= VAR15; end always @(*) begin case (state) VAR16: begin if (VAR45 <= 5) begin VAR15 <= VAR16; VAR88 <= 1; end else begin VAR15 <= VAR101; VAR88 <= 0; end end VAR101: begin if (VAR34 || (VAR54 != VAR2 && VAR88)) begin VAR15 <= VAR17; VAR88 <= 1; end else begin VAR15 <= VAR101; VAR88 <= 0; end end VAR17: begin if (VAR1 == VAR41) VAR15 <= VAR42; end else if (VAR1 == VAR3) VAR15 <= VAR10; end else VAR15 <= VAR10; end VAR42: VAR15 <= VAR31; VAR31: begin if (VAR67 == VAR83) VAR15 <= VAR19; end else VAR15 <= VAR31; end VAR19: VAR15 <= VAR49; VAR11: VAR15 <= VAR72; VAR72: VAR15 <= VAR49; VAR49: VAR15 <= VAR101; VAR10: VAR15 <= VAR87; VAR87: VAR15 <= VAR73; VAR73: begin if (VAR67 == VAR83) VAR15 <= VAR63; end else VAR15 <= VAR73; end VAR63: VAR15 <= VAR102; VAR75: VAR15 <= VAR60; VAR60: VAR15 <= VAR102; VAR102: VAR15 <= VAR101; default: VAR15 <= VAR101; endcase end always @(negedge VAR56) begin if (VAR91) VAR67 <= 0; end else if (state == VAR31 || state == VAR73) VAR67 <= VAR67 + 1; else VAR67 <= 0; end always @(posedge VAR56) begin if (VAR91) VAR54 <= 0; end else if (state == VAR49 || state == VAR102) VAR54 <= VAR54 + 1; else if (VAR54 == VAR2 && state == VAR101) VAR54 <= 0; end always @(posedge VAR56) begin if (state == VAR16) VAR45 <= VAR45 + 1; end else VAR45 <= 0; end always @(negedge VAR56) begin case(state) VAR16: begin if (VAR15 == VAR101) VAR5 <= 0; end else if (VAR45 < 5) VAR5 <= 1; end else VAR5 <= 0; end VAR101: begin if (VAR15 == VAR17) VAR5 <= 1; end else VAR5 <= 0; end VAR17: begin if (VAR15 == VAR42) VAR5 <= 0; end else VAR5 <= 1; end VAR42: begin if (VAR15 == VAR31) VAR5 <= 0; end else VAR5 <= 1; end VAR31: begin if (VAR15 == VAR31 && VAR67 != VAR83 - 1) VAR5 <= 0; end else VAR5 <= 1; end VAR19: begin if (VAR15 == VAR11) VAR5 <= 0; end else VAR5 <= 1; end VAR11: begin if (VAR15 == VAR11) VAR5 <= 0; end else VAR5 <= 1; end VAR72: begin if (VAR15 == VAR31) VAR5 <= 0; end else VAR5 <= 1; end VAR49: begin if (VAR15 == VAR101) VAR5 <= 0; end else VAR5 <= 1; end VAR10: begin if (VAR15 == VAR87) VAR5 <= 0; end else VAR5 <= 1; end VAR87: begin if (VAR15 == VAR73) VAR5 <= 0; end else VAR5 <= 1; end VAR73: begin if (VAR15 == VAR73 && VAR67 != VAR83 - 1) VAR5 <= 0; end else VAR5 <= 1; end VAR63: begin if (VAR15 == VAR75) VAR5 <= 0; end else VAR5 <= 1; end VAR75: begin if (VAR15 == VAR75) VAR5 <= 0; end else VAR5 <= 1; end VAR60: begin if (VAR15 == VAR73) VAR5 <= 0; end else VAR5 <= 1; end VAR102: begin if (VAR15 == VAR101) VAR5 <= 0; end else VAR5 <= 1; end default: VAR5 <= 1; endcase end wire VAR77; VAR71 VAR7 (.VAR79(VAR66), .VAR4(VAR98), .VAR86(VAR56), .VAR68(VAR77)); VAR100 VAR48 (.VAR79(VAR66), .VAR4(VAR38), .VAR86(VAR56), .VAR68(VAR34)); assign VAR91 = VAR77 || VAR24; reg [1:0] VAR27; always @(posedge VAR56) begin VAR27[0] <= VAR88; VAR27[1] <= VAR27[0]; end always @(posedge VAR56) VAR84 <= (VAR27[1] == 1 && VAR27[0] == 0); wire VAR37, VAR18; assign VAR18 = (VAR54 == VAR2 && state == VAR101) || (VAR45 == 5); VAR100 VAR25 (.VAR79(VAR56), .VAR4(VAR18), .VAR86(VAR66), .VAR68(VAR37)); always @(posedge VAR66) if (VAR38 || VAR98) VAR69 <= 0; else if (VAR37) VAR69 <= 1; VAR53 VAR14(.VAR90(VAR56), .enable(VAR23), .VAR51(VAR22)); always @(negedge VAR56) VAR97 <= VAR47 & VAR88; always @(negedge VAR56) VAR23 <= VAR88; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o221a/sky130_fd_sc_hs__o221a_4.v
2,317
module MODULE1 ( VAR3 , VAR2 , VAR10 , VAR5 , VAR6 , VAR1 , VAR8, VAR7 ); output VAR3 ; input VAR2 ; input VAR10 ; input VAR5 ; input VAR6 ; input VAR1 ; input VAR8; input VAR7; VAR9 VAR4 ( .VAR3(VAR3), .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1), .VAR8(VAR8), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3 , VAR2, VAR10, VAR5, VAR6, VAR1 ); output VAR3 ; input VAR2; input VAR10; input VAR5; input VAR6; input VAR1; supply1 VAR8; supply0 VAR7; VAR9 VAR4 ( .VAR3(VAR3), .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
jobisoft/jTDC
modules/output_shaper.v
3,689
module MODULE2 ( input wire VAR3, input wire [3:0] VAR15, input wire [3:0] VAR13, input wire VAR19, output wire pulse, input wire reset); wire VAR1; MODULE1 MODULE1 ( .VAR3(VAR3 && ~VAR1), .VAR15(VAR15), .VAR13(VAR13), .VAR19(VAR19), .pulse(pulse), .reset(reset), .VAR1(VAR1)); endmodule module MODULE1 ( input wire VAR3, input wire [3:0] VAR15, input wire [3:0] VAR13, input wire VAR19, output wire pulse, output wire VAR1, input wire reset); reg VAR11; reg VAR14; reg VAR7; wire VAR23; wire VAR16; reg VAR5; reg VAR4; always@(posedge VAR19) begin VAR5 <= reset || VAR23; VAR4 <= reset || VAR16; end if (VAR3) VAR14 <= 1'b1; else VAR14 <= 1'b0; if (VAR5 == 1'b1) VAR7 <= 1'b0; else if (VAR14 == 1'b1) VAR7 <= 1'b1; if (VAR4 == 1'b1) VAR11 <= 1'b0; else if (VAR14 == 1'b1) VAR11 <= 1'b1; end assign pulse = VAR7; assign VAR1 = VAR14 || VAR11; VAR8 #(.VAR22(16'h0000)) VAR17 ( .VAR10(VAR14), .VAR18(VAR15[0]), .VAR21(VAR15[1]), .VAR9(VAR15[2]), .VAR2(VAR15[3]), .VAR19(VAR19), .VAR12(VAR23)); VAR8 #(.VAR22(16'h0000)) VAR20 ( .VAR10(VAR23), .VAR18(VAR13[0]), .VAR21(VAR13[1]), .VAR9(VAR13[2]), .VAR2(VAR13[3]), .VAR19(VAR19), .VAR12(VAR16)); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/xnor3/gf180mcu_fd_sc_mcu9t5v0__xnor3_4.functional.pp.v
1,611
module MODULE1( VAR13, VAR14, VAR1, VAR4, VAR18, VAR5 ); input VAR14, VAR13, VAR1; inout VAR18, VAR5; output VAR4; wire VAR8; not VAR2( VAR8, VAR1 ); wire VAR7; and VAR17( VAR7, VAR8, VAR14, VAR13 ); wire VAR20; not VAR11( VAR20, VAR13 ); wire VAR9; and VAR10( VAR9, VAR20, VAR14, VAR1 ); wire VAR16; not VAR21( VAR16, VAR14 ); wire VAR3; and VAR19( VAR3, VAR16, VAR13, VAR1 ); wire VAR12; and VAR15( VAR12, VAR16, VAR20, VAR8 ); or VAR6( VAR4, VAR7, VAR9, VAR3, VAR12 ); endmodule
apache-2.0
plindstroem/oh
emailbox/hdl/emailbox.v
5,375
module MODULE1 ( VAR14, VAR17, VAR24, reset, VAR25, VAR22, VAR23, VAR7, VAR15, VAR19, VAR32, VAR35 ); parameter VAR2 = 32; parameter VAR18 = 32; parameter VAR8 = 104; parameter VAR9 = 6; parameter VAR20 = 12'h000; parameter VAR34 = 104; parameter VAR37 = 16; input reset; input VAR25; input VAR22; input VAR23; input [VAR8-1:0] VAR7; input VAR15; input VAR19; input [VAR9+1:0] VAR32; input [63:0] VAR35; output [63:0] VAR14; output VAR17; output VAR24; reg [63:0] VAR14; wire VAR33; wire VAR26; wire [VAR34-1:0] VAR36; wire VAR11; wire VAR3; wire [31:0] VAR30; wire [63:0] VAR13; wire VAR1; assign VAR30[31:0] = VAR7[39:8]; assign VAR13[63:0] = VAR7[103:40]; assign VAR1 = VAR23 & VAR7[1] & (VAR30[31:20]==VAR20) & (VAR30[10:8]==3'h3) & (VAR30[VAR9+1:2]==VAR29); assign VAR26 = VAR15 & ~VAR19; assign VAR3 = VAR26 & (VAR32[VAR9+1:2]==VAR21); always @ (posedge VAR22) if(VAR26) case(VAR32[VAR9+1:2]) VAR36[2*VAR2-1:VAR2]}; default: VAR14[63:0] <= 64'd0; endcase else VAR14[63:0] <= 64'd0; assign VAR24 = ~VAR11; VAR4 VAR6( .dout (VAR36[VAR34-1:0]), .VAR28 (VAR11), .VAR31 (VAR17), .VAR5 (), .valid(), .VAR10 (VAR3), .VAR22 (VAR22), .din ({40'b0,VAR13[63:0]}), .VAR16 (VAR1), .VAR25 (VAR25), .VAR12 (reset), .VAR27 (reset) ); endmodule
gpl-3.0
OpenSoCPlus/hight_crypto_core
rtl/SKG.v
15,828
module MODULE1( VAR20 , VAR13 , VAR1 , VAR8 , VAR17 , VAR2 , VAR5 ); input VAR20 ; input[4:0] VAR13 ; input[127:0] VAR1 ; output[7:0] VAR8 ; output[7:0] VAR17 ; output[7:0] VAR2 ; output[7:0] VAR5 ; wire[7:0] VAR31 = VAR1[127:120]; wire[7:0] VAR19 = VAR1[119:112]; wire[7:0] VAR14 = VAR1[111:104]; wire[7:0] VAR34 = VAR1[103: 96]; wire[7:0] VAR6 = VAR1[ 95: 88]; wire[7:0] VAR32 = VAR1[ 87: 80]; wire[7:0] VAR16 = VAR1[ 79: 72]; wire[7:0] VAR36 = VAR1[ 71: 64]; wire[7:0] VAR33 = VAR1[ 63: 56]; wire[7:0] VAR35 = VAR1[ 55: 48]; wire[7:0] VAR15 = VAR1[ 47: 40]; wire[7:0] VAR9 = VAR1[ 39: 32]; wire[7:0] VAR26 = VAR1[ 31: 24]; wire[7:0] VAR3 = VAR1[ 23: 16]; wire[7:0] VAR11 = VAR1[ 15: 8]; wire[7:0] VAR4 = VAR1[ 7: 0]; wire[4:0] VAR18; reg[6:0] VAR28; reg[6:0] VAR22; reg[6:0] VAR24; reg[6:0] VAR23; reg[7:0] VAR29; reg[7:0] VAR12; reg[7:0] VAR30; reg[7:0] VAR21; wire[7:0] VAR27; wire[7:0] VAR7; wire[7:0] VAR25; wire[7:0] VAR10; assign VAR18 = (~VAR20) ? VAR13 : 5'd31 - VAR13 ; always @(VAR18) case(VAR18) 5'd00 : VAR28 <= 7'h1b; 5'd01 : VAR28 <= 7'h41; 5'd02 : VAR28 <= 7'h4c; 5'd03 : VAR28 <= 7'h2c; 5'd04 : VAR28 <= 7'h4a; 5'd05 : VAR28 <= 7'h1c; 5'd06 : VAR28 <= 7'h79; 5'd07 : VAR28 <= 7'h37; 5'd08 : VAR28 <= 7'h0b; 5'd09 : VAR28 <= 7'h50; 5'd10 : VAR28 <= 7'h55; 5'd11 : VAR28 <= 7'h7d; 5'd12 : VAR28 <= 7'h17; 5'd13 : VAR28 <= 7'h29; 5'd14 : VAR28 <= 7'h62; 5'd15 : VAR28 <= 7'h76; 5'd16 : VAR28 <= 7'h47; 5'd17 : VAR28 <= 7'h7c; 5'd18 : VAR28 <= 7'h1f; 5'd19 : VAR28 <= 7'h61; 5'd20 : VAR28 <= 7'h6e; 5'd21 : VAR28 <= 7'h1e; 5'd22 : VAR28 <= 7'h69; 5'd23 : VAR28 <= 7'h26; 5'd24 : VAR28 <= 7'h12; 5'd25 : VAR28 <= 7'h01; 5'd26 : VAR28 <= 7'h08; 5'd27 : VAR28 <= 7'h48; 5'd28 : VAR28 <= 7'h0c; 5'd29 : VAR28 <= 7'h68; 5'd30 : VAR28 <= 7'h2e; 5'd31 : VAR28 <= 7'h5a; endcase always @(VAR18) case(VAR18) 5'd00 : VAR22 <= 7'h36; 5'd01 : VAR22 <= 7'h03; 5'd02 : VAR22 <= 7'h18; 5'd03 : VAR22 <= 7'h59; 5'd04 : VAR22 <= 7'h15; 5'd05 : VAR22 <= 7'h39; 5'd06 : VAR22 <= 7'h73; 5'd07 : VAR22 <= 7'h6f; 5'd08 : VAR22 <= 7'h16; 5'd09 : VAR22 <= 7'h21; 5'd10 : VAR22 <= 7'h2a; 5'd11 : VAR22 <= 7'h7a; 5'd12 : VAR22 <= 7'h2f; 5'd13 : VAR22 <= 7'h52; 5'd14 : VAR22 <= 7'h45; 5'd15 : VAR22 <= 7'h6c; 5'd16 : VAR22 <= 7'h0e; 5'd17 : VAR22 <= 7'h78; 5'd18 : VAR22 <= 7'h3f; 5'd19 : VAR22 <= 7'h43; 5'd20 : VAR22 <= 7'h5c; 5'd21 : VAR22 <= 7'h3d; 5'd22 : VAR22 <= 7'h53; 5'd23 : VAR22 <= 7'h4d; 5'd24 : VAR22 <= 7'h24; 5'd25 : VAR22 <= 7'h02; 5'd26 : VAR22 <= 7'h10; 5'd27 : VAR22 <= 7'h11; 5'd28 : VAR22 <= 7'h19; 5'd29 : VAR22 <= 7'h51; 5'd30 : VAR22 <= 7'h5d; 5'd31 : VAR22 <= 7'h35; endcase always @(VAR18) case(VAR18) 5'd00 : VAR24 <= 7'h6d; 5'd01 : VAR24 <= 7'h06; 5'd02 : VAR24 <= 7'h30; 5'd03 : VAR24 <= 7'h33; 5'd04 : VAR24 <= 7'h2b; 5'd05 : VAR24 <= 7'h72; 5'd06 : VAR24 <= 7'h67; 5'd07 : VAR24 <= 7'h5e; 5'd08 : VAR24 <= 7'h2d; 5'd09 : VAR24 <= 7'h42; 5'd10 : VAR24 <= 7'h54; 5'd11 : VAR24 <= 7'h75; 5'd12 : VAR24 <= 7'h5f; 5'd13 : VAR24 <= 7'h25; 5'd14 : VAR24 <= 7'h0a; 5'd15 : VAR24 <= 7'h58; 5'd16 : VAR24 <= 7'h1d; 5'd17 : VAR24 <= 7'h71; 5'd18 : VAR24 <= 7'h7f; 5'd19 : VAR24 <= 7'h07; 5'd20 : VAR24 <= 7'h38; 5'd21 : VAR24 <= 7'h7b; 5'd22 : VAR24 <= 7'h27; 5'd23 : VAR24 <= 7'h1a; 5'd24 : VAR24 <= 7'h49; 5'd25 : VAR24 <= 7'h04; 5'd26 : VAR24 <= 7'h20; 5'd27 : VAR24 <= 7'h22; 5'd28 : VAR24 <= 7'h32; 5'd29 : VAR24 <= 7'h23; 5'd30 : VAR24 <= 7'h3a; 5'd31 : VAR24 <= 7'h6b; endcase always @(VAR18) case(VAR18) 5'd00 : VAR23 <= 7'h5a; 5'd01 : VAR23 <= 7'h0d; 5'd02 : VAR23 <= 7'h60; 5'd03 : VAR23 <= 7'h66; 5'd04 : VAR23 <= 7'h56; 5'd05 : VAR23 <= 7'h65; 5'd06 : VAR23 <= 7'h4e; 5'd07 : VAR23 <= 7'h3c; 5'd08 : VAR23 <= 7'h5b; 5'd09 : VAR23 <= 7'h05; 5'd10 : VAR23 <= 7'h28; 5'd11 : VAR23 <= 7'h6a; 5'd12 : VAR23 <= 7'h3e; 5'd13 : VAR23 <= 7'h4b; 5'd14 : VAR23 <= 7'h14; 5'd15 : VAR23 <= 7'h31; 5'd16 : VAR23 <= 7'h3b; 5'd17 : VAR23 <= 7'h63; 5'd18 : VAR23 <= 7'h7e; 5'd19 : VAR23 <= 7'h0f; 5'd20 : VAR23 <= 7'h70; 5'd21 : VAR23 <= 7'h77; 5'd22 : VAR23 <= 7'h4f; 5'd23 : VAR23 <= 7'h34; 5'd24 : VAR23 <= 7'h13; 5'd25 : VAR23 <= 7'h09; 5'd26 : VAR23 <= 7'h40; 5'd27 : VAR23 <= 7'h44; 5'd28 : VAR23 <= 7'h64; 5'd29 : VAR23 <= 7'h46; 5'd30 : VAR23 <= 7'h74; 5'd31 : VAR23 <= 7'h57; endcase always @ case(VAR18) 5'd00 : VAR12 <= VAR3 ; 5'd01 : VAR12 <= VAR35 ; 5'd02 : VAR12 <= VAR32; 5'd03 : VAR12 <= VAR19; 5'd04 : VAR12 <= VAR11 ; 5'd05 : VAR12 <= VAR15 ; 5'd06 : VAR12 <= VAR16 ; 5'd07 : VAR12 <= VAR14; 5'd08 : VAR12 <= VAR4 ; 5'd09 : VAR12 <= VAR9 ; 5'd10 : VAR12 <= VAR36 ; 5'd11 : VAR12 <= VAR34; 5'd12 : VAR12 <= VAR33 ; 5'd13 : VAR12 <= VAR26 ; 5'd14 : VAR12 <= VAR31; 5'd15 : VAR12 <= VAR6; 5'd16 : VAR12 <= VAR35 ; 5'd17 : VAR12 <= VAR3 ; 5'd18 : VAR12 <= VAR19; 5'd19 : VAR12 <= VAR32; 5'd20 : VAR12 <= VAR15 ; 5'd21 : VAR12 <= VAR11 ; 5'd22 : VAR12 <= VAR14; 5'd23 : VAR12 <= VAR16 ; 5'd24 : VAR12 <= VAR9 ; 5'd25 : VAR12 <= VAR4 ; 5'd26 : VAR12 <= VAR34; 5'd27 : VAR12 <= VAR36 ; 5'd28 : VAR12 <= VAR26 ; 5'd29 : VAR12 <= VAR33 ; 5'd30 : VAR12 <= VAR6; 5'd31 : VAR12 <= VAR31; endcase always @ case(VAR18) 5'd00 : VAR21 <= VAR4 ; 5'd01 : VAR21 <= VAR9 ; 5'd02 : VAR21 <= VAR36 ; 5'd03 : VAR21 <= VAR34; 5'd04 : VAR21 <= VAR33 ; 5'd05 : VAR21 <= VAR26 ; 5'd06 : VAR21 <= VAR31; 5'd07 : VAR21 <= VAR6; 5'd08 : VAR21 <= VAR35 ; 5'd09 : VAR21 <= VAR3 ; 5'd10 : VAR21 <= VAR19; 5'd11 : VAR21 <= VAR32; 5'd12 : VAR21 <= VAR15 ; 5'd13 : VAR21 <= VAR11 ; 5'd14 : VAR21 <= VAR14; 5'd15 : VAR21 <= VAR16 ; 5'd16 : VAR21 <= VAR9 ; 5'd17 : VAR21 <= VAR4 ; 5'd18 : VAR21 <= VAR34; 5'd19 : VAR21 <= VAR36 ; 5'd20 : VAR21 <= VAR26 ; 5'd21 : VAR21 <= VAR33 ; 5'd22 : VAR21 <= VAR6; 5'd23 : VAR21 <= VAR31; 5'd24 : VAR21 <= VAR3 ; 5'd25 : VAR21 <= VAR35 ; 5'd26 : VAR21 <= VAR32; 5'd27 : VAR21 <= VAR19; 5'd28 : VAR21 <= VAR11 ; 5'd29 : VAR21 <= VAR15 ; 5'd30 : VAR21 <= VAR16 ; 5'd31 : VAR21 <= VAR14; endcase assign VAR27 = {1'b0,VAR28} + VAR29; assign VAR7 = {1'b0,VAR22} + VAR12; assign VAR25 = {1'b0,VAR24} + VAR30; assign VAR10 = {1'b0,VAR23} + VAR21; assign VAR8 = (~VAR20) ? VAR27 : VAR10 ; assign VAR17 = (~VAR20) ? VAR7 : VAR25 ; assign VAR2 = (~VAR20) ? VAR25 : VAR7 ; assign VAR5 = (~VAR20) ? VAR10 : VAR27 ; endmodule
lgpl-2.1
natsutan/NPU
fpga_implement/npu8/npu8.cache/ip/3ff41c2a70d99e06/mul16_16_stub.v
1,311
module MODULE1(VAR2, VAR1, VAR3, VAR4) ; input VAR2; input [15:0]VAR1; input [15:0]VAR3; output [15:0]VAR4; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux2i/sky130_fd_sc_ls__mux2i.pp.symbol.v
1,347
module MODULE1 ( input VAR8 , input VAR2 , output VAR7 , input VAR6 , input VAR3 , input VAR4, input VAR5, input VAR1 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nor2/gf180mcu_fd_sc_mcu7t5v0__nor2_2.functional.pp.v
1,066
module MODULE1( VAR3, VAR9, VAR2, VAR10, VAR1 ); input VAR2, VAR3; inout VAR10, VAR1; output VAR9; wire VAR6; not VAR4( VAR6, VAR2 ); wire VAR8; not VAR5( VAR8, VAR3 ); and VAR7( VAR9, VAR6, VAR8 ); endmodule
apache-2.0