repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/einvn/sky130_fd_sc_hdll__einvn.pp.blackbox.v
1,297
module MODULE1 ( VAR7 , VAR6 , VAR2, VAR4, VAR1, VAR3 , VAR5 ); output VAR7 ; input VAR6 ; input VAR2; input VAR4; input VAR1; input VAR3 ; input VAR5 ; endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.cache/ip/2017.3/582af9791eb33514/ip_design_lms_pcore_0_0_stub.v
2,559
module MODULE1(VAR13, VAR11, VAR6, VAR2, VAR8, VAR18, VAR4, VAR21, VAR5, VAR14, VAR3, VAR7, VAR20, VAR16, VAR9, VAR17, VAR15, VAR12, VAR10, VAR19, VAR1) ; input VAR13; input VAR11; input VAR6; input VAR2; input [15:0]VAR8; input VAR18; input [31:0]VAR4; input [3:0]VAR21; input VAR5; input VAR14; input [15:0]VAR3; input VAR7; input VAR20; output VAR16; output VAR9; output [1:0]VAR17; output VAR15; output VAR12; output [31:0]VAR10; output [1:0]VAR19; output VAR1; endmodule
mit
sam-falvo/kestrel
cores/MGIA/rtl/verilog/timebase.v
2,295
module MODULE1( input VAR9, input VAR24, output VAR25, output VAR22, output VAR12, output VAR10, output VAR23, output VAR26 ); reg VAR20; reg VAR27; reg VAR14; reg VAR15; reg VAR2; reg VAR1; reg [9:0] VAR7; reg [9:0] VAR18; reg [9:0] VAR28; reg [9:0] VAR3; wire VAR13 = VAR7 == 794; wire VAR4 = VAR9 | VAR13; wire VAR11 = VAR7 == 23; wire VAR30 = VAR7 == 117; wire VAR17 = VAR9 | (VAR28 == 525); wire VAR16 = VAR28 == 12; wire VAR19 = VAR28 == 14; wire VAR6 = VAR7 == 154; wire VAR29 = VAR28 == 85; wire VAR8 = VAR28 == 484; wire VAR21 = VAR28 == 84; wire VAR5 = VAR28 == 483; assign VAR22 = VAR27; assign VAR12 = VAR14; assign VAR23 = VAR15 & VAR1; assign VAR10 = VAR15 & VAR2; assign VAR25 = VAR20; assign VAR26 = !VAR28[0];
mpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32ai/sky130_fd_sc_lp__o32ai.symbol.v
1,391
module MODULE1 ( input VAR4, input VAR6, input VAR5, input VAR9, input VAR8, output VAR3 ); supply1 VAR2; supply0 VAR10; supply1 VAR1 ; supply0 VAR7 ; endmodule
apache-2.0
eda-globetrotter/MarcheProcessor
processor/syn/src/spare/build1/prog_counter2a.v
1,203
module MODULE1 (VAR1,rst,clk); output [0:31] VAR1; input clk; input rst; reg [0:31] VAR1; always @(posedge clk) begin if(rst) begin VAR1<=32'd0; end else begin VAR1<=VAR1+32'd4; end end endmodule
mit
Fabeltranm/FPGA-Game-D1
HW/RTL/06PCM-AUDIO-MICROFONO/Version_01/02 verilog/Pruebas/Pruebabitcont/fifo.v
3,735
module MODULE1 # (parameter VAR2 = 400, VAR16 = 1)( input reset, VAR13, input rd, wr, input [VAR16-1:0] din, output [VAR16-1:0] dout, output VAR6, output VAR17, output reg VAR12 ); wire VAR8; wire VAR7; reg VAR18, VAR22; reg [VAR16-1:0] out; VAR9 VAR12 = 0; reg [1:0] VAR5; reg [1:0] VAR4; assign VAR8 = VAR18; assign VAR7 = VAR22; reg [VAR16-1:0] VAR1[2**VAR2-1:0]; reg [VAR2-1:0] VAR11, VAR3, VAR10; reg [VAR2-1:0] VAR19, VAR24, VAR25; reg VAR15, VAR23, VAR20, VAR14; assign VAR21 = VAR8 & ~VAR17; always @ (posedge VAR13)begin if(wr && ~rd) begin if(VAR5) begin VAR18<=0; VAR5<=VAR5+1; end else begin VAR18<=1; VAR5<=0; end end else VAR18<=0; end always @ (posedge VAR13)begin if(rd && ~wr) begin if(VAR4) begin VAR22<=0; VAR4<=VAR4+1; end else begin VAR22<=1; VAR4<=0; end end else VAR22<=0; end always @ (posedge VAR13) begin if(VAR21) VAR1[VAR11] <= din; end always @ (posedge VAR13) begin if(VAR7) out <= VAR1[VAR19]; end always @ (posedge VAR13 or posedge reset) begin if (reset) begin VAR11 <= 0; VAR19 <= 0; VAR15 <= 1'b0; VAR23 <= 1'b1; VAR12=0; end else begin VAR11 <= VAR3; VAR19 <= VAR24; VAR15 <= VAR20; VAR23 <= VAR14; VAR12=1; end end always @(VAR13) begin VAR10 = VAR11 + 1; VAR25 = VAR19 + 1; VAR3 = VAR11; VAR24 = VAR19; VAR20 = VAR15; VAR14 = VAR23; case({VAR8,VAR7}) 2'b01: begin if(~VAR6) begin VAR24 = VAR25; VAR20 = 1'b0; if(VAR25 == VAR11) VAR14 = 1'b1; end end 2'b10: begin if(~VAR17) begin VAR3 = VAR10; VAR14 = 1'b0; if(VAR10 == (2**VAR2-1)) VAR20 = 1'b1; end end 2'b11: begin VAR3 = VAR10; VAR24 = VAR25; end endcase end assign VAR17 = VAR15; assign VAR6 = VAR23; assign dout = out; endmodule
gpl-3.0
FAST-Switch/fast
lib/hardware/pipeline/FIFO_OPENFLOW/ram_128_11.v
9,593
module MODULE1 ( VAR17, VAR9, VAR11, VAR21, VAR31, VAR6, VAR16, VAR20); input VAR17; input VAR9; input [10:0] VAR11; input [6:0] VAR21; input VAR31; input [6:0] VAR6; input VAR16; output [10:0] VAR20; tri0 VAR17; tri1 VAR9; tri1 VAR31; tri0 VAR16; wire [10:0] VAR52; wire [10:0] VAR20 = VAR52[10:0]; VAR40 VAR12 ( .VAR50 (VAR17), .VAR4 (VAR6), .VAR53 (VAR21), .VAR45 (VAR9), .VAR26 (VAR11), .VAR24 (VAR31), .VAR62 (VAR16), .VAR8 (VAR52), .VAR59 (1'b0), .VAR27 (1'b0), .VAR32 (1'b0), .VAR41 (1'b1), .VAR2 (1'b1), .VAR57 (1'b1), .VAR56 (1'b1), .VAR30 (1'b1), .VAR29 (1'b1), .VAR49 (1'b1), .VAR23 ({11{1'b1}}), .VAR36 (), .VAR44 (), .VAR60 (1'b1), .VAR55 (1'b0)); VAR12.VAR13 = "VAR39", VAR12.VAR28 = "VAR35", VAR12.VAR34 = "VAR33", VAR12.VAR61 = "VAR33", VAR12.VAR43 = "VAR33", VAR12.VAR10 = "VAR15 VAR54", VAR12.VAR51 = "VAR40", VAR12.VAR19 = 128, VAR12.VAR48 = 128, VAR12.VAR38 = "VAR46", VAR12.VAR1 = "VAR39", VAR12.VAR18 = "VAR35", VAR12.VAR7 = "VAR5", VAR12.VAR58 = "VAR35", VAR12.VAR14 = "VAR3", VAR12.VAR22 = 7, VAR12.VAR47 = 7, VAR12.VAR25 = 11, VAR12.VAR42 = 11, VAR12.VAR37 = 1; endmodule
apache-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/pixelq_op_v1_0/0d718de5/hdl/verilog/pixelq_op_CONTROL_BUS_if.v
8,776
module MODULE1 VAR18 = 5, VAR53 = 32 )( input wire VAR38, input wire VAR59, input wire [VAR18-1:0] VAR15, input wire VAR51, output wire VAR24, input wire [VAR53-1:0] VAR25, input wire [VAR53/8-1:0] VAR57, input wire VAR19, output wire VAR30, output wire [1:0] VAR43, output wire VAR29, input wire VAR16, input wire [VAR18-1:0] VAR14, input wire VAR35, output wire VAR7, output wire [VAR53-1:0] VAR41, output wire [1:0] VAR27, output wire VAR5, input wire VAR26, output wire interrupt, output wire [31:0] VAR37, output wire [31:0] VAR54, output wire VAR62, input wire VAR21, input wire VAR8, input wire VAR34 ); localparam VAR32 = 5; localparam VAR52 = 5'h00, VAR48 = 5'h04, VAR60 = 5'h08, VAR50 = 5'h0c, VAR13 = 5'h10, VAR3 = 5'h14, VAR47 = 5'h18, VAR45 = 5'h1c; localparam VAR9 = 2'd0, VAR56 = 2'd1, VAR4 = 2'd2; localparam VAR6 = 2'd0, VAR1 = 2'd1; reg [1:0] VAR10; reg [1:0] VAR28; reg [VAR32-1:0] VAR22; wire [31:0] VAR40; wire VAR31; wire VAR23; reg [1:0] VAR49; reg [1:0] VAR33; reg [31:0] VAR2; wire VAR44; wire [VAR32-1:0] VAR46; wire VAR36; reg VAR42; wire VAR61; reg VAR58; reg VAR12; reg VAR17; reg [1:0] VAR11; reg [1:0] VAR39; reg [31:0] VAR20; reg [31:0] VAR55; assign VAR24 = (VAR10 == VAR9); assign VAR30 = (VAR10 == VAR56); assign VAR43 = 2'b00; assign VAR29 = (VAR10 == VAR4); assign VAR40 = { {8{VAR57[3]}}, {8{VAR57[2]}}, {8{VAR57[1]}}, {8{VAR57[0]}} }; assign VAR31 = VAR51 & VAR24; assign VAR23 = VAR19 & VAR30; always @(posedge VAR38) begin if (~VAR59) VAR10 <= VAR9; end else VAR10 <= VAR28; end always @ begin case (VAR49) VAR6: if (VAR35) VAR33 = VAR1; end else VAR33 = VAR6; VAR1: if (VAR26) VAR33 = VAR6; else VAR33 = VAR1; default: VAR33 = VAR6; endcase end always @(posedge VAR38) begin if (VAR44) begin VAR2 <= 1'b0; case (VAR46) VAR52: begin VAR2[0] <= VAR58; VAR2[1] <= VAR42; VAR2[2] <= VAR36; VAR2[3] <= VAR61; VAR2[7] <= VAR12; end VAR48: begin VAR2 <= VAR17; end VAR60: begin VAR2 <= VAR11; end VAR50: begin VAR2 <= VAR39; end VAR3: begin VAR2 <= VAR20[31:0]; end VAR45: begin VAR2 <= VAR55[31:0]; end endcase end end assign interrupt = VAR17 & (|VAR39); assign VAR62 = VAR58; assign VAR36 = VAR34; assign VAR61 = VAR21; assign VAR37 = VAR20; assign VAR54 = VAR55; always @(posedge VAR38) begin if (~VAR59) VAR58 <= 1'b0; end else if (VAR23 && VAR22 == VAR52 && VAR57[0] && VAR25[0]) VAR58 <= 1'b1; else if (VAR21) VAR58 <= VAR12; end always @(posedge VAR38) begin if (~VAR59) VAR42 <= 1'b0; end else if (VAR8) VAR42 <= 1'b1; else if (VAR44 && VAR46 == VAR52) VAR42 <= 1'b0; end always @(posedge VAR38) begin if (~VAR59) VAR12 <= 1'b0; end else if (VAR23 && VAR22 == VAR52 && VAR57[0]) VAR12 <= VAR25[7]; end always @(posedge VAR38) begin if (~VAR59) VAR17 <= 1'b0; end else if (VAR23 && VAR22 == VAR48 && VAR57[0]) VAR17 <= VAR25[0]; end always @(posedge VAR38) begin if (~VAR59) VAR11 <= 1'b0; end else if (VAR23 && VAR22 == VAR60 && VAR57[0]) VAR11 <= VAR25[1:0]; end always @(posedge VAR38) begin if (~VAR59) VAR39[0] <= 1'b0; end else if (VAR11[0] & VAR8) VAR39[0] <= 1'b1; else if (VAR23 && VAR22 == VAR50 && VAR57[0]) VAR39[0] <= VAR39[0] ^ VAR25[0]; end always @(posedge VAR38) begin if (~VAR59) VAR39[1] <= 1'b0; end else if (VAR11[1] & VAR21) VAR39[1] <= 1'b1; else if (VAR23 && VAR22 == VAR50 && VAR57[0]) VAR39[1] <= VAR39[1] ^ VAR25[1]; end always @(posedge VAR38) begin if (VAR23 && VAR22 == VAR3) VAR20[31:0] <= (VAR25[31:0] & VAR40) | (VAR20[31:0] & ~VAR40); end always @(posedge VAR38) begin if (VAR23 && VAR22 == VAR45) VAR55[31:0] <= (VAR25[31:0] & VAR40) | (VAR55[31:0] & ~VAR40); end endmodule
gpl-2.0
ncos/Xilinx-Verilog
INTERFACES/src/CAN/can.v
1,336
module MODULE1 ( input wire VAR8, inout wire VAR17, inout wire VAR12, input wire VAR5, input wire [107:0] VAR22, input wire VAR16, output wire VAR11, output reg [107:0] VAR3, input wire VAR6, output wire VAR21 ); assign VAR12 = ~VAR17; reg [107:0] VAR1; reg [107:0] VAR15; wire VAR19; wire VAR14; VAR4 VAR18 ( .VAR8(VAR8), .VAR5(VAR5), .VAR10(VAR19), .VAR2(VAR16), .VAR7(VAR11), .VAR20(VAR22), .VAR13(VAR1) ); VAR4 VAR9 ( .VAR8(VAR8), .VAR5(VAR5), .VAR10(VAR6), .VAR2(VAR14), .VAR7(VAR21), .VAR20(VAR15), .VAR13(VAR3) ); endmodule
mit
revaldinho/opc
opc8/opc8cpu.v
4,360
module MODULE1(input[23:0] din,input clk,input VAR28,input[1:0] VAR53,input VAR10,output VAR11,output VAR16,output[23:0] dout,output[23:0] address,output VAR62); parameter VAR9=5'h00,VAR46=5'h01,VAR23=5'h02,VAR2=5'h03,VAR24=5'h04,VAR68=5'h05,VAR57=5'h06,VAR1=5'h07,VAR37=5'h08,VAR45=5'h09,VAR34=5'h0A,VAR60=5'h0B; parameter VAR5=5'h0C, VAR69=5'h0D,VAR13=5'h10,VAR59=5'h11,VAR42=5'h12,VAR18=5'h13,VAR64=5'h14,VAR8=5'h15,VAR49=5'h16,VAR36=5'h17; parameter VAR40=3'h0,VAR3=3'h1,VAR50=3'h2,VAR19=3'h3,VAR14=3'h4,VAR33=3'h5,VAR26=3'h6,VAR29=3,VAR67=2,VAR56=1,VAR6=0,VAR31=24'h2,VAR41=24'h4; reg [7:0] VAR21; reg [23:0] VAR20,VAR44, VAR12[14:0], VAR25, VAR66, VAR54; reg [4:0] VAR38; reg [3:0] VAR47,VAR32,VAR27,VAR22, VAR55; reg [2:0] VAR52, VAR43; reg VAR7,VAR48,VAR58,VAR30,VAR17,VAR63,VAR51, VAR39, VAR35, VAR65; wire VAR4 = (VAR55[0] ^ (VAR55[1]?(VAR55[2]?VAR21[VAR67]:VAR21[VAR6]):(VAR55[2]?VAR21[VAR56]:1))); wire [23:0] VAR61 = {24{(|VAR22)&&VAR38[4:2]!=3'b111}} & ((VAR22==4'hF)? VAR20 : VAR12[VAR22]); wire [23:0] VAR15 = (VAR38==VAR69)?{VAR66[15:0],VAR66[23:16]}:{VAR66[7:0],VAR66[23:8]}; assign {VAR62,dout,address}= {VAR39, VAR25, (VAR35)? VAR20 : VAR66}; assign {VAR11,VAR16} = {VAR35, VAR65}; always @( * ) begin case (VAR38) VAR8,VAR23 :{VAR48,VAR54} = {VAR21[VAR56],(VAR38==VAR8)?(VAR25 & VAR66):(VAR25 | VAR66)}; VAR37,VAR46 :{VAR48,VAR54} = (VAR38==VAR46)? {VAR21[VAR56], ~VAR66} : {VAR66, VAR21[VAR56]}; VAR64,VAR18,VAR42 :{VAR48,VAR54} = VAR25 + VAR66 + VAR51; VAR2,VAR60 :{VAR48,VAR54} = (VAR38==VAR60)?{VAR21[VAR56],8'b0,VAR21}:{VAR21[VAR56],VAR25 ^ VAR66}; VAR68,VAR1,VAR57 :{VAR54,VAR48} = {(VAR38==VAR68)?VAR21[VAR56]:(VAR38==VAR1)?VAR66[23]:1'b0,VAR66}; VAR69,VAR5 :{VAR48,VAR54} = { (VAR38==VAR69)? (|VAR66[23:16]): (|VAR66[7:0]), VAR66}; default :{VAR48,VAR54} = {VAR21[VAR56],VAR66} ; endcase {VAR47,VAR30,VAR58,VAR48,VAR7} = (VAR38==VAR34)?VAR66[7:0]:(VAR27!=4'hF)?{VAR21[7:3],VAR54[23],VAR48,!(|VAR54)}:VAR21; case (VAR52) VAR40 : VAR43 = (din[20:19]==2'b11)? VAR3 : VAR50; VAR3 : VAR43 = VAR50; VAR50 : VAR43 = (!VAR4) ? VAR40 : (VAR38==VAR36) ? VAR19 : (VAR38==VAR49) ? VAR33 : VAR14; VAR14 : VAR43 = ((!(&VAR53) & VAR21[VAR29])||(VAR38==VAR34&&(|VAR47)))?VAR26:(VAR27==4'hF||VAR38==VAR59)?VAR40:(din[20:19]==2'b11)?VAR3:VAR50; VAR33 : VAR43 = (!(&VAR53) & VAR21[VAR29])?VAR26:VAR40; default: VAR43 = (VAR52==VAR19)? VAR14 : VAR40; endcase end always @(posedge clk) if (VAR10) begin VAR25 <= (VAR27==4'hF)? VAR20 : VAR12[VAR27] & {24{(|VAR27)}}; VAR66 <= (VAR52==VAR50)?(VAR38==VAR69||VAR38==VAR5)? VAR15: ((VAR61+VAR66)^{24{(VAR38==VAR18||VAR38==VAR42)}}):(VAR52==VAR40||VAR52==VAR14)?{{16{(din[7]&(|din[11:8]))}}, din[7:0]}:din; {VAR17,VAR63, VAR51} <= {VAR28,VAR17, VAR38!=VAR64}; if (!VAR63) begin {VAR20,VAR44,VAR32,VAR21,VAR52,VAR65} <= 0; {VAR39, VAR35} <= 2'b11; end else begin {VAR52, VAR39} <= {VAR43, !(VAR43==VAR33) } ; {VAR35, VAR65} <= {VAR43==VAR40||VAR43==VAR3||VAR43==VAR14,VAR43==VAR19||VAR43==VAR33}; if ((VAR52==VAR40)||(VAR52==VAR14)) end {VAR55, VAR38, VAR27, VAR22} <= { din[23:21],(din[20:19]==2'b11)?2'b10: din[20:19], din[18:8]}; else if (VAR52==VAR50 & VAR38==VAR42 ) VAR27 <= 4'b0; if ( VAR52 == VAR26 ) {VAR20,VAR44,VAR32,VAR21[VAR29]} <= {(!VAR53[1])?VAR41:VAR31,VAR20,VAR21[3:0],1'b0}; end else if (VAR52==VAR40||VAR52==VAR3) VAR20 <= VAR20 + 1; else if ( VAR52 == VAR14) begin VAR20 <= (VAR38==VAR45)?VAR44: (VAR27==4'hF) ? VAR54[23:0] : (VAR38==VAR59)?VAR66:((!(&VAR53)&&VAR21[VAR29])||(VAR38==VAR34&&(|VAR47)))?VAR20:VAR20 + 1; VAR21 <= (VAR38==VAR45)?{4'b0,VAR32}:{VAR47,VAR30,VAR58,VAR48,VAR7}; VAR12[VAR27] <= (VAR38==VAR59)? VAR20 : VAR54 ; end end end endmodule
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/video_sys/submodules/altera_up_YCrCb_to_RGB_converter.v
14,139
module MODULE1 ( clk, VAR19, reset, VAR47, VAR45, VAR6, VAR32, VAR13, VAR48, VAR46, VAR38, VAR43, VAR7, VAR9, VAR39, VAR10, VAR57 ); input clk; input VAR19; input reset; input [ 7: 0] VAR47; input [ 7: 0] VAR45; input [ 7: 0] VAR6; input VAR32; input VAR13; input VAR48; input VAR46; output reg [ 7: 0] VAR38; output reg [ 7: 0] VAR43; output reg [ 7: 0] VAR7; output reg VAR9; output reg VAR39; output reg VAR10; output reg VAR57; wire [35: 0] VAR34; wire [35: 0] VAR49; wire [35: 0] VAR29; wire [35: 0] VAR23; wire [35: 0] VAR52; wire [10: 0] VAR8; wire [10: 0] VAR26; wire [10: 0] VAR53; reg [10: 0] VAR3; reg [10: 0] VAR28; reg [10: 0] VAR27; reg [10: 0] VAR51; reg [10: 0] VAR42; reg [10: 0] VAR17; reg [10: 0] VAR22; reg [10: 0] VAR2; reg [ 1: 0] VAR16; reg [ 1: 0] VAR11; reg [ 1: 0] VAR24; reg [ 1: 0] VAR20; always @ (posedge clk) begin if (reset) begin VAR38 <= 8'h00; VAR43 <= 8'h00; VAR7 <= 8'h00; end else if (VAR19) begin if (VAR8[10] == 1'b1) VAR38 <= 8'h00; end else if ((VAR8[9] | VAR8[8]) == 1'b1) VAR38 <= 8'hFF; end else VAR38 <= VAR8[7:0]; if (VAR26[10] == 1'b1) VAR43 <= 8'h00; else if ((VAR26[9] | VAR26[8]) == 1'b1) VAR43 <= 8'hFF; else VAR43 <= VAR26[7:0]; if (VAR53[10] == 1'b1) VAR7 <= 8'h00; else if ((VAR53[9] | VAR53[8]) == 1'b1) VAR7 <= 8'hFF; else VAR7 <= VAR53[7:0]; end end always @ (posedge clk) begin if (VAR19) begin VAR9 <= VAR16[1]; VAR39 <= VAR11[1]; VAR10 <= VAR24[1]; VAR57 <= VAR20[1]; end end always @ (posedge clk) begin if (reset) begin VAR3 <= 11'h000; VAR28 <= 11'h000; VAR27 <= 11'h000; end else if (VAR19) begin VAR3 <= ({3'b000, VAR47} - 11'd16); VAR28 <= ({3'b000, VAR45} - 11'd128); VAR27 <= ({3'b000, VAR6} - 11'd128); end end always @ (posedge clk) begin if (reset) begin VAR51 <= 11'h000; VAR42 <= 11'h000; VAR17 <= 11'h000; VAR22 <= 11'h000; VAR2 <= 11'h000; end else if (VAR19) begin VAR51 <= VAR34[25:15]; VAR42 <= VAR49[25:15]; VAR17 <= VAR29[25:15]; VAR22 <= VAR23[25:15]; VAR2 <= VAR52[25:15]; end end always @(posedge clk) begin if (reset) begin VAR16 <= 2'h0; VAR11 <= 2'h0; VAR24 <= 2'h0; VAR20 <= 2'h0; end else if (VAR19) begin VAR16[1] <= VAR16[0]; VAR11[1] <= VAR11[0]; VAR24[1] <= VAR24[0]; VAR20[1] <= VAR20[0]; VAR16[0] <= VAR32; VAR11[0] <= VAR13; VAR24[0] <= VAR48; VAR20[0] <= VAR46; end end assign VAR8 = VAR51 + VAR17; assign VAR26 = VAR51 - VAR42 - VAR2; assign VAR53 = VAR51 + VAR22; VAR5 VAR40 ( .VAR33 ({{7{VAR3[10]}}, VAR3}), .VAR12 (18'h094FD), .VAR41 (1'b0), .VAR19 (1'b1), .VAR4 (1'b0), .VAR14 (VAR34), .sum (1'b0) ); VAR40.VAR35 = 18, VAR40.VAR25 = 18, VAR40.VAR15 = 36, VAR40.VAR30 = 1, VAR40.VAR37 = "VAR54", VAR40.VAR18 = "VAR31", VAR40.VAR50 = "VAR58=VAR36,VAR44=5"; VAR5 VAR55 ( .VAR33 ({{7{VAR28[10]}}, VAR28}), .VAR12 (18'h06810), .VAR41 (1'b0), .VAR19 (1'b1), .VAR4 (1'b0), .VAR14 (VAR49), .sum (1'b0) ); VAR55.VAR35 = 18, VAR55.VAR25 = 18, VAR55.VAR15 = 36, VAR55.VAR30 = 1, VAR55.VAR37 = "VAR54", VAR55.VAR18 = "VAR31", VAR55.VAR50 = "VAR58=VAR36,VAR44=5"; VAR5 VAR21 ( .VAR33 ({{7{VAR28[10]}}, VAR28}), .VAR12 (18'h0CC49), .VAR41 (1'b0), .VAR19 (1'b1), .VAR4 (1'b0), .VAR14 (VAR29), .sum (1'b0) ); VAR21.VAR35 = 18, VAR21.VAR25 = 18, VAR21.VAR15 = 36, VAR21.VAR30 = 1, VAR21.VAR37 = "VAR54", VAR21.VAR18 = "VAR31", VAR21.VAR50 = "VAR58=VAR36,VAR44=5"; VAR5 VAR56 ( .VAR33 ({{7{VAR27[10]}}, VAR27}), .VAR12 (18'h1022D), .VAR41 (1'b0), .VAR19 (1'b1), .VAR4 (1'b0), .VAR14 (VAR23), .sum (1'b0) ); VAR56.VAR35 = 18, VAR56.VAR25 = 18, VAR56.VAR15 = 36, VAR56.VAR30 = 1, VAR56.VAR37 = "VAR54", VAR56.VAR18 = "VAR31", VAR56.VAR50 = "VAR58=VAR36,VAR44=5"; VAR5 VAR1 ( .VAR33 ({{7{VAR27[10]}}, VAR27}), .VAR12 (18'h0322D), .VAR41 (1'b0), .VAR19 (1'b1), .VAR4 (1'b0), .VAR14 (VAR52), .sum (1'b0) ); VAR1.VAR35 = 18, VAR1.VAR25 = 18, VAR1.VAR15 = 36, VAR1.VAR30 = 1, VAR1.VAR37 = "VAR54", VAR1.VAR18 = "VAR31", VAR1.VAR50 = "VAR58=VAR36,VAR44=5"; endmodule
gpl-2.0
lvd2/zxevo
fpga/base_trdemu/trunk/video/video_palframe.v
6,550
module MODULE1( input wire clk, input wire VAR33, input wire VAR21, input wire VAR45, input wire VAR20, input wire VAR2, input wire VAR50, input wire [ 3:0] VAR34, input wire [ 3:0] VAR19, input wire VAR28, input wire VAR36, input wire [ 1:0] VAR11, input wire [ 2:0] VAR31, input wire [ 2:0] VAR5, input wire VAR16, input wire VAR17, input wire VAR23, input wire [ 5:0] VAR10, input wire [ 7:0] VAR43, input wire VAR37, input wire [ 5:0] VAR48, input wire [ 5:0] VAR1, input wire VAR44, output wire [ 5:0] VAR47, output wire [ 5:0] VAR32 ); reg [11:0] VAR42; wire [ 3:0] VAR41; wire [ 5:0] VAR49; wire [ 7:0] VAR35; reg [3:0] VAR13; reg VAR6; reg [2:0] VAR4; reg VAR9; reg [1:0] VAR27; always @(posedge clk) if( VAR28 ) VAR13 <= VAR19; assign VAR41 = (VAR33&VAR21) ? VAR34 : (VAR36 ? VAR13 : VAR19); assign VAR49 = (VAR33&VAR21) ? {VAR11,~VAR16,VAR16?VAR5:VAR31} : {3'd0,VAR19[2:0]}; assign VAR35 = VAR17 ? {2'b10,VAR49} : {4'd0,VAR41}; reg [11:0] VAR53 [0:255]; always @(posedge clk) begin if( VAR37 || VAR23 ) begin : VAR24 reg [8:0] VAR12; VAR12 = VAR37 ? { 5'd0, VAR41 } : { 3'b100, VAR10 }; VAR53[VAR12] <= VAR37 ? {VAR48[3:2],VAR44?VAR1[3:2]:VAR48[3:2], VAR48[5:4],VAR44?VAR1[5:4]:VAR48[5:4], VAR48[1:0],VAR44?VAR1[1:0]:VAR48[1:0] } : VAR43; end VAR42 <= VAR53[VAR35]; end assign VAR47 = VAR44? {VAR42[5:4],VAR42[9:8], VAR42[1:0]} :{VAR42[7:6],VAR42[11:10], VAR42[3:2]}; always @(posedge clk) VAR6 <= VAR50; wire VAR39 = VAR50 && !VAR6; VAR7 VAR4 = 3'b000; always @(posedge clk) VAR4 <= VAR4+3'b001; VAR7 VAR9 = 1'b0; always @(posedge clk) if( VAR2 ) VAR9 <= ~VAR9; VAR7 VAR27 = 2'b00; always @(posedge clk) if( VAR39 ) VAR27 <= VAR27+2'b01; wire [1:0] VAR51; wire [1:0] VAR52; wire [1:0] VAR26; wire VAR25 = VAR17 | VAR44; MODULE2 MODULE1 ( .VAR27 (VAR27), .VAR22 (VAR4[2:1] ), .VAR3 (VAR9 ), .VAR14 (VAR42[11:8]), .VAR46(VAR51 ) ); MODULE2 MODULE3 ( .VAR27 (VAR27), .VAR22 (VAR4[2:1] ), .VAR3 (VAR9 ), .VAR14 (VAR42[7:4]), .VAR46(VAR52 ) ); MODULE2 MODULE2 ( .VAR27 (VAR27), .VAR22 (VAR4[2:1] ), .VAR3 (VAR9 ), .VAR14 (VAR42[3:0]), .VAR46(VAR26 ) ); assign VAR32 = (VAR45 | VAR20) ? 6'd0 : {VAR52,VAR51,VAR26}; endmodule module MODULE2 ( input wire [1:0] VAR27, input wire [1:0] VAR22, input wire VAR3, input wire [3:0] VAR14, output reg [1:0] VAR46 ); reg [3:0] VAR30; reg [1:0] VAR15; reg VAR38; reg [1:0] VAR8; always @* begin case( VAR14 ) 4'b0000: VAR30 = 4'b0000; 4'b0001: VAR30 = 4'b0001; 4'b0010, 4'b0011: VAR30 = 4'b0010; 4'b0100: VAR30 = 4'b0011; 4'b0101: VAR30 = 4'b0100; 4'b0110: VAR30 = 4'b0101; 4'b0111, 4'b1000: VAR30 = 4'b0110; 4'b1001: VAR30 = 4'b0111; 4'b1010: VAR30 = 4'b1000; 4'b1011: VAR30 = 4'b1001; 4'b1100, 4'b1101: VAR30 = 4'b1010; 4'b1110: VAR30 = 4'b1011; default: VAR30 = 4'b1100; endcase VAR38 = VAR3 ^ (VAR22[1] & VAR30[0]); VAR8 = {VAR38+VAR27[1], VAR22[0]+VAR27[0]}; case(VAR8[1:0]) 2'b00: VAR15 = 2'b00; 2'b01: VAR15 = 2'b10; 2'b10: VAR15 = 2'b11; 2'b11: VAR15 = 2'b01; endcase VAR46 = VAR30[3:2] + ((VAR30[1:0] > VAR15[1:0]) ? 2'b01 : 2'b00); end endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/ip_top/mem_intfc.v
39,254
module MODULE1 # ( parameter VAR109 = 100, parameter VAR86 = 64, parameter VAR230 = "VAR262", parameter VAR74 = "0", parameter VAR194 = 3, parameter VAR252 = 2, parameter VAR254 = "8", parameter VAR212 = "VAR198", parameter VAR39 = 1, parameter VAR72 = 5, parameter VAR190 = 12, parameter VAR218 = "VAR79", parameter VAR120 = 1, parameter VAR246 = 1, parameter VAR29 = 5, parameter VAR249 = 64, parameter VAR199 = 8, parameter VAR16 = 1, parameter VAR185 = 8, parameter VAR12 = 6, parameter VAR64 = 64, parameter VAR31 = 3, parameter VAR129 = 8, parameter VAR245 = "VAR25", parameter VAR229 = 8, parameter VAR13 = "VAR36", parameter VAR52 = 8, parameter VAR96 = 31, parameter VAR53 = 0, parameter VAR114 = 4, parameter VAR224 = 2, parameter VAR142 = 1, parameter VAR41 = "VAR179", parameter VAR195 = "VAR36" , parameter VAR162 = "VAR36", parameter VAR69 = "VAR79", parameter VAR88 = "VAR237", parameter VAR51 = "VAR204" , parameter VAR225 = "VAR36" , parameter VAR132 = "60" , parameter VAR196 = "120" , parameter VAR61 = 2, parameter VAR127 = 2500, parameter VAR213 = 40000, parameter VAR261 = 1000000, parameter VAR241 = 37500, parameter VAR160 = 12500, parameter VAR128 = 7800000, parameter VAR101 = 110000, parameter VAR137 = 12500, parameter VAR228 = 10000, parameter VAR265 = 7500, parameter VAR56 = 7500, parameter VAR239 = 128000000, parameter VAR54 = 64, parameter VAR248 = "VAR36" , parameter VAR110 = "VAR36" , parameter VAR34 = "VAR157" , parameter VAR9 = 1, parameter VAR3 = 4, parameter VAR55 = 16, parameter [7:0] VAR187 = 8'b00000001, parameter [7:0] VAR210 = 8'b00000000, parameter VAR37 = "VAR178", parameter VAR174 = "VAR266", parameter VAR44 = 300.0, parameter VAR63 = VAR129, parameter VAR84 = 0, parameter VAR140 = 0, parameter VAR148 = 0, parameter VAR227 = 144'h11100F0E0D0C0B0A09080706050403020100, parameter VAR14 = 0, parameter VAR28 = 0, parameter VAR50 = 0, parameter VAR173 = 1 ) ( VAR155, VAR57, VAR184, VAR151, VAR82, VAR100, VAR115, VAR78, VAR93, VAR191, VAR126, VAR221, VAR223, VAR111, VAR231, VAR267, VAR105, VAR26, VAR146, VAR244, VAR238, VAR161, VAR104, VAR169, VAR60, VAR89, VAR171, VAR99, VAR158, VAR48, VAR138, VAR153, VAR186, VAR201, VAR145, VAR234, VAR222, VAR258, VAR94, VAR23, VAR76, VAR242, VAR205, VAR156, VAR215, VAR22, VAR2, VAR33, VAR193, VAR202, VAR62, VAR165, VAR135, VAR216, VAR97, VAR124, VAR21, VAR38, VAR81, VAR168, VAR8, VAR123, rst, VAR80, VAR116, VAR200, VAR117, VAR42, VAR40, VAR166, clk, VAR264, VAR203, VAR208, VAR232, VAR181, VAR67, VAR251, VAR30, VAR32, VAR83, VAR217, VAR236, VAR68, VAR211, VAR167, VAR240, VAR163, VAR85, VAR65, VAR154, VAR263, VAR247, VAR66, VAR107, VAR121, VAR175, VAR103, VAR46, VAR119, VAR90, VAR139, VAR207, VAR59, VAR253, VAR45 ); input [VAR194-1:0] VAR203; input clk; input VAR166; input VAR264; input [2:0] VAR40; input [VAR190-1:0] VAR42; input VAR30; input [VAR199-1:0] VAR117; input VAR45; input VAR211; input VAR240; input VAR85; input VAR68; input VAR167; input VAR163; input VAR253; input [VAR31-1:0] VAR59; input VAR103; input VAR119; input VAR175; input VAR46; input VAR66; input VAR107; input VAR121; input VAR90; input VAR139; input [3:0] VAR207; input VAR154; input VAR247; input [VAR31-1:0] VAR65; input [VAR31-1:0] VAR263; input [5*VAR129-1:0] VAR217; input [5*VAR129-1:0] VAR83; input VAR236; input VAR200; input VAR181; input [VAR9-1:0] VAR116; input [3:0] VAR32; input [VAR55-1:0] VAR80; input rst; input VAR123; input [7:0] VAR67; input [7:0] VAR251; input VAR8; input [(4*VAR86)-1:0] VAR208; input [(4*(VAR249/8))-1:0] VAR232; output VAR238; output VAR161; output [VAR252-1:0] VAR244; output [5*VAR129-1:0] VAR156; output [5*VAR129-1:0] VAR215; output [5*VAR129-1:0] VAR202; output [5*VAR129-1:0] VAR135; output [5*VAR129-1:0] VAR165; output [4:0] VAR33; output [3*VAR129-1:0] VAR22; output [2*VAR129-1:0] VAR2; output [VAR129-1:0] VAR23; output [4*VAR64-1:0] VAR193; output [1:0] VAR242; output [1:0] VAR205; output [1:0] VAR76; output [4:0] VAR258; output [VAR129-1:0] VAR201; output VAR94; output [5*VAR129-1:0] VAR222; output [5*VAR129-1:0] VAR234; output [2*VAR129-1:0] VAR145; output VAR153; output VAR186; output VAR138; output [VAR55-1:0] VAR146; output [VAR194-1:0] VAR26; output VAR105; output [VAR39-1:0] VAR267; output [VAR39-1:0] VAR231 ; output [VAR246-1:0] VAR111; output [VAR120*VAR142-1:0] VAR223; output [VAR185-1:0] VAR221; output [VAR120*VAR142-1:0] VAR126; output VAR191; output VAR93; output VAR78; output VAR115; output VAR171; output [VAR96-1:0] VAR48; output [3:0] VAR158; output [3:0] VAR99; output VAR60; output VAR89; output [255:0] VAR216; output [255:0] VAR97; output [255:0] VAR124; output [255:0] VAR21; output [(4*VAR86)-1:0] VAR104; output [VAR199-1:0] VAR100; output VAR82; output VAR169; output [VAR16-1:0] VAR151; output [19:0] VAR62; output [VAR199-1:0] VAR184; output VAR57; output [VAR16-1:0] VAR155; inout [VAR64-1:0] VAR38; inout [VAR129-1:0] VAR168; inout [VAR129-1:0] VAR81 ; localparam VAR206 = 1 + (|VAR210 ? 1 : 0); localparam VAR77 = (VAR206 == 2)? 8'b00000101 : 8'b00001111; localparam VAR15 = (VAR206 == 2)? 8'b00001010 : 8'b00000000; wire [VAR55-1:0] VAR235; wire [VAR55-1:0] VAR243; wire [VAR194-1:0] VAR209; wire [VAR194-1:0] VAR35; wire VAR131; wire VAR257; wire [(VAR120*VAR142)-1:0] VAR255; wire [(VAR120*VAR142)-1:0] VAR159; wire [VAR206*VAR142-1:0] VAR141; wire [VAR206*VAR142-1:0] VAR24; reg [VAR120*VAR142-1:0] VAR11; reg [VAR120*VAR142-1:0] VAR176; wire [VAR206*VAR142-1:0] VAR102; wire [VAR206*VAR142-1:0] VAR6; reg [VAR206*VAR142-1:0] VAR112; reg [VAR206*VAR142-1:0] VAR260; reg [VAR206*VAR142-1:0] VAR73; reg [VAR206*VAR142-1:0] VAR220; reg [VAR206*VAR142-1:0] VAR219; reg [VAR206*VAR142-1:0] VAR92; reg [VAR206*VAR142-1:0] VAR91; reg [VAR206*VAR142-1:0] VAR177; reg [VAR206*VAR142-1:0] VAR197; reg [VAR206*VAR142-1:0] VAR188; reg [VAR206*VAR142-1:0] VAR143; reg [VAR206*VAR142-1:0] VAR7; reg [VAR206*VAR142-1:0] VAR192; reg [VAR206*VAR142-1:0] VAR256; reg [VAR206*VAR142-1:0] VAR4; reg [VAR206*VAR142-1:0] VAR134; reg [VAR206*VAR142-1:0] VAR20; reg [VAR206*VAR142-1:0] VAR133; reg [VAR206*VAR142-1:0] VAR214; reg [VAR206*VAR142-1:0] VAR18; wire [VAR120*VAR142-1:0] VAR118; wire [VAR120*VAR142-1:0] VAR125; wire VAR147; wire VAR183; wire VAR47; wire [VAR129-1:0] VAR10; wire VAR170; wire VAR75; wire VAR71; wire VAR180; wire [VAR129-1:0] VAR259; wire [VAR9:0] VAR108; wire VAR58; wire [4*VAR64-1:0] VAR87; wire [4*VAR64-1:0] VAR182; wire [4*VAR64/8-1:0] VAR19; reg [7:0] VAR130; reg [7:0] VAR144; reg VAR172 = 1'b0; reg VAR152 = 1'b0; reg VAR136 = 1'b0; localparam VAR43 = (VAR245 == "VAR25") ? VAR29 : VAR72-1; assign VAR118 = VAR11; assign VAR125 = VAR176; always @(posedge clk)begin end generate if(VAR29 >= 7)begin always @(posedge clk) begin VAR20 = VAR141; VAR133 = VAR24; VAR214 = VAR102; VAR18 = VAR6; end end else begin always @(posedge clk) begin VAR20 = VAR141; VAR133 = VAR24; VAR214 = VAR102; VAR18 = VAR6; end end endgenerate generate if (VAR206 == 1) begin: VAR70 always @ (VAR67[0] or VAR67[1] or VAR67[2] or VAR67[3] or VAR20 or VAR133 or VAR214 or VAR18) begin VAR130 = VAR67; VAR144 = VAR251; VAR11 = {VAR120*VAR142{1'b0}}; VAR176 = {VAR120*VAR142{1'b0}}; VAR11[VAR142-1:0] = VAR214[VAR142-1:0] | VAR20[VAR142-1:0]; VAR176[VAR142-1:0]= VAR18[VAR142-1:0] | VAR133[VAR142-1:0]; end end else if (VAR206 == 2) begin: VAR149 always @ (VAR67[0] or VAR67[1] or VAR251[0] or VAR251[1] or VAR20 or VAR133 or VAR214 or VAR18) begin VAR11 = {VAR120*VAR142{1'b0}}; VAR176 = {VAR120*VAR142{1'b0}}; case ({VAR67[0],VAR67[1], VAR251[0],VAR251[1]}) 4'b1000: begin VAR11[0] = VAR20[0]; VAR176[0] = VAR133[0]; VAR130 = 8'b00000001; VAR144 = 8'b00000000; end 4'b0010: begin VAR11[0] = VAR20[1]; VAR176[0] = VAR133[1]; VAR130 = 8'b00000000; VAR144 = 8'b00000010; end 4'b1100: begin VAR11[0] = VAR214[0]; VAR11[1] = VAR20[0]; VAR176[0] = VAR18[0]; VAR176[1] = VAR133[0]; VAR130 = 8'b00000101; VAR144 = 8'b00000000; end 4'b0011: begin VAR11[1] = VAR20[1]; VAR11[0] = VAR214[1]; VAR176[1] = VAR133[1]; VAR176[0] = VAR18[1]; VAR130 = 8'b00000000; VAR144 = 8'b00001010; end 4'b1010: begin VAR11[1:0] = {VAR20[1], VAR20[0]}; VAR176[1:0] = {VAR133[1], VAR133[0]}; VAR130 = 8'b00000001; VAR144 = 8'b00000010; end 4'b1011: begin VAR11[1:0] = {VAR214[1], VAR20[0]}; VAR11[2] = VAR20[1]; VAR176[1:0] = {VAR18[1], VAR133[0]}; VAR176[2] = VAR133[1]; VAR130 = 8'b00000001; VAR144 = 8'b00001010; end 4'b1110: begin VAR11[2:0] = {VAR20[1], VAR20[0], VAR214[0]}; VAR176[2:0] = { VAR133[1], VAR133[0], VAR18[0]}; VAR130 = 8'b00000101; VAR144 = 8'b00000010; end 4'b1111: begin VAR11 = {VAR20[1], VAR214[1], VAR20[0], VAR214[0]}; VAR176 = {VAR133[1], VAR18[1], VAR133[0], VAR18[0]}; VAR130 = 8'b00000101; VAR144 = 8'b00001010; end endcase end end endgenerate VAR113 # ( .VAR109(VAR109), .VAR86 (VAR86), .VAR96 (VAR96), .VAR230 (VAR230), .VAR194 (VAR194), .VAR252 (VAR252), .VAR254 (VAR254), .VAR190 (VAR190), .VAR218 (VAR218), .VAR120 (VAR120), .VAR249 (VAR249), .VAR199 (VAR199), .VAR16 (VAR16), .VAR245 (VAR245), .VAR129 (VAR129), .VAR64 (VAR64), .VAR13 (VAR13), .VAR52 (VAR52), .VAR114 (VAR114), .VAR224 (VAR224), .VAR206 (VAR206), .VAR72 (VAR72), .VAR142 (VAR142), .VAR29 (VAR43), .VAR41 (VAR41), .VAR9 (VAR9), .VAR3 (VAR3), .VAR195 (VAR195), .VAR55 (VAR55), .VAR132 (VAR132), .VAR196 (VAR196), .VAR61 (VAR61), .VAR187 (VAR77), .VAR210 (VAR15), .VAR127 (VAR127), .VAR213 (VAR213), .VAR261 (VAR261), .VAR241 (VAR241), .VAR160 (VAR160), .VAR128 (VAR128), .VAR101 (VAR101), .VAR137 (VAR137), .VAR228 (VAR228), .VAR265 (VAR265), .VAR56 (VAR56), .VAR239 (VAR239), .VAR54 (VAR54)) VAR95 (.VAR106 (1'b0), .VAR150 (1'b0), .VAR189 (1'b0), .VAR10 (VAR10[VAR129-1:0]), .VAR259 (VAR259[VAR129-1:0]), .VAR141 (VAR141), .VAR102 (VAR102), .VAR24 (VAR24), .VAR6 (VAR6), .VAR99 (VAR99), .VAR158 (VAR158), .VAR48 (VAR48), .VAR238 (VAR238), .VAR161 (VAR161), .VAR244 (VAR244[VAR252-1:0]), .VAR235 (VAR235[VAR55-1:0]), .VAR243 (VAR243[VAR55-1:0]), .VAR209 (VAR209[VAR194-1:0]), .VAR35 (VAR35[VAR194-1:0]), .VAR131 (VAR131), .VAR257 (VAR257), .VAR255 (VAR255[(VAR120*VAR142)-1:0]), .VAR159 (VAR159[(VAR120*VAR142)-1:0]), .VAR183 (VAR183), .VAR47 (VAR47), .VAR71 (VAR71), .VAR180 (VAR180), .VAR108 (VAR108[VAR9:0]), .VAR58 (VAR58), .VAR100 (VAR100[VAR199-1:0]), .VAR82 (VAR82), .VAR169 (VAR169), .VAR151 (VAR151[VAR16-1:0]), .VAR184 (VAR184[VAR199-1:0]), .VAR57 (VAR57), .VAR155 (VAR155[VAR16-1:0]), .VAR147 (VAR147), .VAR75 (VAR75), .VAR87 (VAR87[4*VAR64-1:0]), .VAR182 (VAR182[4*VAR64-1:0]), .VAR19 (VAR19[4*VAR64/8-1:0]), .VAR104 (VAR104[4*VAR86-1:0]), .VAR208 (VAR208[4*VAR86-1:0]), .VAR232 (VAR232[4*VAR249/8-1:0]), .VAR30 (VAR30), .VAR203 (VAR203[VAR194-1:0]), .clk (clk), .VAR40 (VAR40[2:0]), .VAR42 (VAR42[VAR190-1:0]), .VAR117 (VAR117[VAR199-1:0]), .VAR171 (VAR171), .VAR170 (VAR170), .VAR200 (VAR200), .VAR116 (VAR116[VAR9-1:0]), .VAR32 (VAR32[3:0]), .VAR80 (VAR80[VAR55-1:0]), .rst (rst), .VAR123 (VAR123), .VAR67 (VAR130[7:0]), .VAR251 (VAR144[7:0]), .VAR8 (VAR8)); localparam VAR226 = VAR127 * VAR224; localparam VAR98 = VAR72; localparam VAR27 = VAR43; VAR122: assert property (@(posedge clk) (~((VAR245 == "VAR5") && ((VAR72 > 6) || (VAR72 < 3))))); VAR1 # ( .VAR109 (VAR109), .VAR44 (VAR44), .VAR142 (VAR142), .VAR34 (VAR34), .VAR120 (VAR120), .VAR224 (VAR224), .VAR246 (VAR246), .VAR245 (VAR245), .VAR187 (VAR187), .VAR210 (VAR210), .VAR226 (VAR226), .VAR194 (VAR194), .VAR39 (VAR39), .VAR190 (VAR190), .VAR185 (VAR185), .VAR12 (VAR12), .VAR64 (VAR64), .VAR31 (VAR31), .VAR129 (VAR129), .VAR229 (VAR229), .VAR55 (VAR55), .VAR9 (VAR9), .VAR74 (VAR74), .VAR254 (VAR254), .VAR212 (VAR212), .VAR53 (VAR53), .VAR98 (VAR98), .VAR27 (VAR27), .VAR101 (VAR101), .VAR51 (VAR51), .VAR225 (VAR225), .VAR132 (VAR132), .VAR196 (VAR196), .VAR248 (VAR248), .VAR195 (VAR195), .VAR69 (VAR69), .VAR88 (VAR88), .VAR37 (VAR37), .VAR174 (VAR174), .VAR63 (VAR63), .VAR84 (VAR84), .VAR140 (VAR140), .VAR148 (VAR148), .VAR227 (VAR227), .VAR14 (VAR14), .VAR28 (VAR28), .VAR50 (VAR50), .VAR173 (VAR173), .VAR110 (VAR110) ) VAR250 ( .VAR87 (VAR87), .VAR170 (VAR170), .VAR171 (VAR171), .VAR17 (VAR231), .VAR267 (VAR267), .VAR146 (VAR146), .VAR26 (VAR26), .VAR191 (VAR191), .VAR105 (VAR105), .VAR115 (VAR115), .VAR223 (VAR223), .VAR111 (VAR111), .VAR126 (VAR126), .VAR93 (VAR93), .VAR78 (VAR78), .VAR221 (VAR221), .VAR60 (VAR60), .VAR89 (VAR89), .VAR138 (VAR138), .VAR153 (VAR153), .VAR186 (VAR186), .VAR201 (VAR201), .VAR145 (VAR145), .VAR234 (VAR234), .VAR222 (VAR222), .VAR258 (VAR258), .VAR94 (VAR94), .VAR23 (VAR23), .VAR76 (VAR76), .VAR242 (VAR242), .VAR205 (VAR205), .VAR156 (VAR156), .VAR215 (VAR215), .VAR22 (VAR22), .VAR2 (VAR2), .VAR33 (VAR33), .VAR193 (VAR193), .VAR202 (VAR202), .VAR62 (VAR62), .VAR165 (VAR165), .VAR135 (VAR135), .VAR216 (VAR216), .VAR97 (VAR97), .VAR124 (VAR124), .VAR21 (VAR21), .VAR49 (VAR81), .VAR168 (VAR168), .VAR38 (VAR38), .VAR166 (VAR166), .clk (clk), .VAR264 (VAR264), .rst (rst), .VAR67 (VAR67), .VAR251 (VAR251), .VAR235 (VAR235), .VAR243 (VAR243), .VAR209 (VAR209), .VAR35 (VAR35), .VAR131 (VAR131), .VAR257 (VAR257), .VAR164 ({VAR246{1'b1}}), .VAR233 ({VAR246{1'b1}}), .VAR255 (VAR255), .VAR159 (VAR159), .VAR118 (VAR118), .VAR125 (VAR125), .VAR183 (VAR183), .VAR47 (VAR47), .VAR75 (VAR75), .VAR71 (VAR71), .VAR180 (VAR180), .VAR259 (VAR259[0]), .VAR182 (VAR182), .VAR19 (VAR19), .VAR10 (VAR10[0]), .VAR147 (VAR147), .VAR58 (VAR58), .VAR108 (VAR108), .VAR181 (VAR181), .VAR83 (VAR83), .VAR217 (VAR217), .VAR236 (VAR236), .VAR68 (VAR68), .VAR211 (VAR211), .VAR167 (VAR167), .VAR240 (VAR240), .VAR163 (VAR163), .VAR85 (VAR85), .VAR65 (VAR65), .VAR154 (VAR154), .VAR263 (VAR263), .VAR247 (VAR247), .VAR66 (VAR66), .VAR107 (VAR107), .VAR121 (VAR121), .VAR175 (VAR175), .VAR103 (VAR103), .VAR46 (VAR46), .VAR119 (VAR119), .VAR90 (VAR90), .VAR139 (VAR139), .VAR207 (VAR207), .VAR59 (VAR59), .VAR253 (VAR253), .VAR45 (VAR45) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a41o/sky130_fd_sc_ms__a41o.pp.symbol.v
1,388
module MODULE1 ( input VAR6 , input VAR7 , input VAR10 , input VAR2 , input VAR1 , output VAR5 , input VAR3 , input VAR4, input VAR9, input VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a32oi/sky130_fd_sc_hd__a32oi.symbol.v
1,433
module MODULE1 ( input VAR1, input VAR10, input VAR5, input VAR2, input VAR9, output VAR7 ); supply1 VAR3; supply0 VAR6; supply1 VAR8 ; supply0 VAR4 ; endmodule
apache-2.0
calee0219/Course
DLAB/Lab08/Lab08_0416037.v
5,082
module MODULE1( input clk, input rst, input VAR31, input VAR15, input VAR39, input [3:0] VAR42, output reg [7:0] VAR21, output [11:8] VAR35, output VAR22, output VAR8, output VAR24 ); integer VAR20=2000000; integer VAR19=1000; parameter VAR30=0; parameter VAR13=1; parameter VAR27=2; parameter VAR23=0; parameter VAR41=1; parameter VAR25=2; parameter VAR14=3; reg [1:0] VAR43, VAR3; reg [20:0] VAR44; reg [10:0] VAR48; reg [7:0] VAR36; reg [1:0] VAR29; wire [4:0] VAR6; reg VAR26; wire [7:0] VAR4; reg [7:0] VAR28; wire [127:0] VAR40, VAR37; assign VAR4 = VAR36; VAR32 VAR11( .VAR38(VAR36), .VAR45(VAR6), .clk(clk) ); VAR18 VAR9( .clk(clk), .rst(rst), .VAR2(VAR40), .VAR33(VAR37), .VAR34(VAR22), .VAR12(VAR8), .VAR17(VAR24), .VAR47(VAR35) ); VAR7 VAR10( .clk(clk), .rst(rst), .VAR46(VAR4), .VAR16(1), .VAR5(VAR40) ); VAR7 VAR1( .clk(clk), .rst(rst), .VAR46(VAR28), .VAR16(0), .VAR5(VAR37) ); always@(posedge clk, posedge rst) begin if(rst) VAR43 <= VAR30; end else VAR43 <= VAR3; end always@(*) begin case(VAR43) VAR30: begin if(VAR31 || VAR39 || VAR15) VAR3 = VAR13; end else VAR3 = VAR30; end VAR27: begin if((VAR48 > VAR19) && (VAR31 || VAR39 || VAR15)) VAR3 = VAR13; end else VAR3 = VAR27; end VAR13: begin if(VAR44 >= VAR20) VAR3 = VAR27; end else VAR3 = VAR13; end default: VAR3 = VAR30; endcase end always@(posedge clk, posedge rst) begin if(rst) VAR48 <= 0; end else begin case(VAR43) VAR30: VAR48 <= 0; VAR27: begin if(VAR48 > VAR19) VAR48 <= VAR48; end else if(!(VAR31 || VAR39 || VAR15)) VAR48 <= VAR48+1; end else VAR48 <= 0; end VAR13: VAR48 <= 0; endcase end end always@(posedge clk, posedge rst) begin if(rst) VAR26 <= 0; end else if(VAR43 == VAR13 && !VAR26) VAR26 <= 1; else if(VAR43 == VAR13) VAR26 <= VAR26; else VAR26 <= 0; end always@(posedge clk, posedge rst) begin if(rst) VAR44 <= 0; end else begin case(VAR43) VAR30: VAR44 <= 0; VAR27: VAR44 <= 0; VAR13: begin if(VAR31 || VAR39 || VAR15) VAR44 <= VAR44+1; end else VAR44 <= VAR44; end endcase end end always@(posedge clk, posedge rst) begin if(rst) VAR29 <= VAR23; end else begin case(VAR43) VAR30: VAR29 <= VAR23; VAR13: begin if(VAR31) VAR29 <= VAR25; end else if(VAR15) VAR29 <= VAR41; end else if(VAR39) VAR29 <= VAR14; else VAR29 <= VAR23; end VAR27: VAR29 <= VAR29; default VAR29 <= VAR29; endcase end end always@(posedge clk, posedge rst) begin if(rst) VAR36 <= 0; end else begin case(VAR43) VAR30: VAR36 <= 0; VAR13: begin if(!VAR26) begin case(VAR29) VAR23: VAR36 <= VAR42; VAR41: VAR36 <= VAR36+VAR42; VAR14: VAR36 <= VAR36 * VAR42; VAR25: VAR36 <= VAR6; default: VAR36 <= VAR36; endcase end else VAR36 <= VAR36; end VAR27: VAR36 <= VAR36; default: VAR36 <= VAR36; endcase end end always@(posedge clk, posedge rst) begin if(rst) VAR21 <= 0; end else begin case(VAR43) VAR30: VAR21 <= VAR42; VAR13: VAR21 <= VAR21; VAR27: begin case(VAR29) VAR23: VAR21 <= VAR42; VAR41: VAR21 <= VAR36 + VAR42; VAR14: VAR21 <= VAR36 * VAR42; VAR25: VAR21 <= VAR6; default: VAR21 <= VAR21; endcase end default: VAR21 <= VAR21; endcase end end always@(posedge clk, posedge rst) begin if(rst) VAR28 <= 0; end else begin case(VAR43) VAR30: VAR28 <= VAR42; VAR13: VAR28 <= VAR28; VAR27: begin case(VAR29) VAR23: VAR28 <= VAR42; VAR41: VAR28 <= VAR36 + VAR42; VAR14: VAR28 <= VAR36 * VAR42; VAR25: VAR28 <= VAR6; default: VAR28 <= VAR28; endcase end default: VAR28 <= VAR28; endcase end end endmodule
mit
acarrer/altera-de1-mp3-recorder-vhdl
RegistratorePortatile.v
12,326
module MODULE1( inout [15:0] VAR78, output [11:0] VAR63, output VAR75, output VAR40, output VAR87, output VAR10, output VAR68, output VAR17, output VAR84, output VAR35, output VAR134, output VAR105, input VAR73, input [3:0] VAR22, input [9:0] VAR59, output [6:0] VAR94, output [6:0] VAR15, output [6:0] VAR55, output [6:0] VAR76, output [7:0] VAR96, output [9:0] VAR3, inout VAR41, input VAR129, inout VAR85, output VAR20, inout VAR51, output VAR14, inout VAR132, output VAR130, output VAR69, output VAR56, output VAR74, output VAR111, output VAR127, output [9:0] VAR66, output [9:0] VAR99, output [9:0] VAR38 ); wire [21:0] VAR34; wire [15:0] VAR7, VAR77; wire VAR119, VAR110, VAR24, VAR118; wire [21:0] VAR2; wire VAR39; wire [15:0] VAR49, VAR32; wire VAR28, VAR82; wire VAR91, VAR25; wire VAR100; wire [8:0] VAR86; wire [7:0] VAR128; wire VAR43; wire [15:0] VAR36 = VAR71 ? VAR49 : VAR32; reg [15:0] VAR30; wire VAR70; reg [25:0] VAR120; wire [7:0] VAR121; wire [3:0] VAR104, VAR60, VAR5; wire [7:0] VAR122; wire [3:0] VAR11, VAR101, VAR16; integer VAR12; wire VAR131; wire reset = !VAR22[0]; wire VAR53 = !VAR22[1]; wire VAR44 = !VAR22[2]; wire VAR71 = VAR59[0]; wire VAR95 = VAR59[1]; wire VAR64 = VAR59[2]; wire [1:0] VAR113 = VAR59[4:3]; wire [1:0] VAR31 = VAR59[6:5]; wire VAR65 = VAR59[7]; assign VAR3[0] = VAR30[15] ? 1'b0 : VAR30[0]; assign VAR3[1] = VAR30[15] ? 1'b0 : VAR30[2]; assign VAR3[2] = VAR30[15] ? 1'b0 : VAR30[4]; assign VAR3[3] = VAR30[15] ? 1'b0 : VAR30[6]; assign VAR3[4] = VAR30[15] ? 1'b0 : VAR30[8]; assign VAR3[5] = VAR30[15] ? 1'b0 : VAR30[10]; assign VAR3[6] = VAR30[15] ? 1'b0 : VAR30[12]; assign VAR3[7] = VAR30[15] ? 1'b0 : VAR30[14]; assign VAR96[7] = VAR95 & (VAR71 | VAR64) ? VAR120[25] : 1'b0; assign VAR96[6] = VAR71 & VAR39; assign VAR96[0] = reset; assign VAR96[1] = VAR70; assign VAR96[2] = VAR131 & (VAR71 | VAR64) & !VAR95 &!VAR39; assign VAR3[9:8] = 0; assign VAR96[5:3] = 0; always @(posedge(VAR53)) VAR70 = !VAR70; always @(*) case(VAR31) 0: VAR30 = VAR36; 1: VAR30 = {{5{VAR36[15]}}, VAR36[14:4]}; 2: VAR30 = {{9{VAR36[15]}}, VAR36[14:8]}; 3: VAR30 = {{13{VAR36[15]}}, VAR36[14:12]}; endcase always @(posedge VAR73) VAR120++; always @(negedge VAR64) begin VAR2 = VAR34; VAR122 = VAR121; end always @(posedge VAR73) begin if (VAR12 == 25000000) begin VAR131 <= !VAR131; VAR12 <= 0; end else VAR12 <= VAR12 + 1; end VAR89 VAR18( .VAR27(VAR73), .VAR93(VAR134), .VAR108(VAR69), .VAR13(VAR14) ); VAR48 VAR133( .VAR21(VAR63), .VAR125({VAR35,VAR84}), .VAR106(VAR10), .VAR52(VAR105), .VAR88(VAR17), .VAR107(VAR78), .VAR9({VAR40,VAR75}), .VAR81(VAR68), .VAR103(VAR87), .clk(VAR73), .VAR54(VAR34), .VAR92(2'b00), .VAR114(1), .VAR79(VAR7), .VAR62(!VAR24), .VAR90(!VAR118), .VAR33(!reset), .VAR26(VAR77), .VAR124(VAR119), .VAR46(VAR110) ); VAR80 VAR98( .clk(VAR73), .reset(reset | VAR53), .VAR117(), .VAR25(VAR25), .VAR19(), .VAR45({VAR49, 16'b0}), .VAR1({VAR49, 16'b0}), .VAR91(VAR91), .VAR129(VAR129), .VAR51(VAR51), .VAR41(VAR41), .VAR85(VAR85), .VAR132(VAR132), .VAR82(VAR82), .VAR123({VAR32, 16'VAR57}), .VAR50(), .VAR28(VAR28), .VAR14(), .VAR20(VAR20), .VAR130(VAR130), .VAR70(VAR70) ); VAR109 VAR6( VAR73, VAR131, reset, VAR34, VAR7, VAR24, VAR118, VAR77, VAR119, VAR110, VAR49, VAR32, VAR28, VAR82, VAR91, VAR25, VAR71, VAR64, VAR95, VAR113, VAR2, VAR39, VAR121 ); VAR37 VAR47( .VAR33(!reset), .VAR4(VAR73), .VAR83(VAR100), .VAR29(VAR86), .VAR23(VAR128), .VAR126(VAR43), .VAR66(VAR66), .VAR99(VAR99), .VAR38(VAR38), .VAR56(VAR56), .VAR74(VAR74), .VAR111(VAR111), .VAR127(VAR127), .VAR61(VAR69) ); VAR72 VAR58( VAR73, reset, VAR95, VAR30, VAR86, VAR128, VAR100, VAR43 ); VAR97 VAR112( VAR121, VAR104, VAR60, VAR5 ); VAR97 VAR102( VAR122, VAR11, VAR101, VAR16 ); VAR42 VAR8( VAR44? (VAR65? VAR2[17:14] : VAR34[17:14]) :(VAR65? VAR11 : VAR104), VAR94 ); VAR42 VAR115( VAR44? (VAR65? VAR2[21:18] : VAR34[21:18]) :(VAR65? VAR101 : VAR60), VAR15 ); VAR42 VAR116( {2'b00, VAR31}, VAR55 ); VAR42 VAR67( {2'b00, VAR113}, VAR76 ); endmodule
mit
eda-globetrotter/MarcheProcessor
processor/syn/netlist/program_counter2.syn.v
10,427
module MODULE1 ( VAR106, VAR42, VAR154, VAR51, VAR45 ); input [31:0] VAR106; input [31:0] VAR42; output [31:0] VAR51; input VAR154; output VAR45; wire VAR121, VAR6, VAR13, VAR99, VAR61, VAR151, VAR22, VAR20, VAR177, VAR57, VAR113, VAR164, VAR97, VAR221, VAR206, VAR67, VAR108, VAR86, VAR53, VAR155, VAR103, VAR220, VAR115, VAR124, VAR174, VAR178, VAR79, VAR197, VAR10, VAR159; assign VAR51[1] = VAR121; assign VAR121 = VAR106[1]; assign VAR51[0] = VAR6; assign VAR6 = VAR106[0]; VAR196 VAR135 ( .VAR106(VAR106[31]), .VAR42(VAR13), .VAR132(VAR51[31]) ); VAR191 VAR90 ( .VAR106(VAR106[30]), .VAR42(VAR99), .VAR132(VAR13) ); VAR196 VAR54 ( .VAR106(VAR99), .VAR42(VAR106[30]), .VAR132(VAR51[30]) ); VAR191 VAR87 ( .VAR106(VAR106[29]), .VAR42(VAR61), .VAR132(VAR99) ); VAR196 VAR41 ( .VAR106(VAR61), .VAR42(VAR106[29]), .VAR132(VAR51[29]) ); VAR191 VAR211 ( .VAR106(VAR106[28]), .VAR42(VAR151), .VAR132(VAR61) ); VAR196 VAR19 ( .VAR106(VAR151), .VAR42(VAR106[28]), .VAR132(VAR51[28]) ); VAR191 VAR180 ( .VAR106(VAR106[27]), .VAR42(VAR22), .VAR132(VAR151) ); VAR196 VAR114 ( .VAR106(VAR22), .VAR42(VAR106[27]), .VAR132(VAR51[27]) ); VAR191 VAR77 ( .VAR106(VAR106[26]), .VAR42(VAR20), .VAR132(VAR22) ); VAR196 VAR56 ( .VAR106(VAR20), .VAR42(VAR106[26]), .VAR132(VAR51[26]) ); VAR191 VAR81 ( .VAR106(VAR106[25]), .VAR42(VAR177), .VAR132(VAR20) ); VAR196 VAR141 ( .VAR106(VAR177), .VAR42(VAR106[25]), .VAR132(VAR51[25]) ); VAR191 VAR133 ( .VAR106(VAR106[24]), .VAR42(VAR57), .VAR132(VAR177) ); VAR196 VAR215 ( .VAR106(VAR57), .VAR42(VAR106[24]), .VAR132(VAR51[24]) ); VAR191 VAR157 ( .VAR106(VAR106[23]), .VAR42(VAR113), .VAR132(VAR57) ); VAR196 VAR5 ( .VAR106(VAR113), .VAR42(VAR106[23]), .VAR132(VAR51[23]) ); VAR191 VAR64 ( .VAR106(VAR106[22]), .VAR42(VAR164), .VAR132(VAR113) ); VAR196 VAR46 ( .VAR106(VAR164), .VAR42(VAR106[22]), .VAR132(VAR51[22]) ); VAR191 VAR88 ( .VAR106(VAR106[21]), .VAR42(VAR97), .VAR132(VAR164) ); VAR196 VAR166 ( .VAR106(VAR97), .VAR42(VAR106[21]), .VAR132(VAR51[21]) ); VAR191 VAR26 ( .VAR106(VAR106[20]), .VAR42(VAR221), .VAR132(VAR97) ); VAR196 VAR201 ( .VAR106(VAR221), .VAR42(VAR106[20]), .VAR132(VAR51[20]) ); VAR191 VAR23 ( .VAR106(VAR106[19]), .VAR42(VAR206), .VAR132(VAR221) ); VAR196 VAR31 ( .VAR106(VAR206), .VAR42(VAR106[19]), .VAR132(VAR51[19]) ); VAR191 VAR68 ( .VAR106(VAR106[18]), .VAR42(VAR67), .VAR132(VAR206) ); VAR196 VAR7 ( .VAR106(VAR67), .VAR42(VAR106[18]), .VAR132(VAR51[18]) ); VAR191 VAR163 ( .VAR106(VAR106[17]), .VAR42(VAR108), .VAR132(VAR67) ); VAR196 VAR193 ( .VAR106(VAR108), .VAR42(VAR106[17]), .VAR132(VAR51[17]) ); VAR191 VAR203 ( .VAR106(VAR106[16]), .VAR42(VAR86), .VAR132(VAR108) ); VAR196 VAR179 ( .VAR106(VAR86), .VAR42(VAR106[16]), .VAR132(VAR51[16]) ); VAR191 VAR139 ( .VAR106(VAR106[15]), .VAR42(VAR53), .VAR132(VAR86) ); VAR196 VAR93 ( .VAR106(VAR53), .VAR42(VAR106[15]), .VAR132(VAR51[15]) ); VAR191 VAR107 ( .VAR106(VAR106[14]), .VAR42(VAR155), .VAR132(VAR53) ); VAR196 VAR125 ( .VAR106(VAR155), .VAR42(VAR106[14]), .VAR132(VAR51[14]) ); VAR191 VAR15 ( .VAR106(VAR106[13]), .VAR42(VAR103), .VAR132(VAR155) ); VAR196 VAR52 ( .VAR106(VAR103), .VAR42(VAR106[13]), .VAR132(VAR51[13]) ); VAR191 VAR83 ( .VAR106(VAR106[12]), .VAR42(VAR220), .VAR132(VAR103) ); VAR196 VAR222 ( .VAR106(VAR220), .VAR42(VAR106[12]), .VAR132(VAR51[12]) ); VAR191 VAR162 ( .VAR106(VAR106[11]), .VAR42(VAR115), .VAR132(VAR220) ); VAR196 VAR116 ( .VAR106(VAR115), .VAR42(VAR106[11]), .VAR132(VAR51[11]) ); VAR191 VAR24 ( .VAR106(VAR106[10]), .VAR42(VAR124), .VAR132(VAR115) ); VAR196 VAR192 ( .VAR106(VAR124), .VAR42(VAR106[10]), .VAR132(VAR51[10]) ); VAR191 VAR160 ( .VAR106(VAR106[9]), .VAR42(VAR174), .VAR132(VAR124) ); VAR196 VAR30 ( .VAR106(VAR174), .VAR42(VAR106[9]), .VAR132(VAR51[9]) ); VAR191 VAR184 ( .VAR106(VAR106[8]), .VAR42(VAR178), .VAR132(VAR174) ); VAR196 VAR142 ( .VAR106(VAR178), .VAR42(VAR106[8]), .VAR132(VAR51[8]) ); VAR191 VAR138 ( .VAR106(VAR106[7]), .VAR42(VAR79), .VAR132(VAR178) ); VAR196 VAR74 ( .VAR106(VAR79), .VAR42(VAR106[7]), .VAR132(VAR51[7]) ); VAR191 VAR147 ( .VAR106(VAR106[6]), .VAR42(VAR197), .VAR132(VAR79) ); VAR196 VAR43 ( .VAR106(VAR197), .VAR42(VAR106[6]), .VAR132(VAR51[6]) ); VAR191 VAR66 ( .VAR106(VAR106[5]), .VAR42(VAR10), .VAR132(VAR197) ); VAR196 VAR119 ( .VAR106(VAR10), .VAR42(VAR106[5]), .VAR132(VAR51[5]) ); VAR191 VAR128 ( .VAR106(VAR106[4]), .VAR42(VAR159), .VAR132(VAR10) ); VAR196 VAR153 ( .VAR106(VAR159), .VAR42(VAR106[4]), .VAR132(VAR51[4]) ); VAR191 VAR120 ( .VAR106(VAR106[3]), .VAR42(VAR106[2]), .VAR132(VAR159) ); VAR196 VAR96 ( .VAR106(VAR106[2]), .VAR42(VAR106[3]), .VAR132(VAR51[3]) ); VAR36 VAR32 ( .VAR106(VAR106[2]), .VAR132(VAR51[2]) ); endmodule module MODULE2 ( VAR149, rst, clk ); output [0:31] VAR149; input rst, clk; wire VAR110, VAR161, VAR69, VAR168, VAR185, VAR131, VAR225, VAR29, VAR39, VAR72, VAR136, VAR104, VAR9, VAR188, VAR33, VAR167, VAR12, VAR111, VAR118, VAR2, VAR122, VAR63, VAR25, VAR92, VAR173, VAR80, VAR150, VAR214, VAR38, VAR127, VAR89, VAR208, VAR109, VAR224, VAR189, VAR21, VAR55, VAR91, VAR140, VAR18, VAR73, VAR65, VAR176, VAR146, VAR3, VAR117, VAR130, VAR4, VAR190, VAR49, VAR209, VAR78, VAR175, VAR143, VAR207, VAR169, VAR129, VAR186, VAR75, VAR156, VAR105, VAR34, VAR71, VAR218, VAR76, VAR165; VAR82 VAR27 ( .VAR101(VAR208), .VAR95(clk), .VAR14(VAR149[31]) ); VAR82 VAR223 ( .VAR101(VAR89), .VAR95(clk), .VAR14(VAR149[30]) ); VAR82 VAR48 ( .VAR101(VAR127), .VAR95(clk), .VAR14(VAR149[29]) ); VAR82 VAR70 ( .VAR101(VAR38), .VAR95(clk), .VAR14(VAR149[28]) ); VAR82 VAR16 ( .VAR101(VAR214), .VAR95(clk), .VAR14(VAR149[27]) ); VAR82 VAR183 ( .VAR101(VAR150), .VAR95(clk), .VAR14(VAR149[26]) ); VAR82 VAR59 ( .VAR101(VAR80), .VAR95(clk), .VAR14(VAR149[25]) ); VAR82 VAR148 ( .VAR101(VAR173), .VAR95(clk), .VAR14(VAR149[24]) ); VAR82 VAR198 ( .VAR101(VAR92), .VAR95(clk), .VAR14(VAR149[23]) ); VAR82 VAR37 ( .VAR101(VAR25), .VAR95(clk), .VAR14(VAR149[22]) ); VAR82 VAR50 ( .VAR101(VAR63), .VAR95(clk), .VAR14(VAR149[21]) ); VAR82 VAR216 ( .VAR101(VAR122), .VAR95(clk), .VAR14(VAR149[20]) ); VAR82 VAR126 ( .VAR101(VAR2), .VAR95(clk), .VAR14(VAR149[19]) ); VAR82 VAR100 ( .VAR101(VAR118), .VAR95(clk), .VAR14(VAR149[18]) ); VAR82 VAR205 ( .VAR101(VAR111), .VAR95(clk), .VAR14(VAR149[17]) ); VAR82 VAR195 ( .VAR101(VAR12), .VAR95(clk), .VAR14(VAR149[16]) ); VAR82 VAR44 ( .VAR101(VAR167), .VAR95(clk), .VAR14(VAR149[15]) ); VAR82 VAR152 ( .VAR101(VAR33), .VAR95(clk), .VAR14(VAR149[14]) ); VAR82 VAR62 ( .VAR101(VAR188), .VAR95(clk), .VAR14(VAR149[13]) ); VAR82 VAR200 ( .VAR101(VAR9), .VAR95(clk), .VAR14(VAR149[12]) ); VAR82 VAR98 ( .VAR101(VAR104), .VAR95(clk), .VAR14(VAR149[11]) ); VAR82 VAR158 ( .VAR101(VAR136), .VAR95(clk), .VAR14(VAR149[10]) ); VAR82 VAR11 ( .VAR101(VAR72), .VAR95(clk), .VAR14(VAR149[9]) ); VAR82 VAR181 ( .VAR101(VAR39), .VAR95(clk), .VAR14(VAR149[8]) ); VAR82 VAR212 ( .VAR101(VAR29), .VAR95(clk), .VAR14(VAR149[7]) ); VAR82 VAR204 ( .VAR101(VAR225), .VAR95(clk), .VAR14(VAR149[6]) ); VAR82 VAR170 ( .VAR101(VAR131), .VAR95(clk), .VAR14(VAR149[5]) ); VAR82 VAR85 ( .VAR101(VAR185), .VAR95(clk), .VAR14(VAR149[4]) ); VAR82 VAR172 ( .VAR101(VAR168), .VAR95(clk), .VAR14(VAR149[3]) ); VAR82 VAR202 ( .VAR101(VAR69), .VAR95(clk), .VAR14(VAR149[2]) ); VAR82 VAR213 ( .VAR101(VAR161), .VAR95(clk), .VAR14(VAR149[1]) ); VAR82 VAR194 ( .VAR101(VAR110), .VAR95(clk), .VAR14(VAR149[0]) ); MODULE1 MODULE1 ( .VAR106(VAR149), .VAR42({1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b1, 1'b0, 1'b0}), .VAR154(1'b0), .VAR51({VAR224, VAR109, VAR189, VAR21, VAR55, VAR91, VAR140, VAR18, VAR73, VAR65, VAR176, VAR146, VAR3, VAR117, VAR130, VAR4, VAR190, VAR49, VAR209, VAR78, VAR175, VAR143, VAR207, VAR169, VAR129, VAR186, VAR75, VAR156, VAR105, VAR34, VAR71, VAR218}), .VAR45( VAR165) ); VAR191 VAR147 ( .VAR106(VAR65), .VAR42(VAR76), .VAR132(VAR72) ); VAR191 VAR43 ( .VAR106(VAR73), .VAR42(VAR76), .VAR132(VAR39) ); VAR191 VAR66 ( .VAR106(VAR18), .VAR42(VAR76), .VAR132(VAR29) ); VAR191 VAR119 ( .VAR106(VAR140), .VAR42(VAR76), .VAR132(VAR225) ); VAR191 VAR128 ( .VAR106(VAR91), .VAR42(VAR76), .VAR132(VAR131) ); VAR191 VAR153 ( .VAR106(VAR55), .VAR42(VAR76), .VAR132(VAR185) ); VAR191 VAR120 ( .VAR106(VAR21), .VAR42(VAR76), .VAR132(VAR168) ); VAR191 VAR96 ( .VAR106(VAR218), .VAR42(VAR76), .VAR132(VAR208) ); VAR191 VAR32 ( .VAR106(VAR71), .VAR42(VAR76), .VAR132(VAR89) ); VAR191 VAR210 ( .VAR106(VAR189), .VAR42(VAR76), .VAR132(VAR69) ); VAR191 VAR40 ( .VAR106(VAR34), .VAR42(VAR76), .VAR132(VAR127) ); VAR191 VAR58 ( .VAR106(VAR105), .VAR42(VAR76), .VAR132(VAR38) ); VAR191 VAR187 ( .VAR106(VAR156), .VAR42(VAR76), .VAR132(VAR214) ); VAR191 VAR8 ( .VAR106(VAR75), .VAR42(VAR76), .VAR132(VAR150) ); VAR191 VAR17 ( .VAR106(VAR186), .VAR42(VAR76), .VAR132(VAR80) ); VAR191 VAR144 ( .VAR106(VAR129), .VAR42(VAR76), .VAR132(VAR173) ); VAR191 VAR102 ( .VAR106(VAR169), .VAR42(VAR76), .VAR132(VAR92) ); VAR191 VAR199 ( .VAR106(VAR207), .VAR42(VAR76), .VAR132(VAR25) ); VAR191 VAR84 ( .VAR106(VAR143), .VAR42(VAR76), .VAR132(VAR63) ); VAR191 VAR134 ( .VAR106(VAR175), .VAR42(VAR76), .VAR132(VAR122) ); VAR191 VAR137 ( .VAR106(VAR109), .VAR42(VAR76), .VAR132(VAR161) ); VAR191 VAR182 ( .VAR106(VAR78), .VAR42(VAR76), .VAR132(VAR2) ); VAR191 VAR35 ( .VAR106(VAR209), .VAR42(VAR76), .VAR132(VAR118) ); VAR191 VAR171 ( .VAR106(VAR49), .VAR42(VAR76), .VAR132(VAR111) ); VAR191 VAR60 ( .VAR106(VAR190), .VAR42(VAR76), .VAR132(VAR12) ); VAR191 VAR112 ( .VAR106(VAR4), .VAR42(VAR76), .VAR132(VAR167) ); VAR191 VAR145 ( .VAR106(VAR130), .VAR42(VAR76), .VAR132(VAR33) ); VAR191 VAR1 ( .VAR106(VAR117), .VAR42(VAR76), .VAR132(VAR188) ); VAR191 VAR47 ( .VAR106(VAR3), .VAR42(VAR76), .VAR132(VAR9) ); VAR191 VAR94 ( .VAR106(VAR146), .VAR42(VAR76), .VAR132(VAR104) ); VAR191 VAR123 ( .VAR106(VAR176), .VAR42(VAR76), .VAR132(VAR136) ); VAR191 VAR217 ( .VAR106(VAR224), .VAR42(VAR76), .VAR132(VAR110) ); VAR36 VAR219 ( .VAR106(rst), .VAR132(VAR76) ); endmodule
mit
zuloloxi/mecrisp-ice
nandland/icestorm/uart.v
4,551
module MODULE5( input wire clk, output wire VAR2 ); localparam VAR9 = (VAR21 / VAR1) - 1; localparam VAR27 = VAR26(VAR9); wire [VAR27-1:0] VAR30 = VAR9; reg [VAR27-1:0] counter; assign VAR2 = (counter == VAR30); always @(posedge clk) counter <= VAR2 ? 0 : (counter + 1); endmodule module MODULE2( input wire clk, input wire VAR12, output wire VAR2 ); localparam VAR9 = (VAR21 / (2 * VAR1)) - 1; localparam VAR27 = VAR26(VAR9); wire [VAR27-1:0] VAR30 = VAR9; reg [VAR27-1:0] counter; assign VAR2 = (counter == VAR30); always @(posedge clk) if (VAR12) counter <= 0; else counter <= VAR2 ? 0 : (counter + 1); endmodule module MODULE4( input wire clk, input wire VAR11, output wire VAR7, output reg VAR20, input wire VAR8, input wire [7:0] VAR3 ); reg [3:0] VAR19; reg [8:0] VAR16; assign VAR7 = |VAR19; wire VAR17 = |VAR19; wire VAR2; MODULE5 MODULE5( .clk(clk), .VAR2(VAR2)); always @(negedge VAR11 or posedge clk) begin if (!VAR11) begin VAR20 <= 1; VAR19 <= 0; VAR16 <= 0; end else begin if (VAR8) begin { VAR16, VAR20 } <= { VAR3[7:0], 1'b0, 1'b1 }; VAR19 <= 1 + 8 + 1; end else if (VAR2 & VAR17) begin { VAR16, VAR20 } <= { 1'b1, VAR16 }; VAR19 <= VAR19 - 4'd1; end end end endmodule module MODULE3( input wire clk, input wire VAR11, input wire VAR10, input wire rd, output wire valid, output wire [7:0] VAR28 ); reg [4:0] VAR19; reg [7:0] VAR16; wire VAR29 = &VAR19; assign valid = (VAR19 == 18); wire VAR4; reg [2:0] VAR23 = 3'b111; wire [2:0] VAR15 = {VAR23[1:0], VAR10}; wire VAR14 = VAR29 & (VAR15[2:1] == 2'b10); wire [7:0] VAR24 = VAR4 ? {VAR23[1], VAR16[7:1]} : VAR16; wire VAR2; MODULE2 MODULE5( .clk(clk), .VAR12(VAR14), .VAR2(VAR2)); reg [4:0] VAR13; always @* if (VAR14) VAR13 = 0; end else if (!VAR29 & !valid & VAR2) VAR13 = VAR19 + 5'd1; end else if (valid & rd) VAR13 = 5'b11111; else VAR13 = VAR19; assign VAR4 = (|VAR19[4:1]) & VAR19[0] & VAR2; assign VAR28 = VAR16; always @(negedge VAR11 or posedge clk) begin if (!VAR11) begin VAR23 <= 3'b111; VAR19 <= 5'b11111; VAR16 <= 0; end else begin VAR23 <= VAR15; VAR19 <= VAR13; VAR16 <= VAR24; end end endmodule module MODULE1( input wire clk, input wire VAR11, input wire MODULE1, output wire MODULE2, input wire rd, input wire wr, output wire valid, output wire VAR22, input wire [7:0] VAR5, output wire [7:0] VAR6 ); MODULE3 MODULE1 ( .clk(clk), .VAR11(VAR11), .VAR10(MODULE1), .rd(rd), .valid(valid), .VAR28(VAR6)); MODULE4 MODULE2 ( .clk(clk), .VAR11(VAR11), .VAR7(VAR22), .VAR20(MODULE2), .VAR8(wr), .VAR3(VAR5)); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/fahcin/sky130_fd_sc_hs__fahcin.behavioral.v
2,648
module MODULE1 ( VAR14, VAR8 , VAR18 , VAR25 , VAR1 , VAR6, VAR24 ); output VAR14; output VAR8 ; input VAR18 ; input VAR25 ; input VAR1 ; input VAR6; input VAR24; wire VAR26 ; wire VAR9 ; wire VAR16 ; wire VAR22 ; wire VAR2 ; wire VAR15 ; wire VAR17 ; wire VAR21; not VAR20 (VAR26 , VAR1 ); xor VAR4 (VAR9 , VAR18, VAR25, VAR26 ); VAR11 VAR7 (VAR16 , VAR9, VAR6, VAR24); buf VAR3 (VAR8 , VAR16 ); and VAR5 (VAR22 , VAR18, VAR25 ); and VAR19 (VAR2 , VAR18, VAR26 ); and VAR23 (VAR15 , VAR25, VAR26 ); or VAR12 (VAR17 , VAR22, VAR2, VAR15 ); VAR11 VAR10 (VAR21, VAR17, VAR6, VAR24); buf VAR13 (VAR14 , VAR21 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp.symbol.v
1,518
module MODULE1 ( input VAR6 , output VAR2 , input VAR1, input VAR10 , input VAR4 , input VAR8 ); supply1 VAR9; supply0 VAR7; supply1 VAR5 ; supply0 VAR3 ; endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/up_axis_dma_tx.v
8,820
module MODULE1 ( VAR23, VAR37, VAR14, VAR46, VAR26, VAR32, VAR49, VAR4, VAR44, VAR28, VAR41, VAR21, VAR7, VAR34, VAR9); parameter VAR36 = 32'h00050062; parameter VAR39 = 0; input VAR23; output VAR37; input VAR14; output VAR46; output [31:0] VAR26; input VAR32; input VAR49; input VAR4; input VAR44; input VAR28; input VAR41; input [13:0] VAR21; input [31:0] VAR7; output [31:0] VAR34; output VAR9; reg [31:0] VAR31 = 'd0; reg VAR25 = 'd0; reg [31:0] VAR15 = 'd0; reg VAR9 = 'd0; reg [31:0] VAR34 = 'd0; reg [ 5:0] VAR45 = 'd0; reg VAR48 = 'd0; reg VAR8 = 'd0; reg VAR35 = 'd0; reg VAR51 = 'd0; reg [31:0] VAR26 = 'd0; reg [ 5:0] VAR29 = 'd0; reg VAR27 = 'd0; reg VAR52 = 'd0; reg VAR38 = 'd0; reg VAR10 = 'd0; reg VAR43 = 'd0; reg VAR19 = 'd0; reg VAR1 = 'd0; reg VAR40 = 'd0; reg VAR17 = 'd0; reg VAR6 = 'd0; reg VAR50 = 'd0; reg VAR22 = 'd0; wire VAR5; wire VAR24; wire VAR20; wire VAR47; wire VAR42; assign VAR5 = (VAR21[13:8] == 6'h10) ? VAR28 : 1'b0; assign VAR24 = VAR5 & VAR41; assign VAR20 = ~VAR25; always @(negedge VAR4 or posedge VAR44) begin if (VAR4 == 0) begin VAR31 <= 'd0; VAR25 <= 'd0; VAR15 <= 'd0; end else begin if ((VAR24 == 1'b1) && (VAR21[7:0] == 8'h02)) begin VAR31 <= VAR7; end if ((VAR24 == 1'b1) && (VAR21[7:0] == 8'h10)) begin VAR25 <= VAR7[0]; end if ((VAR24 == 1'b1) && (VAR21[7:0] == 8'h21)) begin VAR15 <= VAR7; end end end always @(negedge VAR4 or posedge VAR44) begin if (VAR4 == 0) begin VAR9 <= 'd0; VAR34 <= 'd0; end else begin VAR9 <= VAR5; if (VAR5 == 1'b1) begin case (VAR21[7:0]) 8'h00: VAR34 <= VAR36; 8'h01: VAR34 <= VAR39; 8'h02: VAR34 <= VAR31; 8'h10: VAR34 <= {31'd0, VAR25}; 8'h21: VAR34 <= VAR15; 8'h22: VAR34 <= {30'd0, VAR50, VAR22}; default: VAR34 <= 0; endcase end else begin VAR34 <= 32'd0; end end end always @(negedge VAR4 or posedge VAR44) begin if (VAR4 == 0) begin VAR45 <= 'd0; VAR48 <= 'd0; end else begin VAR45 <= VAR45 + 1'b1; if (VAR45 == 6'd0) begin VAR48 <= ~VAR48; end end end VAR30 #(.VAR11(1'b1)) VAR18 ( .VAR3 (1'b1), .VAR2 (1'b0), .VAR33 (VAR20), .VAR16 (VAR23), .VAR13 (VAR37)); VAR30 #(.VAR11(1'b1)) VAR12 ( .VAR3 (1'b1), .VAR2 (1'b0), .VAR33 (VAR20), .VAR16 (VAR14), .VAR13 (VAR46)); assign VAR47 = VAR51 ^ VAR35; always @(posedge VAR14) begin if (VAR46 == 1'b1) begin VAR8 <= 'd0; VAR35 <= 'd0; VAR51 <= 'd0; end else begin VAR8 <= VAR48; VAR35 <= VAR8; VAR51 <= VAR35; end if (VAR47 == 1'b1) begin VAR26 <= VAR15; end end always @(posedge VAR14) begin VAR29 <= VAR29 + 1'b1; if (VAR29 == 6'd0) begin VAR27 <= ~VAR27; VAR52 <= VAR10; VAR38 <= VAR43; end if (VAR29 == 6'd0) begin VAR10 <= VAR32; VAR43 <= VAR49; end else begin VAR10 <= VAR10 | VAR32; VAR43 <= VAR43 | VAR49; end end assign VAR42 = VAR1 ^ VAR40; always @(negedge VAR4 or posedge VAR44) begin if (VAR4 == 0) begin VAR19 <= 'd0; VAR1 <= 'd0; VAR40 <= 'd0; VAR17 <= 'd0; VAR6 <= 'd0; VAR50 <= 'd0; VAR22 <= 'd0; end else begin VAR19 <= VAR27; VAR1 <= VAR19; VAR40 <= VAR1; if (VAR42 == 1'b1) begin VAR17 <= VAR52; VAR6 <= VAR38; end if (VAR17 == 1'b1) begin VAR50 <= 1'b1; end else if ((VAR24 == 1'b1) && (VAR21[7:0] == 8'h22)) begin VAR50 <= VAR50 & ~VAR7[1]; end if (VAR6 == 1'b1) begin VAR22 <= 1'b1; end else if ((VAR24 == 1'b1) && (VAR21[7:0] == 8'h22)) begin VAR22 <= VAR22 & ~VAR7[0]; end end end endmodule
mit
8l/beri
cheri/trunk/FPU/QuartusProject/floatMulWrapper.v
1,637
module MODULE1 ( VAR3, VAR7, VAR2, VAR5, VAR4); input VAR3; input [31:0] VAR7; input [31:0] VAR2; output [31:0] VAR5; input VAR4; VAR6 VAR1 ( .VAR3(VAR3), .VAR7(VAR7), .VAR2(VAR2), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_lsbuf_lh_isowell_tap/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap.symbol.v
1,530
module MODULE1 ( input VAR5, output VAR6 ); wire VAR2; supply1 VAR4 ; supply0 VAR3 ; supply1 VAR1 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v
4,551
module MODULE1 ,parameter VAR4(VAR60) ,parameter VAR4(VAR71) ,parameter VAR56=VAR30 ,parameter VAR4(VAR23) ,parameter VAR4(VAR25) ,parameter VAR4(VAR28) ,parameter VAR4(VAR48) ,parameter VAR29=VAR70(VAR30) ,parameter VAR33=(VAR28/VAR60) ) ( input VAR43 ,input VAR65 ,input VAR58 ,output logic VAR9 ,input [VAR29-1:0] VAR50 ,input [VAR25-1:0] VAR67 ,output logic [VAR30-1:0][VAR60-1:0] VAR68 ,output logic [VAR30-1:0] VAR61 ,input [VAR30-1:0] VAR57 ,output logic [VAR23-1:0] VAR5 ,output logic [VAR25-1:0] VAR53 ,output logic [7:0] VAR3 ,output logic [2:0] VAR40 ,output logic [1:0] VAR27 ,output logic [3:0] VAR18 ,output logic [2:0] VAR31 ,output logic VAR8 ,output logic VAR45 ,input VAR17 ,input [VAR23-1:0] VAR44 ,input [VAR28-1:0] VAR35 ,input [1:0] VAR22 ,input VAR14 ,input VAR20 ,output logic VAR11 ); wire [VAR23-1:0] VAR36 = VAR44; wire [1:0] VAR12 = VAR22; wire VAR76 = VAR14; logic VAR49; logic VAR24; logic VAR1; logic VAR69; logic [VAR29-1:0] VAR54; VAR63 #( .VAR62(VAR29) ,.VAR26(VAR56) ) VAR7 ( .VAR43(VAR43) ,.VAR65(VAR65) ,.VAR58(VAR49) ,.VAR51(VAR24) ,.VAR16(VAR50) ,.VAR66(VAR1) ,.VAR75(VAR54) ,.VAR46(VAR69) ); assign VAR9 = VAR58 & VAR17 & VAR24; assign VAR49 = VAR58 & VAR17; assign VAR5 = {VAR23{1'b0}}; assign VAR53 = VAR67; assign VAR3 = (8)'(VAR48-1); assign VAR40 = (3)'(VAR70(VAR28>>3)); assign VAR27 = 2'b01; assign VAR18 = 4'b0000; assign VAR31 = 2'b00; assign VAR8 = 1'b0; assign VAR45 = VAR58 & VAR24; logic VAR15; logic [VAR60-1:0] VAR72; logic VAR64; VAR39 #( .VAR62(VAR60) ,.VAR26(VAR33) ) VAR34 ( .VAR43(VAR43) ,.VAR65(VAR65) ,.VAR10(VAR20) ,.VAR16(VAR35) ,.VAR77(VAR11) ,.VAR59(VAR15) ,.VAR75(VAR72) ,.VAR46(VAR64) ); logic [VAR30-1:0] VAR32; VAR47 #( .VAR37(VAR30) ) demux ( .VAR38(VAR54) ,.VAR58(VAR1) ,.VAR73(VAR32) ); assign VAR61 = VAR32 & {VAR30{VAR15}}; for (genvar VAR38 = 0; VAR38 < VAR30; VAR38++) begin assign VAR68[VAR38] = VAR72; end logic [VAR70(VAR71)-1:0] VAR13; logic VAR2; logic VAR19; VAR52 #( .VAR74(VAR71-1) ,.VAR41(0) ) counter ( .VAR43(VAR43) ,.VAR65(VAR65) ,.VAR55(VAR2) ,.VAR21(VAR19) ,.VAR6(VAR13) ); assign VAR64 = VAR57[VAR54] & VAR15 & VAR1; VAR42 begin if (VAR13 == VAR71-1) begin VAR2 = VAR64; VAR19 = 1'b0; VAR69 = VAR64; end else begin VAR2 = 1'b0; VAR19 = VAR64; VAR69 = 1'b0; end end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sleep_sergate_plv/sky130_fd_sc_lp__sleep_sergate_plv.pp.blackbox.v
1,332
module MODULE1 ( VAR5, VAR1 , VAR4 , VAR2 , VAR3 ); output VAR5; input VAR1 ; input VAR4 ; input VAR2 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o22ai/sky130_fd_sc_lp__o22ai.pp.symbol.v
1,376
module MODULE1 ( input VAR4 , input VAR6 , input VAR8 , input VAR5 , output VAR3 , input VAR7 , input VAR2, input VAR1, input VAR9 ); endmodule
apache-2.0
idgaf/Verilog_codes
Submit Folder/EX9/FramesyncFSM.v
1,538
module MODULE1(clk,rst,VAR5,VAR4,VAR9,VAR10,VAR1,VAR12); input wire clk,rst,VAR5; output reg VAR4,VAR9; output reg [1:0]VAR10; output wire VAR12; output reg VAR1; reg [1:0]VAR3; reg [1:0]VAR11; reg [7:0]VAR7; reg VAR8; VAR2 VAR6(VAR5,VAR12,clk); begin begin begin end begin begin begin begin end begin begin end begin end begin
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand4/sky130_fd_sc_ls__nand4_4.v
2,253
module MODULE2 ( VAR2 , VAR1 , VAR4 , VAR10 , VAR7 , VAR9, VAR5, VAR6 , VAR11 ); output VAR2 ; input VAR1 ; input VAR4 ; input VAR10 ; input VAR7 ; input VAR9; input VAR5; input VAR6 ; input VAR11 ; VAR8 VAR3 ( .VAR2(VAR2), .VAR1(VAR1), .VAR4(VAR4), .VAR10(VAR10), .VAR7(VAR7), .VAR9(VAR9), .VAR5(VAR5), .VAR6(VAR6), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR2, VAR1, VAR4, VAR10, VAR7 ); output VAR2; input VAR1; input VAR4; input VAR10; input VAR7; supply1 VAR9; supply0 VAR5; supply1 VAR6 ; supply0 VAR11 ; VAR8 VAR3 ( .VAR2(VAR2), .VAR1(VAR1), .VAR4(VAR4), .VAR10(VAR10), .VAR7(VAR7) ); endmodule
apache-2.0
mindrobots/P8X32A_Emulation
P8X32A_Pipistrello/src/cog_alu.v
7,092
module MODULE1 ( input [5:0] VAR21, input [31:0] VAR9, input [31:0] VAR8, input [8:0] VAR10, input VAR28, input VAR16, input VAR26, input [31:0] VAR7, input VAR20, output wr, output [31:0] VAR25, output VAR31, output VAR13 ); wire [31:0] VAR23 = { VAR8[0], VAR8[1], VAR8[2], VAR8[3], VAR8[4], VAR8[5], VAR8[6], VAR8[7], VAR8[8], VAR8[9], VAR8[10], VAR8[11], VAR8[12], VAR8[13], VAR8[14], VAR8[15], VAR8[16], VAR8[17], VAR8[18], VAR8[19], VAR8[20], VAR8[21], VAR8[22], VAR8[23], VAR8[24], VAR8[25], VAR8[26], VAR8[27], VAR8[28], VAR8[29], VAR8[30], VAR8[31] }; wire [255:0] VAR27 = { 32'b0, {32{VAR8[31]}}, {32{VAR16}}, {32{VAR16}}, 32'b0, 32'b0, VAR23[31:0], VAR8[31:0] }; wire [63:0] VAR4 = {VAR27[VAR21[2:0]*32 +: 32], VAR21[0] ? VAR23 : VAR8} >> VAR9[4:0]; wire [31:0] VAR18 = { VAR4[0], VAR4[1], VAR4[2], VAR4[3], VAR4[4], VAR4[5], VAR4[6], VAR4[7], VAR4[8], VAR4[9], VAR4[10], VAR4[11], VAR4[12], VAR4[13], VAR4[14], VAR4[15], VAR4[16], VAR4[17], VAR4[18], VAR4[19], VAR4[20], VAR4[21], VAR4[22], VAR4[23], VAR4[24], VAR4[25], VAR4[26], VAR4[27], VAR4[28], VAR4[29], VAR4[30], VAR4[31] }; wire [31:0] VAR18 = ~&VAR21[2:1] && VAR21[0] ? VAR18 : VAR4[31:0]; wire VAR5 = ~&VAR21[2:1] && VAR21[0] ? VAR23[0] : VAR8[0]; wire [1:0] VAR3 = VAR21[2] ? {(VAR21[1] ? VAR26 : VAR16) ^ VAR21[0], 1'b0} : {VAR21[1], ~^VAR21[1:0]}; wire [127:0] VAR29 = { VAR8 ^ VAR9, VAR8 | VAR9, VAR8 & VAR9, VAR8 & ~VAR9 }; wire [127:0] VAR19 = { VAR8[31:9], VAR10, VAR9[8:0], VAR8[22:0], VAR8[31:18], VAR9[8:0], VAR8[8:0], VAR8[31:9], VAR9[8:0] }; wire [31:0] VAR30 = VAR21[3] ? VAR29[VAR3*32 +: 32] : VAR21[2] ? VAR19[VAR21[1:0]*32 +: 32] : VAR9; wire VAR17 = ^VAR30; wire [3:0] VAR2 = {VAR26, VAR16, VAR9[31], 1'b0}; wire VAR22 = VAR21[5:4] == 2'b10 ? VAR2[VAR21[2:1]] ^ VAR21[0] : VAR21[5:0] == 6'b110010 || VAR21[5:0] == 6'b110100 || VAR21[5:0] == 6'b110110 || VAR21[5:2] == 4'b1111 ? 1'b0 : 1'b1; wire VAR14 = VAR21[5:3] == 3'b110 && (VAR21[2:0] == 3'b001 || VAR21[1]) && VAR16 || VAR21[4:3] == 2'b11 && VAR21[1:0] == 2'b01; wire [31:0] VAR32 = VAR21[4:3] == 2'b01 ? 32'b0 : VAR8; wire [31:0] VAR6 = VAR21[4:0] == 5'b11001 || VAR21[4:1] == 4'b1101 ? 32'hFFFFFFFF : VAR22 ? ~VAR9 : VAR9; wire [34:0] VAR24 = {1'b0, VAR32[31], 1'b1, VAR32[30:0], 1'b1} + {1'b0, VAR6[31], 1'b0, VAR6[30:0], VAR14 ^ VAR22}; wire [31:0] addr = {VAR24[33], VAR24[31:1]}; wire VAR1 = VAR24[34]; wire VAR12 = !VAR24[32]; wire VAR15 = VAR1 ^ VAR32[31] ^ VAR6[31]; wire VAR11 = VAR21[5:0] == 6'b111000 ? VAR1 : VAR21[5:3] == 3'b101 ? VAR9[31] : VAR21[5] && VAR21[3:2] == 2'b01 ? VAR1 ^ VAR12 : VAR21[4:1] == 4'b1000 ? VAR15 : VAR1 ^ VAR22; assign wr = VAR21[5:2] == 4'b0100 ? VAR21[0] ^ (VAR21[1] ? !VAR1 : VAR15) : VAR21[5:0] == 6'b111000 ? VAR1 : 1'b1; assign VAR25 = VAR21[5] ? addr : VAR21[4] ? VAR30 : VAR21[3] ? VAR18 : VAR28 || ~&VAR10[8:4] ? VAR7 : 32'b0; assign VAR31 = VAR21[5:3] == 3'b000 ? VAR20 : VAR21[5:3] == 3'b001 ? VAR5 : VAR21[5:3] == 3'b011 ? VAR17 : VAR11; assign VAR13 = ~|VAR25 && (VAR26 || !(VAR21[5:3] == 3'b110 && (VAR21[2:0] == 3'b001 || VAR21[1]))); endmodule
gpl-3.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/Debounce_Index.v
1,428
module MODULE1 ( VAR6, reset, VAR7, VAR2, VAR9 ); input VAR6; input reset; input VAR7; input VAR2; output VAR9; wire [7:0] VAR8; wire VAR3; assign VAR8 = 8'd25; VAR10 VAR1 (.VAR6(VAR6), .reset(reset), .VAR7(VAR7), .VAR5(VAR2), .VAR11(VAR8), .VAR4(VAR3) ); assign VAR9 = VAR3; endmodule
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/verilog/feedforward_fptrunc_64ns_32_1.v
1,127
module MODULE1 VAR16 = 3, VAR8 = 1, VAR4 = 64, VAR9 = 32 )( input wire [VAR4-1:0] VAR12, output wire [VAR9-1:0] dout ); wire VAR6; wire [63:0] VAR10; wire VAR3; wire [31:0] VAR11; VAR2 VAR13 ( .VAR14 ( VAR6 ), .VAR7 ( VAR10 ), .VAR15 ( VAR3 ), .VAR1 ( VAR11 ) ); assign VAR6 = 1'b1; assign VAR10 = VAR12==='VAR5 ? 'b0 : VAR12; assign dout = VAR11; endmodule
gpl-3.0
FAST-Switch/fast
lib/hardware/pipeline/UM_OPENFLOW/loacal_sw.v
2,138
module MODULE1( input clk, input reset, output reg [31:0] VAR24, input [64:0] VAR9, input VAR17, output reg VAR10, output reg VAR7, output reg VAR6, output reg [31:0] VAR20, input VAR22, input [31:0] VAR29 ); reg VAR16; reg [64:0] VAR21; wire [64:0] VAR23; wire VAR13; reg [7:0] VAR5; reg [2:0] VAR4; localparam VAR26 = 'd1, VAR1 = 'd2, VAR15 = 'd3, VAR27 = 'd4, VAR2 = 'd5, VAR14 = 'd6; always @(posedge clk or negedge reset) if(!reset) begin VAR10 <= 1'b0; VAR7 <= 1'b1; VAR6 <= 1'b1; VAR20 <= 32'b0; VAR16 <= 1'b0; VAR21 <= 65'b0; VAR24 <= 32'b0; VAR5 <= 8'b0; VAR4 <= VAR26; end else begin case(VAR4) VAR26: begin VAR10 <= 1'b0; VAR7 <= 1'b1; VAR6 <= 1'b1; VAR5 <= 8'b0; VAR16 <= 1'b0; if(VAR13 == 1'b1) begin VAR4 <= VAR26; end else begin VAR16 <= 1'b1; VAR21 <= VAR23; VAR20 <= VAR23[63:32]; VAR6 <= VAR23[64]; VAR4 <= VAR1; end end VAR1 : begin VAR10 <= 1'b1; VAR16 <= 1'b0; VAR4 <= VAR15; end VAR15: begin VAR10 <= 1'b0; VAR4 <= VAR2; end VAR2:begin VAR4 <= VAR14; end VAR14: begin VAR20 <= VAR21[31:0]; VAR7 <= 1'b0; VAR4 <= VAR27; end VAR27: begin if(VAR22 == 1'b0) begin VAR7 <= 1'b0; VAR24 <= VAR29; VAR4 <= VAR26; end else begin VAR5 <= VAR5 + 1'b1; if(VAR5[7:4] == 4'b1111) begin VAR4 <= VAR26; end else begin VAR4 <= VAR27; end end end endcase end VAR12 VAR18( .VAR25(!reset), .VAR19(clk), .VAR20(VAR9), .VAR8(VAR16), .VAR3(VAR17), .VAR11(VAR13), .VAR28(VAR23) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a211oi/sky130_fd_sc_ms__a211oi.behavioral.pp.v
2,044
module MODULE1 ( VAR14 , VAR17 , VAR11 , VAR5 , VAR9 , VAR13, VAR12, VAR8 , VAR1 ); output VAR14 ; input VAR17 ; input VAR11 ; input VAR5 ; input VAR9 ; input VAR13; input VAR12; input VAR8 ; input VAR1 ; wire VAR16 ; wire VAR10 ; wire VAR2; and VAR4 (VAR16 , VAR17, VAR11 ); nor VAR6 (VAR10 , VAR16, VAR5, VAR9 ); VAR7 VAR15 (VAR2, VAR10, VAR13, VAR12); buf VAR3 (VAR14 , VAR2 ); endmodule
apache-2.0
tgiv014/ECE441_Proj3
bcd_ctr.v
2,076
module MODULE1(clk, en, VAR2, VAR7, VAR3, VAR5); input clk, VAR2, en; output reg [3:0] VAR7, VAR3, VAR5; wire VAR6, VAR1, VAR4; assign VAR6 = (VAR7 == 4'd9); assign VAR1 = VAR6&(VAR3 == 4'd9); assign VAR4 = VAR1&(VAR5 == 4'd9); always @ (posedge clk or negedge VAR2) begin if(~VAR2) begin VAR7 <= 4'd0; VAR3 <= 4'd0; VAR5 <= 4'd0; end else if(~VAR4&en) begin if(VAR1) begin VAR5 <= VAR5 + 1; VAR3 <= 0; VAR7 <= 0; end else if(VAR6) begin VAR3 <= VAR3 + 1; VAR7 <= 0; end else begin VAR7 <= VAR7 + 1; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a22o/sky130_fd_sc_hs__a22o_4.v
2,212
module MODULE1 ( VAR8 , VAR6 , VAR7 , VAR1 , VAR3 , VAR4, VAR2 ); output VAR8 ; input VAR6 ; input VAR7 ; input VAR1 ; input VAR3 ; input VAR4; input VAR2; VAR9 VAR5 ( .VAR8(VAR8), .VAR6(VAR6), .VAR7(VAR7), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8 , VAR6, VAR7, VAR1, VAR3 ); output VAR8 ; input VAR6; input VAR7; input VAR1; input VAR3; supply1 VAR4; supply0 VAR2; VAR9 VAR5 ( .VAR8(VAR8), .VAR6(VAR6), .VAR7(VAR7), .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
mashanz/FinalProject
Code/module/alu_8bit_min.v
1,180
module MODULE1( reset, VAR4, enable, VAR8, VAR7, VAR3, VAR6, VAR9, VAR2); input reset, VAR4, enable; output VAR2; input [1:0]VAR8; input [7:0]VAR3,VAR6; input [7:0]VAR9; output [7:0]VAR7; reg [7:0]VAR7; integer VAR1; begin case(VAR9) 8'b00000000: begin end 8'b00000001: VAR7 <= VAR3-VAR6; 8'b00000010: begin VAR5=VAR3; VAR7=0; for(VAR1=0;VAR1<8;VAR1=VAR1+1) begin if(VAR6[VAR1]) VAR7=VAR7+VAR5; VAR5=VAR5<<1; end end 8'b00000011: VAR7 <= VAR3^VAR6; 8'b00000100: VAR7 <= VAR3&VAR6; 8'b00000101: VAR7 <= VAR3|VAR6; 8'b00000110: VAR7 <= VAR3&&VAR6; 8'b00000111: VAR7 <= VAR3||VAR6; endcase end endmodule
gpl-3.0
lvd2/zxevo
fpga/sdload/trunk/common/resetter.v
1,976
module MODULE1( clk, VAR1, VAR4 ); parameter VAR3 = 4; input clk; input VAR1; output VAR4; reg VAR4; reg [VAR3:0] VAR5; reg VAR2,VAR6; begin begin begin
gpl-3.0
bunnie/novena-gpbb-fpga
novena-gpbb.srcs/sources_1/ip/dcm_delay/dcm_delay/example_design/dcm_delay_exdes.v
6,762
module MODULE1 parameter VAR36 = 100 ) ( input VAR37, input VAR5, output [4:1] VAR11, output [4:1] VAR19, input VAR27, output VAR2 ); localparam VAR7 = 16; localparam VAR9 = 4; genvar VAR41; wire VAR16 = !VAR2 || VAR27 || VAR5; reg [VAR9:1] VAR32; reg [VAR9:1] VAR23; reg [VAR9:1] VAR26; reg [VAR9:1] VAR6; wire VAR34; wire VAR38; wire [VAR9:1] VAR18; wire [VAR9:1] VAR33; wire [VAR9:1] clk; reg [VAR7-1:0] counter [VAR9:1]; VAR30 VAR20 (.VAR42 (VAR20), .VAR12 (VAR37)); VAR30 VAR28 (.VAR42 (VAR38), .VAR12 (VAR34)); VAR22 VAR8 ( .VAR31 (VAR20), .VAR38 (VAR38), .VAR43 (VAR18[1]), .VAR3 (VAR18[2]), .VAR21 (VAR18[3]), .VAR46 (VAR18[4]), .VAR34 (VAR34), .VAR27 (VAR27), .VAR2 (VAR2)); genvar VAR24; generate for (VAR24 = 1; VAR24 <= VAR9; VAR24 = VAR24 + 1) begin: VAR1 assign VAR33[VAR24] = ~clk[VAR24]; VAR25 VAR13 (.VAR10 (VAR11[VAR44]), .VAR14 (clk[VAR24]), .VAR17 (VAR33[VAR24]), .VAR40 (1'b1), .VAR35 (1'b1), .VAR4 (1'b0), .VAR39 (1'b0), .VAR45 (1'b0)); end endgenerate assign clk[1] = VAR18[1]; assign clk[2] = VAR18[2]; assign clk[3] = VAR18[3]; assign clk[4] = VAR18[4]; generate for (VAR41 = 1; VAR41 <= VAR9; VAR41 = VAR41 + 1) begin: VAR15 always @(posedge VAR16 or posedge clk[VAR41]) begin if (VAR16) begin VAR32[VAR41] <= 1'b1; VAR23[VAR41]<= 1'b1; VAR26[VAR41]<= 1'b1; VAR6[VAR41]<= 1'b1; end else begin VAR32[VAR41] <= 1'b0; VAR23[VAR41] <= VAR32[VAR41]; VAR26[VAR41] <= VAR23[VAR41]; VAR6[VAR41] <= VAR26[VAR41]; end end end endgenerate generate for (VAR41 = 1; VAR41 <= VAR9; VAR41 = VAR41 + 1) begin: VAR29 always @(posedge clk[VAR41] or posedge VAR6[VAR41]) begin if (VAR6[VAR41]) begin end else begin end end assign VAR19[VAR41] = counter[VAR41][VAR7-1]; end endgenerate endmodule
apache-2.0
airabinovich/finalArquitectura
ALU/ALU/ALU.v
1,471
module MODULE1 #(parameter VAR1=32)( input [3:0] VAR4, input signed [VAR1-1:0] VAR5, input signed [VAR1-1:0] VAR7, output reg signed [VAR1-1:0] VAR3, output reg VAR6, output reg VAR2 ); always @(*) begin case(VAR4) 0: {VAR2,VAR3} = VAR5 << VAR7[4:0]; 1: {VAR2,VAR3} = VAR5 >> VAR7[4:0]; 2: {VAR2,VAR3} = VAR5 >>> VAR7[4:0]; 3: {VAR2,VAR3} = VAR5 + VAR7 ; 4: {VAR2,VAR3} = VAR5 - VAR7; 5: {VAR2,VAR3} = VAR5 & VAR7; 6: {VAR2,VAR3} = VAR5 | VAR7; 7: {VAR2,VAR3} = VAR5 ^ VAR7; 8: {VAR2,VAR3} = ~(VAR5 | VAR7); 9: {VAR2,VAR3} = (VAR5 < VAR7); endcase VAR6= (VAR3==0)? 1'b1:1'b0; end endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfbbn/sky130_fd_sc_ls__dfbbn.symbol.v
1,485
module MODULE1 ( input VAR5 , output VAR4 , output VAR10 , input VAR3, input VAR2 , input VAR8 ); supply1 VAR9; supply0 VAR6; supply1 VAR7 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/inv/sky130_fd_sc_hvl__inv_2.v
2,003
module MODULE1 ( VAR6 , VAR1 , VAR7, VAR5, VAR2 , VAR3 ); output VAR6 ; input VAR1 ; input VAR7; input VAR5; input VAR2 ; input VAR3 ; VAR8 VAR4 ( .VAR6(VAR6), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR2(VAR2), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR6, VAR1 ); output VAR6; input VAR1; supply1 VAR7; supply0 VAR5; supply1 VAR2 ; supply0 VAR3 ; VAR8 VAR4 ( .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
xuefei1/ElectronicEngineControl
db/ip/niosII_system/submodules/niosII_system_altpll_0.v
10,927
module MODULE1 ( VAR7, VAR10, VAR5, VAR2) ; input VAR7; input VAR10; input [0:0] VAR5; output [0:0] VAR2; tri0 VAR7; tri1 VAR10; reg [0:0] VAR6; reg [0:0] VAR1; reg [0:0] VAR8; wire VAR4; wire VAR9; wire VAR3;
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkinv/sky130_fd_sc_ls__clkinv_4.v
2,036
module MODULE2 ( VAR1 , VAR6 , VAR3, VAR8, VAR2 , VAR4 ); output VAR1 ; input VAR6 ; input VAR3; input VAR8; input VAR2 ; input VAR4 ; VAR7 VAR5 ( .VAR1(VAR1), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR2(VAR2), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR1, VAR6 ); output VAR1; input VAR6; supply1 VAR3; supply0 VAR8; supply1 VAR2 ; supply0 VAR4 ; VAR7 VAR5 ( .VAR1(VAR1), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o311a/sky130_fd_sc_ls__o311a.behavioral.v
1,555
module MODULE1 ( VAR3 , VAR10, VAR7, VAR13, VAR2, VAR6 ); output VAR3 ; input VAR10; input VAR7; input VAR13; input VAR2; input VAR6; supply1 VAR12; supply0 VAR9; supply1 VAR15 ; supply0 VAR11 ; wire VAR1 ; wire VAR8; or VAR5 (VAR1 , VAR7, VAR10, VAR13 ); and VAR4 (VAR8, VAR1, VAR2, VAR6); buf VAR14 (VAR3 , VAR8 ); endmodule
apache-2.0
Rmin1995/NoC
priority_vc_inputs.v
3,200
module MODULE1( output [0:VAR25-1] VAR21, output [0:VAR25-1] VAR11, output [1:VAR6 * VAR25] VAR29, output [0:VAR25-1] VAR18, output [0:VAR16*VAR25-1] VAR30, input [0:VAR25-1] VAR27, input [0:VAR25-1] VAR19, input [0:VAR25-1] VAR7, input [0:VAR25 - 1] VAR4, input [1:VAR6 * VAR25] VAR26, input [0:VAR6 * VAR25 - 1] VAR9, input [0:VAR16*VAR25-1] VAR13, input [0:VAR17-1]VAR20 ); parameter VAR1 = 4; genvar VAR24; wire [0:VAR25-1] VAR31 [0:VAR25]; assign VAR31[0] = VAR19; assign VAR21 = VAR31[VAR25]; wire [0:VAR25-1] VAR22 [0:VAR25]; assign VAR22[0] = VAR7; assign VAR11 = VAR22[VAR25]; wire [1:VAR6 * VAR25] VAR8 [0:VAR25]; assign VAR8[0] = VAR9; assign VAR29 = VAR8[VAR25]; wire [0:VAR16*VAR25-1] VAR10 [0:VAR25]; assign VAR10[0] = VAR13; assign VAR30 = VAR10[VAR25]; wire [0:3] VAR12[0:VAR25-1]; assign VAR12[0]=4'd0; assign VAR12[1]=4'd1; assign VAR12[2]=4'd2; assign VAR12[3]=4'd3; assign VAR12[4]=4'd4; assign VAR12[5]=4'd5; assign VAR12[6]=4'd6; generate genvar VAR14; for(VAR14=0;VAR14<VAR25;VAR14=VAR14+1) begin : VAR23 VAR2 #(.VAR1(VAR1)) VAR5( .VAR21(VAR31 [VAR14+1]), .VAR11(VAR22 [VAR14+1]), .VAR29(VAR8[VAR14+1]), .VAR3(VAR18[VAR14]), .VAR30(VAR10[VAR14+1]), .VAR27(VAR27[VAR14]), .VAR28(VAR12[VAR14]), .VAR19(VAR31[VAR14]), .VAR7(VAR22[VAR14]), .VAR4(VAR4), .VAR26(VAR15[VAR14]), .VAR9(VAR8[VAR14]), .VAR13(VAR10[VAR14]), .VAR20(VAR20) ); end endgenerate endmodule
gpl-3.0
drichmond/riffa
fpga/riffa_hdl/tx_port_channel_gate_128.v
8,562
module MODULE1 parameter VAR11 = 8, parameter VAR18 = VAR4 + 1) (input VAR1, input VAR2, output [VAR18-1:0] VAR30, output VAR34, input VAR31, input VAR20, input VAR24, output VAR6, input VAR41, input [31:0] VAR16, input [30:0] VAR42, input [VAR4-1:0] VAR10, input VAR15, output VAR12); reg [1:0] VAR7=VAR36, VAR7=VAR36; reg VAR9=0, VAR9=0; reg [VAR18-1:0] VAR39=0, VAR39=0; wire VAR27; reg VAR22=0, VAR22=0; reg VAR8=0, VAR8=0; reg [31:0] VAR35=0, VAR35=0; reg [30:0] VAR33=0, VAR33=0; reg VAR13=0, VAR13=0; reg VAR25=0, VAR25=0; reg VAR14=0, VAR14=0; reg VAR23=0, VAR23=0; assign VAR6 = VAR13; assign VAR12 = (VAR23 & !VAR27); always @ (posedge VAR20) begin VAR22 <= (VAR1 ? 1'd0 : VAR22); VAR8 <= VAR8; VAR35 <= VAR35; VAR33 <= VAR33; end always @ VAR32 .VAR29(VAR11)) VAR21 (.VAR3(VAR20), .VAR5(VAR1), .VAR17(VAR9), .VAR38(VAR39), .VAR40(VAR27), .VAR2(VAR2), .VAR28(VAR1), .VAR31(VAR31), .VAR30(VAR30), .VAR34(VAR34)); always @ (posedge VAR20) begin VAR7 <= (VAR1 ? VAR36 : VAR7); VAR9 <= (VAR1 ? 1'd0 : VAR9); VAR39 <= VAR39; VAR13 <= (VAR1 ? 1'd0 : VAR13); VAR25 <= (VAR1 ? 1'd0 : VAR25); VAR14 <= (VAR1 ? 1'd0 : VAR14); VAR23 <= (VAR1 ? 1'd0 : VAR23); end always @ (*) begin VAR7 = VAR7; VAR9 = VAR9; VAR39 = VAR39; VAR25 = VAR25; VAR13 = VAR13; VAR14 = VAR14; VAR23 = VAR23; case (VAR7) VAR14 = 0; VAR23 = 0; if (!VAR27) begin VAR13 = VAR22; VAR9 = VAR22; VAR39 = {1'd1, 64'd0, VAR35, VAR33, VAR8}; if (VAR22) VAR7 = VAR19; end end VAR14 = (VAR14 | !VAR22); if (!VAR27) begin if (VAR14 | !VAR22) VAR7 = VAR26; end else begin VAR7 = VAR37; VAR23 = VAR24 & VAR22; end end end VAR9 = VAR15; VAR39 = {1'd0, VAR10}; end if (!VAR22) VAR7 = VAR26; VAR23 = VAR24 & VAR22; end VAR25 = 1; VAR9 = 1; VAR39 = {1'd1, {VAR4{1'd0}}}; if (VAR25) VAR7 = VAR36; end end endcase end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlygate4sd3/sky130_fd_sc_hs__dlygate4sd3.behavioral.pp.v
1,733
module MODULE1 ( VAR7 , VAR8 , VAR4, VAR1 ); output VAR7 ; input VAR8 ; input VAR4; input VAR1; wire VAR3 ; wire VAR6; buf VAR5 (VAR3 , VAR8 ); VAR10 VAR9 (VAR6, VAR3, VAR4, VAR1); buf VAR2 (VAR7 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2111oi/sky130_fd_sc_hd__a2111oi_2.v
2,461
module MODULE2 ( VAR1 , VAR4 , VAR8 , VAR2 , VAR11 , VAR7 , VAR9, VAR10, VAR5 , VAR6 ); output VAR1 ; input VAR4 ; input VAR8 ; input VAR2 ; input VAR11 ; input VAR7 ; input VAR9; input VAR10; input VAR5 ; input VAR6 ; VAR3 VAR12 ( .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR2(VAR2), .VAR11(VAR11), .VAR7(VAR7), .VAR9(VAR9), .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR1 , VAR4, VAR8, VAR2, VAR11, VAR7 ); output VAR1 ; input VAR4; input VAR8; input VAR2; input VAR11; input VAR7; supply1 VAR9; supply0 VAR10; supply1 VAR5 ; supply0 VAR6 ; VAR3 VAR12 ( .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR2(VAR2), .VAR11(VAR11), .VAR7(VAR7) ); endmodule
apache-2.0
mrehkopf/sd2snes
verilog/sd2snes_mini/address.v
1,660
module MODULE1( input VAR10, input [23:0] VAR3, input VAR7, output [23:0] VAR9, output VAR1, output VAR8, output VAR2, input [23:0] VAR6, input [23:0] VAR5 ); wire [23:0] VAR4; assign VAR2 = ~VAR7; assign VAR8 = (!VAR3[22] & &VAR3[21:20] & &VAR3[14:13] & !VAR3[15] ); assign VAR4 = (VAR8 ? 24'hFF0000 + ((VAR3[14:0] - 15'h6000) & VAR6) : (({1'b0, VAR3[22:0]} & VAR5) + 24'hC00000) ); assign VAR9 = VAR4; assign VAR1 = VAR2 | VAR8; endmodule
gpl-2.0
bluespec/Flute
builds/Flute_RV64GC_MSU_WB_L1_L2_verilator_tohost/Verilog_RTL/mkD_MMU_Cache.v
87,078
module MODULE1(VAR124, VAR267, VAR299, VAR18, VAR318, VAR191, VAR287, VAR322, VAR59, VAR306, VAR154, VAR425, valid, addr, VAR439, VAR339, VAR360, VAR442, VAR54, VAR263, VAR254, VAR117, VAR157, VAR176, VAR435, VAR123, VAR101, VAR349, VAR232, VAR363, VAR209, VAR405, VAR95, VAR172, VAR245, VAR481, VAR37, VAR21, VAR169, VAR152, VAR385, VAR418, VAR17, VAR350, VAR371, VAR359, VAR319, VAR414, VAR14, VAR241, VAR38, VAR324, VAR107, VAR74, VAR427, VAR355, VAR201, VAR24, VAR453, VAR90, VAR308, VAR12, VAR81, VAR146, VAR130, VAR415, VAR230, VAR255); input VAR124; input VAR267; input [1 : 0] VAR299; input [2 : 0] VAR18; input [6 : 0] VAR318; input [63 : 0] VAR191; input [63 : 0] VAR287; input [1 : 0] VAR322; input VAR59; input VAR306; input [63 : 0] VAR154; input VAR425; output valid; output [63 : 0] addr; output [63 : 0] VAR439; output [63 : 0] VAR339; output VAR360; output [3 : 0] VAR442; input VAR54; input VAR263; output VAR254; input VAR117; output VAR157; input VAR176; output VAR435; input [127 : 0] VAR123; input VAR101; output VAR349; input VAR232; output [131 : 0] VAR363; output VAR209; input [127 : 0] VAR405; input VAR95; output VAR172; output [68 : 0] VAR245; output VAR481; input VAR37; output VAR21; output VAR169; output VAR152; input [578 : 0] VAR385; input VAR418; output VAR17; output VAR350; output VAR371; input [65 : 0] VAR359; input VAR319; output VAR414; output VAR14; output VAR241; output [578 : 0] VAR38; output VAR324; input VAR107; output VAR74; output VAR427; output VAR355; input VAR201; output [130 : 0] VAR24; output VAR453; input [64 : 0] VAR90; input VAR308; output VAR12; input VAR81; input [63 : 0] VAR146; input VAR130; output VAR415; output [63 : 0] VAR230; output VAR255; wire [578 : 0] VAR38; wire [131 : 0] VAR363; wire [130 : 0] VAR24; wire [68 : 0] VAR245; wire [63 : 0] addr, VAR230, VAR339, VAR439; wire [3 : 0] VAR442; wire VAR254, VAR157, VAR172, VAR349, VAR209, VAR21, VAR481, VAR152, VAR17, VAR371, VAR414, VAR241, VAR74, VAR324, VAR355, VAR453, VAR12, VAR255, VAR415, VAR435, VAR360, VAR169, VAR350, VAR14, VAR427, valid; reg [3 : 0] VAR89, VAR374; reg [1 : 0] VAR356; reg VAR479; wire [207 : 0] VAR345, VAR253; wire [63 : 0] VAR224, VAR147, VAR312, VAR28; wire [3 : 0] VAR168, VAR91; wire [1 : 0] VAR247, VAR469, VAR203; wire VAR23, VAR303, VAR460, VAR61, VAR139, VAR417, VAR44, VAR290, VAR233, VAR56, VAR487; reg VAR412; wire VAR219, VAR47; reg [3 : 0] VAR58; wire [3 : 0] VAR480; wire VAR389; reg [63 : 0] VAR424; wire [63 : 0] VAR262; wire VAR323; reg [63 : 0] VAR164; wire [63 : 0] VAR329; wire VAR276; reg [207 : 0] VAR331; wire [207 : 0] VAR314; wire VAR288; reg [1 : 0] VAR218; wire [1 : 0] VAR411; wire VAR464; reg [3 : 0] VAR377; wire [3 : 0] VAR401; wire VAR29; reg VAR39; wire VAR402, VAR67; reg [63 : 0] VAR342; wire [63 : 0] VAR100; wire VAR426; reg [3 : 0] VAR132; wire [3 : 0] VAR165; wire VAR388; reg [63 : 0] VAR452; wire [63 : 0] VAR235; wire VAR170; reg [63 : 0] VAR94; wire [63 : 0] VAR159; wire VAR210; reg VAR357; wire VAR333, VAR153; reg [207 : 0] VAR451; reg [63 : 0] VAR419, VAR140; wire [578 : 0] VAR369, VAR106; wire [129 : 0] VAR186; wire [68 : 0] VAR317; wire [65 : 0] VAR434; wire VAR380, VAR249, VAR383, VAR30, VAR346, VAR473, VAR126, VAR421, VAR68, VAR364, VAR237, VAR177, VAR373, VAR173, VAR343, VAR156, VAR486, VAR334, VAR387, VAR93, VAR379, VAR148, VAR416, VAR484, VAR429; wire VAR277, VAR433, VAR99, VAR52, VAR281, VAR478, VAR399; wire VAR239, VAR119, VAR5, VAR205, VAR41; wire [127 : 0] VAR206, VAR216; wire VAR70, VAR32, VAR116, VAR136, VAR240; wire [127 : 0] VAR279, VAR162; wire VAR444, VAR365, VAR105, VAR408, VAR185; wire [127 : 0] VAR490, VAR368; wire VAR236, VAR340, VAR36, VAR395, VAR423; wire [207 : 0] VAR391; wire [130 : 0] VAR43; wire [64 : 0] VAR72; wire [63 : 0] VAR274, VAR468, VAR467; wire VAR9, VAR215, VAR181, VAR35, VAR420, VAR305, VAR192, VAR398, VAR386, VAR283; wire [131 : 0] VAR134, VAR57; wire [127 : 0] VAR66, VAR11; wire [64 : 0] VAR143; wire [63 : 0] VAR376; wire VAR79, VAR228, VAR211, VAR20, VAR220, VAR449, VAR163, VAR64, VAR295, VAR108, VAR221, VAR102; wire [200 : 0] VAR454; wire [63 : 0] VAR42, VAR155, VAR15, VAR40; wire [26 : 0] VAR85; wire [15 : 0] VAR268; wire [1 : 0] VAR448, VAR367; wire VAR431, VAR87, VAR127, VAR321, VAR133; wire VAR347, VAR118, VAR158, VAR199, VAR25, VAR400, VAR188, VAR465, VAR204, VAR483, VAR443, VAR353, VAR217, VAR71, VAR69, VAR437, VAR198, VAR257, VAR129, VAR403, VAR77, VAR31, VAR151, VAR302, VAR121, VAR272, VAR212, VAR256, VAR103, VAR75, VAR496, VAR304, VAR4, VAR413, VAR341, VAR301, VAR197, VAR475, VAR104, VAR109, VAR375, VAR82, VAR325, VAR135, VAR3, VAR488, VAR84, VAR297, VAR446, VAR49, VAR286, VAR83, VAR178, VAR327, VAR248, VAR441, VAR330, VAR182, VAR63, VAR280, VAR456, VAR167; wire [207 : 0] VAR410, VAR381; wire [64 : 0] VAR149; wire [3 : 0] VAR16, VAR278, VAR292, VAR459, VAR497, VAR187; wire [1 : 0] VAR80; wire VAR194, VAR296, VAR34, VAR310, VAR242, VAR76, VAR115, VAR98, VAR313, VAR269, VAR55, VAR195, VAR250, VAR120, VAR243, VAR273, VAR179, VAR62; reg [31 : 0] VAR457; reg [31 : 0] VAR51; reg [31 : 0] VAR53; reg [31 : 0] VAR300; reg [31 : 0] VAR489; reg [31 : 0] VAR184; reg [31 : 0] VAR65; reg [31 : 0] VAR396; wire [63 : 0] VAR10; wire [3 : 0] VAR92, VAR463, VAR114, VAR354; wire VAR128, VAR265, VAR150, VAR440, VAR264, VAR326, VAR392, VAR432, VAR161, VAR6, VAR252, VAR2, VAR1, VAR311, VAR171, VAR298, VAR73, VAR370; assign VAR212 = 1'd1 ; assign VAR182 = VAR425 ; assign valid = VAR39 ; assign addr = VAR331[202:139] ; assign VAR439 = VAR164 ; assign VAR339 = VAR424 ; assign VAR360 = VAR412 ; assign VAR442 = VAR58 ; assign VAR254 = VAR399 ; assign VAR257 = VAR399 ; assign VAR446 = VAR263 ; assign VAR157 = VAR5 ; assign VAR129 = VAR5 ; assign VAR49 = VAR117 ; assign VAR435 = 1'd1 ; assign VAR496 = 1'd1 ; assign VAR167 = VAR176 ; assign VAR349 = VAR295 ; assign VAR77 = VAR295 ; assign VAR83 = VAR101 ; assign VAR363 = VAR57 ; assign VAR209 = VAR108 ; assign VAR31 = VAR108 ; assign VAR178 = VAR232 ; assign VAR172 = VAR185 ; assign VAR403 = VAR185 ; assign VAR286 = VAR95 ; assign VAR245 = VAR317 ; assign VAR481 = VAR177 ; assign VAR21 = VAR237 ; assign VAR151 = VAR237 ; assign VAR327 = VAR37 ; assign VAR169 = VAR93 ; assign VAR152 = 1'd1 ; assign VAR17 = VAR373 ; assign VAR302 = VAR373 ; assign VAR248 = VAR418 ; assign VAR350 = VAR379 ; assign VAR371 = 1'd1 ; assign VAR414 = VAR173 ; assign VAR121 = VAR173 ; assign VAR441 = VAR319 ; assign VAR14 = VAR148 ; assign VAR241 = 1'd1 ; assign VAR38 = VAR106 ; assign VAR324 = VAR156 ; assign VAR74 = VAR343 ; assign VAR272 = VAR343 ; assign VAR330 = VAR107 ; assign VAR427 = VAR416 ; assign VAR355 = 1'd1 ; assign VAR24 = VAR43 ; assign VAR453 = VAR420 ; assign VAR256 = VAR420 ; assign VAR63 = VAR201 ; assign VAR12 = VAR305 ; assign VAR103 = VAR305 ; assign VAR280 = VAR308 ; assign VAR415 = 1'd1 ; assign VAR75 = 1'd1 ; assign VAR456 = VAR130 ; assign VAR230 = VAR94 ; assign VAR255 = 1'd1 ; VAR145 #(.VAR214(1'd1), .VAR207(3'd0)) VAR320(.VAR124(VAR124), .VAR267(VAR267), .VAR54(VAR387), .VAR385(VAR369), .VAR359(VAR434), .VAR122(VAR419), .VAR485(VAR140), .VAR231(VAR451), .VAR372(VAR126), .VAR260(VAR421), .VAR263(VAR380), .VAR117(VAR249), .VAR37(VAR383), .VAR418(VAR30), .VAR319(VAR346), .VAR107(VAR473), .VAR344(VAR186), .VAR285(VAR486), .VAR48(VAR484), .VAR78(VAR429), .VAR494(VAR334), .VAR254(VAR68), .VAR157(VAR364), .VAR245(VAR317), .VAR481(VAR177), .VAR21(VAR237), .VAR169(VAR93), .VAR152(), .VAR17(VAR373), .VAR350(VAR379), .VAR371(), .VAR414(VAR173), .VAR14(VAR148), .VAR241(), .VAR38(VAR106), .VAR324(VAR156), .VAR74(VAR343), .VAR427(VAR416), .VAR355()); VAR407 #(.VAR234(32'd1), .VAR404(1'd1)) VAR22(.VAR238(VAR267), .VAR124(VAR124), .VAR8(VAR99), .VAR445(VAR478), .VAR88(VAR433), .VAR183(VAR277), .VAR362(VAR52), .VAR428(VAR399), .VAR244(VAR281)); VAR307 #(.VAR404(1'd1)) VAR26(.VAR238(VAR267), .VAR124(VAR124), .VAR445(VAR205), .VAR88(VAR119), .VAR183(VAR239), .VAR428(VAR41), .VAR244(VAR5)); VAR407 #(.VAR234(32'd128), .VAR404(1'd1)) VAR258(.VAR238(VAR267), .VAR124(VAR124), .VAR8(VAR206), .VAR445(VAR136), .VAR88(VAR32), .VAR183(VAR70), .VAR362(VAR216), .VAR428(VAR240), .VAR244(VAR116)); VAR407 #(.VAR234(32'd128), .VAR404(1'd1)) VAR351(.VAR238(VAR267), .VAR124(VAR124), .VAR8(VAR279), .VAR445(VAR408), .VAR88(VAR365), .VAR183(VAR444), .VAR362(VAR162), .VAR428(VAR185), .VAR244(VAR105)); VAR407 #(.VAR234(32'd128), .VAR404(1'd1)) VAR338(.VAR238(VAR267), .VAR124(VAR124), .VAR8(VAR490), .VAR445(VAR395), .VAR88(VAR340), .VAR183(VAR236), .VAR362(VAR368), .VAR428(VAR423), .VAR244(VAR36)); VAR289 #(.VAR207(3'd0)) VAR294(.VAR124(VAR124), .VAR267(VAR267), .VAR90(VAR72), .VAR251(VAR391), .VAR436(VAR467), .VAR397(VAR181), .VAR261(VAR35), .VAR201(VAR9), .VAR308(VAR215), .VAR193(), .VAR470(), .VAR50(VAR283), .VAR7(VAR192), .VAR472(VAR274), .VAR202(VAR398), .VAR366(VAR468), .VAR229(VAR386), .VAR24(VAR43), .VAR453(VAR420), .VAR12(VAR305)); VAR266 #(.VAR207(3'd0)) VAR174(.VAR124(VAR124), .VAR267(VAR267), .VAR246(VAR66), .VAR27(VAR11), .VAR111(VAR143), .VAR378(VAR211), .VAR316(VAR20), .VAR458(VAR79), .VAR309(VAR228), .VAR328(VAR220), .VAR33(VAR449), .VAR438(VAR295), .VAR291(VAR57), .VAR293(VAR108), .VAR13(VAR163), .VAR474(VAR134), .VAR358(VAR64), .VAR477(VAR376), .VAR337(VAR221), .VAR335(VAR102)); VAR450 #(.VAR112(1'd1), .VAR207(3'd0)) VAR382(.VAR124(VAR124), .VAR267(VAR267), .VAR259(VAR268), .VAR482(VAR448), .VAR225(VAR42), .VAR160(VAR155), .VAR352(VAR85), .VAR208(VAR127), .VAR466(VAR367), .VAR275(VAR321), .VAR336(VAR15), .VAR390(VAR133), .VAR138(VAR40), .VAR447(VAR87), .VAR455(VAR431), .VAR131(VAR454), .VAR144(), .VAR284(), .VAR476()); assign VAR400 = VAR377 == 4'd0 && VAR218 == 2'd1 && VAR484 ; assign VAR197 = VAR400 && !VAR425 ; assign VAR188 = (VAR265 || VAR128) && VAR377 == 4'd0 && VAR218 == 2'd2 ; assign VAR475 = VAR188 && !VAR425 ; assign VAR158 = VAR377 == 4'd1 ; assign VAR413 = VAR158 ; assign VAR199 = VAR334 && VAR377 == 4'd2 ; assign VAR341 = VAR199 ; assign VAR465 = VAR386 && VAR398 && VAR192 && VAR377 == 4'd3 ; assign VAR104 = VAR465 ; assign VAR204 = VAR64 && VAR377 == 4'd5 ; assign VAR109 = VAR204 ; assign VAR443 = VAR68 && VAR281 && VAR377 == 4'd0 && VAR218 == 2'd0 ; assign VAR82 = VAR443 ; assign VAR483 = VAR364 && VAR281 && VAR41 && VAR377 == 4'd4 ; assign VAR375 = VAR483 ; assign VAR69 = VAR221 && VAR484 && (VAR377 == 4'd5 || VAR377 == 4'd0 && VAR218 == 2'd0) ; assign VAR488 = VAR69 && !VAR82 && !VAR109 && !VAR425 ; assign VAR437 = VAR486 && VAR102 && VAR377 == 4'd6 ; assign VAR84 = VAR437 && !VAR425 ; assign VAR198 = VAR334 && (VAR429 || VAR102) && VAR377 == 4'd7 ; assign VAR297 = VAR198 ; assign VAR347 = VAR105 && VAR423 ; assign VAR304 = VAR347 ; assign VAR118 = VAR423 && VAR116 ; assign VAR4 = VAR118 && !VAR304 ; assign VAR217 = VAR36 && VAR377 == 4'd0 && VAR484 && VAR218 == 2'd0 ; assign VAR135 = VAR217 && !VAR488 && !VAR82 && !VAR425 ; assign VAR71 = VAR486 && VAR36 && VAR377 == 4'd8 ; assign VAR3 = VAR71 && !VAR425 ; assign VAR353 = VAR334 && (VAR429 || VAR36) && VAR377 == 4'd9 ; assign VAR325 = VAR353 ; assign VAR25 = VAR425 ; assign VAR301 = VAR425 ; assign VAR194 = VAR425 && VAR91 == 4'd0 && VAR484 ; assign VAR296 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR326 ; assign VAR34 = VAR475 && (VAR265 || VAR454[200:199] != 2'd1 && (VAR454[200:199] == 2'd2 || VAR264)) ; assign VAR310 = VAR341 && !VAR429 ; assign VAR242 = VAR109 && VAR134[131:130] != 2'd0 ; assign VAR115 = VAR475 && (VAR265 || VAR454[200:199] == 2'd2) ; assign VAR98 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR264 ; assign VAR313 = VAR475 && (VAR265 || VAR454[200:199] != 2'd1 && (VAR454[200:199] == 2'd2 || VAR440)) ; assign VAR269 = VAR104 || VAR413 ; assign VAR55 = VAR475 && VAR252 && (VAR454[200:199] == 2'd1 || VAR454[200:199] != 2'd2 && VAR6) ; assign VAR195 = VAR375 || VAR109 || VAR104 || VAR341 || VAR413 ; assign VAR250 = VAR325 || VAR135 ; assign VAR120 = VAR475 && (VAR265 || VAR454[200:199] == 2'd1 || VAR454[200:199] == 2'd2 || VAR161) ; assign VAR273 = VAR84 && VAR186[129:128] == 2'd1 ; assign VAR179 = VAR109 && VAR134[131:130] == 2'd0 ; assign VAR62 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR454[130] ; assign VAR410 = { 5'd3, VAR342, 139'h555555555555555500C0000000000000000 } ; assign VAR381 = { 5'd11, VAR368, 75'h00C0000000000000000 } ; assign VAR76 = VAR265 || VAR454[200:199] == 2'd2 ; assign VAR16 = VAR265 ? VAR463 : VAR454[134:131] ; assign VAR278 = (VAR134[131:130] == 2'd1) ? VAR114 : VAR354 ; assign VAR80 = (VAR134[131:130] == 2'd0) ? 2'd1 : 2'd0 ; assign VAR292 = (VAR454[200:199] == 2'd1) ? 4'd5 : VAR92 ; assign VAR459 = (VAR186[129:128] == 2'd1) ? VAR132 : 4'd7 ; assign VAR497 = VAR429 ? 4'd6 : 4'd0 ; assign VAR187 = (VAR186[129:128] == 2'd2) ? 4'd0 : 4'd9 ; assign VAR243 = VAR265 || VAR454[200:199] != 2'd1 && (VAR454[200:199] == 2'd2 || VAR440) ; assign VAR149 = { 1'd1, VAR186[127:64] } ; assign VAR44 = VAR55 || VAR84 || VAR297 || VAR3 || VAR375 || VAR109 || VAR104 || VAR341 || VAR413 || VAR82 || VAR488 || VAR325 || VAR135 ; always@(VAR55 or VAR292 or VAR84 or VAR459 or VAR297 or VAR497 or VAR3 or VAR187 or VAR195 or VAR82 or VAR488 or VAR250) begin case (1'b1) VAR55: VAR374 = VAR292; VAR84: VAR374 = VAR459; VAR297: VAR374 = VAR497; VAR3: VAR374 = VAR187; VAR195: VAR374 = 4'd0; VAR82: VAR374 = 4'd4; VAR488: VAR374 = 4'd6; VAR250: VAR374 = 4'd8; default: VAR374 = 4'b1010 ; endcase end assign VAR91 = VAR44 ? VAR374 : VAR377 ; assign VAR417 = VAR313 || VAR341 && !VAR429 || VAR109 || VAR104 || VAR413 || VAR197 ; always@(VAR109 or VAR80 or VAR313 or VAR310 or VAR269 or VAR197) begin case (1'b1) VAR109: VAR356 = VAR80; VAR313 || VAR310 || VAR269: VAR356 = 2'd0; VAR197: VAR356 = 2'd2; default: VAR356 = 2'b10 ; endcase end assign VAR247 = VAR417 ? VAR356 : VAR218 ; assign VAR469 = (VAR91 != 4'd0 || !VAR484) ? 2'd1 : 2'd2 ; assign VAR203 = VAR425 ? VAR469 : VAR247 ; assign VAR345 = { VAR299, VAR18, VAR191, VAR287, VAR318, VAR322, VAR59, VAR306, VAR154 } ; assign VAR253 = VAR425 ? VAR345 : VAR331 ; assign VAR290 = VAR475 && (VAR265 || VAR454[200:199] == 2'd1 || VAR454[200:199] == 2'd2 || VAR161) || VAR341 && !VAR429 || VAR109 && VAR134[131:130] != 2'd0 || VAR104 || VAR413 ; assign VAR233 = !VAR120 || VAR243 ; assign VAR56 = VAR290 ? VAR233 : VAR39 ; assign VAR487 = !VAR425 && VAR56 ; assign VAR23 = VAR34 || VAR341 && !VAR429 || VAR109 && VAR134[131:130] != 2'd0 || VAR104 ; always@(VAR104 or VAR283 or VAR34 or VAR76 or VAR310 or VAR242) begin case (1'b1) VAR104: VAR479 = VAR283; VAR34: VAR479 = VAR76; VAR310 || VAR242: VAR479 = 1'd1; default: VAR479 = 1'b0 ; endcase end assign VAR303 = VAR23 ? VAR479 : VAR412 ; assign VAR460 = VAR475 && (VAR265 || VAR454[200:199] == 2'd2) || VAR341 && !VAR429 || VAR109 && VAR134[131:130] != 2'd0 || VAR104 ; always@(VAR115 or VAR16 or VAR310 or VAR114 or VAR242 or VAR278 or VAR104) begin case (1'b1) VAR115: VAR89 = VAR16; VAR310: VAR89 = VAR114; VAR242: VAR89 = VAR278; VAR104: VAR89 = VAR114; default: VAR89 = 4'b1010 ; endcase end assign VAR168 = VAR460 ? VAR89 : VAR58 ; assign VAR139 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR264 || VAR104 ; assign VAR312 = VAR98 ? VAR186[127:64] : VAR274 ; assign VAR28 = VAR139 ? VAR312 : VAR164 ; assign VAR61 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR264 || VAR104 ; assign VAR224 = VAR98 ? VAR186[63:0] : VAR468 ; assign VAR147 = VAR61 ? VAR224 : VAR424 ; assign VAR219 = VAR303 ; assign VAR47 = 1'b1 ; assign VAR480 = VAR168 ; assign VAR389 = 1'b1 ; assign VAR262 = VAR147 ; assign VAR323 = 1'b1 ; assign VAR329 = VAR28 ; assign VAR276 = 1'b1 ; assign VAR314 = VAR253 ; assign VAR288 = 1'b1 ; assign VAR411 = VAR203 ; assign VAR464 = 1'b1 ; assign VAR401 = VAR91 ; assign VAR29 = 1'b1 ; assign VAR402 = VAR487 ; assign VAR67 = 1'b1 ; assign VAR100 = VAR376 ; assign VAR426 = VAR488 ; assign VAR165 = VAR377 ; assign VAR388 = VAR488 ; assign VAR235 = VAR146 ; assign VAR170 = VAR130 ; assign VAR159 = VAR130 ? 64'd0 : VAR331[138:75] ; assign VAR210 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR432 || VAR130 ; assign VAR333 = VAR81 ; assign VAR153 = VAR130 ; assign VAR387 = VAR52 ; assign VAR369 = VAR385 ; assign VAR434 = VAR359 ; always@(VAR194 or VAR345 or VAR197 or VAR331 or VAR135 or VAR368 or VAR488 or VAR376) begin case (1'b1) VAR194: VAR419 = VAR345[202:139]; VAR197: VAR419 = VAR331[202:139]; VAR135: VAR419 = VAR368[127:64]; VAR488: VAR419 = VAR376; default: VAR419 = 64'hAAAAAAAAAAAAAAAA ; endcase end always@(VAR296 or VAR454 or VAR84 or VAR342 or VAR3 or VAR368) begin case (1'b1) VAR296: VAR140 = VAR454[198:135]; VAR84: VAR140 = VAR342; VAR3: VAR140 = VAR368[127:64]; default: VAR140 = 64'hAAAAAAAAAAAAAAAA ; endcase end always@(VAR296 or VAR331 or VAR84 or VAR410 or VAR3 or VAR381) begin case (1'b1) VAR296: VAR451 = VAR331; VAR84: VAR451 = VAR410; VAR3: VAR451 = VAR381; default: VAR451 = 208'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ; endcase end assign VAR126 = VAR425 && VAR91 == 4'd0 && VAR484 || VAR197 || VAR135 || VAR488 ; assign VAR421 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR326 || VAR84 || VAR3 ; assign VAR380 = VAR443 ; assign VAR249 = VAR483 ; assign VAR383 = VAR37 ; assign VAR30 = VAR418 ; assign VAR346 = VAR319 ; assign VAR473 = VAR107 ; assign VAR99 = VAR54 ; assign VAR478 = VAR263 ; assign VAR433 = VAR483 ; assign VAR277 = 1'b0 ; assign VAR205 = VAR483 ; assign VAR119 = VAR117 ; assign VAR239 = 1'b0 ; assign VAR206 = { VAR454[63:0], VAR454[129:66] } ; assign VAR136 = VAR62 ; assign VAR32 = VAR4 ; assign VAR70 = 1'b0 ; assign VAR279 = VAR405 ; assign VAR408 = VAR95 ; assign VAR365 = VAR347 ; assign VAR444 = 1'b0 ; assign VAR490 = VAR304 ? VAR162 : VAR216 ; assign VAR395 = VAR304 || VAR4 ; assign VAR340 = VAR3 && VAR186[129:128] == 2'd2 ; assign VAR236 = 1'b0 ; assign VAR72 = VAR90 ; assign VAR391 = VAR345 ; assign VAR467 = VAR454[198:135] ; assign VAR181 = VAR425 ; assign VAR35 = VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR392 ; assign VAR9 = VAR201 ; assign VAR215 = VAR308 ; assign VAR66 = { VAR331[202:139], VAR331[63:0] } ; assign VAR11 = VAR123 ; assign VAR143 = VAR273 ? VAR149 : 65'h0AAAAAAAAAAAAAAAA ; assign VAR211 = VAR101 ; assign VAR20 = VAR232 ; assign VAR79 = VAR475 && VAR252 && VAR454[200:199] == 2'd1 ; assign VAR228 = VAR204 ; assign VAR220 = VAR488 ; assign VAR449 = VAR84 && VAR186[129:128] == 2'd1 || VAR297 && !VAR429 ; assign VAR268 = VAR331[59:44] ; assign VAR448 = VAR179 ? VAR134[65:64] : VAR454[65:64] ; assign VAR42 = VAR179 ? VAR134[129:66] : VAR454[129:66] ; assign VAR155 = VAR179 ? VAR134[63:0] : VAR454[63:0] ; assign VAR85 = VAR331[177:151] ; assign VAR127 = VAR331[64] ; assign VAR367 = VAR331[67:66] ; assign VAR321 = VAR331[207:206] == 2'd0 || VAR331[207:206] == 2'd2 && VAR331[74:70] == 5'b00010 ; assign VAR15 = VAR331[63:0] ; assign VAR133 = VAR331[65] ; assign VAR40 = VAR331[202:139] ; assign VAR87 = VAR109 && VAR134[131:130] == 2'd0 || VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR454[130] ; assign VAR431 = VAR176 ; assign VAR92 = (!VAR311 && VAR171 || !VAR298 && VAR73) ? ((VAR186[129:128] == 2'd0) ? 4'd2 : 4'd1) : 4'd3 ; assign VAR128 = (VAR454[200:199] == 2'd1) ? VAR163 : VAR454[200:199] == 2'd2 || (!VAR454[130] || VAR240) && VAR370 ; assign VAR265 = VAR331[204:203] != 2'b0 && (VAR331[204:203] != 2'b01 || VAR331[139]) && (VAR331[204:203] != 2'b10 || VAR331[140:139] != 2'b0) && (VAR331[204:203] != 2'b11 || VAR331[141:139] != 3'b0) ; assign VAR150 = VAR94 != VAR331[138:75] ; assign VAR440 = (!VAR311 && VAR171 || !VAR298 && VAR73) && VAR186[129:128] == 2'd1 ; assign VAR264 = (!VAR311 && VAR171 || !VAR298 && VAR73) && VAR186[129:128] != 2'd0 ; assign VAR326 = VAR454[200:199] != 2'd2 && (!VAR311 && VAR171 || !VAR298 && VAR73) ; assign VAR392 = VAR454[200:199] != 2'd2 && (VAR311 || !VAR171) && (VAR298 || !VAR73) ; assign VAR432 = VAR454[200:199] != 2'd2 && VAR357 && VAR454[198:135] == VAR452 ; assign VAR161 = VAR186[129:128] == 2'd0 || VAR186[129:128] == 2'd1 || VAR186[129:128] == 2'd2 || (VAR311 || !VAR171) && (VAR298 || !VAR73) ; assign VAR6 = VAR186[129:128] == 2'd0 || VAR186[129:128] == 2'd2 || (VAR311 || !VAR171) && (VAR298 || !VAR73) ; assign VAR252 = VAR331[204:203] == 2'b0 || VAR331[204:203] == 2'b01 && !VAR331[139] || VAR331[204:203] == 2'b10 && VAR331[140:139] == 2'b0 || VAR331[204:203] == 2'b11 && VAR331[141:139] == 3'b0 ; assign VAR2 = VAR357 && VAR454[198:135] == VAR452 && VAR150 && VAR331[138:76] == 63'd0 ; assign VAR1 = VAR357 && VAR454[198:135] == VAR452 && VAR150 && VAR331[138:76] != 63'd0 ; assign VAR10 = { 1'd0, VAR331[138:76] } ; assign VAR311 = VAR454[198:135] < 64'h0000000000001000 ; assign VAR171 = VAR454[198:135] < 64'd8192 ; assign VAR298 = VAR454[198:135] < 64'h0000000080000000 ; assign VAR73 = VAR454[198:135] < 64'h0000000090000000 ; assign VAR370 = (VAR311 || !VAR171) && (VAR298 || !VAR73) || VAR486 ; assign VAR463 = (VAR331[207:206] == 2'd0 || VAR331[207:206] == 2'd2 && VAR331[74:70] == 5'b00010) ? 4'd4 : 4'd6 ; assign VAR114 = (VAR331[207:206] == 2'd0 || VAR331[207:206] == 2'd2 && VAR331[74:70] == 5'b00010) ? 4'd5 : 4'd7 ; assign VAR354 = (VAR331[207:206] == 2'd0 || VAR331[207:206] == 2'd2 && VAR331[74:70] == 5'b00010) ? 4'd13 : 4'd15 ; always@(posedge VAR124) begin if (VAR267 == VAR110) begin VAR218 <= VAR315 2'd0; VAR377 <= VAR315 4'd0; VAR39 <= VAR315 1'd0; VAR452 <= VAR315 64'h0000000080001000; VAR94 <= VAR315 64'd0; VAR357 <= VAR315 1'd1; end else begin if (VAR464) VAR218 <= VAR315 VAR411; if (VAR29) VAR377 <= VAR315 VAR401; if (VAR67) VAR39 <= VAR315 VAR402; if (VAR170) VAR452 <= VAR315 VAR235; if (VAR210) VAR94 <= VAR315 VAR159; if (VAR153) VAR357 <= VAR315 VAR333; end if (VAR47) VAR412 <= VAR315 VAR219; if (VAR389) VAR58 <= VAR315 VAR480; if (VAR323) VAR424 <= VAR315 VAR262; if (VAR276) VAR164 <= VAR315 VAR329; if (VAR288) VAR331 <= VAR315 VAR314; if (VAR426) VAR342 <= VAR315 VAR100; if (VAR388) VAR132 <= VAR315 VAR165; end begin VAR412 = 1'h0; VAR58 = 4'hA; VAR424 = 64'hAAAAAAAAAAAAAAAA; VAR164 = 64'hAAAAAAAAAAAAAAAA; VAR331 = 208'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; VAR218 = 2'h2; VAR377 = 4'hA; VAR39 = 1'h0; VAR342 = 64'hAAAAAAAAAAAAAAAA; VAR132 = 4'hA; VAR452 = 64'hAAAAAAAAAAAAAAAA; VAR94 = 64'hAAAAAAAAAAAAAAAA; VAR357 = 1'h0; end always@(negedge VAR124) begin if (VAR267 != VAR110) if (VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR454[200:199] != 2'd0) if (VAR267 != VAR110) if (VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR454[200:199] != 2'd0) if (VAR267 != VAR110) if (VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR357 && VAR454[198:135] == VAR452 && VAR150) ("VAR125 VAR141 'VAR196': 0x%0h", VAR331[138:75]); if (VAR267 != VAR110) if (VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR2) if (VAR267 != VAR110) if (VAR475 && VAR252 && VAR454[200:199] != 2'd1 && VAR454[200:199] != 2'd2 && VAR1) if (VAR267 != VAR110) if (VAR130) begin VAR457 = VAR282; end VAR396 = VAR457 / 32'd10; if (VAR267 != VAR110) if (VAR130) VAR142("%0d: %VAR166.VAR406: VAR332 %0d, addr %0h", VAR396, VAR81, VAR146); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) begin VAR51 = VAR282; end VAR184 = VAR51 / 32'd10; if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) (" "); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) ("VAR137{"); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) ("VAR46", " VAR361 %3b", 3'b011); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) (" VAR430 %0h", VAR342); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) (" VAR223 %0d VAR200 %0d VAR213 %0d VAR348 %0h", 2'b11, 1'd0, 1'd0, 64'd0); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) ("}"); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) ("\VAR492"); if (VAR267 != VAR110) if (VAR84 && VAR186[129:128] == 2'd2) if (VAR267 != VAR110) if (VAR325 && !VAR429) begin VAR53 = VAR282; end VAR65 = VAR53 / 32'd10; if (VAR267 != VAR110) if (VAR325 && !VAR429) VAR142("%0d: %VAR166.VAR270: VAR491: VAR493 VAR320 VAR180 VAR461", VAR65); if (VAR267 != VAR110) if (VAR325 && !VAR429) VAR142(" VAR462 %0d VAR393 %0h", VAR368[127:64], VAR368[63:0]); if (VAR267 != VAR110) if (VAR325 && !VAR429) if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) begin VAR300 = VAR282; end VAR489 = VAR300 / 32'd10; if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) (" VAR60 VAR491: VAR218: "); if (VAR267 != VAR110) if (VAR425 && VAR247 == 2'd1) ("VAR409"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR247 != 2'd1) ("VAR190"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) ("; VAR175 VAR495", "\VAR492"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) (" "); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) ("VAR137{"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) ("VAR137{"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd0) ("VAR46"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd1) ("VAR222"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2 && VAR345[207:206] != 2'd0 && VAR345[207:206] != 2'd1) ("VAR86"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (" VAR361 %3b", VAR345[205:203]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) ("VAR86"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) (" VAR361 %3b", VAR345[205:203]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) (" "); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b00010) ("VAR422"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b00011) ("VAR19"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b0) ("VAR384"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b00001) ("VAR189"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b00100) ("VAR227"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b01100) ("VAR96"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b01000) ("VAR394"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b10000) ("VAR471"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b10100) ("VAR97"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] == 5'b11000) ("VAR226"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2 && VAR345[74:70] != 5'b00010 && VAR345[74:70] != 5'b00011 && VAR345[74:70] != 5'b0 && VAR345[74:70] != 5'b00001 && VAR345[74:70] != 5'b00100 && VAR345[74:70] != 5'b01100 && VAR345[74:70] != 5'b01000 && VAR345[74:70] != 5'b10000 && VAR345[74:70] != 5'b10100 && VAR345[74:70] != 5'b11000) ("VAR45"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] == 2'd2) (" VAR271 %2b", VAR345[69:68]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && VAR345[207:206] != 2'd2) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) (" VAR430 %0h", VAR345[202:139]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && (VAR345[207:206] == 2'd2 && VAR345[74:70] != 5'b00010 || VAR345[207:206] == 2'd1)) (" VAR113 %0h", VAR345[138:75]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0 && (VAR345[207:206] != 2'd2 || VAR345[74:70] == 5'b00010) && VAR345[207:206] != 2'd1) (""); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) (" VAR223 %0d VAR200 %0d VAR213 %0d VAR348 %0h", VAR345[67:66], VAR345[65], VAR345[64], VAR345[63:0]); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) ("}"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) ("\VAR492"); if (VAR267 != VAR110) if (VAR425 && VAR247 != 2'd0) end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o32ai/sky130_fd_sc_hdll__o32ai_4.v
2,457
module MODULE2 ( VAR1 , VAR10 , VAR7 , VAR8 , VAR6 , VAR5 , VAR9, VAR2, VAR12 , VAR4 ); output VAR1 ; input VAR10 ; input VAR7 ; input VAR8 ; input VAR6 ; input VAR5 ; input VAR9; input VAR2; input VAR12 ; input VAR4 ; VAR3 VAR11 ( .VAR1(VAR1), .VAR10(VAR10), .VAR7(VAR7), .VAR8(VAR8), .VAR6(VAR6), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR12(VAR12), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR1 , VAR10, VAR7, VAR8, VAR6, VAR5 ); output VAR1 ; input VAR10; input VAR7; input VAR8; input VAR6; input VAR5; supply1 VAR9; supply0 VAR2; supply1 VAR12 ; supply0 VAR4 ; VAR3 VAR11 ( .VAR1(VAR1), .VAR10(VAR10), .VAR7(VAR7), .VAR8(VAR8), .VAR6(VAR6), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlrtn/sky130_fd_sc_ms__dlrtn_2.v
2,358
module MODULE2 ( VAR7 , VAR9, VAR1 , VAR3 , VAR2 , VAR5 , VAR4 , VAR6 ); output VAR7 ; input VAR9; input VAR1 ; input VAR3 ; input VAR2 ; input VAR5 ; input VAR4 ; input VAR6 ; VAR8 VAR10 ( .VAR7(VAR7), .VAR9(VAR9), .VAR1(VAR1), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR7 , VAR9, VAR1 , VAR3 ); output VAR7 ; input VAR9; input VAR1 ; input VAR3 ; supply1 VAR2; supply0 VAR5; supply1 VAR4 ; supply0 VAR6 ; VAR8 VAR10 ( .VAR7(VAR7), .VAR9(VAR9), .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
ShirmanXia/EE469SPRING16
lab3/nios_system/synthesis/submodules/nios_system_charReceived.v
3,575
module MODULE1 ( address, VAR15, clk, VAR11, VAR8, VAR13, VAR12, irq, VAR3 ) ; output irq; output [ 31: 0] VAR3; input [ 1: 0] address; input VAR15; input clk; input VAR11; input VAR8; input VAR13; input [ 31: 0] VAR12; wire VAR5; reg VAR2; reg VAR4; wire VAR9; reg VAR14; wire VAR6; wire VAR7; wire irq; reg VAR10; wire VAR1; reg [ 31: 0] VAR3; assign VAR5 = 1; assign VAR1 = ({1 {(address == 0)}} & VAR9) | ({1 {(address == 2)}} & VAR10) | ({1 {(address == 3)}} & VAR14); always @(posedge clk or negedge VAR8) begin if (VAR8 == 0) VAR3 <= 0; end else if (VAR5) VAR3 <= {32'b0 | VAR1}; end assign VAR9 = VAR11; always @(posedge clk or negedge VAR8) begin if (VAR8 == 0) VAR10 <= 0; end else if (VAR15 && ~VAR13 && (address == 2)) VAR10 <= VAR12; end assign irq = |(VAR14 & VAR10); assign VAR6 = VAR15 && ~VAR13 && (address == 3); always @(posedge clk or negedge VAR8) begin if (VAR8 == 0) VAR14 <= 0; end else if (VAR5) if (VAR6) VAR14 <= 0; else if (VAR7) VAR14 <= -1; end always @(posedge clk or negedge VAR8) begin if (VAR8 == 0) begin VAR2 <= 0; VAR4 <= 0; end else if (VAR5) begin VAR2 <= VAR9; VAR4 <= VAR2; end end assign VAR7 = VAR2 & ~VAR4; endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/temac_axi_v5_2/example_design/axi_ipif/counter_f.v
6,839
module MODULE1 (VAR9, VAR2, VAR4, VAR5, VAR14, VAR3, VAR12, VAR7); parameter VAR8 = 9; parameter VAR10 = "VAR15"; input VAR9; input VAR2; input[VAR8 - 1:0] VAR4; input VAR5; input VAR14; input VAR3; output[VAR8 - 1:0] VAR12; wire[VAR8 - 1:0] VAR12; output VAR7; wire VAR7; reg[VAR8:0] VAR1; wire[VAR8:0] VAR13; wire[VAR8:0] VAR6; assign VAR6 = {1'b0, VAR4}; assign VAR13 = {1'b0, VAR1[VAR8 - 1:0]}; always @(posedge VAR9) begin : VAR11 if (VAR2 == 1'b1) begin VAR1 <= {VAR8-(0)+1{1'b0}} ; end else if (VAR14 == 1'b1) begin VAR1 <= VAR6 ; end else if (VAR3 == 1'b1 & VAR5 == 1'b1) begin VAR1 <= VAR13 - 1 ; end else if (VAR5 == 1'b1) begin VAR1 <= VAR13 + 1 ; end end assign VAR7 = VAR1[VAR8] ; assign VAR12 = VAR1[VAR8 - 1:0]; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41ai/sky130_fd_sc_ls__o41ai_4.v
2,424
module MODULE1 ( VAR4 , VAR6 , VAR5 , VAR9 , VAR10 , VAR2 , VAR3, VAR7, VAR12 , VAR8 ); output VAR4 ; input VAR6 ; input VAR5 ; input VAR9 ; input VAR10 ; input VAR2 ; input VAR3; input VAR7; input VAR12 ; input VAR8 ; VAR1 VAR11 ( .VAR4(VAR4), .VAR6(VAR6), .VAR5(VAR5), .VAR9(VAR9), .VAR10(VAR10), .VAR2(VAR2), .VAR3(VAR3), .VAR7(VAR7), .VAR12(VAR12), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR4 , VAR6, VAR5, VAR9, VAR10, VAR2 ); output VAR4 ; input VAR6; input VAR5; input VAR9; input VAR10; input VAR2; supply1 VAR3; supply0 VAR7; supply1 VAR12 ; supply0 VAR8 ; VAR1 VAR11 ( .VAR4(VAR4), .VAR6(VAR6), .VAR5(VAR5), .VAR9(VAR9), .VAR10(VAR10), .VAR2(VAR2) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi211/gf180mcu_fd_sc_mcu7t5v0__aoi211_4.functional.v
1,585
module MODULE1( VAR8, VAR13, VAR14, VAR9, VAR6 ); input VAR14, VAR13, VAR9, VAR6; output VAR8; wire VAR18; not VAR11( VAR18, VAR14 ); wire VAR17; not VAR16( VAR17, VAR9 ); wire VAR2; not VAR7( VAR2, VAR6 ); wire VAR12; and VAR4( VAR12, VAR18, VAR17, VAR2 ); wire VAR5; not VAR10( VAR5, VAR13 ); wire VAR1; and VAR15( VAR1, VAR5, VAR17, VAR2 ); or VAR3( VAR8, VAR12, VAR1 ); endmodule
apache-2.0
sirchuckalot/zet
cores/fmlbrg/rtl/fmlbrg_tagmem.v
1,525
module MODULE1 #( parameter VAR9 = 2, parameter VAR6 = 2 ) ( input VAR5, input [VAR9-1:0] VAR1, input VAR7, input [VAR6-1:0] VAR11, output [VAR6-1:0] dout, input [VAR9-1:0] VAR2, output [VAR6-1:0] VAR3 ); reg [VAR6-1:0] VAR12[0:(1 << VAR9)-1]; reg [VAR9-1:0] VAR4; reg [VAR9-1:0] VAR10; always @(posedge VAR5) begin VAR4 <= VAR1; VAR10 <= VAR2; end always @(posedge VAR5) begin if(VAR7) VAR12[VAR1] <= VAR11; end assign dout = VAR12[VAR4]; assign VAR3 = VAR12[VAR10]; integer VAR8;
gpl-3.0
jotego/jt51
hdl/jt51_reg.v
8,365
module MODULE1( input rst, input clk, input VAR46, input [7:0] din, input VAR66, input VAR69, input VAR34, input VAR51, input VAR89, input VAR16, input VAR79, input VAR29, input VAR124, input VAR115, input VAR127, input [1:0] VAR98, input [2:0] VAR106, input VAR48, input VAR81, output [1:0] VAR104, output [2:0] VAR117, output [2:0] VAR10, output [6:0] VAR5, output [5:0] VAR56, output [2:0] VAR55, output [1:0] VAR90, output [2:0] VAR80, output [3:0] VAR58, output [6:0] VAR2, output [1:0] VAR111, output VAR99, output [4:0] VAR52, output [4:0] VAR85, output [4:0] VAR82, output [3:0] VAR108, output [1:0] VAR12, output [3:0] VAR4, output VAR72, output reg VAR57, output reg VAR61, output [4:0] VAR95, output reg VAR73, output reg VAR130, output reg VAR75, output reg VAR11, output VAR88, output VAR67, output VAR41, output VAR9, output VAR118, output [1:0] VAR103, output reg VAR109, output reg VAR112 ); reg VAR7, VAR24; reg [1:0] VAR97; reg [4:0] VAR36; always @(*) begin VAR73 = VAR103 == 2'b00; VAR130 = VAR103 == 2'b01; VAR75 = VAR103 == 2'b10; VAR11 = VAR103 == 2'b11; end wire VAR22 = VAR66 | VAR69 | VAR34 | VAR51 | VAR89 | VAR16 | VAR79 | VAR29 | VAR124 | VAR115 | VAR127; reg [4:0] VAR113; always @(posedge clk) if(VAR46) begin VAR109 <= VAR113 == 5'o10; VAR112 <= VAR113 == 5'o16; end assign VAR103 = VAR113[4:3]; assign VAR95 = VAR113; wire [4:0] VAR68 = { VAR98, VAR106 }; wire [4:0] VAR45 = VAR68 + 5'd1; wire [4:0] VAR8 = VAR45 + 5'd1; wire [4:0] VAR64 = VAR8 + 5'd1; wire [4:0] VAR114 = VAR64 + 5'd1; wire [4:0] VAR31 = VAR114 + 5'd1; wire [4:0] VAR70 = VAR31 + 5'd1; wire VAR107 = VAR113 == VAR68; wire VAR62 = VAR113 == VAR45; wire VAR131 = VAR113 == VAR8; wire VAR19 = VAR113 == VAR31; wire VAR18 = VAR113 == VAR70; wire VAR128 = VAR66 & VAR107; wire VAR76 = VAR66 & VAR62; wire VAR93 = VAR66 & VAR107; wire VAR54 = VAR69 & VAR107; wire VAR74 = VAR34 & VAR107; wire VAR26 = VAR51 & VAR107; wire VAR87 = VAR51 & VAR18; wire VAR126 = VAR89 & VAR62; wire VAR25 = VAR89 & VAR19; wire VAR100 = VAR16 & VAR18; wire VAR94 = VAR79 & VAR131; wire VAR71= VAR29 & VAR18; wire VAR123 = VAR124 & VAR107; wire VAR20 = VAR115 & VAR107; wire VAR6 = VAR79 & VAR62; wire VAR110 = VAR29 & VAR62; wire VAR33 = VAR124 & VAR62; wire VAR21 = VAR115 & VAR62; wire [4:0] VAR40 = VAR113+5'd1; always @(posedge clk, posedge rst) begin : VAR43 if( rst ) begin VAR113 <= 5'h0; VAR57 <= 1'b0; VAR61 <= 1'b0; end else if(VAR46) begin VAR113 <= VAR40; VAR57 <= VAR40== 5'd0; VAR61 <= VAR40[3:0] == 4'd0; end end wire [2:0] VAR116 = VAR113[2:0]; wire [3:0] VAR15 = din[6:3]; wire [2:0] VAR49 = din[2:0]; VAR3 VAR1 ( .rst (rst ), .clk (clk ), .VAR46 (VAR46 ), .VAR15 (VAR15 ), .VAR49 (VAR49 ), .VAR103 (VAR103 ), .VAR116 (VAR116 ), .VAR127 (VAR127 ), .VAR48 (VAR48 ), .VAR81(VAR81), .VAR72 (VAR72 ) ); VAR78 VAR86( .VAR44 ( VAR10 ), .VAR73 ( VAR73 ), .VAR130 ( VAR130 ), .VAR75 ( VAR75 ), .VAR11 ( VAR11 ), .VAR88 ( VAR88 ), .VAR67( VAR67 ), .VAR41( VAR41 ), .VAR9 ( VAR9 ), .VAR118 ( VAR118 ) ); VAR13 VAR65( .rst ( rst ), .clk ( clk ), .VAR46 ( VAR46 ), .din ( din ), .VAR126 ( VAR126 ), .VAR25 ( VAR25 ), .VAR100 ( VAR100 ), .VAR94 ( VAR94 ), .VAR71 ( VAR71 ), .VAR123 ( VAR123 ), .VAR20 ( VAR20 ), .VAR6 ( VAR6 ), .VAR110 ( VAR110 ), .VAR33 ( VAR33 ), .VAR21 ( VAR21 ), .VAR27 ( VAR80 ), .VAR60 ( VAR58 ), .VAR50 ( VAR2 ), .VAR91 ( VAR111 ), .VAR63 ( VAR99 ), .VAR105 ( VAR12 ), .VAR23 ( VAR4 ), .VAR96 ( VAR52 ), .VAR39 ( VAR85 ), .VAR122 ( VAR82 ), .VAR35 ( VAR108 ) ); VAR14 VAR102( .rst ( rst ), .clk ( clk ), .VAR46 ( VAR46 ), .din ( din ), .VAR128 ( VAR128 ), .VAR76 ( VAR76 ), .VAR93 ( VAR93 ), .VAR54 ( VAR54 ), .VAR74 ( VAR74 ), .VAR87 ( VAR87 ), .VAR26 ( VAR26 ), .VAR119 ( VAR104 ), .VAR38 ( VAR117 ), .VAR32 ( VAR10 ), .VAR28 ( VAR5 ), .VAR59 ( VAR56 ), .VAR84 ( VAR90 ), .VAR92 ( VAR55 ) ); wire [4:0] VAR121; VAR83 VAR17 (.clk(clk), .VAR46(VAR46), .VAR57(VAR57), .VAR129(VAR121)); VAR53 #(.VAR37(7),.VAR30(1)) VAR42( .clk ( clk ), .VAR46 ( VAR46 ), .VAR77 ( VAR2 ), .VAR129 ( VAR121 ) ); VAR53 #(.VAR37(5),.VAR30(1)) VAR101( .clk ( clk ), .VAR46 ( VAR46 ), .VAR77 ( VAR52 ), .VAR129 ( VAR121 ) ); VAR53 #(.VAR37(4),.VAR30(1)) VAR120( .clk ( clk ), .VAR46 ( VAR46 ), .VAR77 ( VAR4 ), .VAR129 ( VAR121 ) ); VAR53 #(.VAR37(4),.VAR30(1)) VAR47( .clk ( clk ), .VAR46 ( VAR46 ), .VAR77 ( VAR108 ), .VAR129 ( VAR121 ) ); VAR53 #(.VAR37(1),.VAR30(1)) VAR125( .clk ( clk ), .VAR46 ( VAR46 ), .VAR77 ( VAR99 ), .VAR129 ( VAR121 ) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4b/sky130_fd_sc_lp__nor4b.functional.v
1,414
module MODULE1 ( VAR5 , VAR6 , VAR10 , VAR1 , VAR4 ); output VAR5 ; input VAR6 ; input VAR10 ; input VAR1 ; input VAR4; wire VAR7 ; wire VAR9; not VAR8 (VAR7 , VAR4 ); nor VAR2 (VAR9, VAR6, VAR10, VAR1, VAR7); buf VAR3 (VAR5 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfrtp/sky130_fd_sc_ms__dfrtp.functional.v
1,642
module MODULE1 ( VAR4 , VAR3 , VAR10 , VAR6 ); output VAR4 ; input VAR3 ; input VAR10 ; input VAR6; wire VAR2; wire VAR9; not VAR5 (VAR9 , VAR6 ); VAR11 VAR7 VAR8 (VAR2 , VAR10, VAR3, VAR9 ); buf VAR1 (VAR4 , VAR2 ); endmodule
apache-2.0
theapi/de0-nano
text/text2_export.v
1,950
module MODULE1( VAR19, VAR22, VAR2, VAR18, VAR10, VAR23, VAR8 ); input wire VAR19; input wire VAR22; output wire VAR2; output wire VAR18; output wire VAR10; output wire VAR23; output wire VAR8; wire [10:0] VAR20; wire [10:0] VAR11; wire VAR7; wire VAR5; wire [2:0] VAR25; assign VAR7 = ~VAR19; VAR3 VAR6( .clk(VAR22), .VAR15(VAR20), .VAR21(VAR11), .VAR24(VAR25)); VAR4 VAR16( .VAR19(VAR7), .VAR12(VAR5), .VAR24(VAR25), .VAR2(VAR2), .VAR18(VAR18), .VAR10(VAR10), .VAR13(VAR23), .VAR14(VAR8), .VAR15(VAR20), .VAR21(VAR11)); VAR17 VAR9( .VAR22(VAR22), .VAR1(VAR5)); endmodule
mit
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6T_INVBUF_LVT_FF_210930.v
14,913
module MODULE1 (VAR2, VAR1); output VAR2; input VAR1; buf (VAR2, VAR1);
bsd-3-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai22/gf180mcu_fd_sc_mcu9t5v0__oai22_4.functional.v
1,494
module MODULE1( VAR12, VAR2, VAR3, VAR1, VAR8 ); input VAR8, VAR1, VAR3, VAR12; output VAR2; wire VAR14; not VAR10( VAR14, VAR8 ); wire VAR9; not VAR4( VAR9, VAR1 ); wire VAR7; and VAR15( VAR7, VAR14, VAR9 ); wire VAR11; not VAR18( VAR11, VAR3 ); wire VAR17; not VAR16( VAR17, VAR12 ); wire VAR5; and VAR6( VAR5, VAR11, VAR17 ); or VAR13( VAR2, VAR7, VAR5 ); endmodule
apache-2.0
lvd2/ngs
fpga/obsolete/fpgaE_dma/zxbus/zxbus.v
10,782
module MODULE1( VAR52, VAR62, VAR9,VAR53, VAR36,VAR55, VAR25,VAR28, VAR31, VAR15, VAR27, VAR2, VAR30, VAR58, VAR45, VAR42, VAR24, VAR10, VAR61, VAR17, VAR32, VAR7, VAR49, VAR33, VAR12, VAR40, VAR26, VAR34, VAR43, VAR19, VAR1, VAR23, VAR18, VAR14 ); parameter VAR5 = 2; localparam VAR51 = 8'hBB; localparam VAR3 = VAR51; localparam VAR57 = 8'hB3; localparam VAR35 = 8'h33; inout reg [7:0] VAR52; input [7:0] VAR62; input VAR9,VAR53; input VAR36,VAR55; input VAR25,VAR28; input VAR31; output reg VAR15,VAR27,VAR30,VAR58,VAR2; output reg [7:0] VAR45; output reg [7:0] VAR42; input [7:0] VAR24; output reg VAR10; output reg VAR61; input VAR17; input VAR32; input VAR7; input VAR49; input VAR33; output VAR40; output VAR26; input VAR12; output reg [7:0] VAR34; input [7:0] VAR43; output reg VAR19; output reg VAR1; output reg VAR23; input VAR14; input VAR18; wire [7:0] VAR11; reg [7:0] VAR29; wire [7:0] VAR8; wire VAR16; wire VAR56; wire VAR59; wire VAR54; wire VAR20; wire VAR40; wire VAR26; wire VAR41; reg [2:0] VAR38; reg [2:0] VAR44; reg [2:0] VAR47; reg VAR50; reg VAR46; reg VAR4; reg [2:0] VAR37; reg [2:0] VAR22; reg [2:0] VAR60; reg VAR13,VAR63; reg [VAR5:0] VAR39; assign VAR11[7:0] = VAR52; always @* begin if( (!VAR30) && (!VAR58) ) VAR52 <= VAR29[7:0]; end else VAR52 <= 8'VAR48; end always @* begin if( ((!VAR54) && ( VAR16 || VAR56 )) || VAR40 ) VAR30 = 1'b0; end else VAR30 = 1'b1; if( ( ((!VAR20) || (!VAR54) ) && ( VAR16 || VAR56 || VAR59 )) || VAR40 || VAR26 ) VAR58 <= 1'b0; else VAR58 <= 1'b1; end always @* begin if( VAR40 ) VAR29 = VAR43; end else case( {VAR16,VAR56} ) 3'b10: VAR29 <= VAR24; 3'b01: VAR29 <= { VAR10, 6'VAR6, VAR61 }; default: VAR29 <= 8'VAR21; endcase end assign VAR16 = (VAR62 == VAR57); assign VAR56 = (VAR62 == VAR51); assign VAR59 = (VAR62 == VAR35); always @* begin end if( VAR16 || VAR56 || VAR59 ) VAR15 <= 1'b0; else VAR15 <= 1'b1; end assign VAR41 = ( {VAR53,VAR9}==2'b00 ); always @* begin if( VAR41 && VAR33 ) VAR27 = 1'b0; end else VAR27 = 1'b1; end always @* begin end if( VAR33 && VAR41 && (!VAR55) ) VAR2 = ~VAR12; else VAR2 = 1'b1; end assign VAR40 = VAR33 & VAR41 & (~VAR55) & (~VAR25) & (~VAR31); assign VAR26 = VAR33 & VAR41 & (~VAR55) & (~VAR28); always @(negedge VAR26) VAR34 <= VAR11; assign VAR54 = VAR36 | VAR25; assign VAR20 = VAR36 | VAR28; always @(posedge VAR20) begin if( VAR16 ) begin VAR42 <= VAR11; end end always @(posedge VAR20) begin if( VAR56 ) begin VAR45 <= VAR11; end end always @(posedge VAR14) begin VAR38[2:0] <= { VAR38[1:0], VAR16&(~VAR54) }; VAR44[2:0] <= { VAR44[1:0], VAR16&(~VAR20) }; VAR47[2:0] <= { VAR47[1:0], VAR56&(~VAR20) }; end always @(posedge VAR14) begin if( VAR38[2:1]==2'b10 ) begin VAR10 <= 1'b0; end else if( VAR44[2:1]==2'b10 ) begin VAR10 <= 1'b1; end else if( VAR7==1'b1 ) begin VAR10 <= VAR17; end end always @(posedge VAR14) begin if( VAR47[2:1]==2'b10 ) begin VAR61 <= 1'b1; end else if( VAR49==1'b1 ) begin VAR61 <= VAR32; end end always @(negedge VAR18,posedge VAR20) begin if( !VAR18 ) begin VAR50 <= 1'b0; VAR46 <= 1'b0; VAR4 <= 1'b0; end else if( VAR59 ) begin if( VAR11[7:5]==3'b100 ) VAR50 <= ~VAR50; if( VAR11[7:5]==3'b010 ) VAR46 <= ~VAR46; if( VAR11[7:5]==3'b001 ) VAR4 <= ~VAR4; end end always @(negedge VAR18, posedge VAR14) begin if( !VAR18 ) begin VAR37[2:0] <= 3'd0; VAR22[2:0] <= 3'd0; VAR60[2:0] <= 3'd0; VAR39[VAR5:0] <= 32'hFFFFFFFF; VAR13 <= 1'b1; VAR1 <= 1'b1; VAR23 <= 1'b0; end else begin VAR37[2:0] <= { VAR37[1:0], VAR50 }; VAR22[2:0] <= { VAR22[1:0], VAR46 }; VAR60[2:0] <= { VAR60[1:0], VAR4 }; if( VAR37[2] != VAR37[1] ) begin VAR13 <= 1'b0; end if( VAR22[2] != VAR22[1] ) begin VAR39[VAR5:0] <= 0; end else begin if( !VAR39[VAR5] ) VAR39 <= VAR39 + 1; end VAR1 <= VAR39[VAR5]; if( VAR60[2] != VAR60[1] ) VAR23 <= 1'b1; end else VAR23 <= 1'b0; end end always @(posedge VAR14) begin VAR63 <= VAR13; VAR19 <= VAR63; end endmodule
gpl-3.0
twlostow/dsi-shield
hdl/rtl/hpdmc/hpdmc_mgmt.v
9,127
module MODULE1 #( parameter VAR29 = 26, parameter VAR20 = 9 ) ( input VAR52, input VAR7, input [2:0] VAR26, input [2:0] VAR48, input [10:0] VAR32, input [3:0] VAR44, input VAR31, input VAR14, input [VAR29-3-1:0] address, output reg ack, output reg read, output reg write, output [3:0] VAR4, input VAR25, input VAR22, input [3:0] VAR39, output VAR19, output VAR13, output VAR27, output VAR41, output [12:0] VAR35, output [1:0] VAR30 ); parameter VAR38 = VAR29-2-1-(VAR20+2)+1; wire [VAR29-2-1:0] VAR2 = {address, 1'b0}; wire [VAR20-1:0] VAR16 = VAR2[VAR20-1:0]; wire [VAR38-1:0] VAR36 = VAR2[VAR29-4-1:VAR20]; wire [1:0] VAR46 = VAR2[VAR29-2-1:VAR29-4]; reg [3:0] VAR28; always @ begin VAR37 = state; VAR43 = 1'b0; VAR5 = 1'b0; VAR17 = 1'b0; VAR23 = 1'b0; VAR9 = 1'b0; VAR12 = 1'b0; VAR53 = 1'b0; VAR54 = 1'b0; VAR3 = 1'b0; VAR18 = 1'b0; VAR51 = 1'b0; VAR49 = 4'b0000; VAR40 = 4'b0000; read = 1'b0; write = 1'b0; ack = 1'b0; case(state) VAR50: begin if(VAR11) VAR37 = VAR6; end else begin if(VAR31) begin if(VAR24) begin if(VAR14) begin if(VAR22) begin VAR9 = 1'b1; VAR54 = 1'b0; VAR53 = 1'b1; VAR12 = 1'b1; VAR18 = 1'b1; write = 1'b1; ack = 1'b1; end end else begin if(VAR25) begin VAR9 = 1'b1; VAR54 = 1'b0; VAR53 = 1'b1; VAR12 = 1'b0; VAR18 = 1'b1; read = 1'b1; ack = 1'b1; end end end else begin if(VAR47) begin if(VAR15) begin VAR9 = 1'b1; VAR54 = 1'b1; VAR53 = 1'b0; VAR12 = 1'b1; VAR49 = VAR28; VAR43 = 1'b1; VAR37 = VAR42; end end else begin VAR9 = 1'b1; VAR54 = 1'b1; VAR53 = 1'b0; VAR12 = 1'b0; VAR3 = 1'b1; VAR40 = VAR28; VAR5 = 1'b1; if(VAR14) VAR37 = VAR21; end else VAR37 = VAR8; end end end end end VAR42: begin if(VAR33) begin VAR9 = 1'b1; VAR54 = 1'b1; VAR53 = 1'b0; VAR12 = 1'b0; VAR3 = 1'b1; VAR40 = VAR28; VAR5 = 1'b1; if(VAR14) VAR37 = VAR21; end else VAR37 = VAR8; end end VAR8: begin if(VAR45) begin if(VAR25) begin VAR9 = 1'b1; VAR54 = 1'b0; VAR53 = 1'b1; VAR12 = 1'b0; VAR18 = 1'b1; read = 1'b1; ack = 1'b1; VAR37 = VAR50; end end end VAR21: begin if(VAR45) begin if(VAR22) begin VAR9 = 1'b1; VAR54 = 1'b0; VAR53 = 1'b1; VAR12 = 1'b1; VAR18 = 1'b1; write = 1'b1; ack = 1'b1; VAR37 = VAR50; end end end VAR6: begin if(VAR39 == 4'b1111) begin VAR9 = 1'b1; VAR54 = 1'b1; VAR53 = 1'b0; VAR12 = 1'b1; VAR51 = 1'b1; VAR43 = 1'b1; VAR49 = 4'b1111; VAR37 = VAR34; end end VAR34: begin if(VAR33) begin VAR9 = 1'b1; VAR54 = 1'b1; VAR53 = 1'b1; VAR12 = 1'b0; VAR17 = 1'b1; VAR23 = 1'b1; VAR37 = VAR10; end end VAR10: begin if(VAR1) VAR37 = VAR50; end endcase end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfbbp/sky130_fd_sc_lp__dfbbp.behavioral.pp.v
2,712
module MODULE1 ( VAR7 , VAR22 , VAR8 , VAR4 , VAR1 , VAR28, VAR14 , VAR15 , VAR16 , VAR11 ); output VAR7 ; output VAR22 ; input VAR8 ; input VAR4 ; input VAR1 ; input VAR28; input VAR14 ; input VAR15 ; input VAR16 ; input VAR11 ; wire VAR13 ; wire VAR5 ; wire VAR24 ; wire VAR25 ; wire VAR9; wire VAR21 ; reg VAR26 ; wire VAR18 ; wire VAR17 ; wire VAR19 ; wire VAR20 ; wire VAR23 ; not VAR12 (VAR13 , VAR9 ); not VAR2 (VAR5 , VAR21 ); VAR3 VAR27 (VAR24 , VAR5, VAR13, VAR25, VAR18, VAR26, VAR14, VAR15); assign VAR17 = ( VAR14 === 1'b1 ); assign VAR19 = ( VAR17 && ( VAR9 === 1'b1 ) ); assign VAR20 = ( VAR17 && ( VAR21 === 1'b1 ) ); assign VAR23 = ( VAR19 & VAR20 ); buf VAR6 (VAR7 , VAR24 ); not VAR10 (VAR22 , VAR24 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_mux_2to1/sky130_fd_sc_hs__udp_mux_2to1.blackbox.v
1,204
module MODULE1 ( VAR1 , VAR3, VAR2, VAR4 ); output VAR1 ; input VAR3; input VAR2; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkbuf/sky130_fd_sc_lp__clkbuf.functional.v
1,249
module MODULE1 ( VAR3, VAR2 ); output VAR3; input VAR2; wire VAR1; buf VAR4 (VAR1, VAR2 ); buf VAR5 (VAR3 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/ebufn/sky130_fd_sc_hd__ebufn.symbol.v
1,333
module MODULE1 ( input VAR4 , output VAR2 , input VAR7 ); supply1 VAR1; supply0 VAR6; supply1 VAR5 ; supply0 VAR3 ; endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/or1200/or1200_dc_tag.v
4,447
module MODULE1( clk, rst, VAR13, VAR17, VAR1, addr, en, VAR12, VAR5, VAR6, VAR7, VAR9 ); parameter VAR18 = VAR16+1; parameter VAR10 = VAR14; input clk; input rst; input [VAR10-1:0] addr; input en; input VAR12; input [VAR18-1:0] VAR5; output VAR6; output [VAR18-3:0] VAR7; output VAR9; input VAR13; input [VAR3 - 1:0] VAR1; output VAR17; assign VAR7 = {VAR18-1{1'b0}}; assign VAR6 = 1'b0; assign VAR17 = VAR13; VAR8 # ( .VAR10(VAR14), .VAR18(VAR16 + 1) ) VAR11 ( .VAR13(VAR13), .VAR17(VAR17), .VAR1(VAR1), .clk(clk), .VAR15(en), .VAR12(VAR12), .addr(addr), .VAR4(VAR5), .VAR2({VAR7, VAR6, VAR9}) ); endmodule
mit
nliu96/openHMC_Altera
src/rx_lane_logic.v
5,404
module MODULE1 #( parameter VAR8 = 512, parameter VAR3 = 8, parameter VAR1 = (VAR8/VAR3), parameter VAR10 = 1, parameter VAR6= 1 ) ( input wire clk, input wire VAR18, input wire [VAR1-1:0] VAR2, input wire VAR11, input wire VAR17, output wire [VAR1-1:0] VAR21, output wire VAR14, input wire VAR16 ); wire [VAR1-1:0] VAR13; wire [VAR1-1:0] VAR7; wire VAR9; assign VAR14 = VAR16 ? 1'b1 : VAR9; generate if(VAR10==1) begin reg [VAR1-1:0] VAR5; end always @(posedge clk or negedge VAR18) begin else always @(posedge clk) begin VAR20 if(!VAR18) begin VAR5 <= {VAR1{1'b0}}; end else begin VAR5 <= VAR2^{VAR1{VAR17}}; end end assign VAR7 = VAR5; assign VAR21 = VAR16 ? VAR5 : VAR13; end else begin assign VAR7 = VAR2; assign VAR21 = VAR16 ? VAR2 : VAR13; end endgenerate VAR4 #( .VAR8(VAR1), .VAR6(VAR6) ) VAR15 ( .clk(clk), .VAR18(VAR18), .VAR11(VAR11), .VAR22(VAR9), .VAR12(VAR7), .VAR19(VAR13) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkdlyinv5sd2/sky130_fd_sc_ls__clkdlyinv5sd2.behavioral.pp.v
1,867
module MODULE1 ( VAR12 , VAR10 , VAR1, VAR8, VAR3 , VAR6 ); output VAR12 ; input VAR10 ; input VAR1; input VAR8; input VAR3 ; input VAR6 ; wire VAR4 ; wire VAR7; not VAR5 (VAR4 , VAR10 ); VAR11 VAR9 (VAR7, VAR4, VAR1, VAR8); buf VAR2 (VAR12 , VAR7 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/sparc/tlu/rtl/tlu_pib.v
66,225
module MODULE1 ( VAR18, VAR68, VAR47, VAR205, VAR92, VAR115, VAR33, VAR67, VAR132, VAR302, VAR88, VAR303, VAR272, VAR51, VAR173, VAR243, VAR254, VAR169, VAR187, VAR171, VAR178, VAR210, VAR190, VAR12, VAR239, VAR294, VAR125, VAR193, VAR184, VAR52, VAR172, VAR310, VAR110, VAR281, VAR36, VAR240, VAR288, VAR195, VAR11, VAR137, VAR191); input VAR18; input VAR68; input [1:0] VAR47; input [VAR225-1:0] VAR254; input [VAR225-1:0] VAR178; input [VAR225-1:0] VAR115; input [VAR58-1:0] VAR205; input VAR92; input VAR67; input VAR169; input [VAR148-1:0] VAR173; input [VAR225-1:0] VAR303; input [VAR225-1:0] VAR272; input [VAR225-1:0] VAR51; input VAR88; input [1:0] VAR132; input VAR302; input [VAR225-1:0] VAR171; input [VAR225-1:0] VAR243;input [VAR225-1:0] VAR190; input VAR33; input VAR187; input VAR12; input VAR239; input VAR125; input VAR294; input VAR193; input VAR184; output [VAR225-1:0] VAR52; output [VAR225-1:0] VAR172; output [VAR225-1:0] VAR310; output [VAR225-1:0] VAR110; output [VAR148-1:0] VAR210; output VAR281, VAR36, VAR137; output [VAR225-1:0] VAR195; output [VAR225-1:0] VAR11; wire VAR142, VAR133; output [VAR225-1:0] VAR240; output [VAR148-1:0] VAR288; output VAR191; wire VAR130, VAR216, VAR131; wire VAR282, VAR283, VAR136; wire VAR158, VAR182, VAR83;wire [VAR225-1:0] VAR46; wire [VAR225-1:0] VAR29, VAR298; wire [VAR225-1:0] VAR181; wire [VAR225-1:0] VAR21, VAR248; wire [VAR225-1:0] VAR176; wire [VAR225-1:0] VAR179; wire [VAR225-1:0] VAR120; wire [VAR225-1:0] VAR16; wire [VAR225-1:0] VAR146; wire [VAR225-1:0] VAR42; wire [VAR225-1:0] VAR105; wire VAR163; wire [VAR225-1:0] VAR14; wire [1:0] VAR109; wire [1:0] VAR104; wire [VAR58-1:0] VAR97; wire VAR221, VAR96; wire [VAR275-1:0] VAR164, VAR102, VAR147, VAR72; wire [VAR275-1:0] VAR259, VAR139, VAR54, VAR219; wire [VAR225-1:0] VAR196; wire [VAR225-1:0] VAR150; wire [VAR225-1:0] VAR217; wire [VAR225-1:0] VAR305; wire [VAR225-2:0] VAR308; wire [VAR225-2:0] VAR56; wire [VAR225-1:0] VAR274, VAR87, VAR100; wire [VAR263-1:0] VAR279, VAR60, VAR135, VAR31; wire [VAR263-1:0] VAR108, VAR256; wire [VAR263-1:0] VAR20; wire [VAR263-1:0] VAR128, VAR43; wire [VAR263-1:0] VAR252, VAR266; wire [VAR263-1:0] VAR63, VAR80, VAR237, VAR230; wire [VAR263-1:0] VAR34, VAR218; wire [VAR263-1:0] VAR198; wire [VAR263-1:0] VAR50, VAR66; wire [VAR263-1:0] VAR22, VAR212; wire [VAR148-1:0] VAR209; wire [VAR148-1:0] VAR134; wire [VAR220-1:0] VAR227; wire [VAR220-1:0] VAR211; wire [VAR225-1:0] VAR2; wire [VAR225-1:0] VAR289; wire [VAR225-1:0] VAR81; wire [VAR225-1:0] VAR44; wire [1:0] VAR118; wire [VAR19-1:0] VAR189, VAR291, VAR126, VAR24; wire [VAR19-1:0] VAR264, VAR177; wire [VAR19-1:0] VAR38, VAR75; wire [VAR19-1:0] VAR185, VAR236; wire [VAR19-1:0] VAR84, VAR251; wire [VAR19-1:0] VAR8; wire [VAR19-1:0] VAR206, VAR73; wire [VAR19-1:0] VAR250, VAR151; wire [VAR225-1:0] VAR76, VAR180; wire [VAR220-1:0] VAR153, VAR65, VAR3, VAR27; wire VAR57; wire VAR301; wire [VAR225-1:0] VAR246, VAR154; wire VAR241, VAR186; wire VAR70; wire [VAR225-1:0] VAR107; wire [VAR225-1:0] VAR145; wire [VAR225-1:0] VAR112; wire [VAR225-1:0] VAR121; wire clk; VAR304 VAR39( .din (VAR125), .clk (clk), .VAR229(VAR294), .VAR40 (VAR301), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR57 = ~VAR301; assign clk = VAR239; assign VAR240[0] = VAR182 & VAR153[VAR269]; assign VAR240[1] = VAR182 & VAR65[VAR269]; assign VAR240[2] = VAR182 & VAR3[VAR269]; assign VAR240[3] = VAR182 & VAR27[VAR269]; VAR194 #(VAR148) VAR222 ( .din (VAR173[VAR148-1:0]), .VAR40 (VAR288[VAR148-1:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 #(VAR58) VAR78 ( .din (VAR205[VAR58-1:0]), .VAR40 (VAR97[VAR58-1:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR5 VAR129 ( .din (VAR92), .VAR40 (VAR221), .rst (VAR57), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR96 = VAR67; assign VAR130 = (VAR97[VAR58-1:0] == VAR265); assign VAR282 = (VAR97[VAR58-1:0] == VAR297); assign VAR158 = (VAR97[VAR58-1:0] == VAR253) & (VAR221 | VAR96); VAR194 VAR290 ( .din (VAR130), .VAR40 (VAR216), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR37 ( .din (VAR282), .VAR40 (VAR283), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR71 ( .din (VAR158), .VAR40 (VAR182), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR278 ( .din (VAR18), .VAR40 (VAR241), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR94 ( .din (VAR216), .VAR40 (VAR131), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR223 ( .din (VAR283), .VAR40 (VAR136), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR277 ( .din (VAR182), .VAR40 (VAR83), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR30 ( .din (VAR241), .VAR40 (VAR186), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR194 VAR122 ( .din (VAR68), .VAR40 (VAR70), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR46[0] = VAR169 & ~VAR12 & VAR178[0]; assign VAR46[1] = VAR169 & ~VAR12 & VAR178[1]; assign VAR46[2] = VAR169 & ~VAR12 & VAR178[2]; assign VAR46[3] = VAR169 & ~VAR12 & VAR178[3]; assign VAR211 = {VAR288[VAR224:VAR61], VAR288[VAR141:VAR270], VAR288[VAR7:VAR285]}; assign VAR120[0] = VAR46[0] & VAR131; assign VAR42[0] = (VAR46[0] & VAR131) | (VAR305[0] ^ VAR279[VAR263-1]); assign VAR146[0] = (VAR46[0] & VAR131) | (VAR217[0] ^ VAR63[VAR263-1]); VAR296 #(VAR220-2) VAR156 ( .din (VAR211[VAR220-3:0]), .VAR40 (VAR153[VAR220-3:0]), .rst (VAR57), .en (VAR120[0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR235 ( .VAR144(VAR211[VAR208]), .VAR69(VAR305[0] ^ VAR279[VAR263-1]), .VAR309(VAR120[0]), .VAR197(~VAR120[0]), .dout(VAR2[0]) ); VAR296 VAR6 ( .din (VAR2[0]), .VAR40 (VAR153[VAR208]), .clk (clk), .en (VAR42[0]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR273 ( .VAR144(VAR211[VAR262]), .VAR69(VAR217[0] ^ VAR63[VAR263-1]), .VAR309(VAR120[0]), .VAR197(~VAR120[0]), .dout(VAR289[0]) ); VAR296 VAR249 ( .din (VAR289[0]), .VAR40 (VAR153[VAR262]), .clk (clk), .en (VAR146[0]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR120[1] = VAR46[1] & VAR131; assign VAR42[1] = (VAR46[1] & VAR131) | (VAR305[1] ^ VAR60[VAR263-1]); assign VAR146[1] = (VAR46[1] & VAR131) | (VAR217[1] ^ VAR80[VAR263-1]); VAR296 #(VAR220-2) VAR231 ( .din (VAR211[VAR220-3:0]), .VAR40 (VAR65[VAR220-3:0]), .rst (VAR57), .en (VAR120[1]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR258 ( .VAR144(VAR211[VAR208]), .VAR69(VAR305[1] ^ VAR60[VAR263-1]), .VAR309(VAR120[1]), .VAR197(~VAR120[1]), .dout(VAR2[1]) ); VAR296 VAR64 ( .din (VAR2[1]), .VAR40 (VAR65[VAR208]), .clk (clk), .en (VAR42[1]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR215 ( .VAR144(VAR211[VAR262]), .VAR69(VAR217[1] ^ VAR80[VAR263-1]), .VAR309(VAR120[1]), .VAR197(~VAR120[1]), .dout(VAR289[1]) ); VAR296 VAR287 ( .din (VAR289[1]), .VAR40 (VAR65[VAR262]), .clk (clk), .en (VAR146[1]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR120[2] = VAR46[2] & VAR131; assign VAR42[2] = (VAR46[2] & VAR131) | (VAR305[2] ^ VAR135[VAR263-1]); assign VAR146[2] = (VAR46[2] & VAR131) | (VAR217[2] ^ VAR237[VAR263-1]); VAR296 #(VAR220-2) VAR143 ( .din (VAR211[VAR220-3:0]), .VAR40 (VAR3[VAR220-3:0]), .rst (VAR57), .en (VAR120[2]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR260 ( .VAR144(VAR211[VAR208]), .VAR69(VAR305[2] ^ VAR135[VAR263-1]), .VAR309(VAR120[2]), .VAR197(~VAR120[2]), .dout(VAR2[2]) ); VAR296 VAR233 ( .din (VAR2[2]), .VAR40 (VAR3[VAR208]), .clk (clk), .en (VAR42[2]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR99 ( .VAR144(VAR211[VAR262]), .VAR69(VAR217[2] ^ VAR237[VAR263-1]), .VAR309(VAR120[2]), .VAR197(~VAR120[2]), .dout(VAR289[2]) ); VAR296 VAR199 ( .din (VAR289[2]), .VAR40 (VAR3[VAR262]), .clk (clk), .en (VAR146[2]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR120[3] = VAR46[3] & VAR131; assign VAR42[3] = (VAR46[3] & VAR131) | (VAR305[3] ^ VAR31[VAR263-1]); assign VAR146[3] = (VAR46[3] & VAR131) | (VAR217[3] ^ VAR230[VAR263-1]); VAR296 #(VAR220-2) VAR116 ( .din (VAR211[VAR220-3:0]), .VAR40 (VAR27[VAR220-3:0]), .rst (VAR57), .en (VAR120[3]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR124 ( .VAR144(VAR211[VAR208]), .VAR69(VAR305[3] ^ VAR31[VAR263-1]), .VAR309(VAR120[3]), .VAR197(~VAR120[3]), .dout(VAR2[3]) ); VAR296 VAR93 ( .din (VAR2[3]), .VAR40 (VAR27[VAR208]), .clk (clk), .en (VAR42[3]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR167 ( .VAR144(VAR211[VAR262]), .VAR69(VAR217[3] ^ VAR230[VAR263-1]), .VAR309(VAR120[3]), .VAR197(~VAR120[3]), .dout(VAR289[3]) ); VAR296 VAR200 ( .din (VAR289[3]), .VAR40 (VAR27[VAR262]), .clk (clk), .en (VAR146[3]), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR107[0] = VAR186 & VAR178[0]; assign VAR107[1] = VAR186 & VAR178[1]; assign VAR107[2] = VAR186 & VAR178[2]; assign VAR107[3] = VAR186 & VAR178[3]; assign VAR145[0] = VAR70 & VAR178[0]; assign VAR145[1] = VAR70 & VAR178[1]; assign VAR145[2] = VAR70 & VAR178[2]; assign VAR145[3] = VAR70 & VAR178[3]; assign VAR112[0] = VAR88 & VAR178[0]; assign VAR112[1] = VAR88 & VAR178[1]; assign VAR112[2] = VAR88 & VAR178[2]; assign VAR112[3] = VAR88 & VAR178[3]; assign VAR121[0] = VAR302 & (~VAR132[0] & ~VAR132[1]); assign VAR121[1] = VAR302 & (VAR132[0] & ~VAR132[1]); assign VAR121[2] = VAR302 & (~VAR132[0] & VAR132[1]); assign VAR121[3] = VAR302 & (VAR132[0] & VAR132[1]); assign VAR259[VAR86] = VAR51[0]; assign VAR259[VAR17] = VAR121[0]; assign VAR259[VAR32] = VAR107[0]; assign VAR259[VAR62] = VAR303[0]; assign VAR259[VAR204] = VAR145[0]; assign VAR259[VAR149] = VAR112[0]; assign VAR259[VAR119] = VAR115[0]; assign VAR259[VAR123] = VAR272[0]; assign VAR139[VAR86] = VAR51[1]; assign VAR139[VAR17] = VAR121[1]; assign VAR139[VAR32] = VAR107[1]; assign VAR139[VAR62] = VAR303[1]; assign VAR139[VAR204] = VAR145[1]; assign VAR139[VAR149] = VAR112[1]; assign VAR139[VAR119] = VAR115[1]; assign VAR139[VAR123] = VAR272[1]; assign VAR54[VAR86] = VAR51[2]; assign VAR54[VAR17] = VAR121[2]; assign VAR54[VAR32] = VAR107[2]; assign VAR54[VAR62] = VAR303[2]; assign VAR54[VAR204] = VAR145[2]; assign VAR54[VAR149] = VAR112[2]; assign VAR54[VAR119] = VAR115[2]; assign VAR54[VAR123] = VAR272[2]; assign VAR219[VAR86] = VAR51[3]; assign VAR219[VAR17] = VAR121[3]; assign VAR219[VAR32] = VAR107[3]; assign VAR219[VAR62] = VAR303[3]; assign VAR219[VAR204] = VAR145[3]; assign VAR219[VAR149] = VAR112[3]; assign VAR219[VAR119] = VAR115[3]; assign VAR219[VAR123] = VAR272[3]; assign VAR246[0] = (~VAR243[0] & ~VAR171[0] & VAR153[VAR4]) | (~VAR190[0] & VAR243[0] & VAR153[VAR13]) | (VAR190[0] & VAR171[0] & ~VAR243[0] & VAR153[VAR13]); assign VAR164[VAR86] = ((VAR153[VAR238:VAR85] == VAR170) & VAR246[0]); assign VAR164[VAR17] = ((VAR153[VAR238:VAR85] == VAR183) & VAR246[0]); assign VAR164[VAR32] = ((VAR153[VAR238:VAR85] == VAR299) & VAR246[0]); assign VAR164[VAR62] = ((VAR153[VAR238:VAR85] == VAR77) & VAR246[0]); assign VAR164[VAR204] = ((VAR153[VAR238:VAR85] == VAR159) & VAR246[0]); assign VAR164[VAR149] = ((VAR153[VAR238:VAR85] == VAR140) & VAR246[0]); assign VAR164[VAR119] = ((VAR153[VAR238:VAR85] == VAR280) & VAR246[0]); assign VAR164[VAR123] = ((VAR153[VAR238:VAR85] == VAR307) & VAR246[0]); assign VAR246[1] = (~VAR243[1] & ~VAR171[1] & VAR65[VAR4]) | (~VAR190[1] & VAR243[1] & VAR65[VAR13]) | (VAR190[1] & VAR171[1] & ~VAR243[1] & VAR65[VAR13]); assign VAR102[VAR86] = ((VAR65[VAR238:VAR85] == VAR170) & VAR246[1]); assign VAR102[VAR17] = ((VAR65[VAR238:VAR85] == VAR183) & VAR246[1]); assign VAR102[VAR32] = ((VAR65[VAR238:VAR85] == VAR299) & VAR246[1]); assign VAR102[VAR62] = ((VAR65[VAR238:VAR85] == VAR77) & VAR246[1]); assign VAR102[VAR204] = ((VAR65[VAR238:VAR85] == VAR159) & VAR246[1]); assign VAR102[VAR149] = ((VAR65[VAR238:VAR85] == VAR140) & VAR246[1]); assign VAR102[VAR119] = ((VAR65[VAR238:VAR85] == VAR280) & VAR246[1]); assign VAR102[VAR123] = ((VAR65[VAR238:VAR85] == VAR307) & VAR246[1]); assign VAR246[2] = (~VAR243[2] & ~VAR171[2] & VAR3[VAR4]) | (~VAR190[2] & VAR243[2] & VAR3[VAR13]) | (VAR190[2] & VAR171[2] & ~VAR243[2] & VAR3[VAR13]); assign VAR147[VAR86] = ((VAR3[VAR238:VAR85] == VAR170) & VAR246[2]); assign VAR147[VAR17] = ((VAR3[VAR238:VAR85] == VAR183) & VAR246[2]); assign VAR147[VAR32] = ((VAR3[VAR238:VAR85] == VAR299) & VAR246[2]); assign VAR147[VAR62] = ((VAR3[VAR238:VAR85] == VAR77) & VAR246[2]); assign VAR147[VAR204] = ((VAR3[VAR238:VAR85] == VAR159) & VAR246[2]); assign VAR147[VAR149] = ((VAR3[VAR238:VAR85] == VAR140) & VAR246[2]); assign VAR147[VAR119] = ((VAR3[VAR238:VAR85] == VAR280) & VAR246[2]); assign VAR147[VAR123] = ((VAR3[VAR238:VAR85] == VAR307) & VAR246[2]); assign VAR246[3] = (~VAR243[3] & ~VAR171[3] & VAR27[VAR4]) | (~VAR190[3] & VAR243[3] & VAR27[VAR13]) | (VAR190[3] & VAR171[3] & ~VAR243[3] & VAR27[VAR13]); VAR194 #(VAR225) VAR295 ( .din (VAR246[VAR225-1:0]), .VAR40 (VAR154[VAR225-1:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR72[VAR86] = ((VAR27[VAR238:VAR85] == VAR170) & VAR246[3]); assign VAR72[VAR17] = ((VAR27[VAR238:VAR85] == VAR183) & VAR246[3]); assign VAR72[VAR32] = ((VAR27[VAR238:VAR85] == VAR299) & VAR246[3]); assign VAR72[VAR62] = ((VAR27[VAR238:VAR85] == VAR77) & VAR246[3]); assign VAR72[VAR204] = ((VAR27[VAR238:VAR85] == VAR159) & VAR246[3]); assign VAR72[VAR149] = ((VAR27[VAR238:VAR85] == VAR140) & VAR246[3]); assign VAR72[VAR119] = ((VAR27[VAR238:VAR85] == VAR280) & VAR246[3]); assign VAR72[VAR123] = ((VAR27[VAR238:VAR85] == VAR307) & VAR246[3]); VAR5 #(2) VAR232 ( .din (VAR104[1:0]), .VAR40 (VAR109[1:0]), .rst (VAR57), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR104[1:0] = VAR109[1:0] + 2'b01; assign VAR14[0] = ~|(VAR104[1:0]); assign VAR14[1] = ~VAR104[1] & VAR104[0]; assign VAR14[2] = VAR104[1] & ~VAR104[0]; assign VAR14[3] = &(VAR104[1:0]); assign VAR76[0] = (|(VAR164[VAR275-1:0] & VAR259[VAR275-1:0])); assign VAR76[1] = (|(VAR102[VAR275-1:0] & VAR139[VAR275-1:0])); assign VAR76[2] = (|(VAR147[VAR275-1:0] & VAR54[VAR275-1:0])); assign VAR76[3] = (|(VAR72[VAR275-1:0] & VAR219[VAR275-1:0])); VAR194 #(VAR225) VAR245 ( .din (VAR76[VAR225-1:0]), .VAR40 (VAR180[VAR225-1:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR179[0] = (VAR57 | VAR14[0] | VAR180[0]); assign VAR179[1] = (VAR57 | VAR14[1] | VAR180[1]); assign VAR179[2] = (VAR57 | VAR14[2] | VAR180[2]); assign VAR179[3] = (VAR57 | VAR14[3] | VAR180[3]); VAR226 #(VAR19,1) VAR45 ( .din (VAR189[VAR19-1:0]), .VAR214 (1'b1), .sum (VAR264[VAR19-1:0]) ) ; VAR161 #(VAR19) VAR95 ( .VAR144 ({VAR19{1'b0}}), .VAR69 (VAR264[VAR19-1:0]), .VAR309 (VAR57 | VAR14[0]), .VAR197 (~(VAR57 | VAR14[0])), .dout (VAR185[VAR19-1:0]) ); VAR165 #(VAR19) VAR203 ( .din (VAR185[VAR19-1:0]), .VAR40 (VAR189[VAR19-1:0]), .clk (clk), .en (VAR179[0]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR226 #(VAR19,1) VAR59 ( .din (VAR291[VAR19-1:0]), .VAR214 (1'b1), .sum (VAR177[VAR19-1:0]) ) ; VAR161 #(VAR19) VAR1 ( .VAR144 ({VAR19{1'b0}}), .VAR69 (VAR177[VAR19-1:0]), .VAR309 (VAR57 | VAR14[1]), .VAR197 (~(VAR57 | VAR14[1])), .dout (VAR236[VAR19-1:0]) ); VAR165 #(VAR19) VAR48 ( .din (VAR236[VAR19-1:0]), .VAR40 (VAR291[VAR19-1:0]), .clk (clk), .en (VAR179[1]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR226 #(VAR19,1) VAR41 ( .din (VAR126[VAR19-1:0]), .VAR214 (1'b1), .sum (VAR38[VAR19-1:0]) ) ; VAR161 #(VAR19) VAR201 ( .VAR144 ({VAR19{1'b0}}), .VAR69 (VAR38[VAR19-1:0]), .VAR309 (VAR57 | VAR14[2]), .VAR197 (~(VAR57 | VAR14[2])), .dout (VAR84[VAR19-1:0]) ); VAR165 #(VAR19) VAR286 ( .din (VAR84[VAR19-1:0]), .VAR40 (VAR126[VAR19-1:0]), .clk (clk), .en (VAR179[2]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR226 #(VAR19,1) VAR114 ( .din (VAR24[VAR19-1:0]), .VAR214 (1'b1), .sum (VAR75[VAR19-1:0]) ) ; VAR161 #(VAR19) VAR292 ( .VAR144 ({VAR19{1'b0}}), .VAR69 (VAR75[VAR19-1:0]), .VAR309 (VAR57 | VAR14[3]), .VAR197 (~(VAR57 | VAR14[3])), .dout (VAR251[VAR19-1:0]) ); VAR165 #(VAR19) VAR157 ( .din (VAR251[VAR19-1:0]), .VAR40 (VAR24[VAR19-1:0]), .clk (clk), .en (VAR179[3]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR168 #(VAR263) VAR300 ( .VAR144 (VAR279[VAR263-1:0]), .VAR69 (VAR60[VAR263-1:0]), .VAR10 (VAR135[VAR263-1:0]), .VAR242 (VAR31[VAR263-1:0]), .VAR309 (VAR14[0]), .VAR197 (VAR14[1]), .VAR103 (VAR14[2]), .VAR101 (VAR14[3]), .dout (VAR108[VAR263-1:0]) ); VAR161 #(VAR19) VAR82 ( .VAR144 (VAR264[VAR19-1:0]), .VAR69 (VAR189[VAR19-1:0]), .VAR309 (VAR180[0]), .VAR197 (~VAR180[0]), .dout (VAR206[VAR19-1:0]) ); VAR161 #(VAR19) VAR276 ( .VAR144 (VAR177[VAR19-1:0]), .VAR69 (VAR291[VAR19-1:0]), .VAR309 (VAR180[1]), .VAR197 (~VAR180[1]), .dout (VAR73[VAR19-1:0]) ); VAR161 #(VAR19) VAR155 ( .VAR144 (VAR38[VAR19-1:0]), .VAR69 (VAR126[VAR19-1:0]), .VAR309 (VAR180[2]), .VAR197 (~VAR180[2]), .dout (VAR250[VAR19-1:0]) ); VAR161 #(VAR19) VAR188 ( .VAR144 (VAR75[VAR19-1:0]), .VAR69 (VAR24[VAR19-1:0]), .VAR309 (VAR180[3]), .VAR197 (~VAR180[3]), .dout (VAR151[VAR19-1:0]) ); VAR168 #(VAR19) VAR106 ( .VAR144 (VAR206[VAR19-1:0]), .VAR69 (VAR73[VAR19-1:0]), .VAR10 (VAR250[VAR19-1:0]), .VAR242 (VAR151[VAR19-1:0]), .VAR309 (VAR14[0]), .VAR197 (VAR14[1]), .VAR103 (VAR14[2]), .VAR101 (VAR14[3]), .dout (VAR8[VAR19-1:0]) ); VAR226 #(VAR263,VAR19) VAR202 ( .din (VAR108[VAR263-1:0]), .VAR214 (VAR8[VAR19-1:0]), .sum (VAR256[VAR263-1:0]) ) ; assign VAR16[0] = VAR46[0] & (VAR83 | VAR136); assign VAR16[1] = VAR46[1] & (VAR83 | VAR136); assign VAR16[2] = VAR46[2] & (VAR83 | VAR136); assign VAR16[3] = VAR46[3] & (VAR83 | VAR136); assign VAR29[0] = (VAR57 | VAR14[0] | VAR16[0]); assign VAR29[1] = (VAR57 | VAR14[1] | VAR16[1]); assign VAR29[2] = (VAR57 | VAR14[2] | VAR16[2]); assign VAR29[3] = (VAR57 | VAR14[3] | VAR16[3]); assign VAR298[0] = VAR29[0] | VAR120[0]; assign VAR298[1] = VAR29[1] | VAR120[1]; assign VAR298[2] = VAR29[2] | VAR120[2]; assign VAR298[3] = VAR29[3] | VAR120[3]; assign VAR20 = {1'b0, VAR288[VAR267:VAR257]}; VAR91 #(VAR263) VAR160 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR20[VAR263-1:0]), .VAR10 (VAR256[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[0] & ~VAR57), .VAR103 (~(VAR16[0] | VAR57)), .dout (VAR128[VAR263-1:0]) ); VAR165 #(VAR263) VAR55 ( .din (VAR128[VAR263-1:0]), .VAR40 (VAR279[VAR263-1:0]), .clk (clk), .en (VAR29[0]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR152 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR20[VAR263-1:0]), .VAR10 (VAR256[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[1] & ~VAR57), .VAR103 (~(VAR16[1] | VAR57)), .dout (VAR43[VAR263-1:0]) ); VAR165 #(VAR263) VAR192 ( .din (VAR43[VAR263-1:0]), .VAR40 (VAR60[VAR263-1:0]), .clk (clk), .en (VAR29[1]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR26 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR20[VAR263-1:0]), .VAR10 (VAR256[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[2] & ~VAR57), .VAR103 (~(VAR16[2] | VAR57)), .dout (VAR252[VAR263-1:0]) ); VAR165 #(VAR263) VAR174 ( .din (VAR252[VAR263-1:0]), .VAR40 (VAR135[VAR263-1:0]), .clk (clk), .en (VAR29[2]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR53 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR20[VAR263-1:0]), .VAR10 (VAR256[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[3] & ~VAR57), .VAR103 (~(VAR16[3] | VAR57)), .dout (VAR266[VAR263-1:0]) ); VAR165 #(VAR263) VAR35 ( .din (VAR266[VAR263-1:0]), .VAR40 (VAR31[VAR263-1:0]), .clk (clk), .en (VAR29[3]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR5 #(VAR225) VAR268 ( .din (VAR254[VAR225-1:0]), .VAR40 (VAR105[VAR225-1:0]), .clk (clk), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR5 VAR89 ( .din (VAR33), .VAR40 (VAR163), .clk (clk), .rst (VAR57), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR196[0] = VAR154[0] & VAR105[0] & (~VAR187 | VAR163); assign VAR196[1] = VAR154[1] & VAR105[1] & (~VAR187 | VAR163); assign VAR196[2] = VAR154[2] & VAR105[2] & (~VAR187 | VAR163); assign VAR196[3] = VAR154[3] & VAR105[3] & (~VAR187 | VAR163); assign VAR150[0] = VAR154[0] & VAR105[0]; assign VAR150[1] = VAR154[1] & VAR105[1]; assign VAR150[2] = VAR154[2] & VAR105[2]; assign VAR150[3] = VAR154[3] & VAR105[3]; assign VAR172[0] = (VAR217[0] ^ VAR63[VAR263-1]) & VAR154[0]; assign VAR172[1] = (VAR217[1] ^ VAR80[VAR263-1]) & VAR154[1]; assign VAR172[2] = (VAR217[2] ^ VAR237[VAR263-1]) & VAR154[2]; assign VAR172[3] = (VAR217[3] ^ VAR230[VAR263-1]) & VAR154[3]; assign VAR81[0] = (&VAR63[VAR263-2:2]) & VAR154[0]; assign VAR81[1] = (&VAR80[VAR263-2:2]) & VAR154[1]; assign VAR81[2] = (&VAR237[VAR263-2:2]) & VAR154[2]; assign VAR81[3] = (&VAR230[VAR263-2:2]) & VAR154[3]; VAR194 #(VAR225) VAR175 ( .din (VAR81[VAR225-1:0]), .VAR40 (VAR44[VAR225-1:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR310[0] = (VAR44[0] & VAR63[1] & VAR63[0]) & VAR154[0]; assign VAR310[1] = (VAR44[1] & VAR80[1] & VAR80[0]) & VAR154[1]; assign VAR310[2] = (VAR44[2] & VAR237[1] & VAR237[0]) & VAR154[2]; assign VAR310[3] = (VAR44[3] & VAR230[1] & VAR230[0]) & VAR154[3]; assign VAR110[0] = (VAR44[0] & VAR63[1] & ~VAR63[0]) & VAR154[0]; assign VAR110[1] = (VAR44[1] & VAR80[1] & ~VAR80[0]) & VAR154[1]; assign VAR110[2] = (VAR44[2] & VAR237[1] & ~VAR237[0]) & VAR154[2]; assign VAR110[3] = (VAR44[3] & VAR230[1] & ~VAR230[0]) & VAR154[3]; assign VAR87[0] = VAR150[0]? (VAR44[0] & ~VAR63[1] & VAR63[0]): (VAR44[0] & VAR63[1] & ~VAR63[0]); assign VAR87[1] = VAR150[1]? (VAR44[1] & ~VAR80[1] & VAR80[0]): (VAR44[1] & VAR80[1] & ~VAR80[0]); assign VAR87[2] = VAR150[2]? (VAR44[2] & ~VAR237[1] & VAR237[0]): (VAR44[2] & VAR237[1] & ~VAR237[0]); assign VAR87[3] = VAR150[3]? (VAR44[3] & ~VAR230[1] & VAR230[0]): (VAR44[3] & VAR230[1] & ~VAR230[0]); assign VAR36 = (VAR56[0]) ? VAR87[0]: (VAR56[1]) ? VAR87[1]: (VAR56[2]) ? VAR87[2]: VAR87[3]; assign VAR274[0] = VAR150[0]? (VAR44[0] & VAR63[1] & ~VAR63[0]): (VAR44[0] & VAR63[1] & VAR63[0]); assign VAR274[1] = VAR150[1]? (VAR44[1] & VAR80[1] & ~VAR80[0]): (VAR44[1] & VAR80[1] & VAR80[0]); assign VAR274[2] = VAR150[2]? (VAR44[2] & VAR237[1] & ~VAR237[0]): (VAR44[2] & VAR237[1] & VAR237[0]); assign VAR274[3] = VAR150[3]? (VAR44[3] & VAR230[1] & ~VAR230[0]): (VAR44[3] & VAR230[1] & VAR230[0]); assign VAR281 = (VAR56[0]) ? VAR274[0]: (VAR56[1]) ? VAR274[1]: (VAR56[2]) ? VAR274[2]: VAR274[3]; assign VAR100[0] = VAR150[0]? (VAR44[0] & VAR63[1] & VAR63[0]): (VAR217[0] ^ VAR63[VAR263-1]); assign VAR100[1] = VAR150[1]? (VAR44[1] & VAR80[1] & VAR80[0]): (VAR217[1] ^ VAR80[VAR263-1]); assign VAR100[2] = VAR150[2]? (VAR44[2] & VAR237[1] & VAR237[0]): (VAR217[2] ^ VAR237[VAR263-1]); assign VAR100[3] = VAR150[3]? (VAR44[3] & VAR230[1] & VAR230[0]): (VAR217[3] ^ VAR230[VAR263-1]); assign VAR137 = (VAR56[0]) ? VAR100[0]: (VAR56[1]) ? VAR100[1]: (VAR56[2]) ? VAR100[2]: VAR100[3]; assign VAR195[0] = VAR153[VAR4]; assign VAR195[1] = VAR65[VAR4]; assign VAR195[2] = VAR3[VAR4]; assign VAR195[3] = VAR27[VAR4]; assign VAR11[0] = VAR153[VAR13]; assign VAR11[1] = VAR65[VAR13]; assign VAR11[2] = VAR3[VAR13]; assign VAR11[3] = VAR27[VAR13]; assign VAR142 = (VAR56[0]) ? VAR153[VAR4]: (VAR56[1]) ? VAR65[VAR4]: (VAR56[2]) ? VAR3[VAR4]: VAR27[VAR4]; assign VAR133 = (VAR56[0]) ? VAR153[VAR13]: (VAR56[1]) ? VAR65[VAR13]: (VAR56[2]) ? VAR3[VAR13]: VAR27[VAR13]; assign VAR34[VAR263-1:0] = (VAR150[1])? VAR80[VAR263-1:0]: (VAR150[2])? VAR237[VAR263-1:0]: (VAR150[3])? VAR230[VAR263-1:0]: VAR63[VAR263-1:0]; VAR226 #(VAR263,1) VAR127 ( .din (VAR34[VAR263-1:0]), .VAR214 (1'b1), .sum (VAR218[VAR263-1:0]) ) ; assign VAR198 = {1'b0, VAR288[VAR98:VAR25]}; assign VAR21[0] = (VAR57 | VAR196[0] | VAR16[0]); assign VAR21[1] = (VAR57 | VAR196[1] | VAR16[1]); assign VAR21[2] = (VAR57 | VAR196[2] | VAR16[2]); assign VAR21[3] = (VAR57 | VAR196[3] | VAR16[3]); assign VAR248[0] = VAR21[0] | VAR120[0]; assign VAR248[1] = VAR21[1] | VAR120[1]; assign VAR248[2] = VAR21[2] | VAR120[2]; assign VAR248[3] = VAR21[3] | VAR120[3]; VAR91 #(VAR263) VAR79 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR198[VAR263-1:0]), .VAR10 (VAR218[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[0] & ~VAR57), .VAR103 (~(VAR16[0] | VAR57)), .dout (VAR50[VAR263-1:0]) ); VAR165 #(VAR263) VAR90 ( .din (VAR50[VAR263-1:0]), .VAR40 (VAR63[VAR263-1:0]), .clk (clk), .en (VAR21[0]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR213 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR198[VAR263-1:0]), .VAR10 (VAR218[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[1] & ~VAR57), .VAR103 (~(VAR16[1] | VAR57)), .dout (VAR66[VAR263-1:0]) ); VAR165 #(VAR263) VAR255 ( .din (VAR66[VAR263-1:0]), .VAR40 (VAR80[VAR263-1:0]), .clk (clk), .en (VAR21[1]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR28 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR198[VAR263-1:0]), .VAR10 (VAR218[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[2] & ~VAR57), .VAR103 (~(VAR16[2] | VAR57)), .dout (VAR22[VAR263-1:0]) ); VAR165 #(VAR263) VAR244 ( .din (VAR22[VAR263-1:0]), .VAR40 (VAR237[VAR263-1:0]), .clk (clk), .en (VAR21[2]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR91 #(VAR263) VAR207 ( .VAR144 ({VAR263{1'b0}}), .VAR69 (VAR198[VAR263-1:0]), .VAR10 (VAR218[VAR263-1:0]), .VAR309 (VAR57), .VAR197 (VAR16[3] & ~VAR57), .VAR103 (~(VAR16[3] | VAR57)), .dout (VAR212[VAR263-1:0]) ); VAR165 #(VAR263) VAR138 ( .din (VAR212[VAR263-1:0]), .VAR40 (VAR230[VAR263-1:0]), .clk (clk), .en (VAR21[3]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR308[0] = ~(|VAR47[1:0]); assign VAR308[1] = ~VAR47[1] & VAR47[0]; assign VAR308[2] = VAR47[1] & ~VAR47[0]; VAR194 #(VAR225-1) VAR162 ( .din (VAR308[VAR225-2:0]), .VAR40 (VAR56[VAR225-2:0]), .clk (clk), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR209[VAR148-1:0] = (VAR56[0])? {VAR63[VAR263-2:0], VAR279[VAR263-2:0]}: (VAR56[1])? {VAR80[VAR263-2:0], VAR60[VAR263-2:0]}: (VAR56[2])? {VAR237[VAR263-2:0], VAR135[VAR263-2:0]}: {VAR230[VAR263-2:0], VAR31[VAR263-2:0]}; assign VAR227[VAR220-1:0] = (VAR56[0])? VAR153[VAR220-1:0]: (VAR56[1])? VAR65[VAR220-1:0]: (VAR56[2])? VAR3[VAR220-1:0]: VAR27[VAR220-1:0]; assign VAR134[VAR148-1:0] = {54'b0, VAR227[VAR262:VAR208], 1'b0, VAR227[VAR238:VAR85], 1'b0, VAR227[VAR4:VAR269]}; assign VAR118[0] = VAR130; assign VAR118[1] = ~VAR130; VAR161 #(VAR148) VAR111 ( .VAR144(VAR134[VAR148-1:0]), .VAR69(VAR209[VAR148-1:0]), .VAR309(VAR118[0]), .VAR197(VAR118[1]), .dout(VAR210[VAR148-1:0]) ); VAR161 VAR284 ( .VAR144(VAR279[VAR263-1] ^ VAR211[VAR208]), .VAR69(VAR279[VAR263-1]), .VAR309(VAR120[0]), .VAR197(~VAR120[0]), .dout(VAR181[0]) ); VAR161 VAR228 ( .VAR144(VAR63[VAR263-1] ^ VAR211[VAR262]), .VAR69(VAR63[VAR263-1]), .VAR309(VAR120[0]), .VAR197(~VAR120[0]), .dout(VAR176[0]) ); VAR296 VAR293 ( .din (VAR181[0]), .VAR40 (VAR305[0]), .clk (clk), .en (VAR298[0]), .rst (VAR57 | VAR16[0]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR296 VAR261 ( .din (VAR176[0]), .VAR40 (VAR217[0]), .clk (clk), .en (VAR248[0]), .rst (VAR57 | VAR16[0]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR74 ( .VAR144(VAR60[VAR263-1] ^ VAR211[VAR208]), .VAR69(VAR60[VAR263-1]), .VAR309(VAR120[1]), .VAR197(~VAR120[1]), .dout(VAR181[1]) ); VAR161 VAR15 ( .VAR144(VAR80[VAR263-1] ^ VAR211[VAR262]), .VAR69(VAR80[VAR263-1]), .VAR309(VAR120[1]), .VAR197(~VAR120[1]), .dout(VAR176[1]) ); VAR296 VAR271 ( .din (VAR181[1]), .VAR40 (VAR305[1]), .clk (clk), .en (VAR298[1]), .rst (VAR57 | VAR16[1]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR296 VAR247 ( .din (VAR176[1]), .VAR40 (VAR217[1]), .clk (clk), .en (VAR248[1]), .rst (VAR57 | VAR16[1]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR234 ( .VAR144(VAR135[VAR263-1] ^ VAR211[VAR208]), .VAR69(VAR135[VAR263-1]), .VAR309(VAR120[2]), .VAR197(~VAR120[2]), .dout(VAR181[2]) ); VAR161 VAR23 ( .VAR144(VAR237[VAR263-1] ^ VAR211[VAR262]), .VAR69(VAR237[VAR263-1]), .VAR309(VAR120[2]), .VAR197(~VAR120[2]), .dout(VAR176[2]) ); VAR296 VAR113 ( .din (VAR181[2]), .VAR40 (VAR305[2]), .clk (clk), .en (VAR298[2]), .rst (VAR57 | VAR16[2]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR296 VAR166 ( .din (VAR176[2]), .VAR40 (VAR217[2]), .clk (clk), .en (VAR248[2]), .rst (VAR57 | VAR16[2]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR161 VAR306 ( .VAR144(VAR31[VAR263-1] ^ VAR211[VAR208]), .VAR69(VAR31[VAR263-1]), .VAR309(VAR120[3]), .VAR197(~VAR120[3]), .dout(VAR181[3]) ); VAR161 VAR9 ( .VAR144(VAR230[VAR263-1] ^ VAR211[VAR262]), .VAR69(VAR230[VAR263-1]), .VAR309(VAR120[3]), .VAR197(~VAR120[3]), .dout(VAR176[3]) ); VAR296 VAR49 ( .din (VAR181[3]), .VAR40 (VAR305[3]), .clk (clk), .en (VAR298[3]), .rst (VAR57 | VAR16[3]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); VAR296 VAR117 ( .din (VAR176[3]), .VAR40 (VAR217[3]), .clk (clk), .en (VAR248[3]), .rst (VAR57 | VAR16[3]), .VAR184 (VAR184), .VAR193 (), .VAR191 () ); assign VAR52[0] = ((VAR305[0] ^ VAR279[VAR263-1]) & VAR180[0]); assign VAR52[1] = ((VAR305[1] ^ VAR60[VAR263-1]) & VAR180[1]); assign VAR52[2] = ((VAR305[2] ^ VAR135[VAR263-1]) & VAR180[2]); assign VAR52[3] = ((VAR305[3] ^ VAR31[VAR263-1]) & VAR180[3]); endmodule
gpl-2.0
bigeagle/riffa
fpga/altera/de5_qsys/DE5Gen1x8If64/hdl/DE5Gen1x8If64.v
18,177
module MODULE1 parameter VAR20 = 8, parameter VAR90 = 64, parameter VAR140 = 256, parameter VAR163 = 5 ) ( output [7:0] VAR39, input VAR43, input VAR197, input [VAR20-1:0] VAR170, output [VAR20-1:0] VAR95, input VAR27 ); wire VAR136; wire VAR74; wire [3:0] VAR40; wire [31:0] VAR12; wire [52:0] VAR19; wire [0:0] VAR50; wire [0:0] VAR144; wire [0:0] VAR203; wire [0:0] VAR76; wire VAR125; wire [VAR90-1:0] VAR52; wire [0:0] VAR65; wire [0:0] VAR10; wire [0:0] VAR97; wire [0:0] VAR165; wire [0:0] VAR173; wire VAR168; wire [VAR90-1:0] VAR187; wire [0:0] VAR110; wire VAR60; wire VAR70; wire VAR199; wire VAR28; wire VAR158; wire VAR103; wire VAR212; wire VAR38; wire VAR3; wire VAR205; wire VAR157; wire VAR159; wire [7:0] VAR180; wire [7:0] VAR79; wire VAR131; wire VAR186; wire VAR133; wire VAR181; wire VAR49; wire VAR111; wire VAR183; wire VAR171; wire [3:0] VAR29; wire VAR116; wire [3:0] VAR210; wire [4:0] VAR149; wire VAR120; wire [1:0] VAR179; wire VAR109; wire [7:0] VAR105; wire [11:0] VAR202; assign VAR60 = VAR70; assign VAR3 = VAR197; assign VAR157 = VAR197; assign VAR199 = VAR197; assign VAR28 = VAR103; assign VAR159 = 1'b0; assign VAR205 = 1'b0; assign VAR74 = VAR43; assign VAR136 = VAR43; assign VAR39[7:0] = 8'hff; VAR104 VAR123 ( .VAR139 (VAR50[0:0]), .VAR46 (VAR144[0:0]), .VAR76 (VAR76[0:0]), .VAR52 (VAR52[63:0]), .VAR168 (VAR168), .VAR61 (VAR158), .VAR100 (VAR103), .VAR176 (VAR40[3:0]), .VAR160 (VAR12[31:0]), .VAR56 (VAR19[52:0]), .VAR204 (VAR70), .VAR189 (VAR95[0]), .VAR64 (VAR95[1]), .VAR75 (VAR95[2]), .VAR112 (VAR95[3]), .VAR1 (VAR95[4]), .VAR153 (VAR95[5]), .VAR15 (VAR95[6]), .VAR22 (VAR95[7]), .VAR208 (VAR198), .VAR113 (VAR38), .VAR87 (VAR131), .VAR184 (VAR186), .VAR42 (VAR133), .VAR26 (VAR181), .VAR114 (VAR49), .VAR57 (VAR111), .VAR192 (VAR183), .VAR106 (VAR171), .VAR53 (VAR29), .VAR193 (VAR116), .VAR138 (VAR210), .VAR107 (VAR149), .VAR151 (VAR120), .VAR161 (VAR179), .VAR178 (VAR109), .VAR174 (VAR105), .VAR4 (VAR202), .VAR125 (VAR125), .VAR201 (VAR10[0:0]), .VAR119 (VAR97[0:0]), .VAR173 (VAR173[0:0]), .VAR187 (VAR187[63:0]), .VAR166 (VAR28), .VAR127 (VAR136), .VAR91 (VAR74), .VAR96 (VAR199), .VAR59 (VAR157), .VAR206 (VAR60), .VAR82 (VAR159), .VAR205 (VAR205), .VAR3 (VAR3), .VAR135 (VAR60), .VAR211 (VAR170[0]), .VAR175 (VAR170[1]), .VAR71 (VAR170[2]), .VAR41 (VAR170[3]), .VAR89 (VAR170[4]), .VAR18 (VAR170[5]), .VAR129 (VAR170[6]), .VAR148 (VAR170[7]), .VAR191 (VAR212), .VAR67 (VAR131), .VAR51 (VAR186), .VAR143 (VAR133), .VAR21 (VAR181), .VAR37 (VAR49), .VAR13 (VAR111), .VAR48 (VAR183), .VAR121 (VAR171), .VAR108 (VAR29), .VAR9 (VAR116), .VAR32 (VAR210), .VAR122 (VAR149), .VAR172 (VAR120), .VAR126 (VAR179), .VAR118 (VAR109), .VAR23 (VAR105), .VAR8 (VAR202)); wire VAR36; wire [VAR83-1:0] VAR45; wire [VAR83-1:0] VAR196; wire [VAR83-1:0] VAR16; wire [VAR83-1:0] VAR169; wire [(VAR83*32)-1:0] VAR92; wire [(VAR83*31)-1:0] VAR6; wire [(VAR83*VAR90)-1:0] VAR182; wire [VAR83-1:0] VAR128; wire [VAR83-1:0] VAR85; wire [VAR83-1:0] VAR124; wire [VAR83-1:0] VAR30; wire [VAR83-1:0] VAR88; wire [VAR83-1:0] VAR102; wire [(VAR83*32)-1:0] VAR155; wire [(VAR83*31)-1:0] VAR2; wire [(VAR83*VAR90)-1:0] VAR81; wire [VAR83-1:0] VAR84; wire [VAR83-1:0] VAR209; wire VAR25; wire VAR117; wire VAR188; wire VAR35; assign VAR188 = VAR158; assign VAR35 = VAR60; assign VAR117 = VAR60; assign VAR25 = VAR36; VAR24 .VAR163 (VAR163), .VAR83 (VAR83), .VAR90 (VAR90), .VAR140 (VAR140)) VAR200 ( .VAR34 (VAR125), .VAR167 (VAR187[VAR90-1:0]), .VAR156 (VAR173[0:0]), .VAR134 (VAR97[0:0]), .VAR47 (VAR10[0:0]), .VAR14 (VAR110[0:0]), .VAR185 (VAR212), .VAR78 (VAR36), .VAR94 (VAR196[VAR83-1:0]), .VAR5 (VAR169[VAR83-1:0]), .VAR72 (VAR92[(VAR83*VAR132)-1:0]), .VAR137 (VAR6[(VAR83*VAR63)-1:0]), .VAR164 (VAR182[(VAR83*VAR90)-1:0]), .VAR213 (VAR128[VAR83-1:0]), .VAR68 (VAR88[VAR83-1:0]), .VAR147 (VAR209[VAR83-1:0]), .VAR150 (VAR52[VAR90-1:0]), .VAR177 (VAR144[0:0]), .VAR152 (VAR50[0:0]), .VAR73 (VAR76[0:0]), .VAR146 (VAR65[0:0]), .VAR101 (VAR168), .VAR62 (VAR12[VAR58-1:0]), .VAR33 (VAR40[VAR190-1:0]), .VAR142 (VAR19[VAR162-1:0]), .VAR69 (VAR105[VAR195-1:0]), .VAR55 (VAR202[VAR77-1:0]), .VAR154 (VAR38), .VAR44 (VAR60), .VAR115 (VAR158), .VAR93 (VAR45[VAR83-1:0]), .VAR86 (VAR16[VAR83-1:0]), .VAR11 (VAR85[VAR83-1:0]), .VAR207 (VAR124[VAR83-1:0]), .VAR17 (VAR30[VAR83-1:0]), .VAR98 (VAR102[VAR83-1:0]), .VAR80 (VAR155[(VAR83*VAR132)-1:0]), .VAR31 (VAR2[(VAR83*VAR63)-1:0]), .VAR194 (VAR81[(VAR83*VAR90)-1:0]), .VAR66 (VAR84[VAR83-1:0])); genvar VAR7; generate for (VAR7 = 0; VAR7 < VAR83; VAR7 = VAR7 + 1) begin : VAR54 VAR99 .VAR90(VAR90) ) VAR141 ( .VAR145(VAR117), .VAR130(VAR25), .VAR93(VAR45[VAR7]), .VAR94(VAR196[VAR7]), .VAR86(VAR16[VAR7]), .VAR5(VAR169[VAR7]), .VAR72(VAR92[VAR132*VAR7 +:VAR132]), .VAR137(VAR6[VAR63*VAR7 +:VAR63]), .VAR164(VAR182[VAR90*VAR7 +:VAR90]), .VAR213(VAR128[VAR7]), .VAR11(VAR85[VAR7]), .VAR207(VAR124[VAR7]), .VAR17(VAR30[VAR7]), .VAR68(VAR88[VAR7]), .VAR98(VAR102[VAR7]), .VAR80(VAR155[VAR132*VAR7 +:VAR132]), .VAR31(VAR2[VAR63*VAR7 +:VAR63]), .VAR194(VAR81[VAR90*VAR7 +:VAR90]), .VAR66(VAR84[VAR7]), .VAR147(VAR209[VAR7]) ); end endgenerate endmodule
bsd-3-clause
chcbaram/Altera_DE0_nano_Exam
prj_niosii_pwm/niosii/synthesis/submodules/niosii_nios2_gen2_0_cpu_debug_slave_sysclk.v
6,143
module MODULE1 ( clk, VAR11, VAR15, VAR10, VAR19, VAR28, VAR8, VAR22, VAR14, VAR16, VAR2, VAR25, VAR30, VAR24, VAR27, VAR20 ) ; output [ 37: 0] VAR28; output VAR8; output VAR22; output VAR14; output VAR16; output VAR2; output VAR25; output VAR30; output VAR24; output VAR27; output VAR20; input clk; input [ 1: 0] VAR11; input [ 37: 0] VAR15; input VAR10; input VAR19; reg VAR21 ; reg [ 1: 0] VAR1 ; reg [ 37: 0] VAR28 ; reg VAR13 ; reg VAR26 ; reg VAR4 ; wire VAR18; wire VAR6; wire VAR8; wire VAR22; wire VAR14; wire VAR16; wire VAR2; wire VAR25; wire VAR30; wire VAR24; wire VAR27; wire VAR20; wire VAR17; wire VAR3; reg VAR9 ; assign VAR17 = 1'b1; VAR12 VAR7 ( .clk (clk), .din (VAR10), .dout (VAR18), .VAR5 (VAR17) ); assign VAR3 = 1'b1; VAR12 VAR23 ( .clk (clk), .din (VAR19), .dout (VAR6), .VAR5 (VAR3) ); always @(posedge clk) begin VAR26 <= VAR18; VAR9 <= VAR18 & ~VAR26; VAR21 <= VAR9; VAR4 <= VAR6; VAR13 <= VAR6 & ~VAR4; end assign VAR16 = VAR21 && (VAR1 == 2'b00) && ~VAR28[35] && VAR28[34]; assign VAR20 = VAR21 && (VAR1 == 2'b00) && ~VAR28[35] && ~VAR28[34]; assign VAR2 = VAR21 && (VAR1 == 2'b00) && VAR28[35]; assign VAR8 = VAR21 && (VAR1 == 2'b10) && ~VAR28[36] && VAR28[37]; assign VAR30 = VAR21 && (VAR1 == 2'b10) && ~VAR28[36] && ~VAR28[37]; assign VAR22 = VAR21 && (VAR1 == 2'b10) && VAR28[36] && ~VAR28[35] && VAR28[37]; assign VAR24 = VAR21 && (VAR1 == 2'b10) && VAR28[36] && ~VAR28[35] && ~VAR28[37]; assign VAR14 = VAR21 && (VAR1 == 2'b10) && VAR28[36] && VAR28[35] && VAR28[37]; assign VAR27 = VAR21 && (VAR1 == 2'b10) && VAR28[36] && VAR28[35] && ~VAR28[37]; assign VAR25 = VAR21 && (VAR1 == 2'b11) && VAR28[15]; always @(posedge clk) begin if (VAR13) VAR1 <= VAR11; if (VAR9) VAR28 <= VAR15; end endmodule
mit
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_03_a/hdl/verilog/spi_shift.v
5,993
module MODULE1 (clk, rst, VAR4, VAR1, VAR11, posedge, negedge, VAR9, VAR18, VAR10, VAR13, VAR16, VAR5, VAR17, VAR15); parameter VAR19 = 1; input clk; input rst; input [VAR8-1:0] VAR4; input VAR1; input VAR11; input posedge; input negedge; input VAR9; input VAR18; output VAR10; output VAR13; input [17:0] VAR16; output [VAR6-1:0] VAR5; input VAR17; output VAR15; reg VAR15; reg VAR10; reg [VAR8:0] VAR3; wire [VAR6-1:0] VAR20; wire [VAR8:0] VAR2; wire [VAR8:0] VAR12; wire VAR7; wire VAR14; assign VAR20 = VAR16; assign VAR2 = VAR1 ? {!(|VAR4), VAR4} - VAR3 : VAR3 - {{VAR8{1'b0}},1'b1}; assign VAR12 = VAR1 ? {!(|VAR4), VAR4} - (VAR9 ? VAR3 + {{VAR8{1'b0}},1'b1} : VAR3) : (VAR9 ? VAR3 : VAR3 - {{VAR8{1'b0}},1'b1}); assign VAR13 = !(|VAR3); assign VAR7 = (VAR9 ? negedge : posedge) && (!VAR13 || VAR17); assign VAR14 = (VAR18 ? negedge : posedge) && !VAR13; always @(posedge clk or posedge rst) begin if(rst) VAR3 <= #VAR19 {VAR8+1{1'b0}}; end else begin if(VAR10) VAR3 <= #VAR19 posedge ? (VAR3 - {{VAR8{1'b0}}, 1'b1}) : VAR3; end else VAR3 <= #VAR19 !(|VAR4) ? {1'b1, {VAR8{1'b0}}} : {1'b0, VAR4}; end end always @(posedge clk or posedge rst) begin if(rst) VAR10 <= #VAR19 1'b0; end else if(VAR11 && ~VAR10) VAR10 <= #VAR19 1'b1; else if(VAR10 && VAR13 && posedge) VAR10 <= #VAR19 1'b0; end always @(posedge clk or posedge rst) begin if (rst) VAR15 <= #VAR19 1'b0; end else VAR15 <= #VAR19 (VAR14 || !VAR10) ? VAR20[VAR2[VAR8-1:0]] : VAR15; end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/inv/sky130_fd_sc_ls__inv.behavioral.v
1,321
module MODULE1 ( VAR1, VAR3 ); output VAR1; input VAR3; supply1 VAR8; supply0 VAR6; supply1 VAR4 ; supply0 VAR9 ; wire VAR2; not VAR5 (VAR2, VAR3 ); buf VAR7 (VAR1 , VAR2 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_impctl_ddr_dnrcn.v
3,088
module MODULE1(VAR7 ,VAR41 ,VAR30 ,VAR2 ,VAR47 ,VAR42 ,VAR24 ,VAR9 , clk ,VAR22 ,VAR21 ); input [8:1] VAR30 ; output VAR2 ; output VAR47 ; output VAR9 ; input VAR7 ; input VAR41 ; input VAR42 ; input VAR24 ; input clk ; input VAR22 ; input VAR21 ; supply0 VAR10 ; wire VAR20 ; wire VAR14 ; wire VAR28 ; wire VAR38 ; wire VAR12 ; wire VAR19 ; wire VAR26 ; wire VAR50 ; wire VAR43 ; wire VAR29 ; VAR8 VAR1 ( .VAR18 (VAR14 ), .VAR2 (VAR20 ), .VAR6 (clk ), .VAR4 (VAR42 ), .VAR22 (VAR22 ), .VAR39 (VAR7 ) ); VAR40 VAR48 ( .VAR18 (VAR28 ), .VAR2 (VAR38 ), .VAR6 (clk ), .VAR4 (VAR14 ), .VAR22 (VAR22 ), .VAR39 (VAR20 ), .VAR44 (VAR21 ) ); VAR40 VAR25 ( .VAR18 (VAR9 ), .VAR2 (VAR2 ), .VAR6 (clk ), .VAR4 (VAR43 ), .VAR22 (VAR22 ), .VAR39 (VAR38 ), .VAR44 (VAR21 ) ); VAR35 VAR45 ( .VAR11 (VAR12 ), .VAR37 (VAR28 ) ); VAR16 VAR27 ( .VAR11 (VAR43 ), .VAR37 (VAR19 ), .VAR34 (VAR26 ) ); VAR16 VAR32 ( .VAR11 (VAR26 ), .VAR37 (VAR12 ), .VAR34 (VAR9 ) ); VAR16 VAR33 ( .VAR11 (VAR19 ), .VAR37 (VAR29 ), .VAR34 (VAR28 ) ); VAR31 VAR5 ( .VAR15 ({{4 {VAR50 }} ,VAR10 ,VAR10 ,VAR10 ,VAR10 } ), .VAR46 ({VAR10 ,VAR10 ,VAR10 ,VAR10 ,VAR10 ,VAR10 ,VAR10 ,VAR10 } ), .VAR30 ({VAR30 } ), .out (VAR29 ), .VAR47 (VAR47 ), .VAR49 (VAR41 ), .VAR13 (VAR10 ), .VAR24 (VAR24 ), .VAR3 (VAR10 ) ); VAR23 VAR17 ( .VAR41 (VAR41 ), .VAR36 (VAR50 ) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/fah/sky130_fd_sc_ms__fah.pp.blackbox.v
1,308
module MODULE1 ( VAR6, VAR2 , VAR9 , VAR8 , VAR3 , VAR4, VAR1, VAR5 , VAR7 ); output VAR6; output VAR2 ; input VAR9 ; input VAR8 ; input VAR3 ; input VAR4; input VAR1; input VAR5 ; input VAR7 ; endmodule
apache-2.0
Digilent/vivado-library
ip/hls_saturation_enhance_1_0/hdl/verilog/hls_saturation_enhance.v
38,537
module MODULE1 ( VAR302, VAR135, VAR290, VAR12, VAR365, VAR295, VAR361, VAR415, VAR372, VAR54, VAR142, VAR264, VAR433, VAR348, VAR106, VAR153, VAR235, VAR226, VAR18, VAR210, VAR218, VAR63, VAR434, VAR187, VAR94, VAR170, VAR397, VAR113, VAR241, VAR378, VAR57, VAR122, VAR197, VAR150, VAR141, VAR303, VAR337 ); parameter VAR419 = 32; parameter VAR353 = 6; parameter VAR26 = 32; parameter VAR443 = 32; parameter VAR19 = (32 / 8); parameter VAR253 = (32 / 8); input VAR302; output VAR135; input [VAR353 - 1:0] VAR290; input VAR12; output VAR365; input [VAR419 - 1:0] VAR295; input [VAR19 - 1:0] VAR361; input VAR415; output VAR372; input [VAR353 - 1:0] VAR54; output VAR142; input VAR264; output [VAR419 - 1:0] VAR433; output [1:0] VAR348; output VAR106; input VAR153; output [1:0] VAR235; input VAR226; input VAR18; input [23:0] VAR210; input [2:0] VAR218; input [2:0] VAR63; input [0:0] VAR434; input [0:0] VAR187; input [0:0] VAR94; input [0:0] VAR170; output [23:0] VAR397; output [2:0] VAR113; output [2:0] VAR241; output [0:0] VAR378; output [0:0] VAR57; output [0:0] VAR122; output [0:0] VAR197; input VAR150; output VAR141; output VAR303; input VAR337; reg VAR257; wire [15:0] VAR347; wire [15:0] VAR128; wire [7:0] VAR446; wire VAR7; wire VAR432; wire VAR102; wire VAR90; wire VAR249; wire VAR130; wire VAR327; wire VAR74; wire [15:0] VAR280; wire VAR5; wire [15:0] VAR231; wire VAR105; wire [15:0] VAR35; wire VAR354; wire [15:0] VAR338; wire VAR418; wire [15:0] VAR332; wire VAR100; wire [15:0] VAR99; wire VAR50; wire [11:0] VAR81; wire VAR414; wire [11:0] VAR339; wire VAR299; wire [7:0] VAR167; wire VAR274; wire VAR178; wire VAR270; wire VAR225; wire VAR70; wire VAR193; wire VAR186; wire VAR200; wire VAR97; wire VAR47; wire VAR310; wire [7:0] VAR159; wire VAR104; wire [7:0] VAR406; wire VAR399; wire [7:0] VAR115; wire VAR234; wire [15:0] VAR2; wire VAR149; wire [15:0] VAR68; wire VAR67; wire VAR127; wire VAR53; wire VAR119; wire VAR168; wire VAR400; wire VAR266; wire VAR110; wire VAR39; wire VAR38; wire VAR139; wire [7:0] VAR190; wire VAR440; wire [7:0] VAR230; wire VAR450; wire [7:0] VAR271; wire VAR256; wire VAR91; wire VAR250; wire VAR268; wire VAR391; wire VAR379; wire VAR31; wire VAR208; wire [7:0] VAR401; wire VAR220; wire [7:0] VAR51; wire VAR52; wire [7:0] VAR207; wire VAR239; wire VAR269; wire VAR272; wire VAR89; wire VAR392; wire VAR417; wire VAR409; wire VAR223; wire VAR212; wire VAR445; wire VAR306; wire VAR387; wire VAR125; wire VAR65; wire VAR158; wire [7:0] VAR342; wire VAR173; wire [7:0] VAR294; wire VAR20; wire [7:0] VAR66; wire VAR129; wire VAR336; wire VAR321; wire VAR413; wire VAR238; wire VAR386; wire VAR132; wire VAR140; wire VAR103; wire VAR262; wire VAR383; wire [23:0] VAR6; wire VAR351; wire [2:0] VAR148; wire [2:0] VAR318; wire [0:0] VAR171; wire [0:0] VAR76; wire [0:0] VAR430; wire [0:0] VAR411; wire VAR131; wire VAR324; wire [15:0] VAR191; wire VAR152; wire VAR448; wire [15:0] VAR72; wire VAR343; wire VAR279; wire [15:0] VAR41; wire VAR359; wire VAR243; wire [15:0] VAR188; wire VAR335; wire VAR224; wire [15:0] VAR64; wire VAR248; wire VAR114; wire [15:0] VAR11; wire VAR246; wire VAR174; wire [11:0] VAR384; wire VAR4; wire VAR185; wire [11:0] VAR71; wire VAR233; wire VAR154; wire [7:0] VAR222; wire VAR1; wire VAR287; wire [7:0] VAR404; wire VAR8; wire VAR261; wire [7:0] VAR166; wire VAR83; wire VAR34; wire [7:0] VAR24; wire VAR40; wire VAR313; wire [15:0] VAR380; wire VAR345; wire VAR55; wire [15:0] VAR123; wire VAR358; wire VAR395; wire [7:0] VAR371; wire VAR260; wire VAR297; wire [7:0] VAR36; wire VAR285; wire VAR240; wire [7:0] VAR319; wire VAR341; wire VAR136; wire [7:0] VAR300; wire VAR373; wire VAR252; wire [7:0] VAR441; wire VAR304; wire VAR352; wire [7:0] VAR284; wire VAR93; wire VAR213; wire [7:0] VAR86; wire VAR42; wire VAR356; wire [7:0] VAR422; wire VAR9; wire VAR161; wire [7:0] VAR23; wire VAR286; wire [0:0] VAR204; wire VAR211; wire [0:0] VAR157; wire VAR48; wire [0:0] VAR160; wire VAR144; wire [0:0] VAR209; wire VAR109; wire [0:0] VAR376; wire VAR199; wire [0:0] VAR449; wire VAR215; wire [0:0] VAR423; wire VAR420; wire [0:0] VAR138; wire VAR288; wire VAR202; wire VAR330; wire VAR216; wire VAR393; wire VAR301; wire VAR165; wire VAR281; wire VAR203; VAR320 #( .VAR443( VAR353 ), .VAR26( VAR419 )) VAR362( .VAR92(VAR302), .VAR259(VAR135), .VAR30(VAR290), .VAR355(VAR12), .VAR344(VAR365), .VAR62(VAR295), .VAR349(VAR361), .VAR232(VAR415), .VAR309(VAR372), .VAR137(VAR54), .VAR273(VAR142), .VAR244(VAR264), .VAR333(VAR433), .VAR133(VAR348), .VAR163(VAR106), .VAR350(VAR153), .VAR389(VAR235), .VAR377(VAR226), .VAR112(VAR257), .VAR251(1'b1), .VAR347(VAR347), .VAR128(VAR128), .VAR446(VAR446) ); VAR17 VAR44( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR7), .VAR325(VAR432), .VAR289(VAR102), .VAR329(VAR90), .VAR79(VAR249), .VAR164(VAR130), .VAR278(VAR327), .VAR162(VAR74), .VAR347(VAR347), .VAR128(VAR128), .VAR446(VAR446), .VAR96(VAR280), .VAR111(VAR324), .VAR265(VAR5), .VAR317(VAR231), .VAR183(VAR448), .VAR84(VAR105), .VAR367(VAR35), .VAR69(VAR279), .VAR221(VAR354), .VAR77(VAR338), .VAR403(VAR243), .VAR126(VAR418), .VAR108(VAR332), .VAR143(VAR224), .VAR236(VAR100), .VAR426(VAR99), .VAR101(VAR114), .VAR314(VAR50), .VAR370(VAR81), .VAR228(VAR174), .VAR98(VAR414), .VAR29(VAR339), .VAR10(VAR185), .VAR293(VAR299), .VAR439(VAR167), .VAR291(VAR154), .VAR180(VAR274) ); VAR3 VAR37( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR178), .VAR325(VAR420), .VAR289(VAR270), .VAR329(VAR225), .VAR79(VAR70), .VAR164(VAR193), .VAR278(VAR186), .VAR162(VAR200), .VAR210(VAR210), .VAR150(VAR150), .VAR141(VAR97), .VAR218(VAR218), .VAR63(VAR63), .VAR434(VAR434), .VAR187(VAR187), .VAR94(VAR94), .VAR170(VAR170), .VAR205(VAR191), .VAR45(VAR152), .VAR184(VAR47), .VAR176(VAR72), .VAR172(VAR343), .VAR116(VAR310), .VAR381(VAR159), .VAR181(VAR287), .VAR282(VAR104), .VAR14(VAR406), .VAR410(VAR261), .VAR121(VAR399), .VAR323(VAR115), .VAR402(VAR34), .VAR307(VAR234), .VAR146(VAR2), .VAR447(VAR313), .VAR296(VAR149), .VAR436(VAR68), .VAR416(VAR55), .VAR155(VAR67) ); VAR396 VAR179( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR127), .VAR289(VAR53), .VAR329(VAR119), .VAR79(VAR168), .VAR164(VAR400), .VAR431(VAR380), .VAR322(VAR345), .VAR363(VAR266), .VAR405(VAR123), .VAR442(VAR358), .VAR196(VAR110), .VAR247(VAR404), .VAR219(VAR8), .VAR16(VAR39), .VAR424(VAR166), .VAR425(VAR83), .VAR124(VAR38), .VAR267(VAR24), .VAR444(VAR40), .VAR21(VAR139), .VAR369(VAR190), .VAR189(VAR395), .VAR277(VAR440), .VAR61(VAR230), .VAR198(VAR297), .VAR237(VAR450), .VAR58(VAR271), .VAR217(VAR240), .VAR340(VAR256) ); VAR263 VAR366( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR91), .VAR289(VAR250), .VAR329(VAR268), .VAR79(VAR391), .VAR164(VAR379), .VAR255(VAR71), .VAR326(VAR233), .VAR412(VAR31), .VAR331(VAR384), .VAR334(VAR4), .VAR87(VAR208), .VAR46(VAR401), .VAR25(VAR136), .VAR22(VAR220), .VAR73(VAR51), .VAR80(VAR252), .VAR182(VAR52), .VAR346(VAR207), .VAR283(VAR352), .VAR156(VAR239), .VAR85(VAR371), .VAR421(VAR260), .VAR95(VAR269), .VAR169(VAR36), .VAR28(VAR285), .VAR75(VAR272), .VAR15(VAR319), .VAR78(VAR341), .VAR82(VAR89), .VAR118(VAR222), .VAR428(VAR1), .VAR27(VAR392) ); VAR357 VAR311( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR417), .VAR289(VAR409), .VAR329(VAR223), .VAR79(VAR212), .VAR164(VAR445), .VAR431(VAR41), .VAR322(VAR359), .VAR363(VAR306), .VAR405(VAR188), .VAR442(VAR335), .VAR196(VAR387), .VAR247(VAR300), .VAR219(VAR373), .VAR16(VAR125), .VAR424(VAR441), .VAR425(VAR304), .VAR124(VAR65), .VAR267(VAR284), .VAR444(VAR93), .VAR21(VAR158), .VAR369(VAR342), .VAR189(VAR213), .VAR277(VAR173), .VAR61(VAR294), .VAR198(VAR356), .VAR237(VAR20), .VAR58(VAR66), .VAR217(VAR161), .VAR340(VAR129) ); VAR177 VAR245( .VAR226(VAR226), .VAR151(VAR257), .VAR407(VAR336), .VAR289(VAR321), .VAR329(VAR413), .VAR79(VAR238), .VAR164(VAR386), .VAR205(VAR64), .VAR45(VAR248), .VAR184(VAR132), .VAR176(VAR11), .VAR172(VAR246), .VAR116(VAR140), .VAR214(VAR86), .VAR227(VAR42), .VAR292(VAR103), .VAR398(VAR422), .VAR242(VAR9), .VAR385(VAR262), .VAR33(VAR23), .VAR382(VAR286), .VAR388(VAR383), .VAR397(VAR6), .VAR303(VAR351), .VAR337(VAR337), .VAR113(VAR148), .VAR241(VAR318), .VAR378(VAR171), .VAR57(VAR76), .VAR122(VAR430), .VAR197(VAR411) ); VAR276 VAR328( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR280), .VAR275(VAR324), .VAR305(VAR5), .VAR56(VAR191), .VAR429(VAR152), .VAR134(VAR47) ); VAR276 VAR360( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR231), .VAR275(VAR448), .VAR305(VAR105), .VAR56(VAR72), .VAR429(VAR343), .VAR134(VAR310) ); VAR316 VAR49( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR35), .VAR275(VAR279), .VAR305(VAR354), .VAR56(VAR41), .VAR429(VAR359), .VAR134(VAR306) ); VAR316 VAR315( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR338), .VAR275(VAR243), .VAR305(VAR418), .VAR56(VAR188), .VAR429(VAR335), .VAR134(VAR387) ); VAR374 VAR60( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR332), .VAR275(VAR224), .VAR305(VAR100), .VAR56(VAR64), .VAR429(VAR248), .VAR134(VAR132) ); VAR374 VAR375( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR99), .VAR275(VAR114), .VAR305(VAR50), .VAR56(VAR11), .VAR429(VAR246), .VAR134(VAR140) ); VAR192 VAR364( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR81), .VAR275(VAR174), .VAR305(VAR414), .VAR56(VAR384), .VAR429(VAR4), .VAR134(VAR208) ); VAR192 VAR175( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR339), .VAR275(VAR185), .VAR305(VAR299), .VAR56(VAR71), .VAR429(VAR233), .VAR134(VAR31) ); VAR13 VAR254( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR167), .VAR275(VAR154), .VAR305(VAR274), .VAR56(VAR222), .VAR429(VAR1), .VAR134(VAR392) ); VAR201 VAR312( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR159), .VAR275(VAR287), .VAR305(VAR104), .VAR56(VAR404), .VAR429(VAR8), .VAR134(VAR39) ); VAR201 VAR229( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR406), .VAR275(VAR261), .VAR305(VAR399), .VAR56(VAR166), .VAR429(VAR83), .VAR134(VAR38) ); VAR201 VAR390( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR115), .VAR275(VAR34), .VAR305(VAR234), .VAR56(VAR24), .VAR429(VAR40), .VAR134(VAR139) ); VAR276 VAR195( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR2), .VAR275(VAR313), .VAR305(VAR149), .VAR56(VAR380), .VAR429(VAR345), .VAR134(VAR266) ); VAR276 VAR43( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR68), .VAR275(VAR55), .VAR305(VAR67), .VAR56(VAR123), .VAR429(VAR358), .VAR134(VAR110) ); VAR201 VAR147( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR190), .VAR275(VAR395), .VAR305(VAR440), .VAR56(VAR371), .VAR429(VAR260), .VAR134(VAR269) ); VAR201 VAR298( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR230), .VAR275(VAR297), .VAR305(VAR450), .VAR56(VAR36), .VAR429(VAR285), .VAR134(VAR272) ); VAR201 VAR145( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR271), .VAR275(VAR240), .VAR305(VAR256), .VAR56(VAR319), .VAR429(VAR341), .VAR134(VAR89) ); VAR201 VAR258( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR401), .VAR275(VAR136), .VAR305(VAR220), .VAR56(VAR300), .VAR429(VAR373), .VAR134(VAR125) ); VAR201 VAR59( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR51), .VAR275(VAR252), .VAR305(VAR52), .VAR56(VAR441), .VAR429(VAR304), .VAR134(VAR65) ); VAR201 VAR394( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR207), .VAR275(VAR352), .VAR305(VAR239), .VAR56(VAR284), .VAR429(VAR93), .VAR134(VAR158) ); VAR201 VAR32( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR342), .VAR275(VAR213), .VAR305(VAR173), .VAR56(VAR86), .VAR429(VAR42), .VAR134(VAR103) ); VAR201 VAR88( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR294), .VAR275(VAR356), .VAR305(VAR20), .VAR56(VAR422), .VAR429(VAR9), .VAR134(VAR262) ); VAR201 VAR194( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR66), .VAR275(VAR161), .VAR305(VAR129), .VAR56(VAR23), .VAR429(VAR286), .VAR134(VAR383) ); VAR368 VAR107( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR204), .VAR275(VAR211), .VAR305(VAR74), .VAR56(VAR157), .VAR429(VAR48), .VAR134(VAR379) ); VAR438 VAR206( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR160), .VAR275(VAR144), .VAR305(VAR74), .VAR56(VAR209), .VAR429(VAR109), .VAR134(VAR445) ); VAR437 VAR117( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR376), .VAR275(VAR199), .VAR305(VAR74), .VAR56(VAR449), .VAR429(VAR215), .VAR134(VAR386) ); VAR308 VAR435( .clk(VAR226), .reset(VAR257), .VAR408(1'b1), .VAR120(1'b1), .VAR427(VAR423), .VAR275(VAR420), .VAR305(VAR200), .VAR56(VAR138), .VAR429(VAR288), .VAR134(VAR400) ); assign VAR225 = 1'b1; assign VAR178 = 1'b1; assign VAR90 = 1'b1; assign VAR7 = 1'b1; assign VAR432 = (VAR199 & VAR211 & VAR144); assign VAR223 = 1'b1; assign VAR417 = VAR109; assign VAR301 = 1'b1; assign VAR165 = 1'b0; assign VAR119 = 1'b1; assign VAR127 = VAR288; assign VAR202 = 1'b1; assign VAR330 = 1'b0; assign VAR268 = 1'b1; assign VAR91 = VAR48; assign VAR216 = 1'b1; assign VAR393 = 1'b0; assign VAR413 = 1'b1; assign VAR336 = VAR215; assign VAR281 = 1'b1; assign VAR203 = 1'b0; always @ (*) begin VAR257 = ~VAR18; end assign VAR131 = 1'b0; assign VAR160 = 1'b1; assign VAR423 = 1'b1; assign VAR204 = 1'b1; assign VAR376 = 1'b1; assign VAR141 = VAR97; assign VAR397 = VAR6; assign VAR197 = VAR411; assign VAR122 = VAR430; assign VAR113 = VAR148; assign VAR57 = VAR76; assign VAR241 = VAR318; assign VAR378 = VAR171; assign VAR303 = VAR351; endmodule
mit
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_011.v
1,496
module MODULE2 ( VAR6, VAR9 ); input [31:0] VAR6; output [31:0] VAR9; wire [31:0] VAR2, VAR8, VAR10, VAR11, VAR13, VAR3, VAR5, VAR1; assign VAR2 = VAR6; assign VAR3 = VAR13 << 2; assign VAR11 = VAR2 << 11; assign VAR13 = VAR10 + VAR11; assign VAR1 = VAR5 << 2; assign VAR10 = VAR8 - VAR2; assign VAR8 = VAR2 << 9; assign VAR5 = VAR3 - VAR13; assign VAR9 = VAR1; endmodule module MODULE1( VAR6, VAR9, clk ); input [31:0] VAR6; output [31:0] VAR9; reg [31:0] VAR9; input clk; reg [31:0] VAR7; wire [30:0] VAR12; always @(posedge clk) begin VAR7 <= VAR6; VAR9 <= VAR12; end MODULE2 MODULE1( .VAR6(VAR7), .VAR9(VAR12) ); endmodule
mit
jedimatt42/pi-messaging
hardware/tipi-speech/ise/crubits.v
1,304
module MODULE1( input [0:3]VAR4, input VAR5, input VAR10, input VAR2, input [0:14]addr, input VAR8, output VAR6, output [0:3]VAR3 ); reg [0:3] VAR7; always @(negedge VAR5) begin if ((addr[0:3] == 4'b0001) && (addr[4:7] == VAR4)) begin if (addr[8:14] == 7'h00) VAR7[0] <= VAR8; end else if (addr[8:14] == 7'h01) VAR7[1] <= VAR8; end else if (addr[8:14] == 7'h02) VAR7[2] <= VAR8; else if (addr[8:14] == 7'h03) VAR7[3] <= VAR8; end end assign VAR3 = VAR7; reg VAR1; always @(negedge VAR2) begin if (VAR10 && (addr[0:3] == 4'b0001) && (addr[4:7] == VAR4)) begin if (addr[8:14] == 7'h00) VAR1 <= VAR7[0]; end else if (addr[8:14] == 7'h01) VAR1 <= VAR7[1]; end else if (addr[8:14] == 7'h02) VAR1 <= VAR7[2]; else if (addr[8:14] == 7'h03) VAR1 <= VAR7[3]; end else VAR1 <= 1'VAR9; end assign VAR6 = VAR1; endmodule
gpl-3.0
csturton/wirepatch
system/hardware/cores/fabric/ovl_ported/ovl_always_on_edge.v
2,569
module MODULE1 (VAR27, reset, enable, VAR24, VAR26, VAR14, VAR19); parameter VAR4 = VAR7; parameter VAR5 = VAR9; parameter VAR13 = VAR20; parameter VAR23 = VAR17; parameter VAR6 = VAR1; parameter VAR16 = VAR21; parameter VAR10 = VAR11; parameter VAR15 = VAR25; input VAR27, reset, enable; input VAR24, VAR26; output [VAR3-1:0] VAR14; output [VAR3-1:0] VAR19; parameter VAR18 = "VAR12"; assign VAR14 = {1'b0, 1'b0, VAR22}; assign VAR19 = {1'b0, 1'b0, VAR2}; assign VAR14 = {VAR3{1'b0}}; VAR8 endmodule VAR8
mit
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/mig_7series_v1_8_ecc_gen.v
7,915
module MODULE1 parameter VAR2 = 72, parameter VAR8 = 8, parameter VAR1 = 64 ) ( VAR22 ); function integer VAR20 (input integer VAR7); integer VAR6; if (VAR7 == 1) VAR20 = 1; else begin VAR20 = 1; for (VAR6=2; VAR6<=VAR7; VAR6=VAR6+1) VAR20 = VAR20 * VAR6; end endfunction function integer VAR16 (input integer VAR13, VAR15); VAR16 = VAR20(VAR13)/(VAR20(VAR15)*VAR20(VAR13-VAR15)); endfunction function [VAR8-1:0] VAR9 (input [VAR8-1:0] VAR7); integer VAR6; integer VAR18; reg VAR4; reg VAR5; reg [VAR8-1:0] VAR14; begin VAR4 = 1'b0; VAR5 = 1'b0; VAR14 = 0; for (VAR6=0; VAR6<VAR8; VAR6=VAR6+1) begin if ((&VAR7 == 1'VAR19) || VAR5) VAR9[VAR6] = VAR7[VAR6]; end else begin VAR9[VAR6] = 1'b0; VAR14 = VAR14 + VAR7[VAR6]; if (VAR7[VAR6] && VAR4) begin VAR5 = 1'b1; for (VAR18=VAR6-1; VAR18>=0;VAR18=VAR18-1) if (VAR18>=VAR6-VAR14) VAR9[VAR18] = 1'b1; end VAR4 = ~VAR7[VAR6]; end end end endfunction wire [VAR8-1:0] VAR12 [VAR2-1:0]; output wire [VAR2*VAR8-1:0] VAR22; localparam VAR10 = VAR16(VAR8, 3); localparam VAR21 = VAR16(VAR8, 5); genvar VAR13; genvar VAR11; generate for (VAR13=0; VAR13<VAR2; VAR13=VAR13+1) begin : VAR17 if (VAR13 == 0) assign VAR12[VAR13] = {{3{1'b1}}, {VAR8-3{1'b0}}}; end else if (VAR13 == VAR10 && VAR13 < VAR1) assign VAR12[VAR13] = {{5{1'b1}}, {VAR8-5{1'b0}}}; else if ((VAR13 == VAR10+VAR21) && VAR13 < VAR1) assign VAR12[VAR13] = {{7{1'b1}}, {VAR8-7{1'b0}}}; else if (VAR13 == VAR1) assign VAR12[VAR13] = {{1{1'b1}}, {VAR8-1{1'b0}}}; else assign VAR12[VAR13] = VAR9(VAR12[VAR13-1]); for (VAR11=0; VAR11<VAR8; VAR11=VAR11+1) begin : VAR3 assign VAR22[VAR11*VAR2+VAR13] = VAR12[VAR13][VAR11]; end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlxbn/sky130_fd_sc_lp__dlxbn_1.v
2,312
module MODULE2 ( VAR9 , VAR8 , VAR4 , VAR2, VAR1 , VAR3 , VAR6 , VAR10 ); output VAR9 ; output VAR8 ; input VAR4 ; input VAR2; input VAR1 ; input VAR3 ; input VAR6 ; input VAR10 ; VAR5 VAR7 ( .VAR9(VAR9), .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2), .VAR1(VAR1), .VAR3(VAR3), .VAR6(VAR6), .VAR10(VAR10) ); endmodule module MODULE2 ( VAR9 , VAR8 , VAR4 , VAR2 ); output VAR9 ; output VAR8 ; input VAR4 ; input VAR2; supply1 VAR1; supply0 VAR3; supply1 VAR6 ; supply0 VAR10 ; VAR5 VAR7 ( .VAR9(VAR9), .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2) ); endmodule
apache-2.0
johngtimms/parallel-accumulator
accumulator_processor.v
2,840
module MODULE1 (clk, reset, VAR3, VAR6, read, write, req, VAR22, state); input clk, reset, VAR6, VAR22; input [1:0] VAR3; input [31:0] read; output [31:0] write; output req; output [6:0] state; reg req = 0; reg [6:0] state; reg [1:0] VAR8; reg [31:0] VAR10; reg [31:0] VAR7; reg [31:0] VAR16; integer VAR15 = 0; integer VAR17 = 8882371; localparam VAR13 = 2'b00, VAR9 = 2'b01, VAR19 = 2'b10; localparam VAR21 = 7'b0000001, VAR12 = 7'b0000010, VAR1 = 7'b0000100, VAR5 = 7'b0001000, VAR2 = 7'b0010000, VAR4 = 7'b0100000, VAR11 = 7'b1000000; assign VAR3 = (VAR8 == VAR9 || VAR8 == VAR19) ? VAR8 : 2'VAR20; assign write = (state == VAR11) ? VAR16 : 32'VAR20; reg [4*8:1] VAR18; always @(*) begin case (state) 7'b0000001: VAR18 = "VAR21"; 7'b0000010: VAR18 = "VAR12"; 7'b0000100: VAR18 = "VAR1"; 7'b0001000: VAR18 = "VAR5"; 7'b0010000: VAR18 = "VAR2 "; 7'b0100000: VAR18 = "VAR4"; 7'b1000000: VAR18 = "VAR11"; default: VAR18 = "VAR14"; endcase end always @(posedge clk, posedge reset) begin if (reset) begin state <= VAR21; VAR8 <= VAR13; end else begin case (state) VAR21: begin req <= 1'b1; if (VAR22 && !VAR6) begin state <= VAR12; end end VAR12: begin VAR8 <= VAR9; VAR10 <= read; if (VAR6) begin VAR8 <= VAR13; req <= 1'b0; state <= VAR1; end end VAR1: begin req <= 1'b1; if (VAR22 && !VAR6) begin state <= VAR5; end end VAR5: begin VAR8 <= VAR9; VAR7 <= read; if (VAR6) begin VAR8 <= VAR13; req <= 1'b0; state <= VAR2; VAR15 <= 1000 + ({(VAR17)} % 9000); end end VAR2: begin VAR16 <= VAR10 + VAR7; if (VAR15 != 0) begin VAR15 <= VAR15 - 1; end else begin state <= VAR4; end end VAR4: begin req <= 1'b1; if (VAR22 && !VAR6) begin state <= VAR11; end end VAR11: begin VAR8 <= VAR19; if (VAR6) begin VAR8 <= VAR13; req <= 1'b0; state <= VAR21; end end endcase end end endmodule
mit
titorgalaxy/Titor
rtl/verilog/core/Butterfly_Unit.v
7,303
module MODULE2 ( VAR43, VAR11, VAR18, VAR4, VAR3 ); output reg [VAR17-1:0] VAR43; input [VAR17-1:0] VAR11; input [VAR17-1:0] VAR18; input [VAR17-1:0] VAR4; input [VAR17-1:0] VAR3; wire [((VAR17/2)*VAR10)-1:0] VAR2; wire [((VAR17/2)*VAR10)-1:0] VAR33; wire [(VAR17/2)-1:0] VAR13 [VAR10-1:0]; wire [(VAR17/2)-1:0] VAR8 [VAR10-1:0]; wire [(VAR17/2)-1:0] VAR30 [VAR10-1:0]; wire [((VAR17/2)*VAR10)-1:0] VAR34; wire [VAR17-1:0] VAR21; wire [VAR17-1:0] VAR35; wire [VAR17-1:0] VAR40; genvar VAR32; genvar VAR22; generate for(VAR32=0; VAR32<VAR10; VAR32=VAR32+1) begin : VAR42 assign VAR13[VAR32] = VAR33[(VAR32+1)*(VAR17/2)-1:(VAR32*(VAR17/2))]; assign VAR8[VAR32] = (VAR4==VAR29) ? {(1<<VAR32){VAR13[VAR32][0]}} : VAR2[((VAR32+1)*(VAR17/2))-1:(VAR32*(VAR17/2))]; assign VAR30[VAR32] = {((VAR17/2)/(1<<VAR32)){VAR8[VAR32][(1<<VAR32)-1:0]}} ; assign VAR34[((VAR32+1)*VAR17/2)-1:((VAR32+0)*VAR17/2)] = VAR30[VAR32]; end endgenerate VAR39 VAR6 ( .VAR31(VAR40), .VAR41(VAR11), .VAR15(VAR34) ); MODULE1 MODULE1 ( .VAR21(VAR21), .VAR15(VAR2), .VAR36(VAR18) ); generate for(VAR32=0; VAR32<VAR10; VAR32=VAR32+1) begin : VAR38 assign VAR33[VAR32*(VAR17/2)] = VAR18[VAR32]; end endgenerate assign VAR35 = (&VAR18[VAR17-1:VAR10]) || (&(~VAR18[VAR17-1:VAR10])) ? VAR21 : {VAR17{1'b1}}; always @ begin VAR31[VAR32+0 ] <= VAR44[VAR32+VAR37] ? VAR1[VAR32] : VAR14[VAR32]; VAR31[VAR32+VAR12] <= VAR44[VAR32+VAR37] ? VAR14[VAR32] : VAR1[VAR32]; end end endgenerate endmodule module MODULE1 ( VAR21, VAR15, VAR36 ); output wire [VAR17-1:0] VAR21; output wire [((VAR17/2)*VAR10)-1:0] VAR15; input [VAR17-1:0] VAR36; wire [VAR17-1:0] VAR44 [VAR10-1:0]; wire [VAR17-1:0] VAR27 [VAR10+1:0]; assign VAR27[0] = 0; genvar VAR32; generate for(VAR32=0; VAR32<VAR10; VAR32=VAR32+1) begin : VAR42 assign VAR15[((VAR32+1)*(VAR17/2))-1:(VAR32*(VAR17/2))] = VAR44[VAR32]; end endgenerate generate for(VAR32=0; VAR32<VAR10; VAR32=VAR32+1) begin : VAR5 MODULE3 #(.VAR16(VAR32)) VAR7 ( .VAR23(VAR44[VAR32]), .VAR24(VAR27[VAR32+1]), .VAR28(VAR27[VAR32]), .VAR36(VAR36) ); end endgenerate MODULE3 #(.VAR16(VAR10)) VAR7 ( .VAR23(VAR21), .VAR24(VAR27[VAR10+1]), .VAR28(VAR27[VAR10]), .VAR36(VAR36) ); endmodule module MODULE3 ( VAR23, VAR24, VAR28, VAR36 ); parameter VAR16 = 0; localparam VAR25 = 1<<((VAR16==0)?1:VAR16-1); localparam VAR19 = VAR16==0 ? 1 : VAR25; output reg [VAR17-1:0] VAR23; output reg [VAR17-1:0] VAR24; input [VAR17-1:0] VAR28; input [VAR17-1:0] VAR36; reg [VAR17-1:0] VAR26; reg [VAR17-1:0] VAR20; always @ begin VAR20 <= {VAR17{VAR36[VAR16-1]}}; VAR24 <= { VAR28[VAR19-1:0] | VAR20[VAR19-1:0] , VAR28[VAR19-1:0] & VAR20[VAR19-1:0] }; end end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_lsbuf_lh_hl_isowell_tap/sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1.v
2,501
module MODULE1 ( VAR5 , VAR7 , VAR4, VAR6 , VAR1 , VAR3 ); output VAR5 ; input VAR7 ; input VAR4; input VAR6 ; input VAR1 ; input VAR3 ; VAR2 VAR8 ( .VAR5(VAR5), .VAR7(VAR7), .VAR4(VAR4), .VAR6(VAR6), .VAR1(VAR1), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR5, VAR7 ); output VAR5; input VAR7; wire VAR4; supply1 VAR6 ; supply0 VAR1 ; supply1 VAR3 ; VAR2 VAR8 ( .VAR5(VAR5), .VAR7(VAR7) ); endmodule
apache-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_48.v
33,176
module MODULE1 ( clk, reset, VAR68, VAR214, VAR161, VAR70, VAR171 ); parameter VAR194 = 18; parameter VAR239 = 48; parameter VAR276 = 24; localparam VAR138 = 55; input clk; input reset; input VAR68; input VAR214; input [VAR194-1:0] VAR161; output VAR70; output [VAR194-1:0] VAR171; localparam VAR127 = 18; localparam VAR206 = 36; localparam VAR227 = 17; localparam VAR85 = 48; reg [VAR194-1:0] VAR173; reg [VAR194-1:0] VAR158; reg [VAR194-1:0] VAR147; reg [VAR194-1:0] VAR52; reg [VAR194-1:0] VAR196; reg [VAR194-1:0] VAR210; reg [VAR194-1:0] VAR24; reg [VAR194-1:0] VAR145; reg [VAR194-1:0] VAR216; reg [VAR194-1:0] VAR166; reg [VAR194-1:0] VAR270; reg [VAR194-1:0] VAR187; reg [VAR194-1:0] VAR266; reg [VAR194-1:0] VAR97; reg [VAR194-1:0] VAR152; reg [VAR194-1:0] VAR29; reg [VAR194-1:0] VAR37; reg [VAR194-1:0] VAR226; reg [VAR194-1:0] VAR40; reg [VAR194-1:0] VAR78; reg [VAR194-1:0] VAR178; reg [VAR194-1:0] VAR9; reg [VAR194-1:0] VAR87; reg [VAR194-1:0] VAR222; always@(posedge clk) begin VAR173 <= 18'd88; VAR158 <= 18'd0; VAR147 <= -18'd97; VAR52 <= -18'd197; VAR196 <= -18'd294; VAR210 <= -18'd380; VAR24 <= -18'd447; VAR145 <= -18'd490; VAR216 <= -18'd504; VAR166 <= -18'd481; VAR270 <= -18'd420; VAR187 <= -18'd319; VAR266 <= -18'd178; VAR97 <= 18'd0; VAR152 <= 18'd212; VAR29 <= 18'd451; VAR37 <= 18'd710; VAR226 <= 18'd980; VAR40 <= 18'd1252; VAR78 <= 18'd1514; VAR178 <= 18'd1756; VAR9 <= 18'd1971; VAR87 <= 18'd2147; VAR222 <= 18'd2278; end reg [VAR138-1:0] VAR62; always@(posedge clk or posedge reset) begin if(reset) begin VAR62 <= 0; end else begin if(VAR68) begin VAR62 <= {VAR62[VAR138-2:0], VAR214}; end else begin VAR62 <= VAR62; end end end wire [VAR194-1:0] VAR18; wire [VAR194-1:0] VAR50; wire [VAR194-1:0] VAR262; wire [VAR194-1:0] VAR89; wire [VAR194-1:0] VAR77; wire [VAR194-1:0] VAR269; wire [VAR194-1:0] VAR137; wire [VAR194-1:0] VAR186; wire [VAR194-1:0] VAR218; wire [VAR194-1:0] VAR39; wire [VAR194-1:0] VAR43; wire [VAR194-1:0] VAR88; wire [VAR194-1:0] VAR14; wire [VAR194-1:0] VAR22; wire [VAR194-1:0] VAR119; wire [VAR194-1:0] VAR172; wire [VAR194-1:0] VAR99; wire [VAR194-1:0] VAR80; wire [VAR194-1:0] VAR236; wire [VAR194-1:0] VAR221; wire [VAR194-1:0] VAR17; wire [VAR194-1:0] VAR41; wire [VAR194-1:0] VAR217; wire [VAR194-1:0] VAR184; wire [VAR194-1:0] VAR93; wire [VAR194-1:0] VAR177; wire [VAR194-1:0] VAR234; wire [VAR194-1:0] VAR263; wire [VAR194-1:0] VAR4; wire [VAR194-1:0] VAR140; wire [VAR194-1:0] VAR129; wire [VAR194-1:0] VAR111; wire [VAR194-1:0] VAR281; wire [VAR194-1:0] VAR59; wire [VAR194-1:0] VAR30; wire [VAR194-1:0] VAR205; wire [VAR194-1:0] VAR260; wire [VAR194-1:0] VAR10; wire [VAR194-1:0] VAR144; wire [VAR194-1:0] VAR209; wire [VAR194-1:0] VAR195; wire [VAR194-1:0] VAR5; wire [VAR194-1:0] VAR133; wire [VAR194-1:0] VAR164; wire [VAR194-1:0] VAR79; wire [VAR194-1:0] VAR25; wire [VAR194-1:0] VAR103; wire [VAR194-1:0] VAR83; MODULE4 MODULE11( .clk(clk), .VAR68(VAR68), .VAR58(VAR161), .VAR13(VAR18), .VAR71(VAR50), .VAR219(VAR262), .VAR267(VAR89), .VAR27(VAR77), .VAR26(VAR269), .VAR96(VAR137), .VAR108(VAR186), .VAR110(VAR218), .VAR258(VAR39), .VAR242(VAR43), .VAR86(VAR88), .VAR255(VAR14), .VAR175(VAR22), .VAR54(VAR119), .VAR233(VAR172), .VAR197(VAR99), .VAR151(VAR80), .VAR3(VAR236), .VAR126(VAR221), .VAR130(VAR17), .VAR212(VAR41), .VAR53(VAR217), .VAR190(VAR184), .VAR21(VAR93), .VAR12(VAR177), .VAR162(VAR234), .VAR124(VAR263), .VAR256(VAR4), .VAR107(VAR140), .VAR237(VAR129), .VAR31(VAR111), .VAR16(VAR281), .VAR136(VAR59), .VAR92(VAR30), .VAR49(VAR205), .VAR102(VAR260), .VAR149(VAR10), .VAR105(VAR144), .VAR63(VAR209), .VAR90(VAR195), .VAR7(VAR5), .VAR55(VAR133), .VAR193(VAR164), .VAR73(VAR79), .VAR100(VAR25), .VAR57(VAR103), .VAR94(VAR83), .reset(reset) ); wire [VAR194-1:0] VAR35; wire [VAR194-1:0] VAR207; wire [VAR194-1:0] VAR202; wire [VAR194-1:0] VAR36; wire [VAR194-1:0] VAR180; wire [VAR194-1:0] VAR116; wire [VAR194-1:0] VAR247; wire [VAR194-1:0] VAR118; wire [VAR194-1:0] VAR155; wire [VAR194-1:0] VAR44; wire [VAR194-1:0] VAR156; wire [VAR194-1:0] VAR275; wire [VAR194-1:0] VAR253; wire [VAR194-1:0] VAR8; wire [VAR194-1:0] VAR109; wire [VAR194-1:0] VAR244; wire [VAR194-1:0] VAR139; wire [VAR194-1:0] VAR6; wire [VAR194-1:0] VAR283; wire [VAR194-1:0] VAR225; wire [VAR194-1:0] VAR259; wire [VAR194-1:0] VAR223; wire [VAR194-1:0] VAR117; wire [VAR194-1:0] VAR47; MODULE5 VAR252( .clk(clk), .VAR68(VAR68), .VAR229 (VAR18), .VAR23 (VAR83), .VAR28(VAR35) ); MODULE5 VAR146( .clk(clk), .VAR68(VAR68), .VAR229 (VAR50), .VAR23 (VAR103), .VAR28(VAR207) ); MODULE5 VAR230( .clk(clk), .VAR68(VAR68), .VAR229 (VAR262), .VAR23 (VAR25), .VAR28(VAR202) ); MODULE5 VAR81( .clk(clk), .VAR68(VAR68), .VAR229 (VAR89), .VAR23 (VAR79), .VAR28(VAR36) ); MODULE5 VAR69( .clk(clk), .VAR68(VAR68), .VAR229 (VAR77), .VAR23 (VAR164), .VAR28(VAR180) ); MODULE5 VAR20( .clk(clk), .VAR68(VAR68), .VAR229 (VAR269), .VAR23 (VAR133), .VAR28(VAR116) ); MODULE5 VAR241( .clk(clk), .VAR68(VAR68), .VAR229 (VAR137), .VAR23 (VAR5), .VAR28(VAR247) ); MODULE5 VAR248( .clk(clk), .VAR68(VAR68), .VAR229 (VAR186), .VAR23 (VAR195), .VAR28(VAR118) ); MODULE5 VAR181( .clk(clk), .VAR68(VAR68), .VAR229 (VAR218), .VAR23 (VAR209), .VAR28(VAR155) ); MODULE5 VAR42( .clk(clk), .VAR68(VAR68), .VAR229 (VAR39), .VAR23 (VAR144), .VAR28(VAR44) ); MODULE5 VAR191( .clk(clk), .VAR68(VAR68), .VAR229 (VAR43), .VAR23 (VAR10), .VAR28(VAR156) ); MODULE5 VAR101( .clk(clk), .VAR68(VAR68), .VAR229 (VAR88), .VAR23 (VAR260), .VAR28(VAR275) ); MODULE5 VAR282( .clk(clk), .VAR68(VAR68), .VAR229 (VAR14), .VAR23 (VAR205), .VAR28(VAR253) ); MODULE5 VAR277( .clk(clk), .VAR68(VAR68), .VAR229 (VAR22), .VAR23 (VAR30), .VAR28(VAR8) ); MODULE5 VAR254( .clk(clk), .VAR68(VAR68), .VAR229 (VAR119), .VAR23 (VAR59), .VAR28(VAR109) ); MODULE5 VAR11( .clk(clk), .VAR68(VAR68), .VAR229 (VAR172), .VAR23 (VAR281), .VAR28(VAR244) ); MODULE5 VAR112( .clk(clk), .VAR68(VAR68), .VAR229 (VAR99), .VAR23 (VAR111), .VAR28(VAR139) ); MODULE5 VAR76( .clk(clk), .VAR68(VAR68), .VAR229 (VAR80), .VAR23 (VAR129), .VAR28(VAR6) ); MODULE5 VAR125( .clk(clk), .VAR68(VAR68), .VAR229 (VAR236), .VAR23 (VAR140), .VAR28(VAR283) ); MODULE5 VAR280( .clk(clk), .VAR68(VAR68), .VAR229 (VAR221), .VAR23 (VAR4), .VAR28(VAR225) ); MODULE5 VAR271( .clk(clk), .VAR68(VAR68), .VAR229 (VAR17), .VAR23 (VAR263), .VAR28(VAR259) ); MODULE5 VAR208( .clk(clk), .VAR68(VAR68), .VAR229 (VAR41), .VAR23 (VAR234), .VAR28(VAR223) ); MODULE5 VAR211( .clk(clk), .VAR68(VAR68), .VAR229 (VAR217), .VAR23 (VAR177), .VAR28(VAR117) ); MODULE5 VAR148( .clk(clk), .VAR68(VAR68), .VAR229 (VAR184), .VAR23 (VAR93), .VAR28(VAR47) ); wire [VAR194-1:0] VAR185; wire [VAR194-1:0] VAR238; wire [VAR194-1:0] VAR250; wire [VAR194-1:0] VAR56; wire [VAR194-1:0] VAR268; wire [VAR194-1:0] VAR143; wire [VAR194-1:0] VAR220; wire [VAR194-1:0] VAR192; wire [VAR194-1:0] VAR132; wire [VAR194-1:0] VAR120; wire [VAR194-1:0] VAR272; wire [VAR194-1:0] VAR34; wire [VAR194-1:0] VAR274; wire [VAR194-1:0] VAR189; wire [VAR194-1:0] VAR131; wire [VAR194-1:0] VAR261; wire [VAR194-1:0] VAR213; wire [VAR194-1:0] VAR160; wire [VAR194-1:0] VAR64; wire [VAR194-1:0] VAR82; wire [VAR194-1:0] VAR38; wire [VAR194-1:0] VAR170; wire [VAR194-1:0] VAR224; wire [VAR194-1:0] VAR165; MODULE2 VAR169( .clk(clk), .VAR68(VAR68), .VAR229 (VAR35), .VAR23 (VAR173), .VAR28(VAR185) ); MODULE2 VAR66( .clk(clk), .VAR68(VAR68), .VAR229 (VAR207), .VAR23 (VAR158), .VAR28(VAR238) ); MODULE2 VAR168( .clk(clk), .VAR68(VAR68), .VAR229 (VAR202), .VAR23 (VAR147), .VAR28(VAR250) ); MODULE2 VAR257( .clk(clk), .VAR68(VAR68), .VAR229 (VAR36), .VAR23 (VAR52), .VAR28(VAR56) ); MODULE2 VAR61( .clk(clk), .VAR68(VAR68), .VAR229 (VAR180), .VAR23 (VAR196), .VAR28(VAR268) ); MODULE2 VAR179( .clk(clk), .VAR68(VAR68), .VAR229 (VAR116), .VAR23 (VAR210), .VAR28(VAR143) ); MODULE2 VAR200( .clk(clk), .VAR68(VAR68), .VAR229 (VAR247), .VAR23 (VAR24), .VAR28(VAR220) ); MODULE2 VAR203( .clk(clk), .VAR68(VAR68), .VAR229 (VAR118), .VAR23 (VAR145), .VAR28(VAR192) ); MODULE2 VAR51( .clk(clk), .VAR68(VAR68), .VAR229 (VAR155), .VAR23 (VAR216), .VAR28(VAR132) ); MODULE2 VAR232( .clk(clk), .VAR68(VAR68), .VAR229 (VAR44), .VAR23 (VAR166), .VAR28(VAR120) ); MODULE2 VAR19( .clk(clk), .VAR68(VAR68), .VAR229 (VAR156), .VAR23 (VAR270), .VAR28(VAR272) ); MODULE2 VAR284( .clk(clk), .VAR68(VAR68), .VAR229 (VAR275), .VAR23 (VAR187), .VAR28(VAR34) ); MODULE2 VAR231( .clk(clk), .VAR68(VAR68), .VAR229 (VAR253), .VAR23 (VAR266), .VAR28(VAR274) ); MODULE2 VAR135( .clk(clk), .VAR68(VAR68), .VAR229 (VAR8), .VAR23 (VAR97), .VAR28(VAR189) ); MODULE2 VAR60( .clk(clk), .VAR68(VAR68), .VAR229 (VAR109), .VAR23 (VAR152), .VAR28(VAR131) ); MODULE2 VAR215( .clk(clk), .VAR68(VAR68), .VAR229 (VAR244), .VAR23 (VAR29), .VAR28(VAR261) ); MODULE2 VAR198( .clk(clk), .VAR68(VAR68), .VAR229 (VAR139), .VAR23 (VAR37), .VAR28(VAR213) ); MODULE2 VAR91( .clk(clk), .VAR68(VAR68), .VAR229 (VAR6), .VAR23 (VAR226), .VAR28(VAR160) ); MODULE2 VAR45( .clk(clk), .VAR68(VAR68), .VAR229 (VAR283), .VAR23 (VAR40), .VAR28(VAR64) ); MODULE2 VAR32( .clk(clk), .VAR68(VAR68), .VAR229 (VAR225), .VAR23 (VAR78), .VAR28(VAR82) ); MODULE2 VAR183( .clk(clk), .VAR68(VAR68), .VAR229 (VAR259), .VAR23 (VAR178), .VAR28(VAR38) ); MODULE2 VAR245( .clk(clk), .VAR68(VAR68), .VAR229 (VAR223), .VAR23 (VAR9), .VAR28(VAR170) ); MODULE2 VAR174( .clk(clk), .VAR68(VAR68), .VAR229 (VAR117), .VAR23 (VAR87), .VAR28(VAR224) ); MODULE2 VAR1( .clk(clk), .VAR68(VAR68), .VAR229 (VAR47), .VAR23 (VAR222), .VAR28(VAR165) ); wire [VAR194-1:0] VAR264; wire [VAR194-1:0] VAR84; wire [VAR194-1:0] VAR95; wire [VAR194-1:0] VAR104; wire [VAR194-1:0] VAR228; wire [VAR194-1:0] VAR72; wire [VAR194-1:0] VAR123; wire [VAR194-1:0] VAR106; wire [VAR194-1:0] VAR113; wire [VAR194-1:0] VAR265; wire [VAR194-1:0] VAR134; wire [VAR194-1:0] VAR48; MODULE5 VAR65( .clk(clk), .VAR68(VAR68), .VAR229 (VAR185), .VAR23 (VAR238), .VAR28(VAR264) ); MODULE5 VAR122( .clk(clk), .VAR68(VAR68), .VAR229 (VAR250), .VAR23 (VAR56), .VAR28(VAR84) ); MODULE5 VAR240( .clk(clk), .VAR68(VAR68), .VAR229 (VAR268), .VAR23 (VAR143), .VAR28(VAR95) ); MODULE5 VAR153( .clk(clk), .VAR68(VAR68), .VAR229 (VAR220), .VAR23 (VAR192), .VAR28(VAR104) ); MODULE5 VAR150( .clk(clk), .VAR68(VAR68), .VAR229 (VAR132), .VAR23 (VAR120), .VAR28(VAR228) ); MODULE5 VAR114( .clk(clk), .VAR68(VAR68), .VAR229 (VAR272), .VAR23 (VAR34), .VAR28(VAR72) ); MODULE5 VAR2( .clk(clk), .VAR68(VAR68), .VAR229 (VAR274), .VAR23 (VAR189), .VAR28(VAR123) ); MODULE5 VAR279( .clk(clk), .VAR68(VAR68), .VAR229 (VAR131), .VAR23 (VAR261), .VAR28(VAR106) ); MODULE5 VAR249( .clk(clk), .VAR68(VAR68), .VAR229 (VAR213), .VAR23 (VAR160), .VAR28(VAR113) ); MODULE5 VAR67( .clk(clk), .VAR68(VAR68), .VAR229 (VAR64), .VAR23 (VAR82), .VAR28(VAR265) ); MODULE5 VAR75( .clk(clk), .VAR68(VAR68), .VAR229 (VAR38), .VAR23 (VAR170), .VAR28(VAR134) ); MODULE5 VAR204( .clk(clk), .VAR68(VAR68), .VAR229 (VAR224), .VAR23 (VAR165), .VAR28(VAR48) ); wire [VAR194-1:0] VAR246; wire [VAR194-1:0] VAR115; wire [VAR194-1:0] VAR33; wire [VAR194-1:0] VAR15; wire [VAR194-1:0] VAR243; wire [VAR194-1:0] VAR201; MODULE5 VAR182( .clk(clk), .VAR68(VAR68), .VAR229 (VAR264), .VAR23 (VAR84), .VAR28(VAR246) ); MODULE5 VAR142( .clk(clk), .VAR68(VAR68), .VAR229 (VAR95), .VAR23 (VAR104), .VAR28(VAR115) ); MODULE5 VAR278( .clk(clk), .VAR68(VAR68), .VAR229 (VAR228), .VAR23 (VAR72), .VAR28(VAR33) ); MODULE5 VAR176( .clk(clk), .VAR68(VAR68), .VAR229 (VAR123), .VAR23 (VAR106), .VAR28(VAR15) ); MODULE5 VAR121( .clk(clk), .VAR68(VAR68), .VAR229 (VAR113), .VAR23 (VAR265), .VAR28(VAR243) ); MODULE5 VAR128( .clk(clk), .VAR68(VAR68), .VAR229 (VAR134), .VAR23 (VAR48), .VAR28(VAR201) ); wire [VAR194-1:0] VAR199; wire [VAR194-1:0] VAR141; wire [VAR194-1:0] VAR163; MODULE5 VAR46( .clk(clk), .VAR68(VAR68), .VAR229 (VAR246), .VAR23 (VAR115), .VAR28(VAR199) ); MODULE5 VAR251( .clk(clk), .VAR68(VAR68), .VAR229 (VAR33), .VAR23 (VAR15), .VAR28(VAR141) ); MODULE5 VAR157( .clk(clk), .VAR68(VAR68), .VAR229 (VAR243), .VAR23 (VAR201), .VAR28(VAR163) ); wire [VAR194-1:0] VAR273; wire [VAR194-1:0] VAR154; MODULE5 VAR98( .clk(clk), .VAR68(VAR68), .VAR229 (VAR199), .VAR23 (VAR141), .VAR28(VAR273) ); MODULE3 VAR235( .clk(clk), .VAR68(VAR68), .VAR229 (VAR163), .VAR28(VAR154) ); wire [VAR194-1:0] VAR167; MODULE5 VAR159( .clk(clk), .VAR68(VAR68), .VAR229 (VAR273), .VAR23 (VAR154), .VAR28(VAR167) ); assign VAR171 = VAR167; assign VAR70 = VAR62[VAR138-1]; endmodule module MODULE4 ( clk, VAR68, VAR58, VAR13, VAR71, VAR219, VAR267, VAR27, VAR26, VAR96, VAR108, VAR110, VAR258, VAR242, VAR86, VAR255, VAR175, VAR54, VAR233, VAR197, VAR151, VAR3, VAR126, VAR130, VAR212, VAR53, VAR190, VAR21, VAR12, VAR162, VAR124, VAR256, VAR107, VAR237, VAR31, VAR16, VAR136, VAR92, VAR49, VAR102, VAR149, VAR105, VAR63, VAR90, VAR7, VAR55, VAR193, VAR73, VAR100, VAR57, VAR94, reset); parameter VAR74 = 1; input clk; input VAR68; input [VAR74-1:0] VAR58; output [VAR74-1:0] VAR13; output [VAR74-1:0] VAR71; output [VAR74-1:0] VAR219; output [VAR74-1:0] VAR267; output [VAR74-1:0] VAR27; output [VAR74-1:0] VAR26; output [VAR74-1:0] VAR96; output [VAR74-1:0] VAR108; output [VAR74-1:0] VAR110; output [VAR74-1:0] VAR258; output [VAR74-1:0] VAR242; output [VAR74-1:0] VAR86; output [VAR74-1:0] VAR255; output [VAR74-1:0] VAR175; output [VAR74-1:0] VAR54; output [VAR74-1:0] VAR233; output [VAR74-1:0] VAR197; output [VAR74-1:0] VAR151; output [VAR74-1:0] VAR3; output [VAR74-1:0] VAR126; output [VAR74-1:0] VAR130; output [VAR74-1:0] VAR212; output [VAR74-1:0] VAR53; output [VAR74-1:0] VAR190; output [VAR74-1:0] VAR21; output [VAR74-1:0] VAR12; output [VAR74-1:0] VAR162; output [VAR74-1:0] VAR124; output [VAR74-1:0] VAR256; output [VAR74-1:0] VAR107; output [VAR74-1:0] VAR237; output [VAR74-1:0] VAR31; output [VAR74-1:0] VAR16; output [VAR74-1:0] VAR136; output [VAR74-1:0] VAR92; output [VAR74-1:0] VAR49; output [VAR74-1:0] VAR102; output [VAR74-1:0] VAR149; output [VAR74-1:0] VAR105; output [VAR74-1:0] VAR63; output [VAR74-1:0] VAR90; output [VAR74-1:0] VAR7; output [VAR74-1:0] VAR55; output [VAR74-1:0] VAR193; output [VAR74-1:0] VAR73; output [VAR74-1:0] VAR100; output [VAR74-1:0] VAR57; output [VAR74-1:0] VAR94; reg [VAR74-1:0] VAR13; reg [VAR74-1:0] VAR71; reg [VAR74-1:0] VAR219; reg [VAR74-1:0] VAR267; reg [VAR74-1:0] VAR27; reg [VAR74-1:0] VAR26; reg [VAR74-1:0] VAR96; reg [VAR74-1:0] VAR108; reg [VAR74-1:0] VAR110; reg [VAR74-1:0] VAR258; reg [VAR74-1:0] VAR242; reg [VAR74-1:0] VAR86; reg [VAR74-1:0] VAR255; reg [VAR74-1:0] VAR175; reg [VAR74-1:0] VAR54; reg [VAR74-1:0] VAR233; reg [VAR74-1:0] VAR197; reg [VAR74-1:0] VAR151; reg [VAR74-1:0] VAR3; reg [VAR74-1:0] VAR126; reg [VAR74-1:0] VAR130; reg [VAR74-1:0] VAR212; reg [VAR74-1:0] VAR53; reg [VAR74-1:0] VAR190; reg [VAR74-1:0] VAR21; reg [VAR74-1:0] VAR12; reg [VAR74-1:0] VAR162; reg [VAR74-1:0] VAR124; reg [VAR74-1:0] VAR256; reg [VAR74-1:0] VAR107; reg [VAR74-1:0] VAR237; reg [VAR74-1:0] VAR31; reg [VAR74-1:0] VAR16; reg [VAR74-1:0] VAR136; reg [VAR74-1:0] VAR92; reg [VAR74-1:0] VAR49; reg [VAR74-1:0] VAR102; reg [VAR74-1:0] VAR149; reg [VAR74-1:0] VAR105; reg [VAR74-1:0] VAR63; reg [VAR74-1:0] VAR90; reg [VAR74-1:0] VAR7; reg [VAR74-1:0] VAR55; reg [VAR74-1:0] VAR193; reg [VAR74-1:0] VAR73; reg [VAR74-1:0] VAR100; reg [VAR74-1:0] VAR57; reg [VAR74-1:0] VAR94; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR13 <= 0; VAR71 <= 0; VAR219 <= 0; VAR267 <= 0; VAR27 <= 0; VAR26 <= 0; VAR96 <= 0; VAR108 <= 0; VAR110 <= 0; VAR258 <= 0; VAR242 <= 0; VAR86 <= 0; VAR255 <= 0; VAR175 <= 0; VAR54 <= 0; VAR233 <= 0; VAR197 <= 0; VAR151 <= 0; VAR3 <= 0; VAR126 <= 0; VAR130 <= 0; VAR212 <= 0; VAR53 <= 0; VAR190 <= 0; VAR21 <= 0; VAR12 <= 0; VAR162 <= 0; VAR124 <= 0; VAR256 <= 0; VAR107 <= 0; VAR237 <= 0; VAR31 <= 0; VAR16 <= 0; VAR136 <= 0; VAR92 <= 0; VAR49 <= 0; VAR102 <= 0; VAR149 <= 0; VAR105 <= 0; VAR63 <= 0; VAR90 <= 0; VAR7 <= 0; VAR55 <= 0; VAR193 <= 0; VAR73 <= 0; VAR100 <= 0; VAR57 <= 0; VAR94 <= 0; end else begin if(VAR68) begin VAR13 <= VAR58; VAR71 <= VAR13; VAR219 <= VAR71; VAR267 <= VAR219; VAR27 <= VAR267; VAR26 <= VAR27; VAR96 <= VAR26; VAR108 <= VAR96; VAR110 <= VAR108; VAR258 <= VAR110; VAR242 <= VAR258; VAR86 <= VAR242; VAR255 <= VAR86; VAR175 <= VAR255; VAR54 <= VAR175; VAR233 <= VAR54; VAR197 <= VAR233; VAR151 <= VAR197; VAR3 <= VAR151; VAR126 <= VAR3; VAR130 <= VAR126; VAR212 <= VAR130; VAR53 <= VAR212; VAR190 <= VAR53; VAR21 <= VAR190; VAR12 <= VAR21; VAR162 <= VAR12; VAR124 <= VAR162; VAR256 <= VAR124; VAR107 <= VAR256; VAR237 <= VAR107; VAR31 <= VAR237; VAR16 <= VAR31; VAR136 <= VAR16; VAR92 <= VAR136; VAR49 <= VAR92; VAR102 <= VAR49; VAR149 <= VAR102; VAR105 <= VAR149; VAR63 <= VAR105; VAR90 <= VAR63; VAR7 <= VAR90; VAR55 <= VAR7; VAR193 <= VAR55; VAR73 <= VAR193; VAR100 <= VAR73; VAR57 <= VAR100; VAR94 <= VAR57; end end end endmodule module MODULE5 ( clk, VAR68, VAR229, VAR23, VAR28); input clk; input VAR68; input [17:0] VAR229; input [17:0] VAR23; output [17:0] VAR28; reg [17:0] VAR28; always @(posedge clk) begin if(VAR68) begin VAR28 <= VAR229 + VAR23; end end endmodule module MODULE2 ( clk, VAR68, VAR229, VAR23, VAR28); input clk; input VAR68; input [17:0] VAR229; input [17:0] VAR23; output [17:0] VAR28; reg [17:0] VAR28; always @(posedge clk) begin if(VAR68) begin VAR28 <= VAR229 * VAR23; end end endmodule module MODULE3 ( clk, VAR68, VAR229, VAR28); input clk; input VAR68; input [17:0] VAR229; output [17:0] VAR28; reg [17:0] VAR28; always @(posedge clk) begin if(VAR68) begin VAR28 <= VAR229; end end endmodule
mit
rurume/openrisc_vision_hardware
ISE/or1200_sopc.v
2,305
module MODULE1 ( VAR2, VAR6, VAR22, VAR18, VAR25, VAR11, VAR17, VAR3, VAR12, VAR21, VAR14, VAR9, VAR7, VAR10, VAR4, VAR26 ); input [1:0] VAR26; output [7:0] VAR4; output VAR7; output [7:0] VAR10; input VAR2; input VAR6; input [17:0] VAR22; output [17:0] VAR18; output VAR25; input VAR11; input VAR17; output [31:0] VAR3; input VAR12; input [15:0] VAR21; input [31:0] VAR14; output [31:0] VAR9; wire VAR19; VAR15 VAR8 (.VAR24(VAR6),.VAR1(VAR2),.VAR23(VAR19)); VAR13 VAR27( .VAR16(VAR2), .VAR6(VAR19), .VAR22(VAR22[15:0]), .VAR18(VAR18[17:0]), .VAR5(VAR11), .VAR20(VAR25), .VAR17(VAR17), .VAR3(VAR3), .VAR12(VAR12), .VAR21(VAR21), .VAR14(VAR14), .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR4(VAR4), .VAR26(VAR26) ); endmodule
gpl-2.0
bunnie/novena-afe-hs-fpga
novena-afe-hs.srcs/sources_1/imports/imports/adc_rx.v
3,248
module MODULE1( input wire [7:0] VAR20, input wire [7:0] VAR39, input wire [7:0] VAR28, input wire [7:0] VAR15, input wire VAR31, input wire VAR13, output reg [63:0] VAR36, output reg [63:0] VAR27, output wire VAR7, output wire VAR26, input wire reset ); wire VAR12; wire VAR8; wire VAR6; wire VAR35; wire VAR29; wire [63:0] VAR37; wire [63:0] VAR16; always @(posedge VAR7) begin VAR36 <= VAR37 ^ 64'h4848484848484848; VAR27 <= VAR16 ^ 64'hA8A8A8A8A8A8A8A8; end VAR21 VAR23 ( .VAR5(VAR31), .VAR4(VAR13), .VAR17(VAR29), .VAR19(2'b10), .VAR34(2'b01), .VAR14(VAR25), .reset(reset), .VAR9(VAR12), .VAR3(VAR6), .VAR24(VAR7), .VAR1(VAR26), .VAR11(VAR8), .VAR32(VAR35) ) ; VAR10 VAR30 ( .VAR2(1'b1), .VAR18(VAR20[7:0]), .VAR22(VAR39[7:0]), .VAR17(VAR29), .VAR14(VAR25), .reset(reset), .VAR33(VAR7), .VAR11(VAR8), .VAR38(VAR37) ) ; VAR10 VAR40 ( .VAR2(1'b1), .VAR18(VAR28[7:0]), .VAR22(VAR15[7:0]), .VAR17(VAR29), .VAR14(VAR25), .reset(reset), .VAR33(VAR7), .VAR11(VAR8), .VAR38(VAR16) ) ; endmodule
apache-2.0
FAST-Switch/fast
projects/SDTS/example/hw-src/sfp/triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v
6,646
module MODULE1 ( VAR8, VAR17, VAR4); input [9:0] VAR8; input VAR17; output [0:0] VAR4; wire [0:0] VAR24; wire [0:0] VAR4 = VAR24[0:0]; VAR28 VAR18 ( .VAR8 (VAR8), .VAR17 (VAR17), .VAR4 (VAR24), .VAR13 (1'b0), .VAR21 (1'b0), .VAR30 (), .VAR9 (1'b1), .VAR15 (), .VAR3 (), .VAR6 (1'b1), .VAR20 (1'b0)); VAR18.VAR22 = "VAR33", VAR18.VAR34 = 10, VAR18.VAR10 = "VAR19", VAR18.VAR2 = "VAR26", VAR18.VAR16 = 8000, VAR18.VAR32 = 0, VAR18.VAR31 = "VAR5 VAR1", VAR18.VAR7 = "VAR28", VAR18.VAR27 = 1, VAR18.VAR14 = "VAR23", VAR18.VAR25 = 1250, VAR18.VAR29 = "VAR12", VAR18.VAR11 = "VAR19"; endmodule
apache-2.0
hcabrera-/lancetfish
RTL/processing_element/des_engine/verif/harness.v
2,491
module MODULE1(); parameter VAR15 = 100, VAR8 = 15, VAR18 = 5; reg clk; reg reset; wire VAR13; wire [0:63] VAR20; wire [0:63] VAR7; wire VAR2; wire VAR11; wire [0:63] VAR3; VAR5 VAR19 ( .clk(clk), .reset(reset), .VAR13 (VAR13), .VAR20 (VAR20), .VAR7 (VAR7), .VAR2 (VAR2), .VAR11 (VAR11), .VAR3 (VAR3) ); VAR12 .VAR18(VAR18) ) VAR12 ( .clk(clk), .VAR1(VAR11), .VAR6(VAR13), .VAR9(VAR20), .VAR17(VAR7) ); VAR10 .VAR18(VAR18) ) VAR10 ( .clk(clk), .VAR16(VAR2), .VAR14(VAR3) ); always begin end task VAR4; begin reset <= 1'b1; repeat(4) begin @(posedge clk); end reset <= 1'b0; end endtask : VAR4 endmodule MODULE1
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad9649_v1_00_a/hdl/verilog/cf_dma_wr.v
16,119
module MODULE1 ( VAR57, VAR54, VAR91, VAR22, VAR73, VAR77, VAR6, VAR41, VAR68, VAR16, VAR11, VAR27, VAR44, VAR84, VAR72, VAR8, VAR3, VAR38); input VAR57; input VAR54; input [63:0] VAR91; input VAR22; input VAR73; output VAR77; output [63:0] VAR6; output [ 7:0] VAR41; output VAR68; input VAR16; output VAR11; output VAR27; output VAR44; input [15:0] VAR84; output [63:0] VAR72; output [ 7:0] VAR8; output [63:0] VAR3; output [ 7:0] VAR38; reg VAR10 = 'd0; reg VAR85 = 'd0; reg VAR4 = 'd0; reg VAR81 = 'd0; reg [ 5:0] VAR53 = 'd0; reg VAR47 = 'd0; reg VAR39 = 'd0; reg VAR51 = 'd0; reg [ 5:0] VAR56 = 'd0; reg [ 5:0] VAR31 = 'd0; reg [ 5:0] VAR37 = 'd0; reg [ 5:0] VAR20 = 'd0; reg [ 5:0] VAR35 = 'd0; reg [ 5:0] VAR82 = 'd0; reg VAR59 = 'd0; reg VAR9 = 'd0; reg [64:0] VAR58 = 'd0; reg [ 1:0] VAR12 = 'd0; reg VAR49 = 'd0; reg [64:0] VAR43 = 'd0; reg VAR63 = 'd0; reg [64:0] VAR1 = 'd0; reg VAR65 = 'd0; reg [64:0] VAR88 = 'd0; reg VAR25 = 'd0; reg [64:0] VAR33 = 'd0; reg [ 1:0] VAR80 = 'd0; reg VAR77 = 'd0; reg [ 7:0] VAR41 = 'd0; reg VAR68 = 'd0; reg [63:0] VAR6 = 'd0; reg VAR2 = 'd0; reg VAR26 = 'd0; reg [ 4:0] VAR61 = 'd0; reg VAR11 = 'd0; reg [ 4:0] VAR79 = 'd0; reg VAR27 = 'd0; reg VAR44 = 'd0; reg VAR13 = 'd0; reg VAR67 = 'd0; reg VAR24 = 'd0; reg VAR64 = 'd0; reg [16:0] VAR34 = 'd0; reg [ 3:0] VAR52 = 'd0; reg VAR28 = 'd0; reg VAR17 = 'd0; reg VAR83 = 'd0; reg [ 5:0] VAR14 = 'd0; reg VAR78 = 'd0; reg [ 5:0] VAR48 = 'd0; reg VAR55 = 'd0; reg [ 5:0] VAR70 = 'd0; reg [ 5:0] VAR87 = 'd0; reg [64:0] VAR92 = 'd0; wire VAR23; wire VAR86; wire [ 6:0] VAR32; wire VAR42; wire VAR18; wire VAR15; wire VAR45; wire VAR30; wire [64:0] VAR69; wire VAR66; wire VAR71; wire VAR50; wire VAR5; function [5:0] VAR93; input [5:0] VAR62; reg [5:0] VAR90; begin VAR90[5] = VAR62[5]; VAR90[4] = VAR62[5] ^ VAR62[4]; VAR90[3] = VAR62[4] ^ VAR62[3]; VAR90[2] = VAR62[3] ^ VAR62[2]; VAR90[1] = VAR62[2] ^ VAR62[1]; VAR90[0] = VAR62[1] ^ VAR62[0]; VAR93 = VAR90; end endfunction function [5:0] VAR75; input [5:0] VAR90; reg [5:0] VAR62; begin VAR62[5] = VAR90[5]; VAR62[4] = VAR62[5] ^ VAR90[4]; VAR62[3] = VAR62[4] ^ VAR90[3]; VAR62[2] = VAR62[3] ^ VAR90[2]; VAR62[1] = VAR62[2] ^ VAR90[1]; VAR62[0] = VAR62[1] ^ VAR90[0]; VAR75 = VAR62; end endfunction assign VAR8[7] = VAR27; assign VAR8[6] = VAR11; assign VAR8[5] = VAR86; assign VAR8[4] = VAR23; assign VAR8[3] = VAR44; assign VAR8[2] = VAR16; assign VAR8[1] = VAR68; assign VAR8[0] = VAR77; assign VAR72[63:61] = 'd0; assign VAR72[60:53] = VAR6[7:0]; assign VAR72[52:45] = VAR58[7:0]; assign VAR72[44:44] = VAR15; assign VAR72[43:43] = VAR45; assign VAR72[42:42] = VAR26; assign VAR72[41:41] = VAR2; assign VAR72[40:34] = VAR32; assign VAR72[33:28] = VAR56; assign VAR72[27:27] = VAR27; assign VAR72[26:26] = VAR11; assign VAR72[25:24] = VAR12; assign VAR72[23:22] = VAR80; assign VAR72[21:16] = VAR20; assign VAR72[15:10] = VAR82; assign VAR72[ 9: 9] = VAR86; assign VAR72[ 8: 8] = VAR23; assign VAR72[ 7: 7] = VAR42; assign VAR72[ 6: 6] = VAR9; assign VAR72[ 5: 5] = VAR59; assign VAR72[ 4: 4] = VAR18; assign VAR72[ 3: 3] = VAR44; assign VAR72[ 2: 2] = VAR16; assign VAR72[ 1: 1] = VAR68; assign VAR72[ 0: 0] = VAR77; assign VAR38[7] = VAR64; assign VAR38[6] = VAR55; assign VAR38[5] = VAR83; assign VAR38[4] = VAR78; assign VAR38[3] = VAR50; assign VAR38[2] = VAR5; assign VAR38[1] = VAR71; assign VAR38[0] = VAR66; assign VAR3[63:63] = VAR28; assign VAR3[62:62] = VAR50; assign VAR3[61:61] = VAR71; assign VAR3[60:60] = VAR66; assign VAR3[59:59] = VAR5; assign VAR3[58:58] = VAR78; assign VAR3[57:57] = VAR78; assign VAR3[56:56] = VAR83; assign VAR3[55:50] = VAR48; assign VAR3[49:44] = VAR70; assign VAR3[43:43] = VAR55; assign VAR3[42:42] = VAR92[64]; assign VAR3[41: 0] = VAR92[41:0]; assign VAR23 = VAR4 ^ VAR85; assign VAR86 = VAR51 ^ VAR39; assign VAR32 = {1'b1, VAR20} - VAR82; always @(posedge VAR73) begin VAR10 <= VAR83; VAR85 <= VAR10; VAR4 <= VAR85; VAR81 <= VAR23; if (VAR23 == 1'b1) begin VAR53 <= VAR14; end VAR47 <= VAR78; VAR39 <= VAR47; VAR51 <= VAR39; if (VAR86 == 1'b1) begin VAR56 <= VAR48; end VAR31 <= VAR87; VAR37 <= VAR31; VAR20 <= VAR75(VAR37); VAR35 <= VAR32[5:0]; end assign VAR42 = VAR16 | ~VAR77; assign VAR18 = (VAR56 == VAR82) ? 1'b0 : VAR16; always @(posedge VAR73) begin if (VAR81 == 1'b1) begin VAR82 <= VAR53; end else if (VAR18 == 1'b1) begin VAR82 <= VAR82 + 1'b1; end VAR59 <= VAR18; VAR9 <= VAR59; VAR58 <= VAR69; end always @(posedge VAR73) begin if (VAR9 == 1'b1) begin VAR12 <= VAR12 + 1'b1; end if ((VAR12 == 2'd0) && (VAR9 == 1'b1)) begin VAR49 <= 1'b1; VAR43 <= VAR58; end else if ((VAR80 == 2'd0) && (VAR42 == 1'b1)) begin VAR49 <= 1'b0; VAR43 <= 65'd0; end if ((VAR12 == 2'd1) && (VAR9 == 1'b1)) begin VAR63 <= 1'b1; VAR1 <= VAR58; end else if ((VAR80 == 2'd1) && (VAR42 == 1'b1)) begin VAR63 <= 1'b0; VAR1 <= 65'd0; end if ((VAR12 == 2'd2) && (VAR9 == 1'b1)) begin VAR65 <= 1'b1; VAR88 <= VAR58; end else if ((VAR80 == 2'd2) && (VAR42 == 1'b1)) begin VAR65 <= 1'b0; VAR88 <= 65'd0; end if ((VAR12 == 2'd3) && (VAR9 == 1'b1)) begin VAR25 <= 1'b1; VAR33 <= VAR58; end else if ((VAR80 == 2'd3) && (VAR42 == 1'b1)) begin VAR25 <= 1'b0; VAR33 <= 65'd0; end if ((VAR80 != VAR12) && (VAR16 == 1'b1)) begin VAR80 <= VAR80 + 1'b1; end if ((VAR77 == 1'b0) || (VAR16 == 1'b1)) begin case (VAR80) 2'd3: begin VAR77 <= VAR25; VAR41 <= 8'hff; VAR68 <= VAR33[64] & VAR25; VAR6 <= VAR33[63:0]; end 2'd2: begin VAR77 <= VAR65; VAR41 <= 8'hff; VAR68 <= VAR88[64] & VAR65; VAR6 <= VAR88[63:0]; end 2'd1: begin VAR77 <= VAR63; VAR41 <= 8'hff; VAR68 <= VAR1[64] & VAR63; VAR6 <= VAR1[63:0]; end default: begin VAR77 <= VAR49; VAR41 <= 8'hff; VAR68 <= VAR43[64] & VAR49; VAR6 <= VAR43[63:0]; end endcase end end assign VAR15 = (VAR35 < 3) ? VAR2 : 1'b0; assign VAR45 = (VAR35 > 60) ? VAR26 : 1'b0; always @(posedge VAR73) begin VAR2 = (VAR35 > 60) ? 1'b1 : 1'b0; VAR26 = (VAR35 < 3) ? 1'b1 : 1'b0; if (VAR15 == 1'b1) begin VAR61 <= 5'h10; end else if (VAR61[4] == 1'b1) begin VAR61 <= VAR61 + 1'b1; end VAR11 <= VAR61[4]; if (VAR45 == 1'b1) begin VAR79 <= 5'h10; end else if (VAR79[4] == 1'b1) begin VAR79 <= VAR79 + 1'b1; end VAR27 <= VAR79[4]; end assign VAR30 = VAR77 & VAR16 & VAR68; always @(posedge VAR73) begin if (VAR30 == 1'b1) begin VAR44 <= ~VAR44; end end assign VAR66 = VAR34[16] & ~VAR28; assign VAR71 = VAR17 & ~VAR28; assign VAR50 = ((VAR52 == 4'hf) && (VAR34[15:0] > 4'hf)) ? VAR55 : 1'b0; assign VAR5 = (VAR34[15:0] == 'd0) ? VAR34[16] : 1'b0; always @(posedge VAR57) begin VAR13 <= VAR22; VAR67 <= VAR13; VAR24 <= VAR67; VAR64 <= VAR67 & ~VAR24; if ((VAR34[16] == 1'b1) && (VAR54 == 1'b1)) begin VAR34 <= VAR34 - 1'b1; VAR52 <= VAR52 + 1'b1; end else if (VAR64 == 1'b1) begin VAR34 <= {1'd1, VAR84}; VAR52 <= 4'd0; end VAR28 <= VAR34[16]; VAR17 <= VAR28; end always @(posedge VAR57) begin if (VAR66 == 1'b1) begin VAR83 <= ~VAR83; VAR14 <= VAR70; end if ((VAR50 == 1'b1) || (VAR71 == 1'b1)) begin VAR78 <= ~VAR78; VAR48 <= VAR70; end end always @(posedge VAR57) begin VAR55 <= VAR34[16] & VAR54; if (VAR55 == 1'b1) begin VAR70 <= VAR70 + 1'b1; end VAR87 <= VAR93(VAR70); VAR92 <= {VAR5, VAR91}; end VAR7 #(.VAR89(65), .VAR46(6)) VAR40 ( .VAR19 (VAR57), .VAR21 (VAR55), .VAR29 (VAR70), .VAR60 (VAR92), .VAR36 (VAR73), .VAR76 (VAR82), .VAR74 (VAR69)); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi22/gf180mcu_fd_sc_mcu9t5v0__aoi22_4.functional.v
1,778
module MODULE1( VAR16, VAR9, VAR11, VAR18, VAR12 ); input VAR12, VAR18, VAR11, VAR16; output VAR9; wire VAR8; not VAR17( VAR8, VAR12 ); wire VAR14; not VAR10( VAR14, VAR11 ); wire VAR7; and VAR5( VAR7, VAR8, VAR14 ); wire VAR3; not VAR22( VAR3, VAR16 ); wire VAR20; and VAR1( VAR20, VAR8, VAR3 ); wire VAR2; not VAR13( VAR2, VAR18 ); wire VAR6; and VAR19( VAR6, VAR2, VAR14 ); wire VAR21; and VAR4( VAR21, VAR2, VAR3 ); or VAR15( VAR9, VAR7, VAR20, VAR6, VAR21 ); endmodule
apache-2.0
mwswartwout/EECS318
hw2/problem2/freecellPlayer.v
9,846
module MODULE1(VAR1, VAR7, VAR11, VAR2); input [3:0] VAR7, VAR11; input VAR1; output reg VAR2; reg [5:0] VAR10[7:0][51:0]; reg [5:0] VAR14[3:0]; reg [5:0] VAR5[3:0][12:0]; reg [5:0] VAR6, VAR3; reg VAR8, VAR12, VAR16, VAR15, VAR13; integer VAR9, VAR4; begin begin begin begin begin begin begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
models/udp_dff_pr_pp_pg_n/sky130_fd_sc_hvl__udp_dff_pr_pp_pg_n.symbol.v
1,482
module MODULE1 ( input VAR5 , output VAR2 , input VAR7 , input VAR3 , input VAR6, input VAR1 , input VAR4 ); endmodule
apache-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/system/synthesis/submodules/system_acl_iface_acl_kernel_clk.v
35,319
module MODULE1 ( output wire VAR162, input wire VAR164, output wire VAR254, output wire [31:0] VAR216, output wire VAR52, input wire [0:0] VAR132, input wire [31:0] VAR192, input wire [10:0] VAR98, input wire VAR65, input wire VAR59, input wire [3:0] VAR256, input wire VAR118, output wire VAR57, output wire VAR29, input wire VAR3, input wire VAR141 ); wire [63:0] VAR228; wire [63:0] VAR94; wire VAR160; wire VAR44; wire VAR244; wire [0:0] VAR49; wire VAR176; wire [10:0] VAR208; wire [31:0] VAR222; wire VAR85; wire VAR156; wire [31:0] VAR46; wire VAR221; wire [3:0] VAR196; wire VAR163; wire VAR58; wire [31:0] VAR152; wire [5:0] VAR149; wire VAR54; wire VAR75; wire [31:0] VAR47; wire [31:0] VAR187; wire [7:0] VAR38; wire VAR42; wire VAR202; wire VAR151; wire [31:0] VAR56; wire VAR190; wire [3:0] VAR213; wire VAR83; wire [31:0] VAR61; wire [1:0] VAR218; wire VAR241; wire VAR107; wire [31:0] VAR155; wire VAR154; wire [3:0] VAR174; wire VAR170; wire [31:0] VAR147; wire VAR210; wire VAR199; wire [31:0] VAR39; wire [3:0] VAR124; wire VAR230; wire [31:0] VAR211; wire VAR238; wire [31:0] VAR63; wire VAR34; wire VAR148; wire VAR50; wire VAR233; wire VAR212; VAR252 VAR235 ( .VAR137 (VAR164), .rst (VAR34), .VAR169 (VAR160), .VAR26 (VAR44), .VAR225 (VAR244), .VAR227 (VAR228), .VAR70 (VAR94) ); VAR53 #( .VAR5 ("VAR175 VAR31"), .VAR193 (64), .VAR255 (0), .VAR166 ("") ) VAR113 ( .VAR95 (VAR3), .VAR236 (VAR50), .VAR81 (VAR47), .VAR20 (VAR58), .VAR251 (VAR75), .VAR231 (VAR54), .VAR133 (VAR149), .VAR110 (VAR152), .VAR227 (VAR228), .VAR70 (VAR94) ); VAR21 VAR62 ( .clk (VAR3), .address (VAR38), .VAR115 (VAR190), .VAR215 (VAR202), .VAR10 (VAR42), .write (VAR151), .VAR159 (VAR56), .VAR97 (VAR187), .VAR123 (VAR213), .reset (VAR50), .VAR103 (VAR233) ); VAR92 #( .VAR140 (32), .VAR204 (2) ) counter ( .clk (VAR57), .VAR102 (VAR44), .VAR12 (~VAR212), .VAR158 (VAR218), .VAR55 (VAR61), .VAR249 (VAR107), .VAR66 (VAR241), .VAR144 (VAR174), .VAR200 (VAR83), .VAR91 (VAR155), .VAR246 (VAR154) ); VAR224 VAR87 ( .VAR71 (VAR160), .VAR220 (VAR57) ); VAR224 VAR139 ( .VAR71 (VAR44), .VAR220 (VAR162) ); VAR172 #( .VAR165 (32), .VAR99 (8), .VAR146 (11), .VAR24 (1), .VAR9 (0), .VAR8 (0) ) VAR89 ( .clk (VAR3), .reset (VAR50), .VAR135 (VAR254), .VAR79 (VAR216), .VAR182 (VAR52), .VAR128 (VAR132), .VAR119 (VAR192), .VAR117 (VAR98), .VAR258 (VAR65), .VAR109 (VAR59), .VAR206 (VAR256), .VAR33 (VAR118), .VAR2 (VAR176), .VAR180 (VAR46), .VAR232 (VAR163), .VAR111 (VAR49), .VAR121 (VAR222), .VAR4 (VAR208), .VAR6 (VAR85), .VAR116 (VAR156), .VAR74 (VAR196), .VAR69 (VAR221) ); VAR145 #( .VAR140 (32), .VAR77 (10) ) VAR179 ( .clk (VAR3), .VAR12 (~VAR50), .VAR66 (VAR210), .VAR55 (VAR147), .VAR144 (VAR124), .VAR249 (VAR199), .VAR91 (VAR39), .VAR200 (VAR170), .VAR101 (VAR148) ); VAR134 #( .VAR140 (32) ) VAR11 ( .clk (VAR3), .VAR12 (~VAR50), .VAR80 (VAR244), .VAR35 (VAR29), .VAR249 (VAR230), .VAR91 (VAR211) ); VAR18 #( .VAR140 (32), .VAR250 (-1598029823) ) VAR209 ( .clk (VAR3), .VAR12 (~VAR50), .VAR249 (VAR238), .VAR91 (VAR63) ); VAR122 VAR25 ( .VAR143 (VAR3), .VAR51 (VAR57), .VAR126 (VAR212), .VAR43 (VAR50), .VAR208 (VAR208), .VAR176 (VAR176), .VAR49 (VAR49), .VAR196 (VAR196), .VAR156 (VAR156), .VAR46 (VAR46), .VAR163 (VAR163), .VAR85 (VAR85), .VAR222 (VAR222), .VAR221 (VAR221), .VAR105 (VAR218), .VAR45 (VAR241), .VAR7 (VAR107), .VAR68 (VAR155), .VAR13 (VAR61), .VAR173 (VAR174), .VAR40 (VAR154), .VAR203 (VAR83), .VAR188 (VAR230), .VAR106 (VAR211), .VAR214 (VAR149), .VAR189 (VAR54), .VAR28 (VAR75), .VAR15 (VAR47), .VAR167 (VAR152), .VAR84 (VAR58), .VAR184 (VAR38), .VAR195 (VAR151), .VAR237 (VAR56), .VAR136 (VAR187), .VAR185 (VAR213), .VAR239 (VAR42), .VAR72 (VAR202), .VAR78 (VAR190), .VAR76 (VAR210), .VAR198 (VAR199), .VAR67 (VAR39), .VAR150 (VAR147), .VAR82 (VAR124), .VAR114 (VAR170), .VAR153 (VAR238), .VAR178 (VAR63) ); VAR36 #( .VAR242 (2), .VAR27 ("VAR100"), .VAR125 (2), .VAR183 (0), .VAR205 (1), .VAR104 (3), .VAR90 (1), .VAR245 (0), .VAR30 (0), .VAR127 (0), .VAR88 (0), .VAR191 (0), .VAR248 (0), .VAR161 (0), .VAR48 (0), .VAR229 (0), .VAR171 (0), .VAR112 (0), .VAR22 (0), .VAR37 (0), .VAR120 (0), .VAR247 (0), .VAR226 (0), .VAR181 (0) ) VAR177 ( .VAR240 (~VAR148), .VAR129 (~VAR141), .clk (VAR164), .VAR219 (VAR34), .VAR103 (), .VAR197 (1'b0), .VAR157 (1'b0), .VAR96 (1'b0), .VAR23 (1'b0), .VAR207 (1'b0), .VAR217 (1'b0), .VAR73 (1'b0), .VAR257 (1'b0), .VAR168 (1'b0), .VAR130 (1'b0), .VAR64 (1'b0), .VAR19 (1'b0), .VAR142 (1'b0), .VAR234 (1'b0), .VAR14 (1'b0), .VAR41 (1'b0), .VAR108 (1'b0), .VAR86 (1'b0), .VAR32 (1'b0), .VAR17 (1'b0), .VAR223 (1'b0), .VAR16 (1'b0), .VAR253 (1'b0), .VAR194 (1'b0), .VAR243 (1'b0), .VAR138 (1'b0), .VAR1 (1'b0), .VAR93 (1'b0), .VAR131 (1'b0), .VAR201 (1'b0) ); VAR36 #( .VAR242 (1), .VAR27 ("VAR100"), .VAR125 (2), .VAR183 (1), .VAR205 (1), .VAR104 (3), .VAR90 (1), .VAR245 (0), .VAR30 (0), .VAR127 (0), .VAR88 (0), .VAR191 (0), .VAR248 (0), .VAR161 (0), .VAR48 (0), .VAR229 (0), .VAR171 (0), .VAR112 (0), .VAR22 (0), .VAR37 (0), .VAR120 (0), .VAR247 (0), .VAR226 (0), .VAR181 (0) ) VAR60 ( .VAR240 (~VAR141), .clk (VAR3), .VAR219 (VAR50), .VAR103 (VAR233), .VAR197 (1'b0), .VAR129 (1'b0), .VAR157 (1'b0), .VAR96 (1'b0), .VAR23 (1'b0), .VAR207 (1'b0), .VAR217 (1'b0), .VAR73 (1'b0), .VAR257 (1'b0), .VAR168 (1'b0), .VAR130 (1'b0), .VAR64 (1'b0), .VAR19 (1'b0), .VAR142 (1'b0), .VAR234 (1'b0), .VAR14 (1'b0), .VAR41 (1'b0), .VAR108 (1'b0), .VAR86 (1'b0), .VAR32 (1'b0), .VAR17 (1'b0), .VAR223 (1'b0), .VAR16 (1'b0), .VAR253 (1'b0), .VAR194 (1'b0), .VAR243 (1'b0), .VAR138 (1'b0), .VAR1 (1'b0), .VAR93 (1'b0), .VAR131 (1'b0), .VAR201 (1'b0) ); VAR36 #( .VAR242 (1), .VAR27 ("VAR100"), .VAR125 (2), .VAR183 (0), .VAR205 (1), .VAR104 (3), .VAR90 (1), .VAR245 (0), .VAR30 (0), .VAR127 (0), .VAR88 (0), .VAR191 (0), .VAR248 (0), .VAR161 (0), .VAR48 (0), .VAR229 (0), .VAR171 (0), .VAR112 (0), .VAR22 (0), .VAR37 (0), .VAR120 (0), .VAR247 (0), .VAR226 (0), .VAR181 (0) ) VAR186 ( .VAR240 (~VAR141), .clk (VAR57), .VAR219 (VAR212), .VAR103 (), .VAR197 (1'b0), .VAR129 (1'b0), .VAR157 (1'b0), .VAR96 (1'b0), .VAR23 (1'b0), .VAR207 (1'b0), .VAR217 (1'b0), .VAR73 (1'b0), .VAR257 (1'b0), .VAR168 (1'b0), .VAR130 (1'b0), .VAR64 (1'b0), .VAR19 (1'b0), .VAR142 (1'b0), .VAR234 (1'b0), .VAR14 (1'b0), .VAR41 (1'b0), .VAR108 (1'b0), .VAR86 (1'b0), .VAR32 (1'b0), .VAR17 (1'b0), .VAR223 (1'b0), .VAR16 (1'b0), .VAR253 (1'b0), .VAR194 (1'b0), .VAR243 (1'b0), .VAR138 (1'b0), .VAR1 (1'b0), .VAR93 (1'b0), .VAR131 (1'b0), .VAR201 (1'b0) ); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/icgtn/gf180mcu_fd_sc_mcu7t5v0__icgtn_2.behavioral.v
2,754
module MODULE1( VAR5, VAR17, VAR1, VAR19 ); input VAR1, VAR17, VAR5; output VAR19; reg VAR7; VAR23 VAR9(.VAR5(VAR5),.VAR17(VAR17),.VAR1(VAR1),.VAR19(VAR19),.VAR7(VAR7)); VAR23 VAR3(.VAR5(VAR5),.VAR17(VAR17),.VAR1(VAR1),.VAR19(VAR19),.VAR7(VAR7)); not VAR13(VAR20,VAR17); not VAR16(VAR15,VAR5); and VAR2(VAR18,VAR15,VAR20); not VAR4(VAR21,VAR17); and VAR12(VAR8,VAR5,VAR21); not VAR22(VAR6,VAR5); and VAR24(VAR10,VAR6,VAR17); and VAR14(VAR11,VAR5,VAR17);
apache-2.0