repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
jmt329/PitchShifter
project_top.v
1,942
module MODULE1(); reg rst, clk; wire [31:0] VAR9; wire [3:0] VAR7; wire VAR8; wire VAR3; wire [31:0] VAR1; reg VAR4; reg [31:0] VAR10; reg [31:0] counter; wire [31:0] VAR5 = 32'h00003044; wire [31:0] VAR6 = 32'h00003048; wire [31:0] VAR2 = 32'h0000304c;
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor3/sky130_fd_sc_hd__xor3.symbol.v
1,309
module MODULE1 ( input VAR4, input VAR1, input VAR7, output VAR2 ); supply1 VAR5; supply0 VAR3; supply1 VAR8 ; supply0 VAR6 ; endmodule
apache-2.0
queq/just-stuff
pov/TopFixed/Create.v
1,166
module MODULE1 (VAR5, VAR2, VAR8, rst, VAR6, VAR3, VAR1, VAR4, clk, VAR7); input VAR5; input VAR2; input clk; input [2:0] VAR7; input [6:0] VAR8; input rst; output reg VAR4; output reg [6:0] VAR1; output reg VAR6; output reg VAR3; always @(negedge clk) begin if(rst) begin VAR4=0; VAR1=7'b0; end else begin case (VAR7) 3'b001: begin VAR1={7'b0111000}; VAR4=0; VAR3=0; VAR6=0; end 3'b010: begin VAR1={7'b0000100}; VAR4=0; VAR3=0; VAR6=0; end 3'b011: begin VAR1={7'b0001100}; VAR4=0; VAR3=0; VAR6=0; end 3'b100: begin VAR1={7'b0000001}; VAR4=0; VAR3=0; VAR6=0; end 3'b101: begin VAR1={7'b0110000}; VAR4=0; VAR3=0; VAR6=0; end default begin if (VAR2) begin VAR1={7'b1101110}; VAR4=1; VAR3=0; VAR6=1; end else begin if(VAR5) begin VAR1={7'b0000001}; VAR4=0; VAR6=0; VAR3=0; end else begin VAR1=VAR8; VAR4=0; VAR3=0; VAR6=1; end end end endcase end end endmodule
mit
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/cabac/cabac_binari_cre.v
19,142
module MODULE1( clk , VAR35 , VAR36 , VAR24 , VAR15 , VAR43 , VAR54 , VAR53 , VAR50 , VAR16 ); input clk ; input VAR35 ; input VAR36 ; input [ 15:0 ] VAR24 ; input [ 2:0 ] VAR15 ; output [ 10:0 ] VAR43 ; output [ 10:0 ] VAR54 ; output [ 10:0 ] VAR53 ; output [ 10:0 ] VAR50 ; output [ 2:0 ] VAR16 ; reg [ 10:0 ] VAR43 ; reg [ 10:0 ] VAR54 ; reg [ 10:0 ] VAR53 ; reg [ 10:0 ] VAR50 ; wire VAR29 ; wire [ 3:0 ] VAR8 ; wire [ 3:0 ] VAR22 ; wire [ 10:0 ] VAR47 ; reg [ 10:0 ] VAR26 ; assign VAR29 = (VAR24<(8'b0000011<<VAR15)); assign VAR8 = (VAR24>>VAR15) + 1'b1 ; assign VAR22 = {1'b0,VAR15 } ; assign VAR47 = (11'd1<<VAR8)-2'd2 ; always @* begin case(VAR15) 3'd0 : VAR26 = 11'd0 ; 3'd1 : VAR26 = {6'b0,4'b0000,VAR24[0] } ; 3'd2 : VAR26 = {6'b0,3'b000 ,VAR24[1:0]} ; 3'd3 : VAR26 = {6'b0,2'b00 ,VAR24[2:0]} ; 3'd4 : VAR26 = {6'b0,1'b0 ,VAR24[3:0]} ; default: VAR26 = 11'd0 ; endcase end reg VAR9 ; reg VAR27 ; reg [ 3:0 ] VAR28 ; reg [ 3:0 ] VAR32 ; reg [ 10:0 ] VAR7 ; reg [ 10:0 ] VAR41 ; reg [ 2:0 ] VAR44 ; always @(posedge clk or negedge VAR35) begin if(!VAR35) begin VAR9 <= 1'b0 ; VAR27 <= 1'b0 ; VAR28 <= 4'd0 ; VAR32 <= 4'd0 ; VAR7 <= 11'd0 ; VAR41 <= 11'd0 ; VAR44 <= 3'd0 ; end else begin VAR9 <= VAR36 ; VAR27 <= VAR29 ; VAR28 <= VAR8 ; VAR32 <= VAR22 ; VAR7 <= VAR47 ; VAR41 <= VAR26 ; VAR44 <= VAR15 ; end end wire [ 3:0 ] VAR1 ; wire [ 3:0 ] VAR10 ; wire [ 10:0 ] VAR34 ; wire [ 10:0 ] VAR30 ; wire [ 3:0 ] VAR11 ={1'b0,VAR15} ; wire [ 15:0 ] VAR31 =VAR24-(8'b00000011<<VAR15); wire [ 15:0 ] VAR52 , VAR48 ; wire [ 15:0 ] VAR14 , VAR38 ; wire [ 3:0 ] VAR17 , VAR18 ; wire [ 3:0 ] VAR33 , VAR13 ; reg [ 15:0 ] VAR4 ; wire [ 15:0 ] VAR51 ; wire [ 15:0 ] VAR21 , VAR6 ; reg [ 3:0 ] VAR25 ; wire [ 3:0 ] VAR42 ; wire [ 3:0 ] VAR20 , VAR5 ; assign VAR52 = (VAR31 >=(16'b1<<VAR11 ))? ( VAR31 - (16'b1<<VAR11) ): VAR31 ; assign VAR17 = (VAR31 >=(16'b1<<VAR11 ))? VAR11 + 4'd1 : VAR11 ; assign VAR48 = (VAR52>=(16'b1<<VAR17))? ( VAR52 - ( 16'b1<<VAR17)): VAR52 ; assign VAR18 = (VAR52>=(16'b1<<VAR17))? VAR17 + 4'd1 : VAR17 ; assign VAR14 = (VAR48>=(16'b1<<VAR18))? ( VAR48 - (16'b1<<VAR18) ): VAR48 ; assign VAR33 = (VAR48>=(16'b1<<VAR18))? VAR18 + 4'd1 : VAR18 ; assign VAR38 = (VAR14>=(16'b1<<VAR33))? ( VAR14 - (16'b1<<VAR33) ): VAR14 ; assign VAR13 = (VAR14>=(16'b1<<VAR33))? VAR33 + 4'd1 : VAR33 ; always @(posedge clk or negedge VAR35) begin if(!VAR35) begin VAR4 <= 16'd0 ; VAR25 <= 4'd0 ; end else if( (VAR38>=(16'b1<<VAR13) ) ) begin VAR4 <= VAR38 - (16'b1<<VAR13) ; VAR25 <= VAR13 + 1'b1 ; end else begin VAR4 <= VAR38 ; VAR25 <= VAR13 ; end end assign VAR51 = (VAR4>=(16'b1<<VAR25))? VAR4 - ( 16'b1<<VAR25 ) : VAR4 ; assign VAR42 = (VAR4>=(16'b1<<VAR25))? VAR25 + 4'd1 : VAR25 ; assign VAR21 = (VAR51>=(16'b1<<VAR42))? VAR51 - (16'b1<<VAR42 ) : VAR51 ; assign VAR20 = (VAR51>=(16'b1<<VAR42))? VAR42 + 4'd1 : VAR42 ; assign VAR6 = (VAR21>=(16'b1<<VAR20))? VAR21 - (16'b1<<VAR20 ) : VAR21 ; assign VAR5 = (VAR21>=(16'b1<<VAR20))? VAR20 + 4'd1 : VAR20 ; assign VAR1 = 4'd4 + VAR5 - VAR44 ; assign VAR10 = VAR5 ; assign VAR34 = (11'd1<<VAR1)- 2'd2 ; assign VAR30 = VAR6[10:0] ; wire [3:0] VAR23 = VAR28-4'd5 ; wire [3:0] VAR19 = VAR32-4'd5 ; wire [3:0] VAR2 = VAR1 -4'd5 ; wire [3:0] VAR49 = VAR10 -4'd5 ; wire [1:0] VAR39 = {VAR9,!VAR9} ; reg [2:0] VAR45 ; always @(posedge clk or negedge VAR35) begin if(!VAR35) VAR45 <= 3'd0 ; end else if(VAR9) VAR45 <= 3'd4 ; else VAR45 <= 3'd0 ; end assign VAR16 = VAR45 ; reg [ 4:0 ] VAR37 ; reg [19:0 ] VAR12 ; wire [3:0] VAR46 = VAR37-4'd5 ; wire [3:0] VAR40 = VAR37-4'd10 ; wire [3:0] VAR3 = VAR37-4'd15 ; always @* begin if(VAR27) begin VAR37 = VAR28 + VAR32 ; VAR12 = (VAR7<<VAR32) + VAR41[9:0] ; end else begin VAR37 = VAR1 + VAR10 ; VAR12 = (VAR34<<VAR10) + VAR30[9:0] ; end end always @(posedge clk or negedge VAR35) begin if(!VAR35) begin VAR43 <= {2'b01,1'b0,8'hff} ; VAR54 <= {2'b01,1'b0,8'hff} ; VAR53 <= {2'b01,1'b0,8'hff} ; VAR50 <= {2'b01,1'b0,8'hff} ; end else if(VAR37<5'd6) begin VAR43 <= {VAR39,1'b0,VAR37[2:0],VAR12[4:0]} ; VAR54 <= {2'b01,1'b0,8'hff} ; VAR53 <= {2'b01,1'b0,8'hff} ; VAR50 <= {2'b01,1'b0,8'hff} ; end else if(VAR37<5'd11) begin VAR43 <= {VAR39,1'b0,VAR46[2:0],VAR12[9:5]} ; VAR54 <= {VAR39,1'b0,3'd5 ,VAR12[4:0]} ; VAR53 <= {2'b01,1'b0,8'hff} ; VAR50 <= {2'b01,1'b0,8'hff} ; end else if(VAR37<5'd16) begin VAR43 <= {VAR39,1'b0,VAR40[2:0],VAR12[14:10]} ; VAR54 <= {VAR39,1'b0,3'd5 ,VAR12[ 9:5 ]} ; VAR53 <= {VAR39,1'b0,3'd5 ,VAR12[ 4:0 ]} ; VAR50 <= {2'b01,1'b0,8'hff} ; end else begin VAR43 <= {VAR39,1'b0,VAR3[2:0],VAR12[19:15]} ; VAR54 <= {VAR39,1'b0,3'd5 ,VAR12[14:10]} ; VAR53 <= {VAR39,1'b0,3'd5 ,VAR12[ 9:5 ]} ; VAR50 <= {VAR39,1'b0,3'd5 ,VAR12[ 4:0 ]} ; end end endmodule
gpl-3.0
kyzhai/NUNY
src/hardware/thesis_new.v
6,431
module MODULE1 ( address, VAR18, VAR1); input [11:0] address; input VAR18; output [11:0] VAR1; tri1 VAR18; wire [11:0] VAR50; wire [11:0] VAR1 = VAR50[11:0]; VAR24 VAR41 ( .VAR51 (address), .VAR31 (VAR18), .VAR25 (VAR50), .VAR32 (1'b0), .VAR42 (1'b0), .VAR39 (1'b1), .VAR2 (1'b0), .VAR35 (1'b0), .VAR27 (1'b1), .VAR21 (1'b1), .VAR12 (1'b1), .VAR14 (1'b1), .VAR3 (1'b1), .VAR43 (1'b1), .VAR15 (1'b1), .VAR13 ({12{1'b1}}), .VAR53 (1'b1), .VAR29 (), .VAR6 (), .VAR20 (1'b1), .VAR47 (1'b1), .VAR30 (1'b0), .VAR46 (1'b0)); VAR41.VAR44 = "VAR34", VAR41.VAR48 = "VAR7", VAR41.VAR17 = "VAR7", VAR41.VAR5 = "./VAR4-new/MODULE1.VAR9", VAR41.VAR28 = "VAR52 VAR45 VAR22", VAR41.VAR16 = "VAR36=VAR37", VAR41.VAR49 = "VAR24", VAR41.VAR8 = 4096, VAR41.VAR33 = "VAR11", VAR41.VAR10 = "VAR34", VAR41.VAR19 = "VAR38", VAR41.VAR23 = 12, VAR41.VAR40 = 12, VAR41.VAR26 = 1; endmodule
gpl-2.0
ShepardSiegel/ocpi
scripts/auguste/td7051/rtl/mkFTop_kc705.v
2,987
module MODULE1(VAR18, VAR3, VAR16, VAR15, VAR13, VAR19, VAR8, VAR1, VAR11, VAR6, VAR9, VAR10); input VAR18; input VAR3; input [7 : 0] VAR16; input VAR15; output VAR13; output [7 : 0] VAR19; output VAR8; output [31 : 0] VAR1; output VAR11; output VAR6; output VAR9; output VAR10; wire [31 : 0] VAR1; wire [7 : 0] VAR19; wire VAR9, VAR11, VAR8, VAR13, VAR6, VAR10; reg [31 : 0] VAR5; wire [31 : 0] VAR7; wire VAR17; reg [7 : 0] VAR4; wire [7 : 0] VAR12; wire VAR14; assign VAR6 = VAR18 ; assign VAR9 = 1'd1 ; assign VAR10 = VAR3 ; assign VAR13 = 1'd1 ; assign VAR19 = VAR4 ; assign VAR8 = 1'd1 ; assign VAR1 = VAR5 ; assign VAR11 = 1'd1 ; assign VAR7 = VAR5 + 32'd1 ; assign VAR17 = 1'd1 ; assign VAR12 = VAR16 ; assign VAR14 = VAR15 ; always@(posedge VAR18) begin if (!VAR3) begin VAR5 <= VAR2 32'd0; VAR4 <= VAR2 8'd0; end else begin if (VAR17) VAR5 <= VAR2 VAR7; if (VAR14) VAR4 <= VAR2 VAR12; end end begin VAR5 = 32'hAAAAAAAA; VAR4 = 8'hAA; end
lgpl-3.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/altera_jtag_dc_streaming.v
8,642
module MODULE2 ( clk, VAR29, VAR56, VAR48, VAR30 ); input clk; input VAR29; input VAR56; input VAR48; output VAR30; parameter VAR54 = 3; reg VAR30; wire VAR31; reg VAR62; VAR61 #(.VAR23(VAR54)) VAR19 ( .clk(clk), .VAR29(VAR29), .din(VAR56), .dout(VAR31) ); always @ (posedge clk or negedge VAR29) if (~VAR29) VAR62 <= 1'b0; else VAR62 <= VAR31; always @* begin if (VAR48) VAR30 <= ~VAR62 & VAR31; end else VAR30 <= VAR62 & ~VAR31; end endmodule module MODULE1 ( VAR28, VAR42, VAR11, VAR17, VAR41, VAR32, VAR45, VAR35 ); parameter VAR60 = 8; parameter VAR54 = 3; input VAR28; input VAR42; input VAR11; input [VAR60-1:0] VAR17; input VAR41; input VAR32; output VAR45; output [VAR60-1:0] VAR35; reg VAR36; reg [VAR60-1:0] VAR69; reg VAR45; reg [VAR60-1:0] VAR35 ; wire VAR26; MODULE2 #( .VAR54(VAR54) ) VAR5 ( .clk(VAR41), .VAR29(VAR32), .VAR56(VAR36), .VAR48(1'b1), .VAR30(VAR26) ); always @ (posedge VAR28 or negedge VAR42) begin if (~VAR42) begin VAR36 <= 1'b0; VAR69 <= 'b0; end else begin VAR36 <= VAR11; if (VAR11) begin VAR69 <= VAR17; end end end always @ (posedge VAR41 or negedge VAR32) begin if (~VAR32) begin VAR45 <= 1'b0; VAR35 <= {VAR60{1'b0}}; end else begin VAR45 <= VAR26; VAR35 <= VAR26 ? VAR69 : VAR35; end end endmodule module MODULE3 #( parameter VAR1 = 0, parameter VAR71 = 0, parameter VAR66 = 0, parameter VAR51 = -1 ) ( input wire VAR57, input wire VAR12, output wire VAR55, input wire [2:0] VAR27, input wire VAR2, input wire VAR21, input wire VAR50, input wire clk, input wire VAR29, output wire [7:0] VAR15, output wire VAR46, input wire [7:0] VAR17, input wire VAR11, output wire VAR14, output wire VAR65, output wire VAR59, output wire VAR4, output wire [(VAR51>0?VAR51:1)-1:0] VAR8, output wire VAR39 ); localparam VAR25 = 8; localparam VAR10 = 3; wire VAR9; wire [7:0] VAR20; wire VAR16; wire [7:0] VAR49; wire VAR34; wire VAR13; VAR61 #( .VAR23(VAR10) ) VAR19 ( .clk(VAR57), .VAR29(VAR29), .din(1'b1), .dout(VAR9) ); VAR53 #( .VAR1(VAR1), .VAR71(VAR71), .VAR66(VAR66), .VAR51(VAR51) ) VAR58 ( .VAR57 (VAR57), .VAR12 (VAR12), .VAR55 (VAR55), .VAR27 (VAR27), .VAR2(VAR2), .VAR21(VAR21), .VAR50(VAR50), .VAR29(VAR9), .VAR15(VAR20), .VAR46(VAR16), .VAR17(VAR49), .VAR11(VAR34), .VAR14(VAR13), .VAR33(clk), .VAR68(VAR29), .VAR65(VAR65), .VAR59(VAR59), .VAR4(VAR4), .VAR8(VAR8), .VAR39(VAR39) ); VAR22 #( .VAR6(1), .VAR7(8), .VAR44(VAR10), .VAR47(VAR25) ) VAR40 ( .VAR64(clk), .VAR38(~VAR29), .VAR3(VAR17), .VAR37(VAR14), .VAR63(VAR11), .VAR67(VAR57), .VAR70(~VAR9), .VAR43(VAR49), .VAR24(VAR13), .VAR52(VAR34) ); MODULE1 #( .VAR54(VAR25) ) VAR18 ( .VAR28(VAR57), .VAR42(VAR9), .VAR11(VAR16), .VAR17(VAR20), .VAR41(clk), .VAR32(VAR29), .VAR45(VAR46), .VAR35(VAR15) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2111oi/sky130_fd_sc_hd__a2111oi_4.v
2,461
module MODULE1 ( VAR7 , VAR1 , VAR8 , VAR11 , VAR3 , VAR4 , VAR10, VAR9, VAR12 , VAR2 ); output VAR7 ; input VAR1 ; input VAR8 ; input VAR11 ; input VAR3 ; input VAR4 ; input VAR10; input VAR9; input VAR12 ; input VAR2 ; VAR6 VAR5 ( .VAR7(VAR7), .VAR1(VAR1), .VAR8(VAR8), .VAR11(VAR11), .VAR3(VAR3), .VAR4(VAR4), .VAR10(VAR10), .VAR9(VAR9), .VAR12(VAR12), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR7 , VAR1, VAR8, VAR11, VAR3, VAR4 ); output VAR7 ; input VAR1; input VAR8; input VAR11; input VAR3; input VAR4; supply1 VAR10; supply0 VAR9; supply1 VAR12 ; supply0 VAR2 ; VAR6 VAR5 ( .VAR7(VAR7), .VAR1(VAR1), .VAR8(VAR8), .VAR11(VAR11), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or3/sky130_fd_sc_ls__or3_1.v
2,153
module MODULE1 ( VAR1 , VAR4 , VAR3 , VAR7 , VAR10, VAR2, VAR6 , VAR5 ); output VAR1 ; input VAR4 ; input VAR3 ; input VAR7 ; input VAR10; input VAR2; input VAR6 ; input VAR5 ; VAR9 VAR8 ( .VAR1(VAR1), .VAR4(VAR4), .VAR3(VAR3), .VAR7(VAR7), .VAR10(VAR10), .VAR2(VAR2), .VAR6(VAR6), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR1, VAR4, VAR3, VAR7 ); output VAR1; input VAR4; input VAR3; input VAR7; supply1 VAR10; supply0 VAR2; supply1 VAR6 ; supply0 VAR5 ; VAR9 VAR8 ( .VAR1(VAR1), .VAR4(VAR4), .VAR3(VAR3), .VAR7(VAR7) ); endmodule
apache-2.0
unsignedzero/verilogLabs
labs/lab11/crossclock/crossclock.v
3,374
module MODULE1(VAR24, VAR29, VAR26, VAR31, VAR9, rst); parameter VAR17 = 8; parameter VAR18 = 2; parameter VAR8 = 1<<VAR18; parameter [2:0] VAR32 = 3'b000; parameter [2:0] VAR19 = 3'b001; parameter [2:0] VAR22 = 3'b011; parameter [2:0] VAR11 = 3'b010; parameter [2:0] VAR28 = 3'b110; parameter [2:0] VAR7 = 3'b111; parameter [2:0] VAR1 = 3'b101; parameter [2:0] VAR33 = 3'b100; input VAR24, VAR31, VAR9, rst; input [VAR17-1:0] VAR29; output [VAR17-1:0] VAR26; wire [VAR17-1:0] VAR26; wire VAR24, rst; wire [VAR17-1:0] VAR29; reg VAR2, VAR30, VAR15, VAR25; reg VAR23, VAR10, VAR21, VAR6; reg VAR12; wire VAR5; reg [VAR18-1:0] VAR3, VAR16, VAR20, VAR14; reg [VAR17-1:0] VAR13 [VAR8-1:0]; always @(posedge VAR31 or negedge VAR30) if(VAR30) VAR3 <= {VAR18{1'h0}}; else if (VAR24 & !VAR12) VAR3 <= VAR4(VAR3); always @(posedge VAR9 or negedge VAR25) if(!VAR25) VAR14 <= {VAR18{1'h0}}; else if (!VAR5) VAR14 <= VAR4(VAR14); always @(VAR3 or VAR23) case (VAR3) VAR32: VAR12 = (VAR23 == VAR19); VAR19: VAR12 = (VAR23 == VAR22); VAR22: VAR12 = (VAR23 == VAR11); VAR11: VAR12 = (VAR23 == VAR28); VAR28: VAR12 = (VAR23 == VAR7); VAR7: VAR12 = (VAR23 == VAR1); VAR1: VAR12 = (VAR23 == VAR33); VAR33: VAR12 = (VAR23 == VAR32); endcase always @(posedge VAR31) begin if (VAR24) begin VAR13[VAR3] <= VAR29; end VAR10 <= VAR14; VAR23 <= VAR10; end always @(posedge VAR9) begin VAR21 <= VAR3; VAR6 <= VAR21; end always @(posedge VAR31 or negedge rst) if (!rst) begin VAR2 <= 1'b0; VAR30 <= 1'b0; end else begin VAR2 <= 1'b1; VAR30 <= VAR2; end always @(posedge VAR9 or negedge rst) if (!rst) begin VAR15 <= 1'b0; VAR25 <= 1'b0; end else begin VAR15 <= 1'b1; VAR25 <= VAR15; end always @(posedge VAR31 or posedge VAR9 or negedge rst) begin if (rst) begin VAR3 <= {VAR18{1'b0}}; VAR20 <= {VAR18{1'b0}}; VAR21 <= {VAR18{1'b0}}; VAR6 <= {VAR18{1'b0}}; VAR14 <= {VAR18{1'b0}}; VAR16 <= {VAR18{1'b0}}; VAR10 <= {VAR18{1'b0}}; VAR23 <= {VAR18{1'b0}}; end end assign VAR5 = VAR6 == VAR3; assign VAR26 = VAR13[VAR14]; function [2:0] VAR4; input [2:0] VAR27; begin case (VAR27) VAR32 : VAR4=VAR19; VAR19 : VAR4=VAR22; VAR22 : VAR4=VAR11; VAR11 : VAR4=VAR28; VAR28 : VAR4=VAR7; VAR7 : VAR4=VAR1; VAR1 : VAR4=VAR33; VAR33 : VAR4=VAR32; endcase end endfunction endmodule
mit
bluespec/Flute
src_bsc_lib_RTL/BRAM2.v
3,754
module MODULE1(VAR17, VAR16, VAR14, VAR22, VAR11, VAR13, VAR2, VAR8, VAR20, VAR1, VAR10, VAR6 ); parameter VAR12 = 0; parameter VAR3 = 1; parameter VAR5 = 1; parameter VAR15 = 1; input VAR17; input VAR16; input VAR14; input [VAR3-1:0] VAR22; input [VAR5-1:0] VAR11; output [VAR5-1:0] VAR13; input VAR2; input VAR8; input VAR20; input [VAR3-1:0] VAR1; input [VAR5-1:0] VAR10; output [VAR5-1:0] VAR6; reg [VAR5-1:0] VAR7[0:VAR15-1] ; reg [VAR5-1:0] VAR19; reg [VAR5-1:0] VAR18; reg [VAR5-1:0] VAR4; reg [VAR5-1:0] VAR9; integer VAR21;
apache-2.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/SSDS_spoof_modified.v
4,349
module MODULE1( clk, VAR23, VAR6, VAR13, VAR15, VAR3, VAR22, VAR17, reset ); input clk; input VAR23; input VAR6; input VAR13; input reset; input VAR17; output [31:0] VAR15; output [31:0] VAR3; output [31:0] VAR22; wire clk; wire VAR23; wire VAR6; wire VAR13; wire VAR17; wire reset; wire [31:0] VAR3; wire [31:0] VAR15; wire [31:0] VAR22; reg [31:0] VAR21; reg [31:0] VAR16; reg [31:0] VAR18; reg [31:0] VAR14; reg [31:0] VAR7; reg [31:0] VAR4; reg [2:0] VAR2; reg [2:0] VAR9; localparam VAR11 = 3'd0, VAR20 = 3'd1, VAR8 = 3'd2, VAR19 = 3'd3, VAR12 = 3'd4, VAR24 = 3'd5, VAR1 = 3'd6, VAR10 = 3'd7; always@ (posedge clk, posedge reset) begin: VAR5 if(reset == 1) begin VAR2 <= VAR11; VAR21 <= 0; VAR18 <= 0; VAR7 <= 0; VAR16 <= 0; VAR14 <= 0; VAR4 <= 0; end else begin VAR2 <= VAR9; VAR21 <= VAR16; VAR18 <= VAR14; VAR7 <= VAR4; end end assign VAR3 = VAR21; assign VAR15 = VAR18; assign VAR22 = VAR7; always@ (*) begin case(VAR2) VAR11: begin if(VAR23) begin if(VAR6) VAR9 <= VAR20; end else VAR9 <= VAR8; end else VAR9 <= VAR11; if(VAR17) begin VAR14 <= 0; VAR16 <= 0; VAR4 <= 0; end end VAR20: begin if(VAR13) begin VAR9 <= VAR11; if(!VAR17) VAR4 <= VAR7 +1; end else begin VAR14 <= 0; VAR16 <= 0; VAR4 <= 1; end end else begin if(!VAR23) begin VAR9 <= VAR11; if(!VAR17) VAR14 <= VAR18 +1; end else begin VAR14 <= 1; VAR16 <= 0; VAR4 <= 0; end end end end VAR8: begin if(VAR13) begin VAR9 <= VAR11; if(!VAR17) VAR4 <= VAR7 +1; end else begin VAR14 <= 0; VAR16 <= 0; VAR4 <= 1; end end else begin if(!VAR23) begin VAR9 <= VAR11; if(!VAR17) VAR16 <= VAR21 +1; end else begin VAR14 <= 0; VAR16 <= 1; VAR4 <= 0; end end end end VAR19: begin end VAR12: begin end VAR24: begin end VAR1: begin end VAR10: begin end endcase end endmodule
gpl-3.0
Feuerwerk/fpgaNES
vga_pll/vga_pll_0002.v
2,159
module MODULE1( input wire VAR52, input wire rst, output wire VAR48, output wire VAR65 ); VAR44 #( .VAR9("true"), .VAR2("50.0 VAR1"), .VAR56("VAR7"), .VAR3(1), .VAR45("25.175000 VAR1"), .VAR55("0 VAR40"), .VAR63(50), .VAR36("0 VAR1"), .VAR64("0 VAR40"), .VAR29(50), .VAR22("0 VAR1"), .VAR47("0 VAR40"), .VAR13(50), .VAR32("0 VAR1"), .VAR5("0 VAR40"), .VAR53(50), .VAR20("0 VAR1"), .VAR14("0 VAR40"), .VAR26(50), .VAR38("0 VAR1"), .VAR30("0 VAR40"), .VAR66(50), .VAR15("0 VAR1"), .VAR33("0 VAR40"), .VAR17(50), .VAR37("0 VAR1"), .VAR46("0 VAR40"), .VAR50(50), .VAR10("0 VAR1"), .VAR24("0 VAR40"), .VAR67(50), .VAR59("0 VAR1"), .VAR31("0 VAR40"), .VAR41(50), .VAR60("0 VAR1"), .VAR70("0 VAR40"), .VAR72(50), .VAR69("0 VAR1"), .VAR42("0 VAR40"), .VAR25(50), .VAR4("0 VAR1"), .VAR39("0 VAR40"), .VAR18(50), .VAR27("0 VAR1"), .VAR54("0 VAR40"), .VAR23(50), .VAR11("0 VAR1"), .VAR57("0 VAR40"), .VAR12(50), .VAR68("0 VAR1"), .VAR51("0 VAR40"), .VAR8(50), .VAR58("0 VAR1"), .VAR61("0 VAR40"), .VAR43(50), .VAR28("0 VAR1"), .VAR35("0 VAR40"), .VAR71(50), .VAR62("VAR49"), .VAR6("VAR49") ) VAR16 ( .rst (rst), .VAR21 ({VAR48}), .VAR65 (VAR65), .VAR19 ( ), .VAR34 (1'b0), .VAR52 (VAR52) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dfrtn/sky130_fd_sc_hs__dfrtn.behavioral.v
2,320
module MODULE1 ( VAR1, VAR10 , VAR21 , VAR19 , VAR12 , VAR4 ); input VAR1; input VAR10 ; input VAR21 ; output VAR19 ; input VAR12 ; input VAR4 ; wire VAR3 ; wire VAR2 ; wire VAR16 ; reg VAR20 ; wire VAR9 ; wire VAR13; wire VAR15 ; wire VAR7 ; wire VAR8 ; wire VAR5 ; not VAR18 (VAR2 , VAR13 ); not VAR11 (VAR16, VAR15 ); VAR6 VAR17 (VAR3 , VAR9, VAR16, VAR2, VAR20, VAR12, VAR4); assign VAR7 = ( VAR12 === 1'b1 ); assign VAR8 = ( VAR7 && ( VAR13 === 1'b1 ) ); assign VAR5 = ( VAR7 && ( VAR1 === 1'b1 ) ); buf VAR14 (VAR19 , VAR3 ); endmodule
apache-2.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encoder_ip_prj/encoder_ip_prj.runs/synth_1/encoder_top_X99.v
4,664
module MODULE1(VAR1,VAR2); input[5:0] VAR1; output reg[13:0] VAR2; always @(VAR1) begin case(VAR1) 6'b000000: VAR2 = 14'b00000000000000; 6'b000001: VAR2 = 14'b00000110010000; 6'b000010: VAR2 = 14'b00010001110010; 6'b000011: VAR2 = 14'b00011000000010; 6'b000100: VAR2 = 14'b00011010100111; 6'b000101: VAR2 = 14'b00100000110111; 6'b000110: VAR2 = 14'b00101100011001; 6'b000111: VAR2 = 14'b00110010101001; 6'b001000: VAR2 = 14'b00011111011001; 6'b001001: VAR2 = 14'b00100101101001; 6'b001010: VAR2 = 14'b00110001001011; 6'b001011: VAR2 = 14'b00110111011011; 6'b001100: VAR2 = 14'b00111010000000; 6'b001101: VAR2 = 14'b01000000010000; 6'b001110: VAR2 = 14'b01001011110010; 6'b001111: VAR2 = 14'b01010010000010; 6'b010000: VAR2 = 14'b00000000000000; 6'b010001: VAR2 = 14'b11101110001110; 6'b010010: VAR2 = 14'b11100000100111; 6'b010011: VAR2 = 14'b11001110110101; 6'b010100: VAR2 = 14'b11111001110000; 6'b010101: VAR2 = 14'b11100111111110; 6'b010110: VAR2 = 14'b11011010010111; 6'b010111: VAR2 = 14'b11001000100101; 6'b011000: VAR2 = 14'b00011010100111; 6'b011001: VAR2 = 14'b00001000110101; 6'b011010: VAR2 = 14'b11111011001110; 6'b011011: VAR2 = 14'b11101001011100; 6'b011100: VAR2 = 14'b00010100010111; 6'b011101: VAR2 = 14'b00000010100101; 6'b011110: VAR2 = 14'b11110100111110; 6'b011111: VAR2 = 14'b11100011001100; 6'b100000: VAR2 = 14'b00000000000000; 6'b100001: VAR2 = 14'b00011010100111; 6'b100010: VAR2 = 14'b00000110010000; 6'b100011: VAR2 = 14'b00100000110111; 6'b100100: VAR2 = 14'b11100000100111; 6'b100101: VAR2 = 14'b11111011001110; 6'b100110: VAR2 = 14'b11100110110111; 6'b100111: VAR2 = 14'b00000001011110; 6'b101000: VAR2 = 14'b00010001110010; 6'b101001: VAR2 = 14'b00101100011001; 6'b101010: VAR2 = 14'b00011000000010; 6'b101011: VAR2 = 14'b00110010101001; 6'b101100: VAR2 = 14'b11110010011001; 6'b101101: VAR2 = 14'b00001101000000; 6'b101110: VAR2 = 14'b11111000101001; 6'b101111: VAR2 = 14'b00010011010000; 6'b110000: VAR2 = 14'b00000000000000; 6'b110001: VAR2 = 14'b11100000100111; 6'b110010: VAR2 = 14'b00011010100111; 6'b110011: VAR2 = 14'b11111011001110; 6'b110100: VAR2 = 14'b11101110001110; 6'b110101: VAR2 = 14'b11001110110101; 6'b110110: VAR2 = 14'b00001000110101; 6'b110111: VAR2 = 14'b11101001011100; 6'b111000: VAR2 = 14'b00000110010000; 6'b111001: VAR2 = 14'b11100110110111; 6'b111010: VAR2 = 14'b00100000110111; 6'b111011: VAR2 = 14'b00000001011110; 6'b111100: VAR2 = 14'b11110100011110; 6'b111101: VAR2 = 14'b11010101000101; 6'b111110: VAR2 = 14'b00001111000101; 6'b111111: VAR2 = 14'b11101111101100; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a311oi/sky130_fd_sc_hs__a311oi_4.v
2,323
module MODULE1 ( VAR2 , VAR1 , VAR10 , VAR8 , VAR3 , VAR6 , VAR4, VAR9 ); output VAR2 ; input VAR1 ; input VAR10 ; input VAR8 ; input VAR3 ; input VAR6 ; input VAR4; input VAR9; VAR7 VAR5 ( .VAR2(VAR2), .VAR1(VAR1), .VAR10(VAR10), .VAR8(VAR8), .VAR3(VAR3), .VAR6(VAR6), .VAR4(VAR4), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR2 , VAR1, VAR10, VAR8, VAR3, VAR6 ); output VAR2 ; input VAR1; input VAR10; input VAR8; input VAR3; input VAR6; supply1 VAR4; supply0 VAR9; VAR7 VAR5 ( .VAR2(VAR2), .VAR1(VAR1), .VAR10(VAR10), .VAR8(VAR8), .VAR3(VAR3), .VAR6(VAR6) ); endmodule
apache-2.0
dingzh/piplined-MIPS-CPU
src/LAB6/Top.v
12,348
module MODULE1( input VAR52, input VAR154, input [2:0] VAR49, output [7:0] VAR11, input VAR98 ); wire VAR89; reg [26:0] VAR110 = 0; always@ (posedge VAR52) VAR110 = VAR110 + 1; assign VAR89 = VAR98 ? VAR52 : VAR110[26]; wire [31:0] VAR101; assign VAR11[7] = VAR154; assign VAR11[6] = VAR89; wire [5:0] VAR53; assign VAR11[5:0] = VAR53; wire [31:0] VAR38; wire [31:0] VAR59; wire [5:0] VAR156; assign VAR156[5:3] = VAR59; assign VAR156[2:0] = VAR38; wire [31:0] VAR66; assign VAR66 = VAR101>>2; wire [5:0] VAR161; wire [5:0] VAR133; assign VAR161 = VAR49[0] ? VAR38:VAR156; assign VAR133 = VAR49[1] ? VAR59:VAR161; assign VAR53 = VAR49[2]? VAR66:VAR133; reg [31:0] VAR173; reg [31:0] VAR108; reg [31:0] VAR26; reg [31:0] VAR190; reg [31:0] VAR111; reg [31:0] VAR45; reg [20:16] VAR42; reg [15:11] VAR13; reg [31:0] VAR123; reg VAR50; reg [1:0] VAR54; reg VAR180; reg VAR144; reg VAR17; reg VAR46; reg VAR145; reg VAR44; reg VAR128; reg VAR73; reg [31:0] VAR86; reg [31:0] VAR7; reg [31:0] VAR134; reg [31:0] VAR34; reg VAR83; reg VAR19; reg VAR143; reg VAR158= 0; reg [4:0] VAR81; reg VAR32; reg VAR178; reg [31:0] VAR138; reg [31:0] VAR176; reg [4:0] VAR179; reg VAR85; reg VAR164; wire VAR82, VAR152, VAR36; wire VAR147; wire VAR150; wire [31:0] VAR63; wire [31:0] VAR175; wire [31:0] VAR91; wire [31:0] VAR105; wire [31:0] VAR162; assign VAR147 = VAR154? 0: VAR152 | VAR150; assign VAR63 = VAR7; assign VAR36 = VAR73; assign VAR152 = VAR154? 0 : VAR83; assign VAR150 = VAR154? 0 :VAR158; assign VAR175 = VAR134; assign VAR105 = VAR101 +4; assign VAR82 = VAR152 & VAR36 & ~VAR154; wire [31:0] VAR184; assign VAR184 = VAR150? VAR175 : VAR105; assign VAR91 = VAR82? VAR63: VAR184; wire [31:0] VAR55; VAR174 VAR131 ( .VAR187(VAR89), .VAR40(VAR91), .VAR104(VAR101), .rst(VAR154) ); wire [31:0] VAR97; assign VAR97 = VAR101>>2; VAR1 VAR107 ( .address(VAR97), .VAR187(VAR89), .reset(VAR154), .VAR21(VAR162) ); always @(posedge VAR89) begin VAR173 <= VAR147 ? 0: VAR105; VAR108 <= VAR147 ? 0: VAR162; end wire [31:0] VAR141; wire [5:0] VAR106; wire [31:0] VAR62; wire [4:0] VAR121; wire [4:0] VAR90; wire [15:0] VAR135; wire [31:0] VAR177; wire [20:16] VAR112; wire [15:11] VAR8; wire [31:0] VAR124; wire [31:0] VAR25; wire VAR114, VAR56; wire [4:0] VAR113; wire [31:0] VAR88; wire [31:0] VAR95; wire [31:0] VAR22; wire VAR74; assign VAR141 = VAR108; assign VAR62 = VAR173; assign VAR106 = VAR141[31:26]; assign VAR121 = VAR141[25:21]; assign VAR90 = VAR141[20:16]; assign VAR135 = VAR141[15:0]; assign VAR112 = VAR141[20:16]; assign VAR8 = VAR141[15:11]; assign VAR114 = VAR85; assign VAR56 = VAR164; assign VAR113 = VAR179; assign VAR95 = VAR138; assign VAR88 = VAR176; assign VAR22 = VAR56? VAR88: VAR95; VAR2 VAR4 ( .VAR187(VAR89), .VAR23(VAR114), .VAR182(VAR121), .VAR167(VAR90), .VAR37(VAR113), .VAR136(VAR22), .reset(VAR154), .VAR172(VAR124), .VAR103(VAR25), .VAR38(VAR38), .VAR59(VAR59) ); wire [1:0] VAR16; wire VAR80, VAR72; wire VAR102; wire VAR127; wire VAR129; wire VAR10; wire VAR58; wire VAR92; VAR20 VAR186 ( .VAR15(VAR106), .VAR93(VAR80), .VAR188(VAR72), .VAR160(VAR10), .VAR23(VAR58), .VAR130(VAR129), .VAR28(VAR127), .VAR9(VAR102), .VAR183(VAR16), .VAR125(VAR74) ); VAR39 VAR120 ( .VAR163(VAR135), .VAR41(VAR177) ); always @(posedge VAR89) begin VAR26 <= VAR147 ? 0: VAR62; VAR190 <= VAR147 ? 0: VAR124; VAR111 <= VAR147 ? 0: VAR25; VAR45 <= VAR147 ? 0: VAR177; VAR42 <= VAR147 ? 0: VAR112; VAR13 <= VAR147 ? 0: VAR8; VAR50 <= VAR147 ? 0: VAR80; VAR54 <= VAR147 ? 0: VAR16; VAR180 <= VAR147 ? 0: VAR72; VAR17 <= VAR147 ? 0: VAR102; VAR46 <= VAR147 ? 0: VAR127; VAR145 <= VAR147 ? 0: VAR129; VAR44 <= VAR147 ? 0: VAR10; VAR128 <= VAR147 ? 0: VAR58; end wire [31:0] VAR117; wire [31:0] VAR69; wire [31:0] VAR51; wire [31:0] VAR153; wire [31:0] VAR157; wire [20:16] VAR115; wire [15:11] VAR29; wire [31:0] VAR142; wire VAR181, VAR189; wire [1:0] VAR168; wire [4:0] VAR60; wire [5:0] VAR99; wire VAR118; wire [31:0] VAR165; assign VAR181 = VAR50; assign VAR168 = VAR54; assign VAR69 = VAR190; assign VAR189 = VAR180; assign VAR51 = VAR189? VAR157: VAR153; assign VAR60 = VAR181? VAR29: VAR115; assign VAR117 = VAR26; assign VAR153 = VAR111; assign VAR157 = VAR45; assign VAR99 = VAR45[5:0]; assign VAR115 = VAR42; assign VAR29 = VAR13; assign VAR118 = VAR144; assign VAR142 = VAR123; assign VAR165[31:28] = VAR117[31:28]; assign VAR165[27:2] = VAR142[25:0]; assign VAR165[1:0] = 2'b00; wire [3:0] VAR191; VAR78 VAR149 ( .VAR183(VAR168), .VAR31(VAR99), .VAR166(VAR191) ); wire VAR87; wire [31:0] VAR64; wire [31:0] VAR148; wire [31:0] VAR100; VAR170 VAR96 ( .VAR139(VAR148), .VAR5(VAR100), .VAR166(VAR191), .VAR132(VAR87), .VAR75(VAR64) ); wire VAR94, VAR146, VAR155; assign VAR94 = VAR17; assign VAR146 = VAR46; assign VAR155 = VAR145; wire VAR47, VAR18; assign VAR47 = VAR44; assign VAR18 = VAR128; wire [31:0] VAR119; assign VAR119 = (VAR157<<2) + VAR117; always @(posedge VAR89) begin VAR83 <= VAR147 ? 0: VAR94; VAR19 <= VAR147 ? 0: VAR146; VAR143 <= VAR147 ? 0: VAR155; VAR32 <= VAR147 ? 0: VAR47; VAR178 <= VAR147 ? 0: VAR18; VAR7 <= VAR147 ? 0: VAR119; VAR73 <= VAR147 ? 0: VAR87; VAR86 <= VAR147 ? 0: VAR64; VAR81 <= VAR147 ? 0: VAR60; VAR34 <= VAR147 ? 0: VAR153; end wire VAR33, VAR171; wire [4:0] VAR12; wire [31:0] VAR67; wire [31:0] VAR192; wire [31:0] VAR27; assign VAR33 = VAR19; assign VAR171 = VAR143; assign VAR12 = VAR81; assign VAR67 = VAR86; assign VAR192 = VAR34; VAR122 VAR57 ( .VAR187(VAR89), .address(VAR67), .VAR136(VAR192), .VAR21(VAR27), .VAR28(VAR33), .VAR130(VAR171) ); wire VAR185, VAR3; assign VAR185 = VAR32; assign VAR3 = VAR178; always @(posedge VAR89) begin VAR138 = VAR67; VAR176 = VAR27; VAR179 = VAR12; VAR85 = VAR3; VAR164 = VAR185; end always @(posedge VAR89) begin VAR144 <= VAR74; VAR123 <= VAR141; VAR158 <= VAR118; VAR134 <= VAR165; end wire [31:0] VAR194; wire [31:0] VAR159; assign VAR194 = VAR86; assign VAR159 = VAR138; wire [1:0] VAR6; wire [1:0] VAR14; wire [4:0] VAR35; wire [4:0] VAR70; wire VAR48; wire VAR24; wire [4:0] VAR30; wire [4:0] VAR71; assign VAR35 = VAR81; assign VAR70 = VAR179; assign VAR24 = VAR178; assign VAR48 = VAR85; assign VAR30 = VAR123[25:21]; assign VAR71 = VAR123[20:16]; VAR84 VAR126 ( .VAR68(VAR30), .VAR116(VAR71), .VAR43(VAR48), .VAR193(VAR70), .VAR169(VAR24), .VAR140(VAR35), .VAR6(VAR6), .VAR14(VAR14), .rst(VAR154) ); VAR65 VAR109 ( .VAR76(VAR69), .VAR79(VAR194), .VAR61(VAR159), .VAR137(VAR6), .VAR77(VAR148) ); VAR65 VAR151 ( .VAR76(VAR51), .VAR79(VAR194), .VAR61(VAR159), .VAR137(VAR14), .VAR77(VAR100) ); endmodule
gpl-3.0
8l/beri
cheri/trunk/FPU/QuartusProject/floatAddWrapper.v
1,637
module MODULE1 ( VAR7, VAR4, VAR6, VAR1, VAR5); input VAR7; input [31:0] VAR4; input [31:0] VAR6; output [31:0] VAR1; input VAR5; VAR2 VAR3 ( .VAR7(VAR7), .VAR4(VAR4), .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/pcie_4243_trn_v5_gtx_x8_125/source/cmm_errman_cor.v
16,702
module MODULE1 ( VAR4, VAR14, VAR18, VAR10, VAR11, VAR6, VAR17, VAR3, VAR5, VAR16, rst, clk ); output [2:0] VAR4; output VAR14; output VAR18; input VAR10; input VAR11; input VAR6; input VAR17; input VAR3; input VAR5; input VAR16; input rst; input clk; parameter VAR2 = 1; reg [2:0] VAR15 ; reg VAR1 ; reg VAR20; reg VAR7; reg VAR12; reg VAR13; reg VAR21; reg VAR8; always @(posedge clk) begin if (rst) begin VAR20 <= #VAR2 0; VAR7 <= #VAR2 1; VAR12 <= #VAR2 1; VAR13 <= #VAR2 1; VAR21 <= #VAR2 1; VAR8 <= #VAR2 1; end else begin VAR20 <= #VAR2 VAR10; VAR7 <= #VAR2 VAR11; VAR12 <= #VAR2 VAR6; VAR13 <= #VAR2 VAR17; VAR21 <= #VAR2 VAR3; VAR8 <= #VAR2 VAR5; end end always @* begin case ({VAR8, VAR20, VAR7, VAR12, VAR13, VAR21}) 6'b000000: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b000001: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b000010: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b000011: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b000100: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b000101: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b000110: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b000111: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b001000: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b001001: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b001010: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b001011: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b001100: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b001101: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b001110: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b001111: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b010000: begin VAR15 = 3'b110; VAR1 = 1'b1; end 6'b010001: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b010010: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b010011: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b010100: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b010101: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b010110: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b010111: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b011000: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b011001: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b011010: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b011011: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b011100: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b011101: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b011110: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b011111: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b100000: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b100001: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b100010: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b100011: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b100100: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b100101: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b100110: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b100111: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b101000: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b101001: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b101010: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b101011: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b101100: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b101101: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b101110: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b101111: begin VAR15 = 3'b001; VAR1 = 1'b1; end 6'b110000: begin VAR15 = 3'b101; VAR1 = 1'b1; end 6'b110001: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b110010: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b110011: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b110100: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b110101: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b110110: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b110111: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b111000: begin VAR15 = 3'b100; VAR1 = 1'b1; end 6'b111001: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b111010: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b111011: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b111100: begin VAR15 = 3'b011; VAR1 = 1'b1; end 6'b111101: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b111110: begin VAR15 = 3'b010; VAR1 = 1'b1; end 6'b111111: begin VAR15 = 3'b001; VAR1 = 1'b1; end default: begin VAR15 = 3'b000; VAR1 = 1'b1; end endcase end reg [2:0] VAR9; reg VAR19; reg VAR18; always @(posedge clk) begin if (rst) begin VAR9 <= #VAR2 3'b000; VAR19 <= #VAR2 1'b0; VAR18 <= #VAR2 1'b0; end else begin VAR9 <= #VAR2 VAR15; VAR19 <= #VAR2 ~(VAR8 && ~VAR20 && VAR7 && VAR12 && VAR13 && VAR21 && VAR16); VAR18 <= #VAR2 (VAR8 && ~VAR20 && VAR7 && VAR12 && VAR13 && VAR21) ? ~VAR16 : VAR16; end end assign VAR4 = VAR9; assign VAR14 = VAR19; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand3b/sky130_fd_sc_ls__nand3b.functional.pp.v
1,971
module MODULE1 ( VAR10 , VAR6 , VAR11 , VAR7 , VAR15, VAR9, VAR2 , VAR12 ); output VAR10 ; input VAR6 ; input VAR11 ; input VAR7 ; input VAR15; input VAR9; input VAR2 ; input VAR12 ; wire VAR16 ; wire VAR8 ; wire VAR3; not VAR13 (VAR16 , VAR6 ); nand VAR14 (VAR8 , VAR11, VAR16, VAR7 ); VAR5 VAR1 (VAR3, VAR8, VAR15, VAR9); buf VAR4 (VAR10 , VAR3 ); endmodule
apache-2.0
Fabeltranm/FPGA-Game-D1
HW/RTL/06PCM-AUDIO-MICROFONO/Version_01/02 verilog/Otros/Prueba4/fifo.v
3,734
module MODULE1 # (parameter VAR5 = 20, VAR16 = 8)( input reset, VAR12, input rd, wr, input [VAR16-1:0] din, output [VAR16-1:0] dout, output VAR4, output VAR22, output reg VAR13 ); wire VAR1; wire VAR18; reg VAR10, VAR24; reg [VAR16-1:0] out; VAR14 VAR13 = 0; reg [1:0] VAR3; reg [1:0] VAR9; assign VAR1 = VAR10; assign VAR18 = VAR24; reg [VAR16-1:0] VAR2[2**VAR5-1:0]; reg [VAR5-1:0] VAR15, VAR8, VAR20; reg [VAR5-1:0] VAR6, VAR23, VAR17; reg VAR11, VAR7, VAR25, VAR21; assign VAR19 = VAR1 & ~VAR22; always @ (posedge VAR12)begin if(wr && ~rd) begin if(VAR3) begin VAR10<=0; VAR3<=VAR3+1; end else begin VAR10<=1; VAR3<=0; end end else VAR10<=0; end always @ (posedge VAR12)begin if(rd && ~wr) begin if(VAR9) begin VAR24<=0; VAR9<=VAR9+1; end else begin VAR24<=1; VAR9<=0; end end else VAR24<=0; end always @ (posedge VAR12) begin if(VAR19) VAR2[VAR15] <= din; end always @ (posedge VAR12) begin if(VAR18) out <= VAR2[VAR6]; end always @ (posedge VAR12 or posedge reset) begin if (reset) begin VAR15 <= 0; VAR6 <= 0; VAR11 <= 1'b0; VAR7 <= 1'b1; VAR13=0; end else begin VAR15 <= VAR8; VAR6 <= VAR23; VAR11 <= VAR25; VAR7 <= VAR21; VAR13=1; end end always @(VAR12) begin VAR20 = VAR15 + 1; VAR17 = VAR6 + 1; VAR8 = VAR15; VAR23 = VAR6; VAR25 = VAR11; VAR21 = VAR7; case({VAR1,VAR18}) 2'b01: begin if(~VAR4) begin VAR23 = VAR17; VAR25 = 1'b0; if(VAR17 == VAR15) VAR21 = 1'b1; end end 2'b10: begin if(~VAR22) begin VAR8 = VAR20; VAR21 = 1'b0; if(VAR20 == (2**VAR5-1)) VAR25 = 1'b1; end end 2'b11: begin VAR8 = VAR20; VAR23 = VAR17; end endcase end assign VAR22 = VAR11; assign VAR4 = VAR7; assign dout = out; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfsbp/sky130_fd_sc_hdll__sdfsbp_2.v
2,631
module MODULE1 ( VAR7 , VAR4 , VAR5 , VAR2 , VAR13 , VAR1 , VAR3, VAR6 , VAR11 , VAR9 , VAR10 ); output VAR7 ; output VAR4 ; input VAR5 ; input VAR2 ; input VAR13 ; input VAR1 ; input VAR3; input VAR6 ; input VAR11 ; input VAR9 ; input VAR10 ; VAR8 VAR12 ( .VAR7(VAR7), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR13(VAR13), .VAR1(VAR1), .VAR3(VAR3), .VAR6(VAR6), .VAR11(VAR11), .VAR9(VAR9), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR7 , VAR4 , VAR5 , VAR2 , VAR13 , VAR1 , VAR3 ); output VAR7 ; output VAR4 ; input VAR5 ; input VAR2 ; input VAR13 ; input VAR1 ; input VAR3; supply1 VAR6; supply0 VAR11; supply1 VAR9 ; supply0 VAR10 ; VAR8 VAR12 ( .VAR7(VAR7), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR13(VAR13), .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
lbl-cal/StanfordNoC
router/src/rtr_channel_output.v
7,789
module MODULE1 (clk, reset, VAR39, VAR11, VAR35, VAR5, VAR7, VAR14, VAR2); parameter VAR30 = 4; parameter VAR28 = VAR25; parameter VAR21 = 1; parameter VAR9 = 64; parameter VAR38 = VAR27; localparam VAR24 = VAR15(VAR30); localparam VAR3 = VAR21 ? 1 : 0; localparam VAR13 = (VAR28 == VAR17) ? (1 + VAR24 + 1 + 1) : (VAR28 == VAR26) ? (1 + VAR24 + 1) : (VAR28 == VAR25) ? (1 + VAR24 + 1) : -1; localparam VAR4 = VAR3 + VAR13 + VAR9; input clk; input reset; input VAR39; input VAR11; input VAR35; input VAR5; input [0:VAR9-1] VAR7; input [0:VAR30-1] VAR14; output [0:VAR4-1] VAR2; wire [0:VAR4-1] VAR2; wire VAR31; generate if(VAR21) assign VAR2[0] = VAR39 | VAR31; endgenerate wire [0:VAR13-1] VAR19; wire [0:VAR9-1] VAR33; assign VAR2[VAR3: VAR3+VAR13-1] = VAR19; assign VAR2[VAR3+VAR13: VAR3+VAR13+VAR9-1] = VAR33; wire [0:VAR9-1] VAR37, VAR32; assign VAR37 = VAR7; VAR8 .VAR38(VAR38)) VAR32 (.clk(clk), .reset(1'b0), .VAR39(VAR39), .VAR1(VAR37), .VAR22(VAR32)); assign VAR33 = VAR32; generate case(VAR28) begin wire [0:VAR13-1] VAR10, VAR18; assign VAR10[0] = VAR11; wire VAR29; assign VAR29 = VAR39 | VAR31; wire VAR6, VAR23; assign VAR6 = VAR10[0]; VAR8 .VAR38(VAR38)) VAR23 (.clk(clk), .reset(reset), .VAR39(VAR29), .VAR1(VAR6), .VAR22(VAR23)); assign VAR31 = VAR23; assign VAR18[0] = VAR23; if(VAR13 > 1) begin VAR8 .VAR38(VAR38)) VAR18 (.clk(clk), .reset(1'b0), .VAR39(VAR39), .VAR1(VAR10[1:VAR13-1]), .VAR22(VAR18[1:VAR13-1])); end if(VAR30 > 1) begin wire [0:VAR24-1] VAR20; VAR36 VAR16 (.VAR34(VAR14), .VAR12(VAR20)); assign VAR10[1:1+VAR24-1] = VAR20; end case(VAR28) begin assign VAR10[1+VAR24+0] = VAR35; assign VAR10[1+VAR24+1] = VAR5; end begin assign VAR10[1+VAR24+0] = VAR5; end begin assign VAR10[1+VAR24+0] = VAR35; end endcase assign VAR19 = VAR18; end endcase endgenerate endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlxtp/sky130_fd_sc_lp__dlxtp.pp.blackbox.v
1,301
module MODULE1 ( VAR5 , VAR2 , VAR7, VAR6, VAR4, VAR1 , VAR3 ); output VAR5 ; input VAR2 ; input VAR7; input VAR6; input VAR4; input VAR1 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlymetal6s2s/sky130_fd_sc_hd__dlymetal6s2s.pp.blackbox.v
1,342
module MODULE1 ( VAR2 , VAR6 , VAR4, VAR3, VAR1 , VAR5 ); output VAR2 ; input VAR6 ; input VAR4; input VAR3; input VAR1 ; input VAR5 ; endmodule
apache-2.0
cfangmeier/VFPIX-telescope-Code
DAQ_Firmware/src/ram/ram_controller.v
31,517
module MODULE1 ( VAR42, VAR67, VAR63, VAR93, VAR65, VAR71, VAR10, VAR78, VAR105, VAR55, VAR95, VAR76, VAR101, VAR97, VAR81, VAR35, VAR25, VAR72, VAR62, VAR11, VAR30, VAR79, VAR54, VAR13, VAR70, VAR74, VAR86, VAR89, VAR75, VAR56, VAR69, VAR6, VAR73); input [24:0] VAR42; input VAR67; input VAR63; input VAR93; input [31:0] VAR65; input [3:0] VAR71; input [2:0] VAR10; input VAR78; input VAR105; input VAR55; output VAR95; output [31:0] VAR76; output VAR101; output VAR97; output VAR81; output VAR35; output [0:0] VAR25; output [0:0] VAR72; output [0:0] VAR62; output [12:0] VAR11; output [2:0] VAR30; output VAR79; output VAR54; output VAR13; output [1:0] VAR70; output VAR74; output VAR86; output VAR89; output VAR75; inout [0:0] VAR56; inout [0:0] VAR69; inout [15:0] VAR6; inout [1:0] VAR73; wire VAR77 = 1'b0; wire [13:0] VAR19 = 14'b0; wire [13:0] VAR40 = 14'b0; wire [5:0] VAR53 = 6'b0; wire [5:0] VAR84 = 6'b0; wire VAR1 = 1'b0; wire [15:0] VAR92 = 16'b0; wire [1:0] VAR88 = 2'b0; wire [1:0] VAR100 = 2'b0; wire [1:0] VAR99 = 2'b0; wire [1:0] VAR103 = 2'b0; wire [1:0] VAR61 = 2'b0; wire VAR83 = 1'b0; wire VAR47 = 1'b0; wire VAR91 = 1'b0; wire VAR23 = 1'b0; wire [3:0] VAR29 = 4'b0; wire [2:0] VAR58 = 3'b0; wire VAR12 = 1'b0; wire [8:0] VAR34 = 9'b0; wire [3:0] VAR87 = 4'b0; wire VAR98 = 1'b0; wire VAR104 = 1'b0; wire VAR4 = 1'b0; wire VAR21 = 1'b0; wire VAR37 = 1'b0; wire VAR22 = 1'b0; wire VAR43 = 1'b0; wire VAR39 = 1'b0; VAR7 VAR18( .VAR42(VAR42), .VAR67(VAR67), .VAR63(VAR63), .VAR93(VAR93), .VAR65(VAR65), .VAR71(VAR71), .VAR10(VAR10), .VAR27(VAR77), .VAR49(VAR19), .VAR41(VAR40), .VAR38(VAR53), .VAR102(VAR84), .VAR26(VAR1), .VAR45(VAR92), .VAR106(VAR88), .VAR64(VAR100), .VAR107(VAR99), .VAR16(VAR103), .VAR32(VAR61), .VAR28(VAR83), .VAR85(VAR47), .VAR51(VAR91), .VAR66(VAR23), .VAR82(VAR29), .VAR33(VAR58), .VAR15(VAR12), .VAR57(VAR34), .VAR52(VAR87), .VAR2(VAR98), .VAR46(VAR104), .VAR48(VAR4), .VAR3(VAR21), .VAR24(VAR37), .VAR20(VAR22), .VAR96(VAR43), .VAR90(VAR39), .VAR78(VAR78), .VAR105(VAR105), .VAR55(VAR55), .VAR95(VAR95), .VAR76(VAR76), .VAR101(VAR101), .VAR97(VAR97), .VAR81(VAR81), .VAR35(VAR35), .VAR31(), .VAR108(), .VAR60(), .VAR68(), .VAR9(), .VAR5(), .VAR50(), .VAR14(), .VAR36(), .VAR80(), .VAR8(), .VAR44(), .VAR25(VAR25), .VAR72(VAR72), .VAR62(VAR62), .VAR11(VAR11), .VAR30(VAR30), .VAR79(VAR79), .VAR54(VAR54), .VAR13(VAR13), .VAR70(VAR70), .VAR59(), .VAR74(VAR74), .VAR86(VAR86), .VAR89(VAR89), .VAR75(VAR75), .VAR56(VAR56), .VAR69(VAR69), .VAR6(VAR6), .VAR73(VAR73), .VAR17(), .VAR94() ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or4b/sky130_fd_sc_hdll__or4b_2.v
2,307
module MODULE1 ( VAR6 , VAR3 , VAR2 , VAR8 , VAR4 , VAR9, VAR10, VAR1 , VAR11 ); output VAR6 ; input VAR3 ; input VAR2 ; input VAR8 ; input VAR4 ; input VAR9; input VAR10; input VAR1 ; input VAR11 ; VAR5 VAR7 ( .VAR6(VAR6), .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR4(VAR4), .VAR9(VAR9), .VAR10(VAR10), .VAR1(VAR1), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR6 , VAR3 , VAR2 , VAR8 , VAR4 ); output VAR6 ; input VAR3 ; input VAR2 ; input VAR8 ; input VAR4; supply1 VAR9; supply0 VAR10; supply1 VAR1 ; supply0 VAR11 ; VAR5 VAR7 ( .VAR6(VAR6), .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR4(VAR4) ); endmodule
apache-2.0
AmeerAbdelhadi/Switched-Multiported-RAM
dpram_bbs.v
5,921
module MODULE1 wire [VAR7-1:0] VAR27; wire [VAR7-1:0] VAR22; VAR20 #( .VAR23 (VAR23 ), .VAR7 (VAR7 ), .VAR16 (VAR16 ), .VAR28 (VAR28 )) VAR5 ( .clk (clk ), .VAR8 (VAR8 ), .VAR4 (VAR4 ), .VAR18 (VAR18 ), .VAR19 (VAR19 ), .VAR15(VAR15 ), .VAR21(VAR21 ), .VAR1(VAR27), .VAR14(VAR22)); reg VAR13; reg VAR24; reg [VAR26(VAR23)-1:0] VAR25; reg [VAR26(VAR23)-1:0] VAR2; reg [VAR7-1:0] VAR6; reg [VAR7-1:0] VAR9; always @(posedge clk) begin VAR13 <= VAR8 ; VAR24 <= VAR4 ; VAR25 <= VAR18; VAR2 <= VAR19; VAR9 <= VAR15; VAR6 <= VAR21; end wire VAR3,VAR12,VAR17,VAR11; assign VAR3 = (VAR10 >= 1) && VAR24 && !VAR13 && (VAR2 == VAR25); assign VAR12 = (VAR10 == 2) && VAR4 && !VAR13 && (VAR19 == VAR25); assign VAR17 = (VAR10 >= 1) && VAR13 && !VAR24 && (VAR25 == VAR2); assign VAR11 = (VAR10 == 2) && VAR8 && !VAR24 && (VAR18 == VAR2); always @* if (VAR12) VAR1 = VAR21 ; else if (VAR3) VAR1 = VAR6; else VAR1 = VAR27; always @* if (VAR11) VAR14 = VAR15 ; else if (VAR17) VAR14 = VAR9; else VAR14 = VAR22; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/buf/sky130_fd_sc_hs__buf.blackbox.v
1,166
module MODULE1 ( VAR2, VAR3 ); output VAR2; input VAR3; supply1 VAR4; supply0 VAR1; endmodule
apache-2.0
fzyz999/5-stage-MIPS
control/ctldefine.v
5,033
module MODULE2 (input [31:0] VAR89, output VAR22); wire VAR45,VAR103,VAR7; wire [5:0] VAR113; wire [5:0] VAR132; assign VAR113=VAR89[VAR125]; assign VAR132=VAR89[VAR107]; assign VAR45=(VAR113==VAR79); assign VAR31=VAR45&(VAR132==VAR50); assign VAR67=VAR45&(VAR132==VAR62); assign VAR8=VAR45&(VAR132==VAR52); assign VAR19=VAR45&(VAR132==VAR53); assign VAR58=VAR45&(VAR132==VAR117); assign VAR57=VAR45&(VAR132==VAR100); assign VAR90=VAR45&(VAR132==VAR114); assign VAR101=VAR45&(VAR132==VAR9); assign VAR92=VAR45&(VAR132==VAR128); assign VAR4=VAR45&(VAR132==VAR6); assign VAR64=VAR45&(VAR132==VAR63); assign VAR51=VAR45&(VAR132==VAR34); assign VAR88=VAR45&(VAR132==VAR36); assign VAR127=VAR45&(VAR132==VAR120); assign VAR121=VAR45&(VAR132==VAR97); assign VAR48=VAR45&(VAR132==VAR78); assign VAR112=VAR45&(VAR132==VAR95); assign VAR76=VAR45&(VAR132==VAR105); assign VAR46=VAR45&(VAR132==VAR93); assign VAR115=VAR45&(VAR132==VAR35); assign VAR85=(VAR113==VAR13); assign VAR43=(VAR113==VAR75); assign VAR11=(VAR113==VAR91); assign VAR135=(VAR113==VAR41); assign VAR73=(VAR113==VAR12); assign VAR129=(VAR113==VAR33); assign VAR49=(VAR113==VAR59); assign VAR5=(VAR113==VAR74); assign VAR37=(VAR113==VAR81); assign VAR26=(VAR113==VAR28); assign VAR106=(VAR113==VAR133); assign VAR38=(VAR113==VAR10); assign VAR124=(VAR113==VAR23); assign VAR87=(VAR113==VAR104); assign VAR20=(VAR113==VAR130); assign VAR61=(VAR113==VAR116); assign VAR47=(VAR113==VAR119); assign VAR1=(VAR113==VAR122); assign VAR103=(VAR113==VAR131); assign VAR55=VAR103&(VAR89[VAR71]==VAR65); assign VAR123=VAR103&(VAR89[VAR71]==VAR126); assign VAR66=(VAR113==VAR72); assign VAR108=(VAR113==VAR16); assign VAR110=(VAR113==VAR15); assign VAR134=(VAR113==VAR102); assign VAR111=VAR45&(VAR132==VAR21); assign VAR109=VAR45&(VAR132==VAR56); assign VAR86=VAR45&(VAR132==VAR14); assign VAR94=VAR45&(VAR132==VAR54); assign VAR27=VAR45&(VAR132==VAR18); assign VAR69=VAR45&(VAR132==VAR118); assign VAR7=(VAR113==VAR80); assign VAR82=VAR7&(VAR89[VAR44]==VAR96); assign VAR40=VAR7&(VAR89[VAR44]==VAR137); assign VAR99=VAR7&(VAR132==VAR68); endmodule module MODULE1 (VAR89,VAR60,VAR84,VAR2,VAR39,VAR25,VAR24,VAR83,VAR29,VAR30,VAR70,VAR77); input [31:0] VAR89; output VAR60,VAR84,VAR2,VAR39,VAR25,VAR24,VAR83,VAR29,VAR30; output VAR70,VAR77; wire VAR22; MODULE2 MODULE1(VAR89,VAR22); assign VAR60=VAR98|VAR58|VAR57|VAR90|VAR101|VAR69|VAR27|VAR109; assign VAR84=VAR136|VAR94|VAR86; assign VAR2=VAR17; assign VAR39=VAR3; assign VAR24=VAR20|VAR61; assign VAR25=VAR32; assign VAR83=VAR111|VAR109; assign VAR29=VAR134; assign VAR70=VAR82; assign VAR77=VAR40; assign VAR30=VAR58|VAR57|VAR90|VAR101|VAR69|VAR27|VAR94|VAR86; endmodule
mit
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_bram_7vx.v
10,483
module MODULE1 #( parameter VAR40 = "VAR71", parameter VAR74 = "VAR81", parameter VAR39 = "500 VAR48", parameter VAR7 = "16KB" ) ( input VAR30, input VAR70, input [8:0] VAR91, input [127:0] VAR17, input [15:0] VAR78, input VAR64, input VAR72, output [127:0] VAR16, output [15:0] VAR63, input [8:0] VAR93, input [8:0] VAR86, input [127:0] VAR14, input [15:0] VAR66, input VAR88, input VAR1, input VAR85, input VAR18, input [8:0] VAR6, input [8:0] VAR61, output [127:0] VAR75, output [15:0] VAR69, input VAR57, input VAR10, input VAR29, input VAR24, input [9:0] VAR34, input [9:0] VAR94, input [9:0] VAR4, input [9:0] VAR50, input [127:0] VAR20, input [15:0] VAR31, input VAR49, input VAR51, input VAR60, input VAR35, input VAR62, input VAR32, input VAR15, input VAR87, input [9:0] VAR77, input [9:0] VAR89, input [9:0] VAR45, input [9:0] VAR9, output [127:0] VAR3, output [15:0] VAR56, input VAR2, input VAR8, input VAR42, input VAR37, input VAR83, input VAR58, input VAR55, input VAR84 ); VAR12 # ( .VAR40(VAR40), .VAR74(VAR74), .VAR39(VAR39), .VAR7(VAR7) ) VAR95 ( .VAR30 (VAR30), .VAR70 (VAR70), .VAR90 (VAR91[8:0]), .VAR92 (VAR17[127:0]), .VAR27 (VAR78[15:0]), .VAR44 (VAR64), .VAR59 (VAR72), .VAR79 (VAR16[127:0]), .VAR54 (VAR63[15:0]) ); VAR36 # ( .VAR40(VAR40), .VAR74(VAR74), .VAR39(VAR39), .VAR7(VAR7) ) VAR28 ( .VAR30 (VAR30), .VAR70 (VAR70), .VAR76 (VAR93[8:0]), .VAR13 (VAR86[8:0]), .VAR92 (VAR14[127:0]), .VAR27 (VAR66[15:0]), .VAR44 (VAR88), .VAR59 (VAR1), .VAR23 (VAR85), .VAR38 (VAR18), .VAR25 (VAR6[8:0]), .VAR33 (VAR61[8:0]), .VAR79 (VAR75[127:0]), .VAR54 (VAR69[15:0]), .VAR26 (VAR57), .VAR73 (VAR10), .VAR11 (VAR29), .VAR80 (VAR24) ); VAR5 # ( .VAR40(VAR40), .VAR74(VAR74), .VAR39(VAR39), .VAR7(VAR7) ) VAR22 ( .VAR30 (VAR30), .VAR70 (VAR70), .VAR76 (VAR34[9:0]), .VAR13 (VAR94[9:0]), .VAR47 (VAR4[9:0]), .VAR82 (VAR50[9:0]), .VAR92 (VAR20[127:0]), .VAR27 (VAR31[15:0]), .VAR44 (VAR49), .VAR59 (VAR51), .VAR23 (VAR60), .VAR38 (VAR35), .VAR41 (VAR62), .VAR52 (VAR32), .VAR67 (VAR15), .VAR21 (VAR87), .VAR25 (VAR77[9:0]), .VAR33 (VAR89[9:0]), .VAR65 (VAR45[9:0]), .VAR19 (VAR9[9:0]), .VAR79 (VAR3[127:0]), .VAR54 (VAR56[15:0]), .VAR26 (VAR2), .VAR73 (VAR8), .VAR11 (VAR42), .VAR80 (VAR37), .VAR53 (VAR83), .VAR68 (VAR58), .VAR46 (VAR55), .VAR43 (VAR84) ); endmodule
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/Video_System/submodules/altera_up_video_clipper_counters.v
8,298
module MODULE1 ( clk, reset, VAR17, VAR7, VAR4, VAR18, VAR14, VAR2 ); parameter VAR16 = 640; parameter VAR6 = 480; parameter VAR11 = 9; parameter VAR3 = 8; parameter VAR1 = 0; parameter VAR15 = 0; parameter VAR13 = 0; parameter VAR5 = 0; input clk; input reset; input VAR17; output VAR7; output VAR4; output VAR18; output VAR14; output VAR2; reg [VAR11: 0] VAR8; reg [VAR3: 0] VAR12; reg VAR9; reg VAR10; always @(posedge clk) begin if (reset) VAR8 <= 'h0; end else if (VAR17 & (VAR8 == (VAR16 - 1))) VAR8 <= 'h0; else if (VAR17) VAR8 <= VAR8 + 1; end always @(posedge clk) begin if (reset) VAR12 <= 'h0; end else if (VAR17 & (VAR8 == (VAR16 - 1))) begin if (VAR12 == (VAR6 - 1)) VAR12 <= 'h0; end else VAR12 <= VAR12 + 1; end end always @(posedge clk) begin if (reset) VAR9 <= (VAR1 == 0); end else if (VAR17) begin if (VAR8 == (VAR16 - 1)) VAR9 <= (VAR1 == 0); end else if (VAR8 == (VAR16 - VAR15 - 1)) VAR9 <= 1'b0; else if (VAR8 == (VAR1 - 1)) VAR9 <= 1'b1; end end always @(posedge clk) begin if (reset) VAR10 <= (VAR13 == 0); end else if (VAR17 & (VAR8 == (VAR16 - 1))) begin if (VAR12 == (VAR6 - 1)) VAR10 <= (VAR13 == 0); end else if (VAR12 == (VAR6 - VAR5 - 1)) VAR10 <= 1'b0; else if (VAR12 == (VAR13 - 1)) VAR10 <= 1'b1; end end assign VAR7 = (VAR8 == 'h0) & (VAR12 == 'h0); assign VAR4 = (VAR8 == (VAR16 - 1)) & (VAR12 == (VAR6 - 1)); assign VAR18 = (VAR8 == VAR1) & (VAR12 == VAR13); assign VAR14 = (VAR8 == (VAR16 - VAR15 - 1)) & (VAR12 == (VAR6 - VAR5 - 1)); assign VAR2 = VAR9 & VAR10; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a32o/sky130_fd_sc_hdll__a32o.functional.pp.v
2,246
module MODULE1 ( VAR10 , VAR2 , VAR16 , VAR8 , VAR11 , VAR6 , VAR20, VAR18, VAR5 , VAR19 ); output VAR10 ; input VAR2 ; input VAR16 ; input VAR8 ; input VAR11 ; input VAR6 ; input VAR20; input VAR18; input VAR5 ; input VAR19 ; wire VAR3 ; wire VAR4 ; wire VAR13 ; wire VAR12; and VAR9 (VAR3 , VAR8, VAR2, VAR16 ); and VAR14 (VAR4 , VAR11, VAR6 ); or VAR7 (VAR13 , VAR4, VAR3 ); VAR17 VAR15 (VAR12, VAR13, VAR20, VAR18); buf VAR1 (VAR10 , VAR12 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_clk_cl_ddr_ddr.v
5,287
module MODULE1 ( VAR7, VAR15, VAR4, VAR14, VAR6, VAR5, VAR3, VAR11, VAR9, VAR12, VAR10, VAR13, VAR2, VAR16 ); output VAR14; output VAR4; output VAR15; output VAR7; input VAR16 ; input VAR2; input VAR10; input VAR13; input VAR12; input [1:0] VAR9; input VAR11; input VAR3; input VAR5; input VAR6; VAR8 VAR17 ( .VAR4 (VAR4), .VAR14 (VAR14), .VAR15 (VAR15), .VAR7 (VAR7), .VAR9 (VAR9[0]), .VAR12 (VAR12), .VAR10 (VAR10), .VAR3 (VAR3), .VAR2 (VAR2), .VAR11 (VAR11), .VAR6 (VAR6), .VAR5 (VAR5)); VAR8 VAR1 ( .VAR4 (), .VAR14 (), .VAR15 (VAR15), .VAR7 (), .VAR9 (VAR9[1]), .VAR12 (VAR12), .VAR10 (VAR13), .VAR3 (1'b1), .VAR2 (1'b1), .VAR11 (1'b1), .VAR6 (1'b1), .VAR5 (1'b0)); endmodule
gpl-2.0
fpgasystems/caribou
hw/src/net/ddr3_dual_inf.v
101,005
module MODULE1 # ( parameter VAR31 = 3, parameter VAR196 = 1, parameter VAR28 = 10, parameter VAR313 = 1, parameter VAR433 = 1, parameter VAR237 = 1, parameter VAR142 = 5, parameter VAR296 = 6, parameter VAR20 = 8, parameter VAR395 = 8, parameter VAR383 = 64, parameter VAR242 = 8, parameter VAR190 = 3, parameter VAR423 = 8, parameter VAR111 = "VAR437", parameter VAR267 = 4, parameter VAR61 = 1, parameter VAR208 = 1, parameter VAR317 = 16, parameter VAR48 = 30, parameter VAR21 = 1, parameter VAR261 = 1, parameter VAR394 = 1, parameter VAR219 = 1, parameter VAR482 = "4Gb", parameter VAR351 = "107E", parameter VAR310 = 8, parameter VAR420 = "0", parameter VAR84 = 0, parameter VAR439 = "8", parameter VAR352 = "VAR169", parameter VAR138 = 13, parameter VAR464 = 9, parameter VAR171 = "VAR180", parameter VAR338 = "60", parameter VAR378 = "VAR437", parameter VAR94 = "1T" , parameter VAR163 = "VAR437", parameter VAR87 = "VAR437", parameter VAR477 = "150", parameter VAR131 = 4288, parameter VAR410 = 8, parameter VAR282 = 1, parameter VAR486 = 337.5, parameter VAR8 = 2, parameter VAR427 = 2, parameter VAR462 = 32, parameter VAR382 = 8, parameter VAR57 = 5000, parameter VAR301 = 25000, parameter VAR159 = 34000, parameter VAR400 = 13910, parameter VAR253 = 7800000, parameter VAR449 = 300000, parameter VAR328 = 13910, parameter VAR5 = 5000, parameter VAR475 = 7500, parameter VAR392 = 7500, parameter VAR167 = 128000000, parameter VAR90 = 64, parameter VAR386 = "VAR437", parameter VAR133 = "VAR422", parameter VAR223 = 4'b1111, parameter VAR335 = 4'b1110, parameter VAR244 = 4'b1111, parameter VAR456 = 4'b0000, parameter VAR445 = 4'b0000, parameter VAR248 = 4'b1111, parameter VAR419 = 4'b0000, parameter VAR137 = 4'b1111, parameter VAR440 = 4'b0000, parameter VAR45 = 4'b0000, parameter VAR353 = 48'h3FE1FF1FF2FF, parameter VAR183 = 48'hFFEFF0CB4000, parameter VAR147 = 48'h3FE3FE3BF2FF, parameter VAR165 = 144'h000000000000000000000000000000000012, parameter VAR53 = 192'h12612713213613513313912413112913713413A12813813B, parameter VAR26 = 36'h12512A12B, parameter VAR33 = 12'h115, parameter VAR38 = 8'h00, parameter VAR333 = 96'h000000000000000000000117, parameter VAR492 = 96'h000000000000000000000112, parameter VAR272 = 120'h000000000000000000000000000114, parameter VAR1 = 12'h000, parameter VAR295 = 12'h11A, parameter VAR224 = 12'h11B, parameter VAR110 = 144'h000000000000000000002021222303020100, parameter VAR384 = 96'h009000003001007006005002, parameter VAR370 = 96'h014018010011017016012013, parameter VAR430 = 96'h021022025020027023026028, parameter VAR213 = 96'h033039031035032038034037, parameter VAR490 = 96'h231238237236233232234239, parameter VAR259 = 96'h226227225229221222224228, parameter VAR37 = 96'h214215210218217213219212, parameter VAR157 = 96'h207203204206202201205209, parameter VAR465 = 96'h000000000000000000000000, parameter VAR256 = 96'h000000000000000000000000, parameter VAR115 = 96'h000000000000000000000000, parameter VAR369 = 96'h000000000000000000000000, parameter VAR473 = 96'h000000000000000000000000, parameter VAR144 = 96'h000000000000000000000000, parameter VAR309 = 96'h000000000000000000000000, parameter VAR489 = 96'h000000000000000000000000, parameter VAR372 = 96'h000000000000000000000000, parameter VAR27 = 96'h000000000000000000000000, parameter VAR113 = 108'h000200211223235036024015004, parameter VAR43 = 108'h000000000000000000000000000, parameter VAR173 = 8'b00000001, parameter VAR160 = 8'b00000000, parameter VAR401 = "VAR437", parameter VAR476 = "VAR198", parameter VAR18 = "VAR283", parameter VAR17 = "VAR243", parameter VAR36 = "VAR422", parameter VAR166 = "VAR437", parameter VAR148 = "VAR198", parameter VAR54 = "VAR228", parameter VAR118 = 16'h0000, parameter VAR271 = 12'h000, parameter VAR116 = 3'h0, parameter VAR79 = 100, parameter VAR195 = "VAR72", parameter VAR235 = "VAR42", parameter VAR82 = "VAR42", parameter VAR330 = "VAR422", parameter VAR51 = "VAR220", parameter VAR278 = "VAR474", parameter VAR379 = 2, parameter VAR388 = 200.0, parameter VAR416 = "VAR375", parameter VAR239 = 1177, parameter VAR187 = 4, parameter VAR127 = "VAR375", parameter VAR186 = "VAR422", parameter VAR446 = 4, parameter VAR463 = "4294967296", parameter VAR407 = 32, parameter VAR275 = 512, parameter VAR246 = 4, parameter VAR9 = 1, parameter VAR484 = "VAR435", parameter VAR128 = 20'h00000, parameter VAR130 = 20'h00000, parameter VAR393 = 32, parameter VAR143 = 32, parameter VAR78 = 32'h00000000, parameter VAR19 = 1, parameter VAR10 = 8, parameter VAR357 = "VAR437", parameter VAR191 = "VAR347", parameter VAR140 = 3, parameter VAR454 = 1, parameter VAR76 = 10, parameter VAR129 = 1, parameter VAR348 = 1, parameter VAR139 = 1, parameter VAR452 = 5, parameter VAR240 = 6, parameter VAR406 = 8, parameter VAR381 = 8, parameter VAR207 = 64, parameter VAR199 = 8, parameter VAR397 = 3, parameter VAR154 = 8, parameter VAR217 = "VAR437", parameter VAR91 = 4, parameter VAR135 = 1, parameter VAR120 = 1, parameter VAR62 = 16, parameter VAR315 = 30, parameter VAR304 = 1, parameter VAR402 = 1, parameter VAR188 = 1, parameter VAR299 = 1, parameter VAR441 = "4Gb", parameter VAR197 = "107E", parameter VAR361 = 8, parameter VAR34 = "0", parameter VAR179 = 0, parameter VAR44 = "8", parameter VAR245 = "VAR169", parameter VAR205 = 13, parameter VAR318 = 9, parameter VAR66 = "VAR180", parameter VAR136 = "60", parameter VAR331 = "VAR437", parameter VAR284 = "1T" , parameter VAR468 = "VAR437", parameter VAR450 = "VAR437", parameter VAR221 = "150", parameter VAR306 = 4288, parameter VAR342 = 8, parameter VAR24 = 1, parameter VAR227 = 337.5, parameter VAR122 = 2, parameter VAR126 = 2, parameter VAR7 = 32, parameter VAR103 = 8, parameter VAR161 = 5000, parameter VAR428 = 25000, parameter VAR162 = 34000, parameter VAR32 = 13910, parameter VAR424 = 7800000, parameter VAR56 = 300000, parameter VAR69 = 13910, parameter VAR438 = 5000, parameter VAR312 = 7500, parameter VAR470 = 7500, parameter VAR93 = 128000000, parameter VAR453 = 64, parameter VAR268 = "VAR437", parameter VAR11 = "VAR422", parameter VAR206 = 4'b1111, parameter VAR121 = 4'b1110, parameter VAR211 = 4'b1111, parameter VAR46 = 4'b0000, parameter VAR41 = 4'b0000, parameter VAR291 = 4'b1111, parameter VAR254 = 4'b0000, parameter VAR425 = 4'b1111, parameter VAR204 = 4'b0000, parameter VAR488 = 4'b0000, parameter VAR350 = 48'h3FE3FE3FE2FF, parameter VAR436 = 48'hFFEFF0C6A000, parameter VAR411 = 48'h3FE3FE3FE2FF, parameter VAR311 = 144'h000000000000000000000000000000000012, parameter VAR418 = 192'h13913813713613B13A13513413313213112912812712612B, parameter VAR455 = 36'h12A125124, parameter VAR85 = 12'h115, parameter VAR52 = 8'h00, parameter VAR233 = 96'h000000000000000000000116, parameter VAR71 = 96'h000000000000000000000111, parameter VAR30 = 120'h000000000000000000000000000113, parameter VAR281 = 12'h000, parameter VAR25 = 12'h11A, parameter VAR340 = 12'h11B, parameter VAR132 = 144'h000000000000000000002223212000010302, parameter VAR289 = 96'h024025027026023022029028, parameter VAR59 = 96'h036037032033034035039038, parameter VAR493 = 96'h014015018019013012017016, parameter VAR396 = 96'h003002006007005009004001, parameter VAR226 = 96'h201206204207202203209205, parameter VAR4 = 96'h215214216217219213218212, parameter VAR12 = 96'h236235233239234237238232, parameter VAR403 = 96'h225226228229224227222223, parameter VAR485 = 96'h000000000000000000000000, parameter VAR255 = 96'h000000000000000000000000, parameter VAR442 = 96'h000000000000000000000000, parameter VAR229 = 96'h000000000000000000000000, parameter VAR64 = 96'h000000000000000000000000, parameter VAR460 = 96'h000000000000000000000000, parameter VAR479 = 96'h000000000000000000000000, parameter VAR222 = 96'h000000000000000000000000, parameter VAR107 = 96'h000000000000000000000000, parameter VAR151 = 96'h000000000000000000000000, parameter VAR109 = 108'h000221231211200000011031021, parameter VAR218 = 108'h000000000000000000000000000, parameter VAR354 = 8'b00000001, parameter VAR185 = 8'b00000000, parameter VAR368 = "VAR437", parameter VAR3 = "VAR198", parameter VAR320 = "VAR283", parameter VAR329 = "VAR243", parameter VAR292 = "VAR422", parameter VAR177 = "VAR437", parameter VAR399 = "VAR198", parameter VAR73 = "VAR228", parameter VAR323 = 16'h0000, parameter VAR13 = 12'h000, parameter VAR35 = 3'h0, parameter VAR124 = 100, parameter VAR377 = 1177, parameter VAR360 = 4, parameter VAR459 = "VAR375", parameter VAR168 = "VAR422", parameter VAR415 = 4, parameter VAR389 = "4294967296", parameter VAR380 = 32, parameter VAR398 = 512, parameter VAR75 = 4, parameter VAR192 = 1, parameter VAR47 = "VAR435", parameter VAR252 = 20'h00000, parameter VAR305 = 20'h00000, parameter VAR58 = 32, parameter VAR105 = 32, parameter VAR202 = 32'h00000000, parameter VAR236 = 1, parameter VAR391 = 8, parameter VAR376 = "VAR437", parameter VAR96 = "VAR347", parameter VAR345 = 1 ) ( inout [VAR383-1:0] VAR339, inout [VAR242-1:0] VAR288, inout [VAR242-1:0] VAR343, output [VAR317-1:0] VAR214, output [VAR31-1:0] VAR290, output VAR443, output VAR466, output VAR125, output VAR117, output [VAR196-1:0] VAR308, output [VAR196-1:0] VAR294, output [VAR237-1:0] VAR212, output [VAR313*VAR433-1:0] VAR344, output [VAR395-1:0] VAR92, output [VAR208-1:0] VAR247, input VAR274, input VAR97, input [11:0] VAR2, inout [VAR207-1:0] VAR447, inout [VAR199-1:0] VAR334, inout [VAR199-1:0] VAR181, output [VAR62-1:0] VAR434, output [VAR140-1:0] VAR250, output VAR68, output VAR300, output VAR412, output VAR225, output [VAR454-1:0] VAR264, output [VAR454-1:0] VAR364, output [VAR139-1:0] VAR60, output [VAR129*VAR348-1:0] VAR387, output [VAR381-1:0] VAR356, output [VAR120-1:0] VAR367, input VAR176, input VAR265, output VAR210, output VAR184, output VAR262, input VAR363, input [VAR446-1:0] VAR405, input [VAR407-1:0] VAR67, input [7:0] VAR432, input [2:0] VAR15, input [1:0] VAR469, input [0:0] VAR448, input [3:0] VAR373, input [2:0] VAR346, input VAR230, output VAR146, input [VAR275-1:0] VAR260, input [VAR275/8-1:0] VAR193, input VAR414, input VAR322, output VAR251, input VAR270, output [VAR446-1:0] VAR200, output [1:0] VAR287, output VAR276, input [VAR446-1:0] VAR359, input [VAR407-1:0] VAR481, input [7:0] VAR374, input [2:0] VAR194, input [1:0] VAR65, input [0:0] VAR325, input [3:0] VAR172, input [2:0] VAR355, input VAR145, output VAR390, input VAR483, output [VAR446-1:0] VAR429, output [VAR275-1:0] VAR279, output [1:0] VAR269, output VAR77, output VAR408, output VAR314, output VAR50, output VAR88, output VAR298, input VAR83, input [VAR446-1:0] VAR112, input [VAR407-1:0] VAR99, input [7:0] VAR114, input [2:0] VAR14, input [1:0] VAR101, input [0:0] VAR385, input [3:0] VAR178, input [2:0] VAR321, input VAR209, output VAR153, input [VAR275-1:0] VAR100, input [VAR275/8-1:0] VAR189, input VAR70, input VAR102, output VAR39, input VAR158, output [VAR446-1:0] VAR404, output [1:0] VAR472, output VAR89, input [VAR446-1:0] VAR23, input [VAR407-1:0] VAR201, input [7:0] VAR95, input [2:0] VAR152, input [1:0] VAR371, input [0:0] VAR332, input [3:0] VAR234, input [2:0] VAR293, input VAR175, output VAR258, input VAR421, output [VAR446-1:0] VAR336, output [VAR275-1:0] VAR108, output [1:0] VAR123, output VAR263, output VAR203, output VAR156 ); function integer VAR106 (input integer VAR174); begin VAR174 = VAR174 - 1; for (VAR106=1; VAR174>1; VAR106=VAR106+1) VAR174 = VAR174 >> 1; end endfunction function integer VAR215; input [7:0] in; begin if(in == "8") VAR215 = 8; end else if(in == "4") VAR215 = 4; else VAR215 = 0; end endfunction localparam VAR74 = "VAR198"; localparam VAR141 = 64; localparam VAR80 = (VAR111 == "VAR437")? 0 : (VAR141 <= 4)? 4 : (VAR141 <= 10)? 5 : (VAR141 <= 26)? 6 : (VAR141 <= 57)? 7 : (VAR141 <= 120)? 8 : (VAR141 <= 247)? 9 : 10; localparam VAR461 = "VAR437"; localparam VAR426 = VAR106(VAR61); localparam VAR40 = 1; localparam VAR155 = ((VAR313 == 1) ? 0 : VAR426) + VAR31 + VAR317 + VAR28 + VAR40; localparam VAR238 = 1000000; localparam VAR444 = (VAR461 == "VAR437") ? VAR141 : VAR383; localparam VAR231 = VAR215(VAR439); localparam VAR303 = 2 * VAR187 * VAR444; localparam VAR29 = VAR303 / 8; localparam VAR104 = ((VAR313 == 1) ? 0 : VAR426) + VAR31 + VAR317 + VAR28; localparam VAR232 = VAR141/8; localparam VAR458 = 32; localparam VAR366 = 32; localparam VAR417 = "VAR198"; localparam VAR327 = 64; localparam VAR285 = (VAR217 == "VAR437")? 0 : (VAR327 <= 4)? 4 : (VAR327 <= 10)? 5 : (VAR327 <= 26)? 6 : (VAR327 <= 57)? 7 : (VAR327 <= 120)? 8 : (VAR327 <= 247)? 9 : 10; localparam VAR22 = "VAR437"; localparam VAR81 = VAR106(VAR135); localparam VAR266 = 1; localparam VAR55 = ((VAR129 == 1) ? 0 : VAR81) + VAR140 + VAR62 + VAR76 + VAR266; localparam VAR349 = 1000000; localparam VAR457 = (VAR22 == "VAR437") ? VAR327 : VAR207; localparam VAR6 = VAR215(VAR44); localparam VAR337 = 2 * VAR360 * VAR457; localparam VAR491 = VAR337 / 8; localparam VAR413 = ((VAR129 == 1) ? 0 : VAR81) + VAR140 + VAR62 + VAR76; localparam VAR341 = VAR327/8; localparam VAR307 = 32; localparam VAR362 = 32; VAR478 # ( ) VAR431 ( .VAR214 (VAR214), .VAR290 (VAR290), .VAR466 (VAR466), .VAR294 (VAR294), .VAR308 (VAR308), .VAR212 (VAR212), .VAR443 (VAR443), .VAR117 (VAR117), .VAR125 (VAR125), .VAR339 (VAR339), .VAR288 (VAR288), .VAR343 (VAR343), .VAR314 (VAR314), .VAR344 (VAR344), .VAR92 (VAR92), .VAR247 (VAR247), .VAR210 (VAR210), .VAR184 (VAR184), .VAR262 (VAR262), .VAR363 (VAR363), .VAR134 (1'b0), .VAR98 (1'b0), .VAR277 (1'b0), .VAR467 (), .VAR257 (), .VAR319 (), .VAR405 (VAR405), .VAR67 (VAR67), .VAR432 (VAR432), .VAR15 (VAR15), .VAR469 (VAR469), .VAR448 (VAR448), .VAR373 (VAR373), .VAR346 (VAR346), .VAR241 (4'h0), .VAR230 (VAR230), .VAR146 (VAR146), .VAR260 (VAR260), .VAR193 (VAR193), .VAR414 (VAR414), .VAR322 (VAR322), .VAR251 (VAR251), .VAR200 (VAR200), .VAR287 (VAR287), .VAR276 (VAR276), .VAR270 (VAR270), .VAR359 (VAR359), .VAR481 (VAR481), .VAR374 (VAR374), .VAR194 (VAR194), .VAR65 (VAR65), .VAR325 (VAR325), .VAR172 (VAR172), .VAR355 (VAR355), .VAR170 (4'h0), .VAR145 (VAR145), .VAR390 (VAR390), .VAR429 (VAR429), .VAR279 (VAR279), .VAR269 (VAR269), .VAR77 (VAR77), .VAR408 (VAR408), .VAR483 (VAR483), .VAR274 (VAR274), .VAR97 (VAR97), .VAR434 (VAR434), .VAR250 (VAR250), .VAR300 (VAR300), .VAR364 (VAR364), .VAR264 (VAR264), .VAR60 (VAR60), .VAR68 (VAR68), .VAR225 (VAR225), .VAR412 (VAR412), .VAR447 (VAR447), .VAR334 (VAR334), .VAR181 (VAR181), .VAR156 (VAR156), .VAR387 (VAR387), .VAR356 (VAR356), .VAR367 (VAR367), .VAR50 (VAR50), .VAR88 (VAR88), .VAR298 (VAR298), .VAR83 (VAR83), .VAR150 (1'b0), .VAR164 (1'b0), .VAR216 (1'b0), .VAR49 (), .VAR365 (), .VAR316 (), .VAR112 (VAR112), .VAR99 (VAR99), .VAR114 (VAR114), .VAR14 (VAR14), .VAR101 (VAR101), .VAR385 (VAR385), .VAR178 (VAR178), .VAR321 (VAR321), .VAR487 (4'h0), .VAR209 (VAR209), .VAR153 (VAR153), .VAR100 (VAR100), .VAR189 (VAR189), .VAR70 (VAR70), .VAR102 (VAR102), .VAR39 (VAR39), .VAR404 (VAR404), .VAR472 (VAR472), .VAR89 (VAR89), .VAR158 (VAR158), .VAR23 (VAR23), .VAR201 (VAR201), .VAR95 (VAR95), .VAR152 (VAR152), .VAR371 (VAR371), .VAR332 (VAR332), .VAR234 (VAR234), .VAR293 (VAR293), .VAR409 (4'h0), .VAR175 (VAR175), .VAR258 (VAR258), .VAR336 (VAR336), .VAR108 (VAR108), .VAR123 (VAR123), .VAR263 (VAR263), .VAR203 (VAR203), .VAR421 (VAR421), .VAR176 (VAR176), .VAR265 (VAR265) ); assign VAR451 = 'b0; assign VAR86 = 'b0; assign VAR480 = 'b0; assign VAR119 = 'b0; assign VAR286 = 'b0; assign VAR63 = 'b0; assign VAR324 = 'b0; assign VAR280 = 'b0; assign VAR16 = 'b0; assign VAR249 = 'b0; assign VAR326 = 'b0; assign VAR297 = 'b0; assign VAR149 = 'b0; assign VAR471 = 'b0; assign VAR182 = 'b0; assign VAR302 = 'b0; assign VAR358 = 'b0; assign VAR273 = 'b0; endmodule
gpl-3.0
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/cpu/apu/apu_div.v
3,010
module MODULE1 parameter VAR6 = 16 ) ( input VAR5, input VAR2, input VAR7, input VAR3, input [VAR6-1:0] VAR4, output VAR8 ); reg [VAR6-1:0] VAR1; wire [VAR6-1:0] VAR9; always @(posedge VAR5) begin if (VAR2) VAR1 <= 0; end else VAR1 <= VAR9; end assign VAR9 = (VAR3 || (VAR7 && (VAR1 == 0))) ? VAR4 : (VAR7) ? VAR1 - 1'h1 : VAR1; assign VAR8 = VAR7 && (VAR1 == 0); endmodule
mit
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/routing_buffer.v
1,866
module MODULE1(VAR8, VAR4, VAR6, VAR1, VAR7, VAR3, VAR5, VAR10); parameter VAR11 = 32; parameter VAR9 = 0; input VAR8, VAR4; input [VAR11-1:0] VAR6; input VAR1; output reg VAR7; output reg [VAR11-1:0] VAR3; input VAR5; output reg VAR10; generate if (VAR9) begin always @ (negedge VAR4 or posedge VAR8) begin if (~VAR4) begin VAR7 <= 1'b0; VAR10 <= 1'b0; VAR3 <= 'VAR2; end else begin VAR7 <= VAR5; VAR10 <= VAR1; VAR3 <= VAR6; end end end else begin always @ (*) begin VAR7 <= VAR5; VAR10 <= VAR1; VAR3 <= VAR6; end end endgenerate endmodule
mit
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_015bits.v
1,917
module MODULE2 ( clk, VAR12, VAR14, VAR6, VAR5, VAR17, VAR9, VAR8, VAR1, sum, ); input clk; input [VAR30+0-1:0] VAR12, VAR14, VAR6, VAR5, VAR17, VAR9, VAR8, VAR1; output [VAR30 :0] sum; reg [VAR30 :0] sum; wire [VAR30+3-1:0] VAR21; wire [VAR30+2-1:0] VAR32, VAR3; wire [VAR30+1-1:0] VAR18, VAR29, VAR20, VAR10; reg [VAR30+0-1:0] VAR27, VAR34, VAR24, VAR15, VAR23, VAR13, VAR25, VAR33; MODULE1 VAR22(VAR32, VAR3, VAR21 ); MODULE1 VAR19(VAR18, VAR29, VAR32 ); MODULE1 VAR7(VAR20, VAR10, VAR3 ); MODULE1 VAR4(VAR27, VAR34, VAR18); MODULE1 VAR31(VAR24, VAR15, VAR29); MODULE1 VAR16(VAR23, VAR13, VAR20); MODULE1 VAR2(VAR25, VAR33, VAR10); always @(posedge clk) begin VAR27 <= VAR12; VAR34 <= VAR14; VAR24 <= VAR6; VAR15 <= VAR5; VAR23 <= VAR17; VAR13 <= VAR9; VAR25 <= VAR8; VAR33 <= VAR1; sum <= VAR21; sum <= VAR32; end endmodule module MODULE1(VAR11,VAR28,sum); parameter VAR26 = 0; input [VAR30+VAR26-1:0] VAR11; input [VAR30+VAR26-1:0] VAR28; output [VAR30+VAR26:0] sum; assign sum = VAR11 + VAR28; endmodule
mit
camsoupa/cc3000
cc3000fpga/component/work/cc3000fpga_MSS/cc3000fpga_MSS.v
23,981
module MODULE1( VAR154, VAR167, VAR214, VAR82, VAR229, VAR187, VAR225, VAR90, VAR139, VAR89, VAR300, VAR122, VAR344, VAR355, VAR30, VAR320, VAR239, VAR292, VAR98, VAR39, VAR200, VAR72, VAR343, VAR171, VAR145 ); input VAR154; input [31:0] VAR167; input VAR214; input VAR82; input VAR229; input VAR187; input VAR225; input VAR90; output VAR139; output VAR89; output VAR300; output VAR122; output VAR344; output VAR355; output VAR30; output [19:0] VAR320; output VAR239; output VAR292; output [31:0] VAR98; output VAR39; output VAR200; output VAR72; output VAR343; inout VAR171; inout VAR145; wire VAR154; wire VAR20; wire VAR81; wire VAR15; wire VAR33; wire VAR190; wire VAR21; wire VAR319; wire [4:4] VAR119; wire VAR251; wire VAR229; wire VAR228; wire VAR296; wire VAR42; wire VAR307; wire VAR280; wire [0:0] VAR275; wire VAR216; wire VAR44; wire VAR112; wire VAR198; wire VAR212; wire VAR302; wire [0:0] VAR99; wire [1:1] VAR218; wire [3:3] VAR57; wire [9:9] VAR152; wire VAR222; wire VAR105; wire VAR346; wire VAR155; wire VAR40; wire [19:0] VAR68; wire VAR244; wire [31:0] VAR167; wire VAR214; wire VAR158; wire VAR82; wire [31:0] VAR32; wire VAR315; wire VAR266; wire VAR171; wire VAR187; wire VAR92; wire VAR145; wire VAR225; wire VAR49; wire VAR90; wire VAR142; wire VAR29; wire VAR88; wire VAR22; wire VAR226; wire VAR3; wire VAR127; wire VAR149; wire VAR350; wire VAR118; wire [19:0] VAR326; wire [31:0] VAR133; wire VAR156; wire VAR103; wire VAR108; wire VAR165; wire [31:0] VAR150; wire [31:0] VAR109; wire [7:0] VAR161; wire VAR148; wire VAR69; wire [1:0] VAR256; wire [1:0] VAR117; wire [1:0] VAR338; wire [15:0] VAR179; wire [31:0] VAR236; wire [31:0] VAR213; assign VAR148 = 1'b0; assign VAR69 = 1'b1; assign VAR256 = 2'h0; assign VAR117 = 2'h0; assign VAR338 = 2'h0; assign VAR179 = 16'h0000; assign VAR236 = 32'h00000000; assign VAR213 = 32'h00000000; assign VAR29 = VAR105; assign VAR122 = VAR29; assign VAR88 = VAR222; assign VAR300 = VAR88; assign VAR22 = VAR21; assign VAR139 = VAR22; assign VAR226 = VAR40; assign VAR30 = VAR226; assign VAR3 = VAR158; assign VAR292 = VAR3; assign VAR127 = VAR244; assign VAR239 = VAR127; assign VAR149 = VAR315; assign VAR39 = VAR149; assign VAR350 = VAR346; assign VAR344 = VAR350; assign VAR118 = VAR155; assign VAR355 = VAR118; assign VAR326 = VAR68; assign VAR320[19:0] = VAR326; assign VAR133 = VAR32; assign VAR98[31:0] = VAR133; assign VAR156 = VAR49; assign VAR72 = VAR156; assign VAR103 = VAR142; assign VAR343 = VAR103; assign VAR108 = VAR92; assign VAR200 = VAR108; assign VAR165 = VAR266; assign VAR89 = VAR165; assign VAR119[4] = VAR109[4:4]; assign VAR275[0] = VAR161[0:0]; assign VAR99[0] = VAR109[0:0]; assign VAR218[1] = VAR109[1:1]; assign VAR57[3] = VAR109[3:3]; assign VAR152[9] = VAR109[9:9]; assign VAR150 = { 29'h00000000 , VAR319 , 2'h0 }; VAR26 #( .VAR318 ( 0 ), .VAR253 ( "VAR304" ), .VAR340 ( 100000000 ), .VAR288 ( "VAR255" ) ) VAR137( .VAR167 ( VAR167 ), .VAR214 ( VAR214 ), .VAR82 ( VAR82 ), .VAR153 ( VAR236 ), .VAR245 ( VAR213 ), .VAR335 ( VAR148 ), .VAR173 ( VAR148 ), .VAR129 ( VAR148 ), .VAR327 ( VAR21 ), .VAR113 ( VAR148 ), .VAR19 ( VAR148 ), .VAR306 ( VAR69 ), .VAR270 ( VAR256 ), .VAR325 ( VAR148 ), .VAR232 ( VAR148 ), .VAR66 ( VAR150 ), .VAR317 ( VAR148 ), .VAR261 ( VAR148 ), .VAR9 ( VAR148 ), .VAR310 ( VAR148 ), .VAR159 ( VAR148 ), .VAR163 ( VAR148 ), .VAR247 ( VAR148 ), .VAR305 ( VAR148 ), .VAR83 ( VAR148 ), .VAR281 ( VAR148 ), .VAR277 ( VAR148 ), .VAR246 ( VAR148 ), .VAR352 ( VAR148 ), .VAR333 ( VAR148 ), .VAR138 ( VAR117 ), .VAR164 ( VAR148 ), .VAR263 ( VAR148 ), .VAR61 ( VAR148 ), .VAR301 ( VAR148 ), .VAR34 ( VAR148 ), .VAR265 ( VAR148 ), .VAR123 ( VAR148 ), .VAR58 ( VAR148 ), .VAR272 ( VAR148 ), .VAR267 ( VAR148 ), .VAR104 ( VAR148 ), .VAR116 ( VAR148 ), .VAR195 ( VAR148 ), .VAR329 ( VAR148 ), .VAR215 ( VAR148 ), .VAR357 ( VAR148 ), .VAR101 ( VAR148 ), .VAR23 ( VAR148 ), .VAR262 ( VAR148 ), .VAR189 ( VAR148 ), .VAR94 ( VAR148 ), .VAR283 ( VAR148 ), .VAR199 ( VAR81 ), .VAR234 ( VAR33 ), .VAR24 ( VAR148 ), .VAR51 ( VAR15 ), .VAR202 ( VAR190 ), .VAR324 ( VAR251 ), .VAR284 ( VAR148 ), .VAR10 ( VAR148 ), .VAR211 ( VAR148 ), .VAR225 ( VAR112 ), .VAR336 ( VAR148 ), .VAR227 ( VAR148 ), .VAR187 ( VAR42 ), .VAR176 ( VAR296 ), .VAR180 ( VAR44 ), .VAR90 ( VAR212 ), .VAR286 ( VAR148 ), .VAR231 ( VAR148 ), .VAR339 ( VAR338 ), .VAR84 ( VAR148 ), .VAR102 ( VAR148 ), .VAR38 ( VAR148 ), .VAR191 ( VAR20 ), .VAR186 ( VAR179 ), .VAR309 ( VAR148 ), .VAR224 ( VAR148 ), .VAR107 ( VAR148 ), .VAR169 ( VAR148 ), .VAR341 ( VAR148 ), .VAR144 ( VAR148 ), .VAR322 ( VAR148 ), .VAR63 ( VAR148 ), .VAR76 ( VAR148 ), .VAR299 ( VAR148 ), .VAR276 ( VAR148 ), .VAR252 ( VAR148 ), .VAR46 ( VAR148 ), .VAR331 ( VAR148 ), .VAR351 ( VAR148 ), .VAR353 ( VAR148 ), .VAR290 ( VAR148 ), .VAR14 ( VAR148 ), .VAR115 ( VAR148 ), .VAR258 ( VAR148 ), .VAR73 ( VAR148 ), .VAR293 ( VAR148 ), .VAR62 ( VAR148 ), .VAR210 ( VAR148 ), .VAR192 ( VAR148 ), .VAR166 ( VAR148 ), .VAR170 ( VAR148 ), .VAR238 ( VAR148 ), .VAR249 ( VAR148 ), .VAR250 ( VAR148 ), .VAR297 ( VAR148 ), .VAR314 ( VAR148 ), .VAR70 ( VAR148 ), .VAR361 ( VAR148 ), .VAR59 ( VAR148 ), .VAR182 ( VAR148 ), .VAR337 ( VAR148 ), .VAR141 ( VAR148 ), .VAR185 ( VAR148 ), .VAR110 ( VAR148 ), .VAR181 ( VAR148 ), .VAR12 ( VAR148 ), .VAR183 ( VAR148 ), .VAR294 ( VAR148 ), .VAR320 ( VAR68 ), .VAR98 ( VAR32 ), .VAR39 ( VAR315 ), .VAR292 ( VAR158 ), .VAR239 ( VAR244 ), .VAR85 ( ), .VAR194 ( ), .VAR279 ( ), .VAR36 ( ), .VAR45 ( ), .VAR196 ( ), .VAR27 ( VAR40 ), .VAR348 ( ), .VAR312 ( ), .VAR328 ( ), .VAR233 ( VAR109 ), .VAR356 ( ), .VAR91 ( ), .VAR151 ( ), .VAR106 ( ), .VAR18 ( ), .VAR37 ( ), .VAR11 ( ), .VAR54 ( ), .VAR114 ( ), .VAR349 ( ), .VAR128 ( ), .VAR124 ( ), .VAR86 ( ), .VAR96 ( ), .VAR175 ( ), .VAR287 ( ), .VAR131 ( ), .VAR64 ( ), .VAR97 ( ), .VAR285 ( ), .VAR87 ( ), .VAR259 ( ), .VAR65 ( ), .VAR334 ( ), .VAR230 ( ), .VAR100 ( ), .VAR223 ( ), .VAR55 ( ), .VAR130 ( ), .VAR8 ( ), .VAR168 ( ), .VAR237 ( ), .VAR206 ( ), .VAR67 ( ), .VAR140 ( ), .VAR13 ( ), .VAR143 ( ), .VAR359 ( ), .VAR53 ( ), .VAR208 ( ), .VAR172 ( ), .VAR235 ( ), .VAR78 ( ), .VAR120 ( ), .VAR162 ( ), .VAR273 ( ), .VAR135 ( ), .VAR72 ( VAR198 ), .VAR248 ( ), .VAR323 ( ), .VAR200 ( VAR307 ), .VAR268 ( VAR280 ), .VAR242 ( VAR228 ), .VAR241 ( VAR216 ), .VAR184 ( VAR161 ), .VAR343 ( VAR302 ), .VAR17 ( ), .VAR204 ( ), .VAR7 ( ), .VAR316 ( ), .VAR291 ( ), .VAR31 ( ), .VAR125 ( ), .VAR35 ( VAR20 ), .VAR205 ( ), .VAR298 ( ), .VAR126 ( ), .VAR146 ( ), .VAR43 ( ), .VAR197 ( ), .VAR132 ( ), .VAR1 ( ), .VAR260 ( ), .VAR77 ( ), .VAR60 ( ), .VAR4 ( ), .VAR269 ( ) ); VAR308 VAR193( .VAR243 ( VAR148 ), .VAR75 ( VAR148 ), .VAR47 ( VAR148 ), .VAR358 ( VAR148 ), .VAR28 ( VAR148 ), .VAR220 ( VAR148 ), .VAR264 ( VAR148 ), .VAR354 ( VAR148 ), .VAR74 ( VAR148 ), .VAR209 ( VAR148 ), .VAR48 ( VAR148 ), .VAR201 ( VAR148 ), .VAR282 ( VAR148 ), .VAR360 ( VAR148 ), .VAR51 ( VAR148 ), .VAR157 ( ), .VAR147 ( ), .VAR295 ( ), .VAR139 ( VAR21 ), .VAR95 ( ), .VAR16 ( ), .VAR257 ( ), .VAR203 ( ), .VAR25 ( ), .VAR136 ( ), .VAR342 ( VAR81 ), .VAR2 ( VAR190 ), .VAR234 ( VAR33 ), .VAR332 ( VAR15 ) ); VAR79 #( .VAR318 ( 0 ), .VAR41 ( "VAR121" ) ) VAR5( .VAR266 ( VAR154 ), .VAR134 ( VAR319 ) ); VAR6 #( .VAR318 ( 0 ), .VAR41 ( "VAR188" ) ) VAR50( .VAR274 ( VAR119 ), .VAR266 ( VAR266 ) ); VAR79 #( .VAR318 ( 0 ), .VAR41 ( "VAR303" ) ) VAR207( .VAR266 ( VAR229 ), .VAR134 ( VAR251 ) ); VAR93 #( .VAR318 ( 0 ), .VAR41 ( "VAR80" ) ) VAR71( .VAR274 ( VAR228 ), .VAR221 ( VAR216 ), .VAR134 ( VAR296 ), .VAR266 ( VAR171 ) ); VAR79 #( .VAR318 ( 0 ), .VAR41 ( "VAR289" ) ) VAR347( .VAR266 ( VAR187 ), .VAR134 ( VAR42 ) ); VAR174 #( .VAR318 ( 0 ), .VAR41 ( "VAR52" ) ) VAR330( .VAR274 ( VAR307 ), .VAR221 ( VAR280 ), .VAR266 ( VAR92 ) ); VAR93 #( .VAR318 ( 0 ), .VAR41 ( "VAR178" ) ) VAR111( .VAR274 ( VAR275 ), .VAR221 ( VAR216 ), .VAR134 ( VAR44 ), .VAR266 ( VAR145 ) ); VAR79 #( .VAR318 ( 0 ), .VAR41 ( "VAR177" ) ) VAR217( .VAR266 ( VAR225 ), .VAR134 ( VAR112 ) ); VAR6 #( .VAR318 ( 0 ), .VAR41 ( "VAR219" ) ) VAR311( .VAR274 ( VAR198 ), .VAR266 ( VAR49 ) ); VAR79 #( .VAR318 ( 0 ), .VAR41 ( "VAR321" ) ) VAR254( .VAR266 ( VAR90 ), .VAR134 ( VAR212 ) ); VAR6 #( .VAR318 ( 0 ), .VAR41 ( "VAR278" ) ) VAR313( .VAR274 ( VAR302 ), .VAR266 ( VAR142 ) ); VAR45 VAR345( .VAR160 ( VAR99 ), .VAR134 ( VAR222 ) ); VAR45 VAR56( .VAR160 ( VAR218 ), .VAR134 ( VAR105 ) ); VAR45 VAR271( .VAR160 ( VAR57 ), .VAR134 ( VAR346 ) ); VAR45 VAR240( .VAR160 ( VAR152 ), .VAR134 ( VAR155 ) ); endmodule
mit
f3zz3h/Embedded-Co-Design
ts7300_top_restored/ethernet/eth_wishbone.v
73,003
module MODULE1 ( VAR141, VAR98, VAR18, VAR158, VAR265, VAR145, VAR271, VAR40, VAR71, VAR164, VAR281, VAR187, VAR213, VAR230, VAR279, VAR84, VAR294, VAR200, VAR119, VAR159, VAR182, VAR20, VAR232, VAR69, VAR100, VAR58, VAR292, VAR82, VAR152, VAR221, VAR12, VAR47, VAR140, VAR215, VAR60, VAR4, VAR240, VAR206, VAR284, VAR68, VAR64, VAR214, VAR19, VAR242, VAR114, VAR273, VAR261, VAR41, VAR298, VAR226, VAR53, VAR146, VAR268, VAR128, VAR197, VAR76, VAR24, VAR62, VAR253, VAR234, VAR49, VAR264, VAR243, VAR225 , VAR203, VAR173, VAR87 VAR154 ); parameter VAR257 = 1; input VAR141; input [31:0] VAR98; output [31:0] VAR18; input [9:2] VAR158; input VAR265; input [3:0] VAR271; output VAR145; output [29:0] VAR71; output [3:0] VAR164; output VAR281; output [31:0] VAR187; output VAR230; output VAR279; input [31:0] VAR213; input VAR84; input VAR294; output [2:0] VAR200; output [1:0] VAR119; reg [2:0] VAR200; VAR154 input VAR40; input VAR41; input VAR298; input VAR226; input VAR53; input VAR146; input VAR268;input [15:0] VAR128; input VAR197; input VAR76;input VAR24; input VAR64; input VAR214; input VAR62; input [3:0] VAR253; input VAR234; input VAR49; input VAR264; output VAR243; input VAR225; input VAR159; input VAR232; input VAR100; input VAR58; input VAR82; output VAR182; output VAR20; output [7:0] VAR69; output VAR292; output VAR152; output VAR221; input VAR12; input [7:0] VAR47; input VAR140; input VAR215; input VAR60; input VAR4; output VAR240; input VAR206; input VAR284; input [7:0] VAR68; output VAR19; output VAR242; output VAR114; output VAR273; output VAR261; input VAR203; output VAR173; input [VAR254 - 1:0] VAR87; VAR154 reg VAR19; reg VAR242; reg VAR114; reg VAR273; reg VAR182; reg VAR20; reg [7:0] VAR69; reg VAR292; reg VAR263; reg VAR59; wire VAR224; reg [1:0] VAR167; reg [15:0] VAR55; reg [15:0] VAR156; reg [14:11] VAR122; reg [14:13] VAR245; reg VAR178; reg VAR142; reg VAR181; reg VAR288; reg VAR129; reg VAR8; reg VAR290; reg VAR35; reg VAR2; reg VAR13; reg VAR192; reg VAR89; reg VAR250; reg VAR160; reg VAR218; reg VAR70; reg VAR270; reg [31:0] VAR57; reg [1:0] VAR112; reg VAR56; reg VAR295; reg VAR36; reg VAR236; reg VAR166; reg [7:1] VAR237; reg [7:1] VAR131; reg VAR196; reg VAR161; reg VAR21; reg VAR198; reg VAR27; reg VAR169; reg [31:0] VAR189; reg [31:8] VAR278; reg [1:0] VAR80; reg [1:0] VAR5; reg VAR134; reg VAR126; reg VAR144; reg [15:0] VAR102; reg VAR231; reg VAR118; reg VAR301; reg [3:0] VAR219; reg VAR77; wire [31:0] VAR209; wire [31:0] VAR117; reg VAR61; wire VAR46; wire VAR212; wire VAR177; wire VAR42; wire VAR75; wire VAR155; wire VAR91; wire VAR269; wire VAR148; wire [1:0] VAR162; wire [7:1] VAR241; wire [7:1] VAR267; wire VAR138; wire VAR43; wire VAR168; wire VAR104; reg VAR145; wire [8:0] VAR228; reg [8:0] VAR44; reg VAR193, VAR163; reg VAR260, VAR28; reg VAR85, VAR208; reg VAR110; reg VAR92; wire VAR175; wire [3:0] VAR300; wire VAR45; reg [7:0] VAR202; reg [31:0] VAR125; wire [31:0] VAR299; wire VAR201; reg VAR133; reg VAR74; reg VAR83; wire VAR30; reg VAR227; assign VAR119 = 2'b00; VAR154 assign VAR279 = VAR230; always @ (posedge VAR141) begin VAR145 <=#VAR257 (|VAR219) & VAR193 & VAR163 | VAR77 & VAR193 & ~VAR163; end assign VAR18 = VAR299; VAR220 VAR72 ( .clk(VAR141), .rst(VAR40), .VAR143(VAR175), .VAR7(VAR300), .VAR194(VAR45), .addr(VAR202), .VAR48(VAR125), .do(VAR299) , .VAR203 (VAR203), .VAR173 (VAR173), .VAR87 (VAR87) ); assign VAR175 = 1'b1; assign VAR300 = (VAR219 & {4{(VAR193 & VAR163)}}) | {4{(VAR224 | VAR138)}}; assign VAR45 = VAR77 & VAR193 & VAR163 | VAR85 & VAR208 & (VAR59 | VAR133) | VAR260 & VAR28 & (VAR270 | VAR227); always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR74 <=#VAR257 1'b0; end else if(~VAR70 & VAR206 & VAR193 & ~VAR163) VAR74 <=#VAR257 1'b1; else if(VAR133 & VAR85 & VAR208) VAR74 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) begin VAR193 <=#VAR257 1'b1; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b0; VAR202 <=#VAR257 8'h0; VAR125 <=#VAR257 32'h0; VAR77 <=#VAR257 1'b0; VAR219 <=#VAR257 1'b0; end else begin case ({VAR163, VAR28, VAR208, VAR83, VAR74}) 5'b10010, 5'b10011 : begin VAR193 <=#VAR257 1'b0; VAR260 <=#VAR257 1'b1; VAR85 <=#VAR257 1'b0; VAR202 <=#VAR257 {VAR131, VAR227}; VAR125 <=#VAR257 VAR209; end 5'b10001 : begin VAR193 <=#VAR257 1'b0; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b1; VAR202 <=#VAR257 {VAR237, VAR133}; VAR125 <=#VAR257 VAR117; end 5'b01000, 5'b01010 : begin VAR193 <=#VAR257 1'b1; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b0; VAR202 <=#VAR257 VAR158[9:2]; VAR125 <=#VAR257 VAR98; VAR219 <=#VAR257 VAR271[3:0] & {4{VAR265}}; VAR77 <=#VAR257 (|VAR271) & ~VAR265; end 5'b01001, 5'b01011 : begin VAR193 <=#VAR257 1'b0; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b1; VAR202 <=#VAR257 {VAR237, VAR133}; VAR125 <=#VAR257 VAR117; end 5'b00100, 5'b00101, 5'b00110, 5'b00111 : begin VAR193 <=#VAR257 1'b1; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b0; VAR202 <=#VAR257 VAR158[9:2]; VAR125 <=#VAR257 VAR98; VAR219 <=#VAR257 VAR271[3:0] & {4{VAR265}}; VAR77 <=#VAR257 (|VAR271) & ~VAR265; end 5'b10000 : begin VAR193 <=#VAR257 1'b0; end 5'b00000 : begin VAR193 <=#VAR257 1'b1; VAR260 <=#VAR257 1'b0; VAR85 <=#VAR257 1'b0; VAR202 <=#VAR257 VAR158[9:2]; VAR125 <=#VAR257 VAR98; VAR219 <=#VAR257 VAR271[3:0] & {4{VAR265}}; VAR77 <=#VAR257 (|VAR271) & ~VAR265; end endcase end end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) begin VAR163 <=#VAR257 1'b0; VAR28 <=#VAR257 1'b0; VAR208 <=#VAR257 1'b0; VAR110 <=#VAR257 1'b0; VAR92 <=#VAR257 1'b0; end else begin VAR163 <=#VAR257 VAR193; VAR28 <=#VAR257 VAR260; VAR208 <=#VAR257 VAR85; VAR110 <=#VAR257 VAR206; VAR92 <=#VAR257 VAR284; end end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR166 <=#VAR257 1'b0; end else if(VAR82 | VAR58 | VAR27) VAR166 <=#VAR257 1'b0; else if(VAR232) VAR166 <=#VAR257 ~VAR166; end wire VAR210; assign VAR210 = VAR212 | VAR177 | VAR46; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR70 <=#VAR257 1'b0; end else if(VAR85 & VAR208 & VAR59) VAR70 <=#VAR257 VAR299[15] & (VAR299[31:16] > 4); else if(VAR210) VAR70 <=#VAR257 1'b0; end assign VAR75 = (VAR2 | VAR224) & ~VAR236 & ~VAR70; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR59 <=#VAR257 1'b1; end else if(VAR75) VAR59 <=#VAR257 1'b1; else if(VAR70) VAR59 <=#VAR257 1'b0; end assign VAR201 = VAR59 & VAR70; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR133 <=#VAR257 1'b0; end else if(VAR201) VAR133 <=#VAR257 1'b1; else if(VAR208) VAR133 <=#VAR257 1'b0; end assign VAR224 = (VAR192 | VAR250) & VAR85 & VAR208 & ~VAR36; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR36 <=#VAR257 1'b0; end else if(~VAR288 & ~VAR181) VAR36 <=#VAR257 1'b0; else if(VAR224) VAR36 <=#VAR257 1'b1; end reg VAR124; reg VAR183; reg VAR17; always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR124 <=#VAR257 1'b0; end else VAR124 <=#VAR257 VAR36; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR183 <=#VAR257 1'b0; end else VAR183 <=#VAR257 VAR124; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR17 <=#VAR257 1'b0; end else VAR17 <=#VAR257 VAR183; end assign VAR243 = VAR183 & ~VAR17; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR236 <=#VAR257 1'b0; end else if(VAR75) VAR236 <=#VAR257 1'b1; else if(~VAR75 & ~VAR70) VAR236 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR122 <=#VAR257 4'h0; end else if(VAR85 & VAR208 & VAR59) VAR122 <=#VAR257 VAR299[14:11]; end reg VAR297; wire VAR188; reg VAR244; reg VAR90; reg [29:0] VAR71; reg VAR230; reg [3:0] VAR164; reg VAR281; wire VAR190; wire VAR73; reg VAR217; reg [31:2] VAR246; reg [1:0] VAR136; reg [1:0] VAR123; reg [31:2] VAR274; reg [1:0] VAR23; wire VAR255; wire VAR180; wire VAR239; wire VAR3; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR55 <=#VAR257 16'h0; end else if(VAR85 & VAR208 & VAR59) VAR55 <=#VAR257 VAR299[31:16]; else if(VAR244 & VAR84) begin if(VAR73) VAR55 <=#VAR257 16'h0; end else if(VAR123==2'h0) VAR55 <=#VAR257 VAR55 - 3'h4; else if(VAR123==2'h1) VAR55 <=#VAR257 VAR55 - 3'h3; else if(VAR123==2'h2) VAR55 <=#VAR257 VAR55 - 3'h2; else if(VAR123==2'h3) VAR55 <=#VAR257 VAR55 - 3'h1; end end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR156 <=#VAR257 16'h0; end else if(VAR85 & VAR208 & VAR59) VAR156 <=#VAR257 VAR299[31:16]; end assign VAR190 = VAR55 == 0; assign VAR73 = VAR55 < 4; reg VAR287; reg VAR211; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR246 <=#VAR257 30'h0; end else if(VAR85 & VAR208 & VAR133) VAR246 <=#VAR257 VAR299[31:2]; else if(VAR211 & ~VAR217) VAR246 <=#VAR257 VAR246 + 1'b1; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR136[1:0] <=#VAR257 0; end else if(VAR85 & VAR208 & VAR133) VAR136[1:0] <=#VAR257 VAR299[1:0]; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR123[1:0] <=#VAR257 0; end else if(VAR85 & VAR208 & VAR133) VAR123[1:0] <=#VAR257 VAR299[1:0]; else if(VAR244 & VAR84) VAR123[1:0] <=#VAR257 0; end reg [3:0] VAR16; wire VAR251; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR217 <=#VAR257 0; end else if(VAR251) VAR217 <=#VAR257 0; else if(VAR211) VAR217 <=#VAR257 1'b1; end wire VAR1; wire VAR286; wire VAR132; wire VAR95; wire VAR229; reg VAR50; assign VAR229 = VAR85 & VAR208 & VAR133; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR297 <=#VAR257 1'b0; end else if(VAR190 | VAR177 | VAR46) VAR297 <=#VAR257 1'b0; else if(VAR229) VAR297 <=#VAR257 1'b1; end reg VAR116; reg VAR65; wire VAR25 = VAR297 & ~VAR50; wire VAR79 = VAR25 & VAR116; wire [31:0] VAR11; wire VAR121; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR50 <=#VAR257 1'b0; end else if((VAR1 | VAR55 <= 4)& VAR244 & (~VAR287) & (!(VAR250 | VAR2))) VAR50 <=#VAR257 1'b1; else if(VAR121 | VAR13 | VAR89 | VAR35) VAR50 <=#VAR257 1'b0; end assign VAR251 = VAR84 | VAR294; wire [VAR205-1:0] VAR285; wire [VAR101-1:0] VAR38; reg [VAR151-1:0] VAR256; reg [VAR151-1:0] VAR248; wire VAR262; wire VAR176; wire VAR149; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) begin VAR244 <=#VAR257 1'b0; VAR90 <=#VAR257 1'b0; VAR71 <=#VAR257 30'h0; VAR230 <=#VAR257 1'b0; VAR281 <=#VAR257 1'b0; VAR164 <=#VAR257 4'h0; VAR287<=#VAR257 1'b0; VAR256<=#VAR257 0; VAR248<=#VAR257 0; VAR211<=#VAR257 1'b0; VAR116<=#VAR257 1'b1; VAR65<=#VAR257 1'b0; VAR200 <=#VAR257 3'b0; end else begin casex ({VAR244, VAR90, VAR25, VAR188, VAR251, VAR287, VAR79, VAR262}) 8'b00100010, 8'VAR22, 8'b10100110, 8'VAR283 : begin VAR244 <=#VAR257 1'b1; VAR90 <=#VAR257 1'b0; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b0; VAR164 <=#VAR257 4'hf; VAR287<=#VAR257 1'b0; VAR211<=#VAR257 1'b1; VAR256 <=#VAR257 VAR256+3'h1; if(VAR256==0) VAR71 <=#VAR257 VAR246; end else VAR71 <=#VAR257 VAR71+1'b1; if(VAR256==(VAR137-1)) begin VAR116<=#VAR257 1'b0; VAR200 <=#VAR257 3'b111; end else begin VAR200 <=#VAR257 3'b010; end end 8'VAR216, 8'VAR127, 8'b01010101, 8'VAR249 : begin VAR244 <=#VAR257 1'b0; VAR90 <=#VAR257 1'b1; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b1; VAR164 <=#VAR257 VAR16; VAR211<=#VAR257 1'b0; VAR287<=#VAR257 1'b0; VAR248 <=#VAR257 VAR248+3'h1; if(VAR248==0) VAR71 <=#VAR257 VAR274; end else VAR71 <=#VAR257 VAR71+1'b1; if(VAR248==(VAR137-1)) begin VAR65<=#VAR257 1'b0; VAR200 <=#VAR257 3'b111; end else begin VAR200 <=#VAR257 3'b010; end end 8'VAR184 : begin VAR244 <=#VAR257 1'b0; VAR90 <=#VAR257 1'b1; VAR71 <=#VAR257 VAR274; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b1; VAR164 <=#VAR257 VAR16; VAR211<=#VAR257 1'b0; end 8'b00100000 : begin VAR244 <=#VAR257 1'b1; VAR90 <=#VAR257 1'b0; VAR71 <=#VAR257 VAR246; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b0; VAR164 <=#VAR257 4'hf; VAR211<=#VAR257 1'b1; end 8'b10100100, 8'VAR107 : begin VAR244 <=#VAR257 1'b1; VAR90 <=#VAR257 1'b0; VAR71 <=#VAR257 VAR246; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b0; VAR164 <=#VAR257 4'hf; VAR287<=#VAR257 1'b0; VAR211<=#VAR257 1'b1; end 8'b01010100, 8'VAR106 : begin VAR244 <=#VAR257 1'b0; VAR90 <=#VAR257 1'b1; VAR71 <=#VAR257 VAR274; VAR230 <=#VAR257 1'b1; VAR281 <=#VAR257 1'b1; VAR164 <=#VAR257 VAR16; VAR287<=#VAR257 1'b0; VAR211<=#VAR257 1'b0; end 8'b01011000, 8'VAR235, 8'b10101000, 8'VAR113 : begin VAR230 <=#VAR257 1'b0; VAR287<=#VAR257 1'b1; VAR211<=#VAR257 1'b0; VAR256<=#VAR257 0; VAR116<=#VAR257 VAR285<(VAR258-VAR137) & (VAR55>(VAR137*4+4)); VAR248<=#VAR257 0; VAR65<=#VAR257 VAR90 ? VAR149 : VAR176; VAR266 VAR111 VAR200 <=#VAR257 3'b0; end 8'VAR275, 8'VAR52 : begin VAR244 <=#VAR257 1'b0; VAR90 <=#VAR257 1'b0; VAR230 <=#VAR257 1'b0; VAR287<=#VAR257 1'b0; VAR211<=#VAR257 1'b0; VAR248<=#VAR257 0; VAR65<=#VAR257 VAR90 ? VAR149 : VAR176; VAR266 VAR111 VAR200 <=#VAR257 3'b0; end 8'b00000000: begin VAR256<=#VAR257 0; VAR116<=#VAR257 VAR285<(VAR258-VAR137) & (VAR55>(VAR137*4+4)); end default: begin VAR244 <=#VAR257 VAR244; VAR90 <=#VAR257 VAR90; VAR230 <=#VAR257 VAR230; VAR164 <=#VAR257 VAR164; VAR211<=#VAR257 VAR211; end endcase end end wire VAR147; assign VAR147 = (VAR89 | VAR35); VAR10 #(VAR130, VAR258, VAR205) VAR97 ( .VAR63(VAR213), .VAR207(VAR11), .clk(VAR141), .reset(VAR40), .write(VAR244 & VAR84), .read(VAR121 & ~VAR132), .VAR165(VAR147), .VAR81(VAR286), .VAR179(VAR1), .VAR99(VAR95), .VAR67(VAR132), .VAR247(VAR285) ); reg VAR153; reg VAR135; reg VAR120; reg VAR115; reg VAR276; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR178 <=#VAR257 1'b0; end else if(VAR70 & ~VAR153 & (VAR286 | VAR190)) VAR178 <=#VAR257 1'b1; else if(VAR276) VAR178 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR153 <=#VAR257 1'b0; end else if(VAR178) VAR153 <=#VAR257 1'b1; else if(VAR210) VAR153 <=#VAR257 1'b0; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR135 <=#VAR257 1'b0; end else VAR135 <=#VAR257 VAR178; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR120 <=#VAR257 1'b0; end else VAR120 <=#VAR257 VAR135; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR115 <=#VAR257 1'b0; end else VAR115 <=#VAR257 VAR120; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR276 <=#VAR257 1'b0; end else VAR276 <=#VAR257 VAR115; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR182 <=#VAR257 1'b0; end else if(VAR120) VAR182 <=#VAR257 1'b1; else if(VAR169 | ~VAR120 & (VAR100 & (~VAR27) | VAR58 & (~VAR198))) VAR182 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR61 <=#VAR257 1'b0; end else if(VAR190 & VAR95 & VAR232) VAR61 <=#VAR257 1'b1; else if(VAR46 | VAR212 | VAR177) VAR61 <=#VAR257 1'b0; end assign VAR162 = VAR73 ? VAR55[1:0] : 2'b0; reg VAR199; reg VAR171; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR199 <=#VAR257 1'b0; end else if(VAR73 & VAR70) VAR199 <=#VAR257 1'b1; else VAR199 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR171 <=#VAR257 1'b0; end else VAR171 <=#VAR257 VAR199; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR167 <=#VAR257 2'h0; end else if(VAR199 & ~VAR171) VAR167 <=#VAR257 VAR162; else if(VAR46 | VAR212 | VAR177) VAR167 <=#VAR257 2'h0; end assign VAR155 = VAR122[14]; assign VAR91 = VAR122[13]; assign VAR221 = VAR122[12]; assign VAR152 = VAR122[11]; assign VAR269 = VAR245[14]; assign VAR148 = VAR245[13]; assign VAR241[7:1] = {7{ VAR224 & ~VAR91}} & (VAR237 + 1'b1) ; assign VAR267[7:1] = {7{ VAR148}} & (VAR68[6:0]) | {7{~VAR148}} & (VAR131 + 1'b1) ; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR237 <=#VAR257 7'h0; end else if (VAR206 & (~VAR110)) VAR237 <=#VAR257 7'h0; else if (VAR224) VAR237 <=#VAR257 VAR241; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR131 <=#VAR257 7'h0; end else if(VAR284 & (~VAR92)) VAR131 <=#VAR257 VAR68[6:0]; else if(VAR138) VAR131 <=#VAR257 VAR267; end wire [8:0] VAR150 = {VAR292, VAR253[3:0], VAR234, VAR49, VAR264, VAR225}; assign VAR209 = {VAR102, 1'b0, VAR245, 4'h0, VAR44}; assign VAR117 = {VAR156, 1'b0, VAR122, 2'h0, VAR150}; assign VAR46 = VAR142 & ~VAR290; assign VAR212 = VAR288 & ~VAR129; assign VAR177 = VAR181 & ~VAR8; always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) begin VAR198 <=#VAR257 1'b0; VAR27 <=#VAR257 1'b0; VAR169 <=#VAR257 1'b0; end else begin VAR198 <=#VAR257 VAR58; VAR27 <=#VAR257 VAR100; VAR169 <=#VAR257 VAR232; end end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) begin VAR129 <=#VAR257 1'b0; VAR8 <=#VAR257 1'b0; VAR290 <=#VAR257 1'b0; end else begin VAR129 <=#VAR257 VAR288; VAR8 <=#VAR257 VAR181; VAR290 <=#VAR257 VAR142; end end reg VAR252; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR89 <=#VAR257 1'b0; end else if(VAR181 & (~VAR116) & VAR244 & VAR251 & (~VAR252) | VAR181 & (~VAR244) & (~VAR252)) VAR89 <=#VAR257 1'b1; else VAR89 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR250 <=#VAR257 1'b0; end else if(VAR85 & VAR208 & VAR250) VAR250 <=#VAR257 1'b0; else if(VAR181 & (~VAR116) & VAR244 & VAR251 & (~VAR252) | VAR181 & (~VAR244) & (~VAR252)) VAR250 <=#VAR257 1'b1; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR252 <=#VAR257 1'b0; end else if(!VAR181 & VAR8) VAR252 <=#VAR257 1'b0; else if(VAR89) VAR252 <=#VAR257 1'b1; end reg VAR94; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR35 <=#VAR257 1'b0; end else if(VAR142 & !VAR116 & VAR244 & VAR251 & !VAR94 | VAR142 & !VAR244 & !VAR94) VAR35 <=#VAR257 1'b1; else VAR35 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR2 <=#VAR257 1'b0; end else if(VAR75) VAR2 <=#VAR257 1'b0; else if(VAR142 & !VAR116 & VAR244 & VAR251 & !VAR94 | VAR142 & !VAR244 & !VAR94) VAR2 <=#VAR257 1'b1; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR94 <=#VAR257 1'b0; end else if(!VAR142 & VAR290) VAR94 <=#VAR257 1'b0; else if(VAR35) VAR94 <=#VAR257 1'b1; end reg VAR186; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR13 <=#VAR257 1'b0; end else if(VAR288 & !VAR116 & VAR244 & VAR251 & !VAR186 | VAR288 & !VAR244 & !VAR186) VAR13 <=#VAR257 1'b1; else VAR13 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR192 <=#VAR257 1'b0; end else if(VAR85 & VAR208 & VAR192) VAR192 <=#VAR257 1'b0; else if(VAR288 & !VAR116 & VAR244 & VAR251 & (~VAR186) | VAR288 & !VAR244 & (~VAR186)) VAR192 <=#VAR257 1'b1; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR186 <=#VAR257 1'b0; end else if(!VAR288 & VAR129) VAR186 <=#VAR257 1'b0; else if(VAR13) VAR186 <=#VAR257 1'b1; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR56 <=#VAR257 1'b0; end else if((VAR20 | VAR58 | VAR100) & VAR166) VAR56 <=#VAR257 1'b0; else if(VAR232 & VAR166 & VAR112 == 2'h3) VAR56 <=#VAR257 VAR61; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR20 <=#VAR257 1'b0; end else if(VAR166 & VAR20 | VAR58 | VAR27) VAR20 <=#VAR257 1'b0; else if(VAR166 & VAR56) begin case (VAR167) 1 : VAR20 <=#VAR257 VAR112 == 2'h0; 2 : VAR20 <=#VAR257 VAR112 == 2'h1; 3 : VAR20 <=#VAR257 VAR112 == 2'h2; 0 : VAR20 <=#VAR257 VAR112 == 2'h3; default : VAR20 <=#VAR257 1'b0; endcase end end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR69 <=#VAR257 0; end else if(VAR120 & ~VAR182) case(VAR136) 2'h0 : VAR69 <=#VAR257 VAR11[31:24]; 2'h1 : VAR69 <=#VAR257 VAR11[23:16]; 2'h2 : VAR69 <=#VAR257 VAR11[15:08]; 2'h3 : VAR69 <=#VAR257 VAR11[07:00]; endcase else if(VAR182 & VAR232 & VAR136==2'h3) VAR69 <=#VAR257 VAR11[31:24]; else if(VAR232 & VAR166) begin case(VAR112) 0 : VAR69 <=#VAR257 VAR57[31:24]; 1 : VAR69 <=#VAR257 VAR57[23:16]; 2 : VAR69 <=#VAR257 VAR57[15:8]; 3 : VAR69 <=#VAR257 VAR57[7:0]; endcase end end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR57[31:0] <=#VAR257 32'h0; end else if(VAR120 & ~VAR182 | VAR232 & VAR166 & VAR112 == 2'h3 | VAR182 & VAR232 & VAR166 & VAR112 == 2'h0) VAR57[31:0] <=#VAR257 VAR11[31:0]; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR263 <=#VAR257 1'b0; end else if(VAR177) VAR263 <=#VAR257 1'b0; else if(VAR132 & VAR121) VAR263 <=#VAR257 1'b1; end reg VAR39; always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR39 <=#VAR257 1'b0; end else if(VAR263) VAR39 <=#VAR257 1'b1; else if(VAR183) VAR39 <=#VAR257 1'b0; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR292 <=#VAR257 1'b0; end else if(VAR183) VAR292 <=#VAR257 1'b0; else if(VAR39) VAR292 <=#VAR257 1'b1; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR112 <=#VAR257 2'h0; end else if(VAR198 | VAR27) VAR112 <=#VAR257 2'h0; else if(VAR182 & ~VAR232) case(VAR136) 2'h0 : VAR112 <=#VAR257 2'h1; 2'h1 : VAR112 <=#VAR257 2'h2; 2'h2 : VAR112 <=#VAR257 2'h3; 2'h3 : VAR112 <=#VAR257 2'h0; endcase else if(VAR232 & VAR166) VAR112 <=#VAR257 VAR112 + 1'b1; end reg VAR32; reg VAR139; reg VAR14; reg VAR9; reg VAR233; reg VAR96; always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR295 <=#VAR257 1'b0; end else if(VAR120 & ~VAR182 | VAR232 & VAR166 & VAR112 == 2'h3 & ~VAR56 | VAR182 & VAR232 & VAR166 & VAR112 == 2'h0) VAR295 <=#VAR257 1'b1; else if(VAR233 & ~VAR96) VAR295 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR32 <=#VAR257 1'b0; end else VAR32 <=#VAR257 VAR295; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR139 <=#VAR257 1'b0; end else VAR139 <=#VAR257 VAR32; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR9 <=#VAR257 1'b0; end else VAR9 <=#VAR257 VAR139; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR233 <=#VAR257 1'b0; end else VAR233 <=#VAR257 VAR9; end always @ (posedge VAR159 or posedge VAR40) begin if(VAR40) VAR96 <=#VAR257 1'b0; end else VAR96 <=#VAR257 VAR233; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR14 <=#VAR257 1'b0; end else VAR14 <=#VAR257 VAR139; end assign VAR121 = VAR139 & ~VAR14; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR196 <=#VAR257 1'b0; end else VAR196 <=#VAR257 VAR100; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR142 <=#VAR257 1'b0; end else VAR142 <=#VAR257 VAR196; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR21 <=#VAR257 1'b0; end else VAR21 <=#VAR257 VAR82; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR288 <=#VAR257 1'b0; end else VAR288 <=#VAR257 VAR21; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR161 <=#VAR257 1'b0; end else VAR161 <=#VAR257 VAR58; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR181 <=#VAR257 1'b0; end else VAR181 <=#VAR257 VAR161; end reg VAR108; reg VAR105; reg VAR6; reg VAR37; reg VAR293; reg VAR78; assign VAR42 = VAR138 | VAR6 & ~VAR37 | VAR284 & ~VAR92; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR270 <=#VAR257 1'b0; end else if(VAR42 & ~VAR218) VAR270 <=#VAR257 1'b1; else if(VAR160) VAR270 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR160 <=#VAR257 1'b0; end else if(VAR227) VAR160 <=#VAR257 1'b0; else if(VAR260 & VAR28 & VAR270) VAR160 <=#VAR257 VAR299[15]; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR245 <=#VAR257 2'h0; end else if(VAR260 & VAR28 & VAR270) VAR245 <=#VAR257 VAR299[14:13]; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR218 <=#VAR257 1'b0; end else if(VAR118 | VAR105 & ~VAR6 | ~VAR284 & VAR92) VAR218 <=#VAR257 1'b0; else if(VAR260 & VAR28 & VAR227) VAR218 <=#VAR257 1'b1; end assign VAR30 = VAR270 & VAR160; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR227 <=#VAR257 1'b0; end else if(VAR30) VAR227 <=#VAR257 1'b1; else if(VAR260 & VAR28) VAR227 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR274 <=#VAR257 30'h0; end else if(VAR260 & VAR28 & VAR227) VAR274 <=#VAR257 VAR299[31:2]; else if(VAR90 & VAR84) VAR274 <=#VAR257 VAR274 + 1'b1; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR23[1:0] <=#VAR257 0; end else if(VAR90 & VAR84) VAR23[1:0] <=#VAR257 0; else if(VAR260 & VAR28 & VAR227) VAR23[1:0] <=#VAR257 VAR299[1:0]; end always @ (VAR23) begin case(VAR23[1:0]) 2'h0 : VAR16[3:0] = 4'hf; 2'h1 : VAR16[3:0] = 4'h7; 2'h2 : VAR16[3:0] = 4'h3; 2'h3 : VAR16[3:0] = 4'h1; endcase end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR83 <=#VAR257 1'b0; end else if(~VAR218 & VAR284 & VAR193 & ~VAR163) VAR83 <=#VAR257 1'b1; else if(VAR227 & VAR260 & VAR28) VAR83 <=#VAR257 1'b0; end assign VAR138 = VAR118 & VAR260 & VAR28; reg VAR157; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR134 <=#VAR257 1'b0; end else if(VAR126 & (&VAR5) | VAR4) VAR134 <=#VAR257 1'b0; else if(VAR140 & VAR218 & VAR60 & ~(&VAR5) & VAR157) VAR134 <=#VAR257 1'b1; end reg VAR170; reg VAR34; reg VAR259; reg VAR238; reg VAR191; reg VAR31; wire VAR280; assign VAR280 = VAR134 | VAR140 & VAR60 & (&VAR5) & VAR157; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR126 <=#VAR257 1'b0; end else if(VAR170 | VAR4) VAR126 <=#VAR257 1'b0; else if(VAR280) VAR126 <=#VAR257 1'b1; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR5 <=#VAR257 2'h0; end else if(VAR170 | VAR4) VAR5 <=#VAR257 2'h0; else if(VAR140 & VAR215 & VAR218) case(VAR23) 2'h0 : VAR5 <=#VAR257 2'h1; 2'h1 : VAR5 <=#VAR257 2'h2; 2'h2 : VAR5 <=#VAR257 2'h3; 2'h3 : VAR5 <=#VAR257 2'h0; endcase else if(VAR140 & VAR157 & VAR218 | VAR134) VAR5 <=#VAR257 VAR5 + 1'b1; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR80 <=#VAR257 2'h1; end else if(VAR140 & VAR215) case(VAR23) 2'h0 : VAR80 <=#VAR257 2'h1; 2'h1 : VAR80 <=#VAR257 2'h2; 2'h2 : VAR80 <=#VAR257 2'h3; 2'h3 : VAR80 <=#VAR257 2'h0; endcase else if(VAR140 & ~VAR134 & ~VAR215 & VAR157) VAR80 <=#VAR257 VAR80 + 1'b1; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR278 <=#VAR257 24'h0; end else if(VAR140 & VAR218 & ~VAR134) if(VAR215) begin case(VAR23) 2'h0: VAR278[31:24] <=#VAR257 VAR47; 2'h1: VAR278[23:16] <=#VAR257 VAR47; 2'h2: VAR278[15:8] <=#VAR257 VAR47; 2'h3: VAR278 <=#VAR257 VAR278; endcase end else if (VAR157) begin case(VAR5) 2'h0: VAR278[31:24] <=#VAR257 VAR47; 2'h1: VAR278[23:16] <=#VAR257 VAR47; 2'h2: VAR278[15:8] <=#VAR257 VAR47; 2'h3: VAR278 <=#VAR257 VAR278; endcase end end wire VAR103; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR189 <=#VAR257 32'h0; end else if(VAR103 & ~VAR126) VAR189 <=#VAR257 {VAR278[31:8], VAR47}; else if(VAR103 & VAR126) case(VAR80) 0 : VAR189 <=#VAR257 {VAR278[31:8], VAR47}; 1 : VAR189 <=#VAR257 {VAR278[31:24], 24'h0}; 2 : VAR189 <=#VAR257 {VAR278[31:16], 16'h0}; 3 : VAR189 <=#VAR257 {VAR278[31:8], 8'h0}; endcase end reg VAR172; reg VAR51; reg VAR222; assign VAR103 = (VAR140 & VAR218 & ~VAR215 & VAR157 & (&VAR5)) | (VAR140 & VAR218 & VAR215 & (&VAR23)) | (VAR126 & VAR134 & (&VAR5)); always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR144 <=#VAR257 1'b0; end else if(VAR103 & ~VAR4) VAR144 <=#VAR257 1'b1; else if(VAR51 | VAR4) VAR144 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR172 <=#VAR257 1'b0; end else if(VAR144) VAR172 <=#VAR257 1'b1; else VAR172 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR51 <=#VAR257 1'b0; end else VAR51 <=#VAR257 VAR172; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR222 <=#VAR257 1'b0; end else VAR222 <=#VAR257 VAR51; end wire VAR223; assign VAR223 = VAR51 & ~VAR222; reg VAR185; reg VAR291; reg VAR289; reg VAR33; wire VAR174; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR185 <=#VAR257 0; end else if(VAR215 & ~VAR289) VAR185 <=#VAR257 1; else if(VAR289) VAR185 <=#VAR257 0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR291 <=#VAR257 0; end else if(VAR185) VAR291 <=#VAR257 1; else VAR291 <=#VAR257 0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR289 <=#VAR257 0; end else VAR289 <=#VAR257 VAR291; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR33 <=#VAR257 0; end else VAR33 <=#VAR257 VAR289; end assign VAR174 = VAR289 & ~VAR33; VAR10 #(VAR26, VAR296, VAR101) VAR282 (.VAR63(VAR189), .VAR207(VAR187), .clk(VAR141), .reset(VAR40), .write(VAR223 & ~VAR43), .read(VAR90 & VAR84), .VAR165(VAR174), .VAR81(VAR43), .VAR179(), .VAR99(VAR168), .VAR67(VAR104), .VAR247(VAR38) ); assign VAR176 = VAR38>=VAR137; assign VAR149 = VAR38>VAR137; assign VAR188 = ~VAR104; assign VAR262 = VAR65 & VAR188; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR170 <=#VAR257 1'b0; end else if(~VAR4 & VAR103 & VAR280) VAR170 <=#VAR257 1'b1; else if(VAR4 | VAR191 & VAR31) VAR170 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR34 <=#VAR257 1'b0; end else VAR34 <=#VAR257 VAR170; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR259 <=#VAR257 1'b0; end else VAR259 <=#VAR257 VAR34; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR238 <=#VAR257 1'b0; end else if(VAR34 & ~VAR259) VAR238 <=#VAR257 1'b1; else if(VAR118) VAR238 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR118 <=#VAR257 1'b0; end else if(VAR238 & VAR90 & VAR84 & VAR168 & ~VAR118) VAR118 <=#VAR257 1'b1; else if(VAR138) VAR118 <=#VAR257 1'b0; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR191 <=#VAR257 1'b0; end else VAR191 <=#VAR257 VAR259; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR31 <=#VAR257 1'b0; end else VAR31 <=#VAR257 VAR191; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR157 <=#VAR257 1'b0; end else if(VAR215) VAR157 <=#VAR257 1'b1; else if(VAR60 | VAR4) VAR157 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR108 <=#VAR257 1'b0; end else VAR108 <=#VAR257 VAR231; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR105 <=#VAR257 1'b0; end else VAR105 <=#VAR257 VAR108; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR6 <=#VAR257 1'b0; end else VAR6 <=#VAR257 VAR105; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR37 <=#VAR257 1'b0; end else VAR37 <=#VAR257 VAR6; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR293 <=#VAR257 1'b0; end else VAR293 <=#VAR257 VAR105; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR78 <=#VAR257 1'b0; end else VAR78 <=#VAR257 VAR293; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR231 <=#VAR257 1'b0; end else if(VAR78) VAR231 <=#VAR257 1'b0; else if(VAR4) VAR231 <=#VAR257 1'b1; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR102[15:0] <=#VAR257 16'h0; end else if(VAR197) VAR102[15:0] <=#VAR257 VAR128[15:0]; end assign VAR228 = {VAR62, VAR24, VAR301, VAR41, VAR146, VAR268, VAR53, VAR298, VAR226}; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR44 <=#VAR257 'h0; end else if(VAR197) VAR44 <=#VAR257 VAR228; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR301 <=#VAR257 1'b0; end else if(VAR138) VAR301 <=#VAR257 1'b0; else if(VAR43 & VAR223) VAR301 <=#VAR257 1'b1; end wire VAR54; assign VAR54 = VAR292 | VAR234 | VAR49 | VAR225; wire VAR29; assign VAR29 = (|VAR44[6:3]) | (|VAR44[1:0]); reg VAR88; reg VAR15; reg VAR240; reg VAR277; reg VAR204; always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR88 <=#VAR257 1'b0; end else if(VAR204) VAR88 <=#VAR257 1'b0; else if(VAR138) VAR88 <=#VAR257 1'b1; end always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) begin VAR15 <=#VAR257 1'b0; VAR240 <=#VAR257 1'b0; end else begin VAR15 <=#VAR257 VAR88; VAR240 <=#VAR257 VAR15; end end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) begin VAR277 <=#VAR257 1'b0; VAR204 <=#VAR257 1'b0; end else begin VAR277 <=#VAR257 VAR240; VAR204 <=#VAR257 VAR277; end end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR19 <=#VAR257 1'b0; end else if(VAR224 & VAR155) VAR19 <=#VAR257 ~VAR54; else VAR19 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR242 <=#VAR257 1'b0; end else if(VAR224 & VAR155) VAR242 <=#VAR257 VAR54; else VAR242 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR114 <=#VAR257 1'b0; end else if(VAR138 & VAR269 & VAR76 & (~VAR62 | VAR62 & VAR214 & (~VAR64))) VAR114 <=#VAR257 (~VAR29); else VAR114 <=#VAR257 1'b0; end always @ (posedge VAR141 or posedge VAR40) begin if(VAR40) VAR273 <=#VAR257 1'b0; end else if(VAR138 & VAR269 & (~VAR62 | VAR62 & VAR214 & (~VAR64))) VAR273 <=#VAR257 VAR29; else VAR273 <=#VAR257 1'b0; end reg VAR195; reg VAR272; reg VAR86; reg VAR109; reg VAR66; reg VAR93; always @ (posedge VAR12 or posedge VAR40) begin if(VAR40) VAR195 <=#VAR257 1'b0; end else if(VAR140 & VAR215 & ~VAR218) VAR195 <=#VAR257 1'b1; else if(VAR93) VAR195 <=#VAR257 1'b0; end always @ (posedge VAR141) begin VAR272 <=#VAR257 VAR195; VAR86 <=#VAR257 VAR272; VAR109 <=#VAR257 VAR86; end always @ (posedge VAR12) begin VAR66 <=#VAR257 VAR86; VAR93 <=#VAR257 VAR66; end assign VAR261 = VAR86 & ~VAR109; endmodule
gpl-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/pixelq_op_v1_0/0d718de5/hdl/verilog/FIFO_pixelq_op_img_data_stream_1_V.v
2,997
module MODULE2 ( clk, VAR27, VAR15, VAR23, VAR16); parameter VAR7 = 32'd8; parameter VAR21 = 32'd1; parameter VAR24 = 32'd2; input clk; input [VAR7-1:0] VAR27; input VAR15; input [VAR21-1:0] VAR23; output [VAR7-1:0] VAR16; reg[VAR7-1:0] VAR1 [0:VAR24-1]; integer VAR2; always @ (posedge clk) begin if (VAR15) begin for (VAR2=0;VAR2<VAR24-1;VAR2=VAR2+1) VAR1[VAR2+1] <= VAR1[VAR2]; VAR1[0] <= VAR27; end end assign VAR16 = VAR1[VAR23]; endmodule module MODULE1 ( clk, reset, VAR9, VAR10, VAR6, VAR13, VAR26, VAR11, VAR18, VAR5); parameter VAR3 = "VAR4"; parameter VAR7 = 32'd8; parameter VAR21 = 32'd1; parameter VAR24 = 32'd2; input clk; input reset; output VAR9; input VAR10; input VAR6; output[VAR7 - 1:0] VAR13; output VAR26; input VAR11; input VAR18; input[VAR7 - 1:0] VAR5; wire[VAR21 - 1:0] VAR25 ; wire[VAR7 - 1:0] VAR19, VAR12; reg[VAR21:0] VAR20 = {(VAR21+1){1'b1}}; reg VAR22 = 0, VAR8 = 1; assign VAR9 = VAR22; assign VAR26 = VAR8; assign VAR19 = VAR5; assign VAR13 = VAR12; always @ (posedge clk) begin if (reset == 1'b1) begin VAR20 <= ~{VAR21+1{1'b0}}; VAR22 <= 1'b0; VAR8 <= 1'b1; end else begin if (((VAR6 & VAR10) == 1 & VAR22 == 1) && ((VAR18 & VAR11) == 0 | VAR8 == 0)) begin VAR20 <= VAR20 -1; if (VAR20 == 0) VAR22 <= 1'b0; VAR8 <= 1'b1; end else if (((VAR6 & VAR10) == 0 | VAR22 == 0) && ((VAR18 & VAR11) == 1 & VAR8 == 1)) begin VAR20 <= VAR20 +1; VAR22 <= 1'b1; if (VAR20 == VAR24-2) VAR8 <= 1'b0; end end end assign VAR25 = VAR20[VAR21] == 1'b0 ? VAR20[VAR21-1:0]:{VAR21{1'b0}}; assign VAR17 = (VAR18 & VAR11) & VAR8; MODULE2 .VAR7(VAR7), .VAR21(VAR21), .VAR24(VAR24)) VAR14 ( .clk(clk), .VAR27(VAR19), .VAR15(VAR17), .VAR23(VAR25), .VAR16(VAR12)); endmodule
gpl-2.0
medav/conware
prototype/hw/conware.v
1,945
module MODULE1 #( parameter VAR26 = 32, parameter VAR8 = 4, parameter VAR4 = 1 )( clk, VAR27, VAR5, VAR24, VAR17, VAR15, VAR16, VAR19, VAR21, VAR18, VAR30, VAR25 ); input clk; input VAR27; input [VAR26-1:0] VAR5; input [VAR26-1:0] VAR24; input [VAR26-1:0] VAR16; input VAR17; input VAR19; output VAR15; output [VAR26-1:0] VAR30; output VAR21; output VAR25; input VAR18; wire [VAR8*VAR4-1:0] VAR12; wire [VAR8*VAR4-1:0] VAR9; wire VAR7; wire VAR1; VAR14 #(VAR26, VAR8, VAR4) VAR23( .clk(clk), .VAR27(VAR27), .VAR5(VAR5), .VAR24(VAR24), .VAR17(VAR17), .VAR15(VAR15), .VAR16(VAR16), .VAR19(VAR19), .VAR20(VAR12), .VAR10(VAR7), .VAR11(VAR1) ); VAR28 #(VAR8) VAR29( .VAR2(VAR12), .VAR20(VAR9) ); VAR3 #(VAR26, VAR8, VAR4) VAR6( .clk(clk), .VAR27(VAR27), .VAR5(VAR5), .VAR24(VAR24), .VAR21(VAR21), .VAR18(VAR18), .VAR30(VAR30), .VAR25(VAR25), .VAR2(VAR9), .VAR22(VAR7), .VAR13(VAR1) ); endmodule
mit
CospanDesign/nysa-tx1-pcie-platform
tx1_pcie/slave/wb_tx1_pcie/rtl/xilinx/pcie_7x_v1_11_0_gtp_pipe_rate.v
16,188
module MODULE1 # ( parameter VAR47 = "VAR15", parameter VAR34 = 4'd15 ) ( input VAR51, input VAR24, input [ 1:0] VAR4, input VAR43, input VAR26, input VAR5, input VAR42, input VAR29, input VAR41, output VAR49, output VAR11, output VAR14, output [ 2:0] VAR7, output VAR9, output VAR45, output VAR54, output [ 4:0] VAR50 ); reg [ 1:0] VAR52; reg VAR2; reg VAR18; reg VAR37; reg VAR56; reg VAR3; reg VAR23; reg [ 1:0] VAR53; reg VAR32; reg VAR25; reg VAR55; reg VAR46; reg VAR38; reg VAR1; wire [ 2:0] VAR35; reg [ 3:0] VAR12 = 4'd0; reg VAR33 = 1'd0; reg VAR6 = 1'd0; reg VAR48 = 1'd0; reg VAR27 = 1'd0; reg VAR13 = 1'd0; reg [ 2:0] VAR19 = 3'd0; reg [ 3:0] fsm = 0; localparam VAR21 = 0; localparam VAR30 = 1; localparam VAR22 = 2; localparam VAR10 = 3; localparam VAR20 = 4; localparam VAR8 = 5; localparam VAR16 = 6; localparam VAR40 = 7; localparam VAR28 = 8; localparam VAR39 = 9; localparam VAR44 = 10; localparam VAR36 = 11; localparam VAR17 = 12; always @ (posedge VAR51) begin if (!VAR24) begin VAR52 <= 2'd0; VAR2 <= 1'd0; VAR18 <= 1'd0; VAR37 <= 1'd0; VAR56 <= 1'd0; VAR3 <= 1'd0; VAR23 <= 1'd0; VAR53 <= 2'd0; VAR32 <= 1'd0; VAR25 <= 1'd0; VAR55 <= 1'd0; VAR46 <= 1'd0; VAR38 <= 1'd0; VAR1 <= 1'd0; end else begin VAR52 <= VAR4; VAR2 <= VAR43; VAR18 <= VAR26; VAR37 <= VAR5; VAR56 <= VAR42; VAR3 <= VAR41; VAR23 <= VAR29; VAR53 <= VAR52; VAR32 <= VAR2; VAR25 <= VAR18; VAR55 <= VAR37; VAR46 <= VAR56; VAR38 <= VAR3; VAR1 <= VAR23; end end assign VAR35 = (VAR53 == 2'd1) ? 3'd1 : 3'd0; always @ (posedge VAR51) begin if (!VAR24) VAR12 <= 4'd0; end else if ((fsm == VAR30) && (VAR12 < VAR34)) VAR12 <= VAR12 + 4'd1; else if ((fsm == VAR30) && (VAR12 == VAR34)) VAR12 <= VAR12; else VAR12 <= 4'd0; end always @ (posedge VAR51) begin if (!VAR24) begin VAR33 <= 1'd0; VAR6 <= 1'd0; VAR48 <= 1'd0; VAR27 <= 1'd0; end else begin if ((fsm == VAR39) || (fsm == VAR16) || (fsm == VAR40) || (fsm == VAR28)) begin if (VAR55) VAR33 <= 1'd1; end else VAR33 <= VAR33; if (VAR46) VAR6 <= 1'd1; end else VAR6 <= VAR6; if (VAR38) VAR48 <= 1'd1; end else VAR48 <= VAR48; if (VAR6 && VAR33 && VAR48) VAR27 <= 1'd1; else VAR27 <= VAR27; end else begin VAR33 <= 1'd0; VAR6 <= 1'd0; VAR48 <= 1'd0; VAR27 <= 1'd0; end end end always @ (posedge VAR51) begin if (!VAR24) begin fsm <= VAR21; VAR13 <= 1'd0; VAR19 <= 3'd0; end else begin case (fsm) VAR21 : begin if (VAR53 != VAR52) begin fsm <= VAR30; VAR13 <= VAR13; VAR19 <= VAR19; end else begin fsm <= VAR21; VAR13 <= VAR13; VAR19 <= VAR19; end end VAR30 : begin fsm <= (VAR12 == VAR34) ? VAR22 : VAR30; VAR13 <= VAR13; VAR19 <= VAR19; end VAR22 : begin fsm <= (VAR47 == "VAR31") ? VAR8 : VAR10; VAR13 <= (VAR53 == 2'd1); VAR19 <= VAR19; end VAR10 : begin fsm <= (!VAR32) ? VAR20 : VAR10; VAR13 <= VAR13; VAR19 <= VAR19; end VAR20 : begin fsm <= VAR32 ? VAR8 : VAR20; VAR13 <= VAR13; VAR19 <= VAR19; end VAR8 : begin fsm <= (VAR47 == "VAR31") ? VAR39 : VAR16; VAR13 <= VAR13; VAR19 <= VAR35; end VAR16 : begin fsm <= (!VAR25) ? VAR40 : VAR16; VAR13 <= VAR13; VAR19 <= VAR19; end VAR40 : begin fsm <= (!VAR32) ? VAR28 : VAR40; VAR13 <= VAR13; VAR19 <= VAR19; end VAR28 : begin fsm <= VAR32 ? VAR39 : VAR28; VAR13 <= VAR13; VAR19 <= VAR19; end VAR39 : begin if (VAR27) fsm <= VAR44; end else fsm <= VAR39; VAR13 <= VAR13; VAR19 <= VAR19; end VAR44: begin fsm <= (!VAR1 ? VAR36 : VAR44); VAR13 <= VAR13; VAR19 <= VAR19; end VAR36: begin fsm <= (VAR1 ? VAR17 : VAR36); VAR13 <= VAR13; VAR19 <= VAR19; end VAR17 : begin fsm <= VAR21; VAR13 <= VAR13; VAR19 <= VAR19; end default : begin fsm <= VAR21; VAR13 <= 1'd0; VAR19 <= 3'd0; end endcase end end assign VAR49 = VAR13; assign VAR11 = (fsm == VAR10) || (fsm == VAR40); assign VAR14 = (fsm == VAR10) || (fsm == VAR20); assign VAR7 = VAR19; assign VAR9 = (fsm == VAR44); assign VAR45 = (fsm == VAR17); assign VAR54 = (fsm == VAR21); assign VAR50 = {1'd0, fsm}; endmodule
mit
ShepardSiegel/ocpi
coregen/temac_axi_v5_2/example_design/axi_ipif/axi_lite_ipif.v
11,704
module MODULE1 parameter VAR44 = 32, parameter VAR5 = 32, parameter [31:0] VAR12 = 32'h000001FF, parameter VAR25 = 0, parameter VAR10 = 0, parameter VAR1 = 1, parameter VAR33 = 1, parameter [0:32*2*VAR1-1] VAR41 = {2*VAR1 {32'h00000000} }, parameter [0:8*VAR1-1] VAR46 = { VAR1{8'd1} }, parameter VAR3 = "VAR29" ) ( input VAR22, input VAR4, input [VAR5 - 1:0] VAR28, input VAR27, output VAR2, input [VAR44 - 1:0] VAR14, input [(VAR44 / 8) - 1:0] VAR32, input VAR20, output VAR43, output[1:0] VAR39, output VAR24, input VAR15, input [VAR5 - 1:0] VAR19, input VAR21, output VAR17, output[VAR44 - 1:0] VAR18, output[1:0] VAR34, output VAR31, input VAR37, output VAR11, output VAR23, output[(VAR5 - 1):0] VAR7, output VAR40, output[((VAR44 / 8)-1):0] VAR35, output[VAR1-1:0] VAR42, output[VAR33-1:0] VAR16, output[VAR33-1:0] VAR13, output[(VAR44 - 1):0] VAR26, input [(VAR44 - 1):0] VAR38, input VAR36, input VAR6, input VAR9 ); VAR30 .VAR1(VAR1), .VAR33(VAR33), .VAR41(VAR41), .VAR46(VAR46), .VAR45(VAR5), .VAR47(VAR44), .VAR12(VAR12), .VAR25(VAR25), .VAR10(VAR10), .VAR3(VAR3) ) VAR8 ( .VAR22(VAR22), .VAR4(VAR4), .VAR28(VAR28), .VAR27(VAR27), .VAR2(VAR2), .VAR14(VAR14), .VAR32(VAR32), .VAR20(VAR20), .VAR43(VAR43), .VAR39(VAR39), .VAR24(VAR24), .VAR15(VAR15), .VAR19(VAR19), .VAR21(VAR21), .VAR17(VAR17), .VAR18(VAR18), .VAR34(VAR34), .VAR31(VAR31), .VAR37(VAR37), .VAR11(VAR11), .VAR23(VAR23), .VAR7(VAR7), .VAR40(VAR40), .VAR35(VAR35), .VAR42(VAR42), .VAR16(VAR16), .VAR13(VAR13), .VAR26(VAR26), .VAR38(VAR38), .VAR36(VAR36), .VAR6(VAR6), .VAR9(VAR9) ); endmodule
lgpl-3.0
ipburbank/Raster-Laser-Projector
src/RasterLaserProjector.v
16,272
module MODULE1 ( VAR44, VAR6, VAR100, VAR78, VAR88, VAR111, VAR18, VAR31, VAR90, VAR110, VAR43, VAR42, VAR49, VAR53, VAR101, VAR99, VAR84, VAR80, VAR51, VAR92, VAR106, VAR40, VAR15, VAR74, VAR65, VAR61, VAR22, VAR116, VAR24, VAR79, VAR112, VAR13, VAR58, VAR52, VAR75, VAR30, VAR83, VAR70, VAR94, VAR63, VAR103, VAR114, VAR35, VAR3, VAR16, VAR64, VAR60, VAR108, VAR37, VAR46, VAR2, VAR113, VAR50, VAR87, VAR32, VAR95, VAR76, VAR36, VAR68, VAR62, VAR105, VAR102, VAR10, VAR28, VAR29, VAR14, VAR67, VAR107, VAR33, VAR19, VAR27, VAR77, VAR81, VAR115, VAR72, VAR34, VAR89, VAR21, VAR82, VAR69, VAR12, VAR17, VAR5 ); localparam VAR38 = 240; localparam VAR57 = 320; localparam VAR26 = 25; input VAR44; input VAR6; input VAR100; input VAR78; inout VAR88; inout VAR111; inout VAR18; output VAR31; output VAR90; output [12: 0] VAR110; output [ 1: 0] VAR43; output VAR42; output VAR49; output VAR53; output VAR101; inout [31: 0] VAR99; output [ 3: 0] VAR84; output VAR80; output VAR51; output [22: 0] VAR92; output VAR106; inout [ 7: 0] VAR40; output VAR15; output VAR74; output VAR65; inout [35: 0] VAR61; output [ 6: 0] VAR22; output [ 6: 0] VAR116; output [ 6: 0] VAR24; output [ 6: 0] VAR79; output [ 6: 0] VAR112; output [ 6: 0] VAR13; output [ 6: 0] VAR58; output [ 6: 0] VAR52; output VAR75; inout VAR30; input VAR83; input [ 3: 0] VAR70; output VAR94; inout [ 7: 0] VAR63; output VAR103; output VAR114; output VAR35; output VAR3; output [ 8: 0] VAR16; output [17: 0] VAR64; inout VAR60; inout VAR108; inout VAR37; inout VAR46; output [ 1: 0] VAR2; output VAR113; inout [15: 0] VAR50; input [ 1: 0] VAR87; output VAR32; output VAR95; output VAR76; output VAR36; inout VAR68; inout [ 3: 0] VAR62; output [19: 0] VAR105; inout [15: 0] VAR102; output VAR10; output VAR28; output VAR29; output VAR14; output VAR67; input [17: 0] VAR107; input VAR33; input [ 7: 0] VAR19; input VAR27; input VAR77; output VAR81; input VAR115; output VAR72; output VAR34; output VAR89; output VAR21; output VAR82; output VAR69; output [ 7: 0] VAR12; output [ 7: 0] VAR17; output [ 7: 0] VAR5; wire reset; assign reset = ~VAR70[0]; wire VAR39; assign VAR61[13] = VAR39; wire VAR20; reg VAR109; assign VAR20 = VAR61[8]; assign VAR16[1] = VAR109; assign VAR16[2] = VAR20; reg [7:0] VAR48; assign VAR61[7:0] = VAR48; assign VAR64[7:0] = VAR48; reg VAR98; assign VAR61[9] = VAR98; assign VAR16[0] = VAR98; reg [1:0] VAR85; assign VAR61[11:10] = VAR85; wire VAR91; reg [1:0] VAR7; assign VAR16[4:3] = VAR7; localparam VAR59=0, VAR54=1, VAR73=2, VAR47=3; always @(posedge VAR91 or posedge reset) begin if (reset) begin VAR7 <= VAR59; end else if (VAR7 == VAR59) begin if (VAR20 == 1) begin VAR7 <= VAR54; end VAR109 <= 0; end else if (VAR7 == VAR54) begin if (VAR20 == 1) begin VAR7 <= VAR73; end else begin VAR7 <= VAR59; end VAR109 <= 0; end else if (VAR7 == VAR73) begin if (VAR20 == 0) begin VAR7 <= VAR47; end VAR109 <= 1; end else if (VAR7 == VAR47) begin if (VAR20 == 0) begin VAR7 <= VAR59; end else begin VAR7 <= VAR73; end VAR109 <= 1; end end reg [2:0] VAR55; localparam VAR41 = 0, VAR93=1, VAR71=2; reg [5:0] VAR9; always @(posedge VAR109 or posedge reset) begin if (reset) begin VAR55 <= VAR71; VAR48 <= 0; VAR9 <= 0; VAR98 <= 1; end else if (VAR55 == VAR93) begin VAR48 <= VAR48 + 1; VAR98 <= ~VAR98; if (VAR48 == (VAR38 - 1)) begin VAR55 <= VAR71; VAR9 <= 0; end end else if (VAR55 == VAR71) begin VAR48 <= 0; VAR9 <= VAR9 + 1; if (VAR9 == VAR26) begin VAR55 <= VAR93; end end end assign VAR61[ 0] = 1'VAR23; assign VAR61[ 2] = 1'VAR23; assign VAR61[16] = 1'VAR23; assign VAR61[18] = 1'VAR23; MODULE1 MODULE1 ( .VAR104 (VAR44), .VAR45 (1'b0), .VAR66 (VAR33), .VAR1 (VAR19), .VAR97 (VAR27), .VAR11 (VAR77), .VAR86 (), .VAR4 (VAR81), .VAR25 (), .VAR56 (VAR39), .VAR8 (VAR91) ); endmodule
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_gpio_sysfs/zybo_petalinux_1.ip_user_files/ipstatic/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wr_cmd_fsm.v
3,593
module MODULE1 ( input wire clk , input wire reset , output wire VAR8 , input wire VAR2 , output wire VAR1 , input wire VAR4 , output wire VAR13 , input wire VAR7 , output wire VAR10 , input wire VAR5 , output wire VAR6 ); localparam VAR3 = 2'b00; localparam VAR9 = 2'b01; localparam VAR14 = 2'b10; localparam VAR12 = 2'b11; reg [1:0] state; reg [1:0] VAR11; always @(posedge clk) begin if (reset) begin state <= VAR3; end else begin state <= VAR11; end end always @( * ) begin VAR11 = state; case (state) VAR3: if (VAR2) begin VAR11 = VAR9; end else VAR11 = state; VAR9: if (VAR4 & VAR7) VAR11 = VAR14; end else if (VAR4 & ~VAR7 & VAR5) VAR11 = VAR12; end else if (VAR4 & ~VAR7 & ~VAR5) VAR11 = VAR3; else VAR11 = state; VAR14: VAR11 = VAR9; VAR12: if (!VAR5) VAR11 = VAR3; else VAR11 = state; default: VAR11 = VAR3; endcase end assign VAR1 = (state == VAR9); assign VAR13 = ((state == VAR14) | (((state == VAR9) | (state == VAR12)) & (VAR11 == VAR3))) ; assign VAR6 = (state == VAR3); assign VAR8 = ((state == VAR9) | (state == VAR12)) & (VAR11 == VAR3); assign VAR10 = ((state == VAR9) | (state == VAR12)) & (VAR11 == VAR3); endmodule
gpl-3.0
monotone-RK/FACE
IEICE-Trans/8-way/src/riffa/txr_engine_ultrascale.v
26,113
module MODULE3 parameter VAR141 = 1, parameter VAR20 = 1, parameter VAR53 = 10, parameter VAR54 = 256) ( input VAR159, input VAR158, input VAR48, output VAR27, input [VAR31-1:0] VAR44, input VAR28, output VAR79, output VAR130, output [VAR86-1:0] VAR132, output [(VAR86/32)-1:0] VAR144, output [VAR188-1:0] VAR94, input VAR4, input [VAR86-1:0] VAR10, input VAR107, input [VAR61(VAR86/32)-1:0] VAR65, input VAR172, input [VAR61(VAR86/32)-1:0] VAR138, output VAR72, input VAR98, input [VAR185-1:0] VAR78, input [VAR56-1:0] VAR17, input [VAR73-1:0] VAR170, input [VAR169-1:0] VAR14, input [VAR1-1:0] VAR151, input [VAR116-1:0] VAR21, input [VAR11-1:0] VAR91, input [VAR77-1:0] VAR43, input VAR46, output VAR97 ); localparam VAR18 = "VAR168"; localparam VAR37 = VAR86; localparam VAR109 = VAR74; localparam VAR160 = VAR109/32; localparam VAR102 = 0; localparam VAR29 = VAR160 + VAR102 + 1; localparam VAR2 = VAR29 + VAR54; localparam VAR166 = VAR141; localparam VAR183 = VAR20; localparam VAR150 = VAR183 + VAR166; localparam VAR133 = 10; wire VAR25; wire VAR90; wire [VAR109-1:0] VAR62; wire [VAR64-1:0] VAR131; wire [VAR111-1:0] VAR93; wire [VAR169-1:0] VAR38; wire VAR105; wire VAR84; wire [VAR86-1:0] VAR33; wire [VAR61(VAR86/32)-1:0] VAR80; wire VAR187; wire [(VAR86/32)-1:0] VAR174; wire [(VAR86/32)-1:0] VAR55; wire [(VAR86/32)-1:0] VAR156; wire [VAR86-1:0] VAR112; wire VAR155; wire [VAR61(VAR86/32)-1:0] VAR176; wire VAR57; wire [VAR61(VAR86/32)-1:0] VAR123; wire VAR114; wire VAR122; wire VAR175; wire VAR41; wire VAR51; wire VAR178; wire [VAR133:0] VAR16; assign VAR27 = VAR175 & VAR51; assign VAR178 = VAR16[VAR133-3]; assign VAR51 = ~VAR16[VAR133]; VAR85 .VAR101 (1), .VAR148 (1) ) VAR6 ( .VAR147 (VAR16), .VAR162 (VAR158), .VAR117 (VAR41), .VAR159 (VAR159)); MODULE2 .VAR141 (VAR166), .VAR86 (VAR86), .VAR109 (VAR109), .VAR29 (VAR29), .VAR2 (VAR2)) VAR69 ( .VAR143 (VAR90), .VAR100 (VAR62[VAR109-1:0]), .VAR87 (VAR105), .VAR3 (VAR38[VAR169-1:0]), .VAR82 (VAR131[VAR64-1:0]), .VAR81 (VAR93[VAR111-1:0]), .VAR59 (VAR25), .VAR162 (VAR178), .VAR97 (VAR97), .VAR159 (VAR159), .VAR44 (VAR44[VAR31-1:0]), .VAR98 (VAR98), .VAR78 (VAR78[VAR185-1:0]), .VAR17 (VAR17[VAR56-1:0]), .VAR170 (VAR170[VAR73-1:0]), .VAR14 (VAR14[VAR169-1:0]), .VAR151 (VAR151[VAR1-1:0]), .VAR21 (VAR21[VAR116-1:0]), .VAR91 (VAR91[VAR11-1:0]), .VAR43 (VAR43[VAR77-1:0]), .VAR46 (VAR46)); VAR139 .VAR53 (VAR53), .VAR141 (VAR141), .VAR20 (VAR20), .VAR150 (VAR150), .VAR109 (VAR109), .VAR54 (VAR54), .VAR18 (VAR18)) VAR40 ( .VAR59 (VAR25), .VAR13 (VAR72), .VAR182 (VAR112[VAR37-1:0]), .VAR179 (VAR57), .VAR24 (VAR123[VAR61(VAR37/32)-1:0]), .VAR140 (VAR155), .VAR47 (VAR176[VAR61(VAR37/32)-1:0]), .VAR113 (VAR114), .VAR143 (VAR90), .VAR100 (VAR62[VAR109-1:0]), .VAR87 (VAR105), .VAR3 (VAR38[VAR169-1:0]), .VAR82 (VAR131[VAR64-1:0]), .VAR81 (VAR93[VAR111-1:0]), .VAR146 (VAR4), .VAR66 (VAR10[VAR37-1:0]), .VAR5 (VAR107), .VAR152 (VAR65[VAR61(VAR37/32)-1:0]), .VAR32 (VAR172), .VAR83 (VAR138[VAR61(VAR37/32)-1:0]), .VAR108 (VAR122), .VAR162 (VAR178), .VAR159 (VAR159)); MODULE1 .VAR86 (VAR86), .VAR141 (VAR141), .VAR133 (VAR133)) VAR75 ( .VAR45 (VAR122), .VAR88 (VAR175), .VAR89 (VAR41), .VAR96 (VAR112), .VAR115 (VAR114), .VAR180 (VAR57), .VAR22 (VAR123), .VAR165 (VAR155), .VAR106 (VAR176), .VAR79 (VAR79), .VAR130 (VAR130), .VAR132 (VAR132[VAR86-1:0]), .VAR144 (VAR144[(VAR86/32)-1:0]), .VAR94 (VAR94[VAR188-1:0]), .VAR159 (VAR159), .VAR158 (VAR158), .VAR48 (VAR48), .VAR28 (VAR28)); endmodule module MODULE2 parameter VAR86 = 128, parameter VAR141 = 1, parameter VAR20 = 1, parameter VAR109 = VAR74, parameter VAR29 = 5, parameter VAR2 = 10 ) ( input VAR159, input VAR162, input [VAR31-1:0] VAR44, input VAR98, input [VAR185-1:0] VAR78, input [VAR56-1:0] VAR17, input [VAR73-1:0] VAR170, input [VAR169-1:0] VAR14, input [VAR1-1:0] VAR151, input [VAR116-1:0] VAR21, input [VAR11-1:0] VAR91, input [VAR77-1:0] VAR43, input VAR46, output VAR97, output VAR143, output [VAR109-1:0] VAR100, output [VAR169-1:0] VAR3, output [VAR64-1:0] VAR82, output [VAR111-1:0] VAR81, output VAR87, input VAR59 ); wire VAR129; wire [VAR74-1:0] VAR42; wire VAR25; wire VAR90; wire [VAR74-1:0] VAR62; wire [VAR64-1:0] VAR131; wire [VAR111-1:0] VAR93; wire [VAR169-1:0] VAR38; wire VAR105; wire [VAR77-1:0] VAR184; assign VAR42[VAR67] = VAR125'd0; assign VAR42[VAR167] = VAR170[63:2]; assign VAR42[VAR161] = {1'b0,VAR14}; assign VAR42[VAR103] = VAR46; assign VAR42[VAR34] = VAR78; assign VAR42[VAR157] = VAR17; assign VAR42[VAR135] = 0; assign VAR42[VAR15] = VAR44; assign VAR42[VAR92] = VAR151; assign VAR42[VAR7] = VAR181'd0; assign VAR42[VAR126] = 0; assign VAR42[VAR76] = VAR21; assign VAR42[VAR49] = VAR91; assign VAR42[VAR153] = VAR19'd0; assign VAR62[VAR177] = VAR36(VAR184); assign VAR105 = ~VAR184[VAR124]; assign VAR131 = 4; assign VAR38 = VAR105 ? 0 : VAR62[VAR161]; assign VAR93 = VAR131 + VAR38; VAR52 .VAR58 (VAR141?1:0), .VAR101 (VAR74-1), .VAR99 (0) ) VAR30 ( .VAR26 (VAR97), .VAR147 ({VAR62[VAR74-1:(VAR164 + VAR163)], VAR62[VAR164-1:0], VAR184}), .VAR173 (VAR90), .VAR117 ({VAR42[VAR74-1:(VAR164 + VAR163)], VAR42[VAR164-1:0], VAR43}), .VAR149 (VAR98), .VAR12 (VAR25), .VAR159 (VAR159), .VAR162 (VAR162)); VAR52 .VAR58 (VAR20?1:0), .VAR101 (VAR74 + 1 + VAR111 + VAR169 + VAR64), .VAR99 (0) ) VAR71 ( .VAR26 (VAR25), .VAR147 ({VAR100,VAR87,VAR81,VAR3,VAR82}), .VAR173 (VAR143), .VAR117 ({VAR62,VAR105,VAR93,VAR38,VAR131}), .VAR149 (VAR90), .VAR12 (VAR59), .VAR159 (VAR159), .VAR162 (VAR162)); endmodule module MODULE1 parameter VAR141 = 1, parameter VAR133 = 1) ( input VAR159, input VAR158, input VAR48, output VAR89, output VAR88, output VAR45, input [VAR86-1:0] VAR96, input VAR115, input VAR180, input [VAR61(VAR86/32)-1:0] VAR22, input VAR165, input [VAR61(VAR86/32)-1:0] VAR106, input VAR28, output VAR79, output VAR130, output [VAR86-1:0] VAR132, output [(VAR86/32)-1:0] VAR144, output [VAR188-1:0] VAR94); localparam VAR70 = VAR141 != 0? 1:0; localparam VAR134 = 1; wire VAR122; wire [VAR86-1:0] VAR112; wire VAR114; wire VAR57; wire [VAR61(VAR86/32)-1:0] VAR123; wire VAR155; wire [VAR61(VAR86/32)-1:0] VAR176; wire VAR154; wire VAR104; wire VAR120; wire [VAR86-1:0] VAR63; wire [(VAR86/32)-1:0] VAR39; wire [VAR188-1:0] VAR145; wire VAR154; wire VAR104; wire VAR120; wire [VAR86-1:0] VAR63; wire [(VAR86/32)-1:0] VAR39; wire VAR178; wire VAR136; assign VAR122 = VAR154; assign VAR104 = VAR114; assign VAR120 = VAR155; assign VAR63 = VAR112; assign VAR145[3:0] = VAR112[(VAR8 % VAR86) +: VAR142]; assign VAR145[7:4] = VAR112[(VAR23 % VAR86) +: VAR95]; assign VAR145[VAR188-1:8] = 0; assign VAR89 = VAR178; VAR186 .VAR133 (VAR133)) VAR9 ( .VAR89 (VAR178), .VAR68 (VAR136), .VAR162 (VAR158), .VAR137 (VAR48), .VAR127 (VAR79), .VAR118 (VAR28 & VAR130), .VAR88 (VAR88), .VAR159 (VAR159)); VAR52 .VAR101 (VAR86 + 2*(1+VAR61(VAR86/32))), .VAR99 (0) ) VAR30 ( .VAR26 (VAR45), .VAR147 ({VAR112,VAR57,VAR123,VAR155,VAR176}), .VAR173 (VAR114), .VAR117 ({VAR96,VAR180,VAR22, VAR165,VAR106}), .VAR149 (VAR115), .VAR12 (VAR122), .VAR162 (VAR178), .VAR159 (VAR159)); VAR50 .VAR121 (0), .VAR60 (VAR86/32) ) VAR35 ( .VAR119 (VAR39), .VAR171 (VAR155), .VAR110 (VAR176) ); VAR52 .VAR58 (64/VAR86), .VAR101 (VAR86 + 1 + (VAR86/32)), .VAR99 (0) ) VAR128 ( .VAR26 (VAR154), .VAR147 ({VAR63,VAR120,VAR39}), .VAR173 (VAR104), .VAR117 ({VAR63,VAR120,VAR39}), .VAR149 (VAR104), .VAR12 (VAR154), .VAR162 (VAR178), .VAR159 (VAR159)); VAR52 .VAR58 (VAR134), .VAR101 (VAR86 + 1 + (VAR86/32) + VAR188), .VAR99 (0) ) VAR71 ( .VAR26 (VAR154), .VAR147 ({VAR132,VAR130,VAR144,VAR94}), .VAR173 (VAR79), .VAR117 ({VAR63,VAR120,VAR39,VAR145}), .VAR149 (VAR104 & ~VAR136), .VAR12 (VAR28), .VAR162 (VAR178), .VAR159 (VAR159)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkinvlp/sky130_fd_sc_hd__clkinvlp.blackbox.v
1,252
module MODULE1 ( VAR4, VAR3 ); output VAR4; input VAR3; supply1 VAR2; supply0 VAR5; supply1 VAR6 ; supply0 VAR1 ; endmodule
apache-2.0
willianzocolau/Raiden
Datapath.v
2,379
module MODULE1( VAR10, reset, VAR47, VAR30, ); input VAR10, reset; output reg [31:0] VAR30, VAR47; always @ (*) begin VAR47 = VAR21; VAR30 = VAR22; end wire [31:0] VAR21, VAR1, VAR9, VAR7, VAR45, VAR16, VAR50; wire signed [31:0] VAR5, VAR12, VAR17, VAR55, VAR22; wire signed [21:0] VAR32; wire [4:0] VAR57, VAR31, VAR40, VAR41, VAR25; wire [1:0] VAR38, VAR52, VAR61; wire VAR53, VAR37, out, VAR62, VAR42, VAR59; VAR46 VAR11 ( .VAR10(VAR10), .reset(reset), .VAR37(VAR37), .VAR53(VAR53), .VAR38(VAR38), .VAR1(VAR1) ); VAR13 VAR44( .VAR36(VAR1), .VAR57(VAR57), .VAR31(VAR31), .VAR40(VAR40), .VAR32(VAR32), .VAR41(VAR41), .VAR8(VAR21) ); VAR33 VAR39( .VAR10(VAR10), .reset(reset), .VAR38(VAR38), .VAR52(VAR52), .VAR58(VAR58), .VAR51(VAR25), .VAR62(VAR62), .VAR42(VAR42), .VAR59(VAR59), .VAR41(VAR41), .VAR61(VAR61) ); VAR60 VAR2 ( .VAR10(VAR10), .VAR57(VAR57), .VAR31(VAR31), .VAR40(VAR40), .VAR50(VAR16), .VAR48(VAR42), .VAR24(VAR5), .VAR4(VAR12) ); VAR15 VAR29 ( .in(VAR32), .out(VAR17) ); VAR14 VAR20 ( .mux(VAR52), .VAR19(VAR12), .VAR32(VAR17), .out(VAR55) ); VAR3 VAR6 ( .VAR25(VAR25), .VAR49(VAR5), .VAR19(VAR55), .VAR30(VAR22), .VAR34(VAR37) ); VAR23 VAR54 ( .mux(VAR52), .VAR22(VAR22), .VAR35(VAR35), .VAR7(VAR7), .out(VAR16) ); VAR18 VAR28 ( .VAR10(VAR10), .VAR42(VAR42), .VAR59(VAR59), .VAR50(VAR55), .address(VAR32), .VAR26(VAR9) ); VAR43 VAR27 ( .VAR61(), .VAR56(VAR5), .VAR32(VAR32), .in(VAR5), .VAR7(VAR7), .out(out) ); endmodule
gpl-3.0
ShepardSiegel/ocpi
rtl/mkFlashWorker.v
107,766
module MODULE1(VAR253, VAR235, VAR287, VAR177, VAR188, VAR15, VAR243, VAR64, VAR128, VAR249, VAR172, VAR49, VAR375, VAR341, VAR199, VAR337, VAR153, VAR56, VAR259, VAR359, VAR158); parameter [0 : 0] VAR384 = 1'b0; input VAR253; input VAR235; inout [15 : 0] VAR287; input [2 : 0] VAR177; input VAR188; input [3 : 0] VAR15; input [31 : 0] VAR243; input [31 : 0] VAR64; output [1 : 0] VAR128; output [31 : 0] VAR249; output VAR172; output [1 : 0] VAR49; input [1 : 0] VAR375; output [23 : 0] VAR341; output VAR199; output VAR337; output VAR153; output VAR56; output VAR259; output VAR359; input VAR158; wire [31 : 0] VAR249; wire [23 : 0] VAR341; wire [1 : 0] VAR49, VAR128; wire VAR359, VAR199, VAR337, VAR259, VAR153, VAR56, VAR172; wire [71 : 0] VAR174; wire [33 : 0] VAR267; wire [31 : 0] VAR198, VAR382; wire [3 : 0] VAR141; wire [2 : 0] VAR119, VAR145; wire VAR67, VAR256, VAR373, VAR252, VAR111, VAR191, VAR3, VAR175, VAR149, VAR65, VAR338, VAR97, VAR123, VAR138, VAR163, VAR299, VAR186, VAR387, VAR328, VAR60, VAR80, VAR242, VAR113, VAR343, VAR303, VAR278, VAR88, VAR171, VAR357, VAR192, VAR251, VAR45, VAR77, VAR185, VAR369, VAR70, VAR83, VAR36, VAR137, VAR76, VAR265, VAR161; reg [31 : 0] VAR35; wire [31 : 0] VAR184; wire VAR221; reg [23 : 0] VAR226; wire [23 : 0] VAR93; wire VAR211; reg VAR130; wire VAR114, VAR310; reg VAR322; wire VAR8, VAR30; reg VAR347; wire VAR190, VAR197; reg [14 : 0] VAR11; wire [14 : 0] VAR120; wire VAR228; reg VAR6; wire VAR376, VAR330; reg VAR395; wire VAR325, VAR355; reg VAR166; wire VAR47, VAR312; reg VAR162; wire VAR229, VAR84; reg [3 : 0] VAR78; reg [3 : 0] VAR92; wire VAR244; reg [15 : 0] VAR147; wire [15 : 0] VAR102; wire VAR127; reg VAR231; wire VAR51, VAR109; reg [15 : 0] VAR38; wire [15 : 0] VAR1; wire VAR296; reg VAR110; wire VAR25, VAR26; reg [15 : 0] VAR340; wire [15 : 0] VAR290; wire VAR386; reg VAR361; wire VAR362, VAR227; reg [6 : 0] VAR209; wire [6 : 0] VAR372; wire VAR116; reg [6 : 0] VAR155; wire [6 : 0] VAR342; wire VAR396; reg [6 : 0] VAR279; wire [6 : 0] VAR300; wire VAR370; reg VAR353; wire VAR69, VAR194; reg VAR293; wire VAR126, VAR210; reg VAR234; wire VAR135, VAR46; reg VAR139; wire VAR48, VAR238; reg [4 : 0] VAR34; reg [4 : 0] VAR213; wire VAR195; reg [31 : 0] VAR178; wire [31 : 0] VAR354; wire VAR66; reg [31 : 0] VAR292; wire [31 : 0] VAR268; wire VAR352; reg VAR393; wire VAR133, VAR288; reg [2 : 0] VAR320; wire [2 : 0] VAR363; wire VAR136; reg [2 : 0] VAR345; wire [2 : 0] VAR390; wire VAR264; reg VAR33; wire VAR219, VAR99; reg VAR349; wire VAR307, VAR40; reg VAR173; wire VAR255, VAR377; reg VAR14; wire VAR321, VAR200; reg [2 : 0] VAR204; reg [2 : 0] VAR108; wire VAR55; reg [1 : 0] VAR301; wire [1 : 0] VAR401; wire VAR305; reg [1 : 0] VAR143; wire [1 : 0] VAR4; wire VAR85; reg [33 : 0] VAR157; reg [33 : 0] VAR154; wire VAR263; reg [33 : 0] VAR131; reg [33 : 0] VAR364; wire VAR21; reg VAR118; wire VAR27, VAR225; reg VAR248; wire VAR365, VAR182; reg [31 : 0] VAR344; wire [31 : 0] VAR236; wire VAR94; wire [40 : 0] VAR156, VAR164; wire VAR368, VAR241, VAR100, VAR385, VAR176; wire [15 : 0] VAR323, VAR73; wire VAR240, VAR392, VAR246, VAR367, VAR196; wire [15 : 0] VAR179, VAR82; wire [71 : 0] VAR91, VAR142; wire VAR283, VAR68, VAR262, VAR276; wire VAR315, VAR326, VAR75, VAR298, VAR50, VAR266, VAR306, VAR308, VAR53, VAR95, VAR193, VAR351, VAR318, VAR79, VAR378, VAR260, VAR313, VAR224, VAR270, VAR152, VAR271, VAR103, VAR273, VAR43, VAR245, VAR24, VAR144, VAR281, VAR250, VAR151, VAR366, VAR275, VAR398, VAR41, VAR59, VAR333, VAR61, VAR74, VAR140, VAR223, VAR29, VAR86, VAR274, VAR42, VAR327, VAR379, VAR284; reg [33 : 0] VAR207; wire [40 : 0] VAR146, VAR258; wire [33 : 0] VAR314, VAR39, VAR272, VAR121, VAR124; wire [31 : 0] VAR132; wire [14 : 0] VAR104; wire [6 : 0] VAR106, VAR206, VAR203; wire [1 : 0] VAR5; wire VAR71, VAR112, VAR380, VAR297, VAR391, VAR294, VAR98, VAR329, VAR215, VAR20, VAR183, VAR201, VAR381, VAR336, VAR105, VAR148; reg [63 : 0] VAR233, VAR160, VAR44, VAR63; reg [31 : 0] VAR134; wire [31 : 0] VAR214, VAR356; wire [23 : 0] VAR17; wire [1 : 0] VAR311; wire VAR324, VAR205, VAR37, VAR239, VAR332, VAR54, VAR397; assign VAR128 = VAR157[33:32] ; assign VAR249 = VAR157[31:0] ; assign VAR172 = VAR301 > 2'd1 || VAR14 ; assign VAR49 = { 1'd1, VAR118 } ; assign VAR341 = VAR226 ; assign VAR199 = !VAR130 ; assign VAR337 = !VAR347 ; assign VAR153 = !VAR361 ; assign VAR56 = 1'd1 ; assign VAR259 = 1'd1 ; assign VAR359 = 1'd0 ; VAR22 #(.VAR90(32'd41), .VAR169(32'd1)) VAR170(.VAR291(VAR235), .VAR286(VAR253), .VAR107(VAR156), .VAR122(VAR385), .VAR334(VAR241), .VAR19(VAR368), .VAR18(VAR164), .VAR383(VAR176), .VAR399(VAR100)); VAR22 #(.VAR90(32'd16), .VAR169(32'd1)) VAR52(.VAR291(VAR235), .VAR286(VAR253), .VAR107(VAR323), .VAR122(VAR367), .VAR334(VAR392), .VAR19(VAR240), .VAR18(VAR73), .VAR383(VAR196), .VAR399(VAR246)); VAR62 #(.VAR90(32'd16)) VAR247(.VAR180(VAR38), .VAR125(VAR231), .VAR339(VAR82), .VAR257(VAR287)); VAR295 #(.VAR335(32'd72), .VAR220(32'd3), .VAR217(32'd1), .VAR169(32'd1)) VAR346(.VAR291(VAR235), .VAR286(VAR253), .VAR107(VAR91), .VAR122(VAR276), .VAR334(VAR68), .VAR19(VAR283), .VAR18(VAR142), .VAR383(), .VAR399(VAR262)); assign VAR315 = VAR246 && (!VAR393 || VAR143 != 2'd2) && !VAR265 && !VAR274 ; assign VAR140 = VAR143 != 2'd2 && VAR397 && VAR265 && !VAR42 && !VAR274 ; assign VAR74 = VAR54 && VAR76 && !VAR42 && !VAR274 ; assign VAR42 = VAR262 && VAR161 && !VAR274 ; assign VAR29 = VAR161 && VAR42 && VAR345 == 3'd1 && VAR142[36:34] == 3'd1 ; assign VAR223 = VAR161 && VAR42 && VAR345 == 3'd0 && VAR142[36:34] == 3'd0 ; assign VAR86 = VAR161 && VAR42 && VAR345 == 3'd2 && VAR142[36:34] == 3'd3 ; assign VAR274 = VAR143 != 2'd2 && VAR349 && VAR33 ; assign VAR284 = VAR185 && VAR77 && !(VAR143 != 2'd0) ; assign VAR379 = VAR143 != 2'd0 && !VAR77 ; assign VAR327 = VAR185 && VAR143 != 2'd0 && VAR77 ; assign VAR50 = VAR322 && VAR78 == 4'd1 ; assign VAR75 = VAR322 && VAR78 == 4'd2 ; assign VAR53 = VAR322 && !VAR11[14] && (VAR78 == 4'd3 || VAR78 == 4'd4) ; assign VAR266 = VAR196 && VAR322 && VAR11[14] && (VAR78 == 4'd3 || VAR78 == 4'd4) ; assign VAR306 = VAR322 && VAR78 == 4'd5 ; assign VAR308 = VAR322 && VAR78 == 4'd6 ; assign VAR95 = VAR239 && VAR6 ; assign VAR298 = VAR322 && VAR191 && (VAR78 == 4'd0 || VAR78 == 4'd7) ; assign VAR193 = !VAR191 && VAR78 == 4'd7 ; assign VAR260 = !VAR322 && VAR34 == 5'd1 ; assign VAR313 = !VAR322 && VAR34 == 5'd2 ; assign VAR224 = !VAR322 && VAR34 == 5'd3 ; assign VAR351 = !VAR322 && VAR34 == 5'd4 ; assign VAR366 = !VAR322 && !VAR279[6] && (VAR34 == 5'd5 || VAR34 == 5'd6) ; assign VAR270 = !VAR322 && VAR279[6] && (VAR34 == 5'd5 || VAR34 == 5'd6) ; assign VAR152 = !VAR322 && VAR34 == 5'd7 ; assign VAR275 = !VAR322 && VAR34 == 5'd8 ; assign VAR271 = !VAR322 && VAR34 == 5'd9 ; assign VAR103 = !VAR322 && VAR34 == 5'd10 ; assign VAR273 = !VAR322 && VAR34 == 5'd11 ; assign VAR318 = !VAR322 && VAR34 == 5'd12 ; assign VAR398 = !VAR322 && !VAR209[6] && (VAR34 == 5'd13 || VAR34 == 5'd14) ; assign VAR43 = !VAR322 && VAR209[6] && (VAR34 == 5'd13 || VAR34 == 5'd14) ; assign VAR245 = !VAR322 && VAR34 == 5'd15 ; assign VAR24 = !VAR322 && VAR34 == 5'd16 ; assign VAR41 = !VAR322 && VAR34 == 5'd17 ; assign VAR144 = !VAR322 && VAR34 == 5'd18 ; assign VAR281 = !VAR322 && VAR34 == 5'd19 ; assign VAR79 = !VAR322 && VAR34 == 5'd20 ; assign VAR59 = !VAR322 && !VAR155[6] && (VAR34 == 5'd21 || VAR34 == 5'd22) ; assign VAR250 = !VAR322 && VAR155[6] && (VAR34 == 5'd21 || VAR34 == 5'd22) ; assign VAR151 = !VAR322 && VAR34 == 5'd23 ; assign VAR333 = VAR332 && VAR353 ; assign VAR378 = !VAR322 && VAR299 && (VAR34 == 5'd0 || VAR34 == 5'd24) ; assign VAR326 = VAR100 && VAR324 && VAR239 && !VAR6 && VAR332 && !VAR353 ; assign VAR61 = !VAR299 && VAR34 == 5'd24 ; assign VAR71 = VAR151 || VAR245 || VAR152 || VAR308 ; assign VAR112 = VAR250 || VAR306 ; assign VAR380 = VAR74 && VAR142[51] ; assign VAR297 = VAR326 && VAR164[40] ; assign VAR391 = VAR43 || VAR270 ; assign VAR294 = VAR326 && !VAR164[40] ; assign VAR98 = VAR140 && VAR142[39:32] == 8'h10 ; assign VAR329 = VAR315 && VAR393 ; assign VAR215 = VAR274 && VAR173 ; assign VAR20 = VAR42 && (VAR142[36:34] == 3'd0 && VAR345 != 3'd0 || VAR142[36:34] == 3'd1 && VAR345 != 3'd1 && VAR345 != 3'd3 || VAR142[36:34] == 3'd2 && VAR345 != 3'd2 || VAR142[36:34] == 3'd3 && VAR345 != 3'd3 && VAR345 != 3'd2 && VAR345 != 3'd1 || VAR142[36:34] == 3'd4 || VAR142[36:34] == 3'd5 || VAR142[36:34] == 3'd6 || VAR142[36:34] == 3'd7) ; assign VAR201 = VAR327 && VAR37 ; assign VAR381 = VAR284 && VAR143 == 2'd0 ; assign VAR336 = VAR327 && VAR205 ; assign VAR105 = VAR284 && VAR143 == 2'd1 ; assign VAR148 = VAR74 && !VAR142[51] ; assign VAR146 = { 1'd1, VAR17, 16'hAAAA } ; assign VAR258 = { VAR142[39:32] != 8'h14, VAR35[23:0], VAR344[15:0] } ; assign VAR104 = { VAR11[13:0], 1'd0 } ; assign VAR106 = { VAR209[5:0], 1'd0 } ; assign VAR206 = { VAR155[5:0], 1'd0 } ; assign VAR203 = { VAR279[5:0], 1'd0 } ; assign VAR132 = { 16'd0, VAR73 } ; assign VAR183 = VAR142[36:34] != 3'd4 && VAR142[36:34] != 3'd5 && VAR142[36:34] != 3'd6 ; assign VAR5 = VAR143 + 2'd1 ; assign VAR314 = (VAR143 == 2'd1) ? VAR207 : VAR131 ; always@(VAR329 or VAR272 or VAR148 or VAR121 or VAR274 or VAR124 or VAR140) begin case (1'b1) VAR329: VAR207 = VAR272; VAR148: VAR207 = VAR121; VAR274: VAR207 = VAR124; VAR140: VAR207 = 34'h1C0DE4201; default: VAR207 = 34'h2AAAAAAAA ; endcase end assign VAR39 = (VAR143 == 2'd2) ? VAR207 : 34'h0AAAAAAAA ; assign VAR272 = { 18'd65536, VAR73 } ; assign VAR121 = { 2'd1, VAR356 } ; assign VAR124 = VAR173 ? 34'h3C0DE4202 : 34'h1C0DE4201 ; assign VAR174 = { VAR177, VAR188, VAR15, VAR243, VAR64 } ; assign VAR137 = 1'd1 ; assign VAR267 = VAR207 ; assign VAR185 = VAR315 && VAR393 || VAR74 && !VAR142[51] || VAR274 || VAR140 ; assign VAR145 = VAR142[36:34] ; assign VAR36 = VAR42 ; assign VAR369 = 1'b0 ; assign VAR70 = 1'b0 ; assign VAR88 = 1'd1 ; assign VAR171 = VAR86 || VAR29 || VAR223 ; assign VAR119 = VAR177 ; assign VAR303 = 1'd1 ; assign VAR80 = VAR188 ; assign VAR242 = 1'd1 ; assign VAR141 = VAR15 ; assign VAR343 = 1'd1 ; assign VAR198 = VAR243 ; assign VAR113 = 1'd1 ; assign VAR382 = VAR64 ; assign VAR278 = 1'd1 ; assign VAR111 = 1'd1 ; assign VAR191 = VAR95 || VAR395 && !VAR162 ; assign VAR373 = 1'd1 ; assign VAR252 = VAR191 ; assign VAR67 = 1'b0 ; assign VAR256 = 1'b0 ; assign VAR3 = 1'd1 ; assign VAR175 = VAR65 ; assign VAR163 = 1'd1 ; assign VAR299 = VAR333 || VAR293 && !VAR139 ; assign VAR123 = 1'd1 ; assign VAR138 = VAR299 ; assign VAR338 = 1'b0 ; assign VAR97 = 1'b0 ; assign VAR186 = 1'd1 ; assign VAR387 = VAR60 ; assign VAR251 = VAR174[71:69] != 3'd0 ; assign VAR192 = VAR74 || VAR140 || VAR42 ; assign VAR357 = 1'b0 ; assign VAR77 = VAR315 && VAR393 || VAR74 && !VAR142[51] || VAR140 || VAR274 ; assign VAR45 = VAR143 != 2'd0 ; assign VAR83 = 1'b0 ; assign VAR265 = VAR262 && VAR142[68] && VAR142[71:69] == 3'd1 ; assign VAR76 = VAR262 && VAR142[68] && VAR142[71:69] == 3'd2 ; assign VAR161 = VAR262 && !VAR142[68] && VAR142[71:69] == 3'd2 ; assign VAR65 = VAR193 || VAR308 || VAR306 || VAR266 || VAR53 || VAR75 || VAR50 || VAR298 ; assign VAR149 = 1'b0 ; assign VAR60 = VAR61 || VAR151 || VAR250 || VAR59 || VAR79 || VAR281 || VAR144 || VAR41 || VAR24 || VAR245 || VAR43 || VAR398 || VAR318 || VAR273 || VAR103 || VAR271 || VAR275 || VAR152 || VAR270 || VAR366 || VAR351 || VAR224 || VAR313 || VAR260 || VAR378 ; assign VAR328 = 1'b0 ; assign VAR184 = VAR142[31:0] ; assign VAR221 = VAR140 && VAR142[39:32] == 8'h08 ; assign VAR93 = VAR164[39:16] ; assign VAR211 = VAR326 ; assign VAR114 = !VAR71 ; assign VAR310 = VAR151 || VAR245 || VAR152 || VAR308 || VAR144 || VAR103 || VAR260 || VAR298 ; assign VAR8 = VAR164[40] ; assign VAR30 = VAR326 ; assign VAR190 = !VAR112 ; assign VAR197 = VAR250 || VAR306 || VAR281 || VAR50 ; assign VAR120 = VAR53 ? VAR104 : 15'd1 ; assign VAR228 = VAR53 || VAR75 ; assign VAR376 = VAR297 ; assign VAR330 = VAR326 && VAR164[40] || VAR95 ; assign VAR325 = VAR191 ; assign VAR355 = 1'd1 ; assign VAR47 = VAR65 || VAR166 ; assign VAR312 = 1'd1 ; assign VAR229 = VAR65 ; assign VAR84 = 1'd1 ; always@(VAR193 or VAR298 or VAR50 or VAR75 or VAR53 or VAR266 or VAR306 or VAR308) begin case (1'b1) VAR193: VAR92 = 4'd0; VAR298: VAR92 = 4'd1; VAR50: VAR92 = 4'd2; VAR75: VAR92 = 4'd3; VAR53: VAR92 = 4'd4; VAR266: VAR92 = 4'd5; VAR306: VAR92 = 4'd6; VAR308: VAR92 = 4'd7; default: VAR92 = 4'b1010 ; endcase end assign VAR244 = VAR193 || VAR298 || VAR50 || VAR75 || VAR53 || VAR266 || VAR306 || VAR308 ; assign VAR102 = VAR164[15:0] ; assign VAR127 = VAR326 ; assign VAR51 = !VAR24 ; assign VAR109 = VAR24 || VAR313 ; assign VAR1 = VAR271 ? VAR147 : 16'd64 ; assign VAR296 = VAR271 || VAR378 ; assign VAR25 = VAR158 ; assign VAR26 = 1'd1 ; assign VAR290 = 16'h0 ; assign VAR386 = 1'b0 ; assign VAR362 = !VAR391 ; assign VAR227 = VAR43 || VAR270 || VAR273 || VAR224 ; assign VAR372 = VAR398 ? VAR106 : 7'd1 ; assign VAR116 = VAR398 || VAR318 ; assign VAR342 = VAR59 ? VAR206 : 7'd1 ; assign VAR396 = VAR59 || VAR79 ; assign VAR300 = VAR366 ? VAR203 : 7'd1 ; assign VAR370 = VAR366 || VAR351 ; assign VAR69 = VAR294 ; assign VAR194 = VAR326 && !VAR164[40] || VAR333 ; assign VAR126 = VAR299 ; assign VAR210 = 1'd1 ; assign VAR135 = VAR60 || VAR234 ; assign VAR46 = 1'd1 ; assign VAR48 = VAR60 ; assign VAR238 = 1'd1 ; always@(VAR61 or VAR378 or VAR260 or VAR313 or VAR224 or VAR351 or VAR366 or VAR270 or VAR152 or VAR275 or VAR271 or VAR103 or VAR273 or VAR318 or VAR398 or VAR43 or VAR245 or VAR24 or VAR41 or VAR144 or VAR281 or VAR79 or VAR59 or VAR250 or VAR151) begin case (1'b1) VAR61: VAR213 = 5'd0; VAR378: VAR213 = 5'd1; VAR260: VAR213 = 5'd2; VAR313: VAR213 = 5'd3; VAR224: VAR213 = 5'd4; VAR351: VAR213 = 5'd5; VAR366: VAR213 = 5'd6; VAR270: VAR213 = 5'd7; VAR152: VAR213 = 5'd8; VAR275: VAR213 = 5'd9; VAR271: VAR213 = 5'd10; VAR103: VAR213 = 5'd11; VAR273: VAR213 = 5'd12; VAR318: VAR213 = 5'd13; VAR398: VAR213 = 5'd14; VAR43: VAR213 = 5'd15; VAR245: VAR213 = 5'd16; VAR24: VAR213 = 5'd17; VAR41: VAR213 = 5'd18; VAR144: VAR213 = 5'd19; VAR281: VAR213 = 5'd20; VAR79: VAR213 = 5'd21; VAR59: VAR213 = 5'd22; VAR250: VAR213 = 5'd23; VAR151: VAR213 = 5'd24; default: VAR213 = 5'b01010 ; endcase end assign VAR195 = VAR61 || VAR378 || VAR260 || VAR313 || VAR224 || VAR351 || VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151 ; assign VAR354 = VAR142[31:0] ; assign VAR66 = VAR140 && VAR142[39:32] == 8'h04 ; assign VAR268 = VAR98 ? VAR142[31:0] : VAR132 ; assign VAR352 = VAR140 && VAR142[39:32] == 8'h10 || VAR315 ; assign VAR133 = !VAR329 ; assign VAR288 = VAR315 && VAR393 || VAR74 && VAR142[51] ; assign VAR363 = VAR142[36:34] ; assign VAR136 = VAR42 ; assign VAR390 = VAR204 ; assign VAR264 = VAR274 && !VAR173 ; assign VAR219 = VAR171 ; assign VAR99 = 1'd1 ; assign VAR307 = !VAR274 ; assign VAR40 = VAR274 || VAR42 ; assign VAR255 = !VAR215 && VAR183 ; assign VAR377 = VAR274 && VAR173 || VAR20 ; assign VAR321 = 1'd0 ; assign VAR200 = VAR14 ; always@(VAR142) begin case (VAR142[36:34]) 3'd0: VAR108 = 3'd1; 3'd1: VAR108 = 3'd2; 3'd2: VAR108 = 3'd3; default: VAR108 = 3'd0; endcase end assign VAR55 = VAR42 && (VAR142[36:34] == 3'd0 && VAR345 == 3'd0 || VAR142[36:34] == 3'd1 && (VAR345 == 3'd1 || VAR345 == 3'd3) || VAR142[36:34] == 3'd2 && VAR345 == 3'd2 || VAR142[36:34] == 3'd3 && (VAR345 == 3'd3 || VAR345 == 3'd2 || VAR345 == 3'd1)) ; assign VAR401 = (VAR174[71:69] != 3'd0) ? VAR301 + 2'd1 : VAR301 - 2'd1 ; assign VAR305 = (VAR174[71:69] != 3'd0) != VAR192 ; assign VAR4 = VAR379 ? VAR311 : VAR5 ; assign VAR85 = VAR379 || VAR284 ; always@(VAR201 or VAR314 or VAR381 or VAR207 or VAR379 or VAR131) begin case (1'b1) VAR201: VAR154 = VAR314; VAR381: VAR154 = VAR207; VAR379: VAR154 = VAR131; default: VAR154 = 34'h2AAAAAAAA ; endcase end assign VAR263 = VAR327 && VAR37 || VAR284 && VAR143 == 2'd0 || VAR379 ; always@(VAR336 or VAR39 or VAR105 or VAR207 or VAR379) begin case (1'b1) VAR336: VAR364 = VAR39; VAR105: VAR364 = VAR207; VAR379: VAR364 = 34'h0AAAAAAAA; default: VAR364 = 34'h2AAAAAAAA ; endcase end assign VAR21 = VAR327 && VAR205 || VAR284 && VAR143 == 2'd1 || VAR379 ; assign VAR27 = 1'b0 ; assign VAR225 = 1'd1 ; assign VAR365 = 1'b0 ; assign VAR182 = 1'd1 ; assign VAR236 = VAR142[31:0] ; assign VAR94 = VAR140 && VAR142[39:32] == 8'h0C ; assign VAR156 = VAR380 ? VAR146 : VAR258 ; assign VAR385 = VAR74 && VAR142[51] || VAR140 && (VAR142[39:32] == 8'h14 || VAR142[39:32] == 8'h18) ; assign VAR241 = VAR326 ; assign VAR368 = 1'b0 ; assign VAR323 = VAR82 ; assign VAR367 = VAR266 ; assign VAR392 = VAR315 ; assign VAR240 = 1'b0 ; assign VAR91 = VAR174 ; assign VAR276 = VAR174[71:69] != 3'd0 ; assign VAR68 = VAR192 ; assign VAR283 = 1'b0 ; assign VAR324 = VAR164[40] ? VAR239 && !VAR6 : VAR332 && !VAR353 ; assign VAR205 = VAR143 != 2'd2 || VAR311 == 2'd1 ; assign VAR37 = VAR143 != 2'd1 || VAR311 == 2'd0 ; assign VAR239 = (VAR78 == 4'd0 || VAR78 == 4'd7) && (!VAR395 || VAR162) ; assign VAR332 = (VAR34 == 5'd0 || VAR34 == 5'd24) && (!VAR293 || VAR139) ; assign VAR214 = { 31'd1, VAR110 } ; assign VAR356 = VAR142[51] ? 32'd0 : VAR134 ; assign VAR54 = VAR262 && (VAR142[51] ? VAR176 : VAR143 != 2'd2) ; assign VAR397 = VAR262 && ((VAR142[39:32] == 8'h14) ? VAR176 : VAR142[39:32] != 8'h18 || VAR176) ; assign VAR311 = VAR143 - 2'd1 ; assign VAR17 = { 5'h0, VAR142[50:34], 2'b0 } ; always@(VAR142 or VAR214 or VAR178 or VAR35 or VAR344 or VAR292) begin case (VAR142[39:32]) 8'h0: VAR134 = VAR214; 8'h04: VAR134 = VAR178; 8'h08: VAR134 = VAR35; 8'h0C: VAR134 = VAR344; 8'h10: VAR134 = VAR292; default: VAR134 = 32'd0; endcase end always@(posedge VAR253) begin if (VAR235 == VAR187) begin VAR35 <= VAR302 32'd0; VAR226 <= VAR302 24'd0; VAR130 <= VAR302 1'd0; VAR322 <= VAR302 1'd1; VAR347 <= VAR302 1'd0; VAR11 <= VAR302 15'd1; VAR6 <= VAR302 1'd0; VAR395 <= VAR302 1'd0; VAR166 <= VAR302 1'd1; VAR162 <= VAR302 1'd0; VAR78 <= VAR302 4'd0; VAR147 <= VAR302 16'd0; VAR231 <= VAR302 1'd0; VAR38 <= VAR302 16'd0; VAR340 <= VAR302 16'd0; VAR361 <= VAR302 1'd0; VAR209 <= VAR302 7'd1; VAR155 <= VAR302 7'd1; VAR279 <= VAR302 7'd1; VAR353 <= VAR302 1'd0; VAR293 <= VAR302 1'd0; VAR234 <= VAR302 1'd1; VAR139 <= VAR302 1'd0; VAR34 <= VAR302 5'd0; VAR178 <= VAR302 32'd0; VAR292 <= VAR302 32'd0; VAR393 <= VAR302 1'd0; VAR320 <= VAR302 3'h2; VAR345 <= VAR302 3'd0; VAR33 <= VAR302 1'd0; VAR349 <= VAR302 1'd0; VAR173 <= VAR302 1'd0; VAR204 <= VAR302 3'd0; VAR301 <= VAR302 2'd0; VAR143 <= VAR302 2'd0; VAR157 <= VAR302 34'h0AAAAAAAA; VAR131 <= VAR302 34'h0AAAAAAAA; VAR118 <= VAR302 1'd0; VAR248 <= VAR302 1'd1; VAR344 <= VAR302 32'd0; end else begin if (VAR221) VAR35 <= VAR302 VAR184; if (VAR211) VAR226 <= VAR302 VAR93; if (VAR310) VAR130 <= VAR302 VAR114; if (VAR30) VAR322 <= VAR302 VAR8; if (VAR197) VAR347 <= VAR302 VAR190; if (VAR228) VAR11 <= VAR302 VAR120; if (VAR330) VAR6 <= VAR302 VAR376; if (VAR355) VAR395 <= VAR302 VAR325; if (VAR312) VAR166 <= VAR302 VAR47; if (VAR84) VAR162 <= VAR302 VAR229; if (VAR244) VAR78 <= VAR302 VAR92; if (VAR127) VAR147 <= VAR302 VAR102; if (VAR109) VAR231 <= VAR302 VAR51; if (VAR296) VAR38 <= VAR302 VAR1; if (VAR386) VAR340 <= VAR302 VAR290; if (VAR227) VAR361 <= VAR302 VAR362; if (VAR116) VAR209 <= VAR302 VAR372; if (VAR396) VAR155 <= VAR302 VAR342; if (VAR370) VAR279 <= VAR302 VAR300; if (VAR194) VAR353 <= VAR302 VAR69; if (VAR210) VAR293 <= VAR302 VAR126; if (VAR46) VAR234 <= VAR302 VAR135; if (VAR238) VAR139 <= VAR302 VAR48; if (VAR195) VAR34 <= VAR302 VAR213; if (VAR66) VAR178 <= VAR302 VAR354; if (VAR352) VAR292 <= VAR302 VAR268; if (VAR288) VAR393 <= VAR302 VAR133; if (VAR136) VAR320 <= VAR302 VAR363; if (VAR264) VAR345 <= VAR302 VAR390; if (VAR99) VAR33 <= VAR302 VAR219; if (VAR40) VAR349 <= VAR302 VAR307; if (VAR377) VAR173 <= VAR302 VAR255; if (VAR55) VAR204 <= VAR302 VAR108; if (VAR305) VAR301 <= VAR302 VAR401; if (VAR85) VAR143 <= VAR302 VAR4; if (VAR263) VAR157 <= VAR302 VAR154; if (VAR21) VAR131 <= VAR302 VAR364; if (VAR225) VAR118 <= VAR302 VAR27; if (VAR182) VAR248 <= VAR302 VAR365; if (VAR94) VAR344 <= VAR302 VAR236; end if (VAR26) VAR110 <= VAR302 VAR25; end always@(posedge VAR253 or VAR285 VAR235) if (VAR235 == VAR187) begin VAR14 <= VAR302 1'd1; end else begin if (VAR200) VAR14 <= VAR302 VAR321; end begin VAR35 = 32'hAAAAAAAA; VAR226 = 24'hAAAAAA; VAR130 = 1'h0; VAR322 = 1'h0; VAR347 = 1'h0; VAR11 = 15'h2AAA; VAR6 = 1'h0; VAR395 = 1'h0; VAR166 = 1'h0; VAR162 = 1'h0; VAR78 = 4'hA; VAR147 = 16'hAAAA; VAR231 = 1'h0; VAR38 = 16'hAAAA; VAR110 = 1'h0; VAR340 = 16'hAAAA; VAR361 = 1'h0; VAR209 = 7'h2A; VAR155 = 7'h2A; VAR279 = 7'h2A; VAR353 = 1'h0; VAR293 = 1'h0; VAR234 = 1'h0; VAR139 = 1'h0; VAR34 = 5'h0A; VAR178 = 32'hAAAAAAAA; VAR292 = 32'hAAAAAAAA; VAR393 = 1'h0; VAR320 = 3'h2; VAR345 = 3'h2; VAR33 = 1'h0; VAR349 = 1'h0; VAR173 = 1'h0; VAR14 = 1'h0; VAR204 = 3'h2; VAR301 = 2'h2; VAR143 = 2'h2; VAR157 = 34'h2AAAAAAAA; VAR131 = 34'h2AAAAAAAA; VAR118 = 1'h0; VAR248 = 1'h0; VAR344 = 32'hAAAAAAAA; end always@(negedge VAR253) begin if (VAR235 != VAR187) if (VAR42) begin VAR233 = end if (VAR235 != VAR187) if (VAR42) VAR316("[%0d]: %VAR31: VAR168 VAR115: VAR388-VAR117 edge:%VAR360 VAR289:%VAR360", VAR233, VAR142[36:34], VAR345); if (VAR235 != VAR187) if (VAR29) begin VAR63 = end if (VAR235 != VAR187) if (VAR29) VAR316("[%0d]: %VAR31: VAR388 VAR57 VAR178:%0x", VAR63, VAR178); if (VAR235 != VAR187) if (VAR315 && VAR86) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR317] and\VAR222 [VAR10] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR315 && VAR29) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR317] and\VAR222 [VAR237] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR315 && VAR223) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR317] and\VAR222 [VAR212] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR140 && VAR315) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR28] and\VAR222 [VAR317] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR140 && VAR86) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR28] and\VAR222 [VAR10] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR140 && VAR29) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR28] and\VAR222 [VAR237] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR140 && VAR223) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR28] and\VAR222 [VAR212] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR140 && VAR74) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR28] and [VAR7] )\VAR222 VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR74 && VAR315) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR7] and\VAR222 [VAR317] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR74 && VAR86) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR7] and\VAR222 [VAR10] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR74 && VAR29) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR7] and\VAR222 [VAR237] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR74 && VAR223) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR7] and\VAR222 [VAR212] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR29 && VAR86) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR237] and\VAR222 [VAR10] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR223 && VAR86) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR212] and\VAR222 [VAR10] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR223 && VAR29) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR212] and\VAR222 [VAR237] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR274 && VAR173) begin VAR44 = end if (VAR235 != VAR187) if (VAR274 && VAR173) VAR316("[%0d]: %VAR31: VAR168 VAR115: VAR374-VAR400 VAR230-VAR117 edge:%VAR360 VAR289:%VAR360", VAR44, VAR320, VAR345); if (VAR235 != VAR187) if (VAR274 && !VAR173) begin VAR160 = end if (VAR235 != VAR187) if (VAR274 && !VAR173) VAR316("[%0d]: %VAR31: VAR168 VAR115: VAR230-VAR117 edge:%VAR360 VAR289:%VAR360 VAR72:%VAR360", VAR160, VAR320, VAR345, VAR204); if (VAR235 != VAR187) if (VAR50 && (VAR75 || VAR53 || VAR266 || VAR306 || VAR308)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR165]\VAR222 and [VAR319, VAR350,\VAR222 VAR277, VAR89,\VAR222 VAR101] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR75 && (VAR53 || VAR266 || VAR306 || VAR308)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348\VAR222 [VAR319] and [VAR350,\VAR222 VAR277, VAR89,\VAR222 VAR101] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR53 && (VAR266 || VAR306 || VAR308)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR350] and\VAR222 [VAR277, VAR89,\VAR222 VAR101] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR266 && (VAR306 || VAR308)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR277]\VAR222 and [VAR89, VAR101] )\VAR222 VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR306 && VAR308) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR89]\VAR222 and [VAR101] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR298 && (VAR50 || VAR75 || VAR53 || VAR266 || VAR306 || VAR308)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR371]\VAR222 and [VAR165, VAR319,\VAR222 VAR350, VAR277,\VAR222 VAR89, VAR101] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR260 && (VAR313 || VAR224 || VAR351 || VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR23]\VAR222 and [VAR159, VAR58,\VAR222 VAR96, VAR254,\VAR222 VAR309, VAR282,\VAR222 VAR269, VAR129,\VAR222 VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR313 && (VAR224 || VAR351 || VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR159]\VAR222 and [VAR58, VAR96,\VAR222 VAR254, VAR309,\VAR222 VAR282, VAR269,\VAR222 VAR129, VAR331,\VAR222 VAR167, VAR261,\VAR222 VAR150, VAR218,\VAR222 VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR224 && (VAR351 || VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR58]\VAR222 and [VAR96, VAR254,\VAR222 VAR309, VAR282,\VAR222 VAR269, VAR129,\VAR222 VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR351 && (VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348\VAR222 [VAR96] and [VAR254,\VAR222 VAR309, VAR282,\VAR222 VAR269, VAR129,\VAR222 VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR366 && (VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR254] and\VAR222 [VAR309, VAR282,\VAR222 VAR269, VAR129,\VAR222 VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR270 && (VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR309]\VAR222 and [VAR282, VAR269,\VAR222 VAR129, VAR331,\VAR222 VAR167, VAR261,\VAR222 VAR150, VAR218,\VAR222 VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR152 && (VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR282]\VAR222 and [VAR269, VAR129,\VAR222 VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR275 && (VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR269]\VAR222 and [VAR129, VAR331,\VAR222 VAR167, VAR261,\VAR222 VAR150, VAR218,\VAR222 VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR271 && (VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR129]\VAR222 and [VAR331, VAR167,\VAR222 VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR273 && (VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR167]\VAR222 and [VAR261, VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR103 && (VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR331]\VAR222 and [VAR167, VAR261,\VAR222 VAR150, VAR218,\VAR222 VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR318 && (VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348\VAR222 [VAR261] and [VAR150,\VAR222 VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR398 && (VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR150]\VAR222 and [VAR218, VAR216,\VAR222 VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR43 && (VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR218]\VAR222 and [VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR245 && (VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR216]\VAR222 and [VAR13, VAR280,\VAR222 VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR24 && (VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR13]\VAR222 and [VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR41 && (VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR280]\VAR222 and [VAR32, VAR394,\VAR222 VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR281 && (VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR394]\VAR222 and [VAR208, VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR144 && (VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR32]\VAR222 and [VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR79 && (VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348\VAR222 [VAR208] and [VAR189,\VAR222 VAR389, VAR304] ) VAR12 in\VAR222 VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR59 && (VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR189]\VAR222 and [VAR389, VAR304] )\VAR222 VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR250 && VAR151) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR389]\VAR222 and [VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); if (VAR235 != VAR187) if (VAR378 && (VAR260 || VAR313 || VAR224 || VAR351 || VAR366 || VAR270 || VAR152 || VAR275 || VAR271 || VAR103 || VAR273 || VAR318 || VAR398 || VAR43 || VAR245 || VAR24 || VAR41 || VAR144 || VAR281 || VAR79 || VAR59 || VAR250 || VAR151)) \VAR222 VAR9 VAR87 VAR358 (VAR289 VAR232 VAR81 VAR348 [VAR181]\VAR222 and [VAR23, VAR159,\VAR222 VAR58, VAR96,\VAR222 VAR254, VAR309,\VAR222 VAR282, VAR269,\VAR222 VAR129, VAR331,\VAR222 VAR167, VAR261,\VAR222 VAR150, VAR218,\VAR222 VAR216, VAR13,\VAR222 VAR280, VAR32,\VAR222 VAR394, VAR208,\VAR222 VAR189, VAR389,\VAR222 VAR304] ) VAR12 in VAR232 VAR202 VAR16 VAR2.\VAR222"); end endmodule
lgpl-3.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
Sobel/ip/Sobel/vfabric_up_converter.v
3,748
module MODULE1(VAR6, VAR11, VAR7, VAR14, VAR13, VAR8, VAR12, VAR10, VAR16); parameter VAR4 = 8; parameter VAR17 = 32; input VAR6, VAR11, VAR7; input [VAR4-1:0] VAR14; input VAR13; output VAR8; output [VAR17-1:0] VAR12; input VAR10; output VAR16; parameter VAR19 = 2'b00; parameter VAR15 = 2'b01; parameter VAR18 = 2'b10; parameter VAR2 = 2'b11; reg [1:0] VAR3, VAR9; reg [VAR17-1:0] VAR1; always@(*) begin case (VAR3) VAR19: if (VAR13) VAR9 <= VAR15; end else VAR9 <= VAR19; VAR15: if (VAR13) VAR9 <= VAR18; else VAR9 <= VAR15; VAR18: if (VAR13) VAR9 <= VAR2; else VAR9 <= VAR18; VAR2: if (VAR13) VAR9 <= VAR19; else VAR9 <= VAR2; default: VAR9 <= 3'VAR5; endcase end always@(posedge VAR6 or negedge VAR11) begin if (~VAR11) VAR1 <= {VAR17{1'b0}}; end else begin if ((VAR3 == VAR19) & VAR13) VAR1 <= {VAR1[4*VAR4-1:VAR4], VAR14}; end else if ((VAR3 == VAR15) & VAR13) VAR1 <= {VAR1[4*VAR4-1:2*VAR4], VAR14, VAR1[VAR4-1:0] }; else if ((VAR3 == VAR18) & VAR13) VAR1 <= {VAR1[4*VAR4-1:3*VAR4], VAR14, VAR1[2*VAR4-1:0] }; else if ((VAR3 == VAR2) & VAR13) VAR1 <= {VAR14, VAR1[3*VAR4-1:0] }; else VAR1 <= VAR1; end end always@(posedge VAR6 or negedge VAR11) begin if (~VAR11) VAR16 <= 1'b0; end else begin VAR16 <= (VAR3 == VAR2) & VAR13; end end always@(posedge VAR6 or negedge VAR11) begin if (~VAR11) VAR3 <= VAR19; end else VAR3 <= (VAR7) ? VAR9 : VAR19; end assign VAR12 = VAR1; assign VAR8 = (VAR7) ? VAR10 : 1'b0; endmodule
mit
Darkin47/Zynq-TX-UTT
Vivado_HLS/convolution_2D/solution1/syn/verilog/doImgProc.v
72,537
module MODULE1 ( VAR328, VAR375, VAR109, VAR346, VAR213, VAR304, VAR395, VAR307, VAR221, VAR39, VAR362, VAR116, VAR369, VAR8, VAR246, VAR148, VAR171, VAR151, VAR302, VAR231, VAR47, VAR131, VAR112, VAR71, VAR52, VAR54, VAR260, VAR230, VAR85, VAR117, VAR251, VAR3, VAR98, VAR324, VAR296, VAR394, VAR282, interrupt, VAR317, VAR129, VAR201, VAR119, VAR44, VAR134, VAR81, VAR274, VAR16, VAR218, VAR198, VAR32, VAR318, VAR62, VAR27, VAR4, VAR93 ); parameter VAR378 = 11'b1; parameter VAR245 = 11'b10; parameter VAR240 = 11'b100; parameter VAR88 = 11'b1000; parameter VAR36 = 11'b10000; parameter VAR42 = 11'b100000; parameter VAR79 = 11'b1000000; parameter VAR102 = 11'b10000000; parameter VAR273 = 11'b100000000; parameter VAR235 = 11'b1000000000; parameter VAR300 = 11'b10000000000; parameter VAR341 = 32'b00000000000000000000000000000000; parameter VAR78 = 32'b10; parameter VAR380 = 32'b110; parameter VAR19 = 32'b1010; parameter VAR137 = 32; parameter VAR70 = 8; parameter VAR53 = 5; parameter VAR206 = 32; parameter VAR210 = 32; parameter VAR156 = 5; parameter VAR173 = 32'b101; parameter VAR49 = 32'b1000; parameter VAR319 = 32'b11; parameter VAR344 = 32'b1001; parameter VAR377 = 32'b100; parameter VAR337 = 32'b111; parameter VAR192 = 32'b1; parameter VAR226 = 19'b1; parameter VAR364 = 10'b0000000000; parameter VAR15 = 64'b0000000000000000000000000000000000000000000000000000000000000000; parameter VAR193 = 64'b1; parameter VAR382 = 64'b10; parameter VAR285 = 64'b11; parameter VAR176 = 64'b100; parameter VAR219 = 64'b101; parameter VAR367 = 64'b110; parameter VAR383 = 64'b111; parameter VAR38 = 64'b1000; parameter VAR325 = 8'b00000000; parameter VAR45 = 19'b1000000000000000001; parameter VAR303 = 32'b11111; parameter VAR236 = 31'b0000000000000000000000000000000; parameter VAR215 = 32'b111111111; parameter VAR308 = 19'b1000000001; parameter VAR379 = 32'b1111; parameter VAR342 = 17'b00000000000000000; parameter VAR127 = 32'b10000; parameter VAR179 = 15'b000000000000000; parameter VAR150 = 32'b1110; parameter VAR391 = 10'b1000000001; parameter VAR390 = 10'b1; parameter VAR9 = (VAR137 / VAR70); parameter VAR56 = (VAR206 / VAR70); parameter VAR155 = (VAR210 / VAR70); input VAR328; input VAR375; input [7:0] VAR109; input VAR346; output VAR213; input [0:0] VAR304; input [0:0] VAR395; input [1:0] VAR307; input [0:0] VAR221; input [4:0] VAR39; input [5:0] VAR362; output [7:0] VAR116; output VAR369; input VAR8; output [0:0] VAR246; output [0:0] VAR148; output [1:0] VAR171; output [0:0] VAR151; output [4:0] VAR302; output [5:0] VAR231; input VAR47; output VAR131; input [VAR53 - 1 : 0] VAR112; input VAR71; output VAR52; input [VAR137 - 1 : 0] VAR54; input [VAR9 - 1 : 0] VAR260; input VAR230; output VAR85; input [VAR53 - 1 : 0] VAR117; output VAR251; input VAR3; output [VAR137 - 1 : 0] VAR98; output [1:0] VAR324; output VAR296; input VAR394; output [1:0] VAR282; output interrupt; input VAR317; output VAR129; input [VAR156 - 1 : 0] VAR201; input VAR119; output VAR44; input [VAR210 - 1 : 0] VAR134; input [VAR155 - 1 : 0] VAR81; input VAR274; output VAR16; input [VAR156 - 1 : 0] VAR218; output VAR198; input VAR32; output [VAR210 - 1 : 0] VAR318; output [1:0] VAR62; output VAR27; input VAR4; output [1:0] VAR93; reg VAR213; reg[7:0] VAR116; reg VAR369; reg[0:0] VAR246; reg[0:0] VAR148; reg[1:0] VAR171; reg[0:0] VAR151; reg[4:0] VAR302; reg[5:0] VAR231; reg VAR95; wire VAR216; reg VAR73; reg VAR122; reg [10:0] VAR26; reg VAR351; reg VAR212; reg VAR225; reg [3:0] VAR69; reg VAR329; wire [7:0] VAR170; wire [31:0] VAR76; reg VAR269; reg VAR89; reg VAR247; reg VAR211; reg VAR366; reg [0:0] VAR298; reg VAR331; reg VAR67; reg VAR229; reg [0:0] VAR340; reg [0:0] VAR97; reg VAR338; reg VAR106; wire [0:0] VAR91; reg [4:0] VAR143; reg [1:0] VAR243; reg [0:0] VAR263; reg [0:0] VAR266; reg [5:0] VAR160; reg [31:0] VAR290; reg [31:0] VAR30; reg [31:0] VAR124; reg [18:0] VAR75; reg [7:0] VAR18; reg VAR203; reg VAR217; reg VAR24; reg VAR178; reg VAR166; reg [7:0] VAR233; reg VAR214; reg VAR386; reg VAR220; reg VAR279; reg VAR310; wire [7:0] VAR241; reg [7:0] VAR186; reg VAR311; reg VAR365; wire [7:0] VAR183; reg [7:0] VAR361; reg VAR339; reg VAR188; reg VAR333; reg VAR272; wire [0:0] VAR355; reg [0:0] VAR57; wire [0:0] VAR348; reg [0:0] VAR35; wire [0:0] VAR115; reg [0:0] VAR82; wire [0:0] VAR58; reg [0:0] VAR123; wire [63:0] VAR65; reg [63:0] VAR305; reg [8:0] VAR281; reg [8:0] VAR33; wire [0:0] VAR343; reg [0:0] VAR270; wire [0:0] VAR293; reg [0:0] VAR108; wire [31:0] VAR74; reg [31:0] VAR161; wire [31:0] VAR1; reg [31:0] VAR327; wire [0:0] VAR336; wire [18:0] VAR107; reg [18:0] VAR101; reg [0:0] VAR195; reg [0:0] VAR167; reg [0:0] VAR63; reg [0:0] VAR139; reg [1:0] VAR384; reg [1:0] VAR372; reg [4:0] VAR20; reg [4:0] VAR197; reg [5:0] VAR29; reg [5:0] VAR177; wire [31:0] VAR189; reg [31:0] VAR142; wire [0:0] VAR256; reg [0:0] VAR255; reg [0:0] VAR60; wire [0:0] VAR113; reg [0:0] VAR252; reg [0:0] VAR46; wire [0:0] VAR249; reg [0:0] VAR349; reg [0:0] VAR239; wire [31:0] VAR360; reg [31:0] VAR126; reg [7:0] VAR268; wire [7:0] VAR169; reg [7:0] VAR194; wire [7:0] VAR149; reg [7:0] VAR22; wire [7:0] VAR286; reg [7:0] VAR165; wire [7:0] VAR163; reg [7:0] VAR278; wire [15:0] VAR352; reg [15:0] VAR180; reg [7:0] VAR354; reg [7:0] VAR110; wire [7:0] VAR358; reg [7:0] VAR222; wire [15:0] VAR258; reg [15:0] VAR11; wire [7:0] VAR314; reg [7:0] VAR77; wire [15:0] VAR276; reg [15:0] VAR392; wire [7:0] VAR202; reg [7:0] VAR181; wire [15:0] VAR309; reg [15:0] VAR114; wire [7:0] VAR10; reg [7:0] VAR388; wire [7:0] VAR227; reg [7:0] VAR326; wire [7:0] VAR111; reg [7:0] VAR43; wire [15:0] VAR299; reg [15:0] VAR297; wire [7:0] VAR238; reg [7:0] VAR204; wire [7:0] VAR105; reg [7:0] VAR359; wire [7:0] VAR120; reg [7:0] VAR335; wire [15:0] VAR94; reg [15:0] VAR357; wire [7:0] VAR373; reg [7:0] VAR345; wire [7:0] VAR371; reg [7:0] VAR140; wire [7:0] VAR83; reg [7:0] VAR64; wire [15:0] VAR50; reg [15:0] VAR209; wire [7:0] VAR158; reg [7:0] VAR152; wire [7:0] VAR261; reg [7:0] VAR313; wire [7:0] VAR130; reg [7:0] VAR287; wire [15:0] VAR68; reg [15:0] VAR347; wire [7:0] VAR350; reg [7:0] VAR280; wire [7:0] VAR267; reg [7:0] VAR28; wire [7:0] VAR31; reg [7:0] VAR250; wire [15:0] VAR41; reg [15:0] VAR141; wire [15:0] VAR275; reg [15:0] VAR381; wire [7:0] VAR12; reg [7:0] VAR271; wire [7:0] VAR323; reg [7:0] VAR48; wire [7:0] VAR292; reg [7:0] VAR320; wire [15:0] VAR232; reg [15:0] VAR61; reg [0:0] VAR51; reg [12:0] VAR103; wire [7:0] VAR96; reg [7:0] VAR370; wire [9:0] VAR66; reg [8:0] VAR128; reg VAR321; reg VAR248; reg [8:0] VAR253; reg VAR306; reg [8:0] VAR385; reg VAR200; reg VAR208; reg [8:0] VAR356; reg VAR262; reg [8:0] VAR353; reg VAR172; reg VAR175; reg [8:0] VAR265; reg VAR322; reg [31:0] VAR294; reg [31:0] VAR264; reg [31:0] VAR257; reg [18:0] VAR363; reg [9:0] VAR40; wire [63:0] VAR228; wire [63:0] VAR196; wire [63:0] VAR205; wire [7:0] VAR86; reg VAR13; wire [30:0] VAR301; wire [30:0] VAR135; wire [0:0] VAR145; wire [0:0] VAR133; wire [31:0] VAR191; wire [31:0] VAR389; wire [31:0] VAR168; wire [31:0] VAR118; wire [31:0] VAR254; wire [31:0] VAR55; wire [31:0] VAR159; wire signed [7:0] VAR393; wire [7:0] VAR187; wire signed [7:0] VAR23; wire [7:0] VAR37; wire [15:0] VAR288; wire signed [7:0] VAR223; wire [7:0] VAR182; wire signed [7:0] VAR90; wire [7:0] VAR174; wire [0:0] VAR80; wire [7:0] VAR376; wire [0:0] VAR207; wire [0:0] VAR14; wire [7:0] VAR146; wire [0:0] VAR7; wire signed [7:0] VAR283; wire [7:0] VAR104; wire [0:0] VAR374; wire [0:0] VAR199; wire signed [7:0] VAR295; wire [7:0] VAR185; wire [0:0] VAR92; wire [0:0] VAR242; wire signed [7:0] VAR164; wire [7:0] VAR72; wire [0:0] VAR17; wire [0:0] VAR157; wire signed [7:0] VAR154; wire [7:0] VAR162; wire [15:0] VAR224; wire [0:0] VAR330; wire [0:0] VAR99; wire signed [7:0] VAR259; wire [7:0] VAR84; wire [15:0] VAR184; wire [0:0] VAR6; wire [0:0] VAR132; wire [15:0] VAR244; wire [15:0] VAR5; wire [15:0] VAR153; wire [0:0] VAR315; wire [0:0] VAR87; wire [7:0] VAR59; wire [7:0] VAR237; wire [7:0] VAR291; wire signed [16:0] VAR125; wire [16:0] VAR138; wire [13:0] VAR190; wire [14:0] VAR21; wire signed [13:0] VAR277; wire [14:0] VAR25; wire [14:0] VAR136; wire [14:0] VAR34; wire [0:0] VAR234; wire [7:0] VAR368; wire [7:0] VAR316; reg [10:0] VAR147; wire [15:0] VAR144; wire [15:0] VAR100; wire [15:0] VAR332; wire [15:0] VAR312; wire [15:0] VAR387; wire [15:0] VAR2; wire [15:0] VAR121; wire [15:0] VAR334; wire [15:0] VAR289; reg VAR284;
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor2b/sky130_fd_sc_hs__nor2b_1.v
2,046
module MODULE2 ( VAR6 , VAR4 , VAR1 , VAR5, VAR7 ); output VAR6 ; input VAR4 ; input VAR1 ; input VAR5; input VAR7; VAR2 VAR3 ( .VAR6(VAR6), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR6 , VAR4 , VAR1 ); output VAR6 ; input VAR4 ; input VAR1; supply1 VAR5; supply0 VAR7; VAR2 VAR3 ( .VAR6(VAR6), .VAR4(VAR4), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkdlybuf4s50/sky130_fd_sc_lp__clkdlybuf4s50.behavioral.pp.v
1,866
module MODULE1 ( VAR2 , VAR4 , VAR8, VAR12, VAR11 , VAR10 ); output VAR2 ; input VAR4 ; input VAR8; input VAR12; input VAR11 ; input VAR10 ; wire VAR3 ; wire VAR7; buf VAR9 (VAR3 , VAR4 ); VAR1 VAR6 (VAR7, VAR3, VAR8, VAR12); buf VAR5 (VAR2 , VAR7 ); endmodule
apache-2.0
masc-ucsc/cmpe220fall16
rtl/fflop.v
7,490
module MODULE1 (input clk ,input reset ,input logic [VAR18-1:0] din ,input logic VAR2 ,output logic VAR3 ,output logic [VAR18-1:0] VAR15 ,input logic VAR11 ,output logic VAR13 ); logic [VAR18-1:0] VAR5; logic VAR12; logic VAR14; logic VAR1; logic VAR16; VAR8 begin VAR13 = VAR16; end logic VAR9; VAR8 begin VAR3 = VAR9; end logic VAR6; VAR8 begin VAR6 = VAR11; end logic VAR4; VAR8 begin VAR4 = VAR2; end VAR8 begin VAR12 = (VAR16 & VAR6); VAR14 = VAR4 | VAR1; end always @(posedge clk) begin if (reset) begin VAR1 <= 'b0; end else begin VAR1 <= (VAR12 & VAR14); end end logic VAR7; VAR8 begin VAR7 = !VAR1; end always@ (posedge clk) begin if (VAR7) begin VAR5 <= din; end end always @(posedge clk) begin if (VAR12) begin VAR15 <= VAR15; end else if (VAR7) begin VAR15 <= din; end else begin VAR15 <= VAR5; end end logic VAR17; always @(posedge clk) begin if (reset) begin VAR17 <='b0; end else begin VAR17 <= (VAR12 | VAR14); end end VAR8 begin VAR16 = VAR17; end VAR8 begin VAR9 = VAR1 | reset; end logic [VAR18-1:0] VAR5; logic VAR12; logic VAR14; logic VAR1; logic VAR16; always @ begin VAR3 = VAR9; end logic VAR6; always @ begin VAR4 = VAR2; end always @ begin VAR7 = !VAR1; end always@ (posedge clk) begin if (VAR7) begin VAR5 <= din; end end logic VAR10; always @(negedge clk) begin VAR10 <= !VAR12; end always @ begin VAR16 = VAR17; end always @(*) begin VAR9 = VAR1 | reset; end endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/srams/rtl/bw_r_rf16x128d.v
7,482
module MODULE1( dout, VAR12, din, VAR16, VAR29, VAR7, VAR23, VAR24, VAR18, VAR2, VAR28, VAR30, VAR19 ); input [127:0] din; input [15:0] VAR16; input [15:0] VAR29; input VAR7; input VAR23; input VAR24 ; input VAR18; input VAR2, VAR28 ; input VAR30; input VAR19; output [127:0] dout; output VAR12; reg [127:0] dout; reg [127:0] VAR8 [15:0]; integer VAR9; reg [127:0] VAR27, VAR21; reg [3:0] VAR4, VAR26; wire [160:0] VAR1; reg [127:0] VAR14 ; reg VAR3; reg VAR17; reg [15:0] VAR6, VAR20; reg VAR5; always @(posedge VAR18 ) begin VAR14 <= ( VAR19)? VAR14 : din; VAR17 <= ( VAR19)? VAR17 : VAR23 ; VAR20 <= (VAR19) ? VAR20 : VAR29 ; VAR3 <= (VAR19)? VAR3 : VAR7; VAR6 <= (VAR19) ? VAR6 : VAR16 ; VAR5 <= VAR24 ; end always @( VAR6 or VAR3 or VAR30 or VAR5 or VAR17 or VAR20) begin if (VAR30) begin if (VAR3) begin case(VAR6 & {16{~VAR24}}) 16'b0000000000000000: ; 16'b0000000000000001: VAR4 = 4'b0000; 16'b0000000000000010: VAR4 = 4'b0001; 16'b0000000000000100: VAR4 = 4'b0010; 16'b0000000000001000: VAR4 = 4'b0011; 16'b0000000000010000: VAR4 = 4'b0100; 16'b0000000000100000: VAR4 = 4'b0101; 16'b0000000001000000: VAR4 = 4'b0110; 16'b0000000010000000: VAR4 = 4'b0111; 16'b0000000100000000: VAR4 = 4'b1000; 16'b0000001000000000: VAR4 = 4'b1001; 16'b0000010000000000: VAR4 = 4'b1010; 16'b0000100000000000: VAR4 = 4'b1011; 16'b0001000000000000: VAR4 = 4'b1100; 16'b0010000000000000: VAR4 = 4'b1101; 16'b0100000000000000: VAR4 = 4'b1110; 16'b1000000000000000: VAR4 = 4'b1111; default: VAR4 = 4'VAR13 ; endcase if(VAR4 == 4'VAR13) begin ("VAR15"," read VAR22 VAR10 %VAR11 ", VAR4[3:0]); end if(VAR5) begin dout[127:0] = 128'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF ; end else if ((( VAR17 & ~VAR24 ) && (VAR6 == VAR20))|| ((VAR6 & {16{~VAR24}}) == 16'b0 )) begin dout[127:0] = 128'VAR13 ; end else dout = VAR8[VAR4]; end end else dout = 128'b0 ; end always @ (VAR30 or VAR5 or VAR17 or VAR20 or VAR14) begin if ( VAR30) begin if(VAR17==1'VAR13) begin end if(VAR17==1'VAR13) begin ("VAR15"," write enable VAR10 %VAR25 ", VAR17); end else if(VAR17 & ~VAR24 ) begin case(VAR20) 16'b0000000000000000: ; 16'b0000000000000001: VAR26 = 4'b0000; 16'b0000000000000010: VAR26 = 4'b0001; 16'b0000000000000100: VAR26 = 4'b0010; 16'b0000000000001000: VAR26 = 4'b0011; 16'b0000000000010000: VAR26 = 4'b0100; 16'b0000000000100000: VAR26 = 4'b0101; 16'b0000000001000000: VAR26 = 4'b0110; 16'b0000000010000000: VAR26 = 4'b0111; 16'b0000000100000000: VAR26 = 4'b1000; 16'b0000001000000000: VAR26 = 4'b1001; 16'b0000010000000000: VAR26 = 4'b1010; 16'b0000100000000000: VAR26 = 4'b1011; 16'b0001000000000000: VAR26 = 4'b1100; 16'b0010000000000000: VAR26 = 4'b1101; 16'b0100000000000000: VAR26 = 4'b1110; 16'b1000000000000000: VAR26 = 4'b1111; default: VAR26= 4'VAR13 ; endcase if(VAR20!=16'b0) VAR8[VAR26] = VAR14 ; if(VAR26 == 4'VAR13) begin ("VAR15"," write VAR22 VAR10 %VAR11 ", VAR26[3:0]); end else begin if(VAR20!=16'b0) VAR8[VAR26] = VAR14 ; end end else begin end end end endmodule
gpl-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pipe_clock.v
21,212
module MODULE1 # ( parameter VAR32 = "VAR3", parameter VAR113 = "VAR3", parameter VAR68= "VAR3", parameter VAR123 = 1, parameter VAR38 = 3, parameter VAR37 = 0, parameter VAR48 = 2, parameter VAR60 = 2, parameter VAR21 = 1, parameter VAR144 = 0 ) ( input VAR78, input VAR17, input [VAR123-1:0] VAR88, input VAR143, input [VAR123-1:0] VAR129, input [VAR123-1:0] VAR74, input VAR40, output VAR24, output VAR138, output VAR65, output [VAR123-1:0] VAR108, output VAR26, output VAR44, output VAR4, output VAR151, output VAR2 ); localparam VAR97 = (VAR37 == 2) ? 1 : (VAR37 == 1) ? 1 : 1; localparam VAR22 = (VAR37 == 2) ? 4 : (VAR37 == 1) ? 8 : 10; localparam VAR147 = (VAR37 == 2) ? 4 : (VAR37 == 1) ? 8 : 10; localparam VAR82 = 8; localparam VAR14 = 4; localparam VAR121 = (VAR48 == 5) ? 2 : (VAR48 == 4) ? 4 : (VAR48 == 3) ? 8 : (VAR48 == 1) ? 32 : 16; localparam VAR142 = (VAR60 == 5) ? 2 : (VAR60 == 4) ? 4 : (VAR60 == 3) ? 8 : (VAR60 == 1) ? 32 : 16; localparam VAR125 = 20; localparam VAR99 = 1'b0; reg [VAR123-1:0] VAR110 = {VAR123{1'd0}}; reg [VAR123-1:0] VAR120 = {VAR123{1'd0}}; reg VAR101 = 1'd0; reg [VAR123-1:0] VAR109 = {VAR123{1'd0}}; reg [VAR123-1:0] VAR71 = {VAR123{1'd0}}; reg VAR94 = 1'd0; wire VAR1; wire VAR131; wire VAR93; wire VAR9; wire VAR56; wire VAR106; wire VAR128; wire VAR111; reg VAR137 = 1'd0; reg VAR34 = 1'd0; wire VAR16; wire VAR115; wire VAR122; wire VAR116; wire VAR55; genvar VAR8; always @ (posedge VAR115) begin if (!VAR143) begin VAR110 <= {VAR123{1'd0}}; VAR120 <= {VAR123{1'd0}}; VAR101 <= 1'd0; VAR109 <= {VAR123{1'd0}}; VAR71 <= {VAR123{1'd0}}; VAR94 <= 1'd0; end else begin VAR110 <= VAR129; VAR120 <= VAR74; VAR101 <= VAR40; VAR109 <= VAR110; VAR71 <= VAR120; VAR94 <= VAR101; end end VAR103 # ( .VAR42 ("VAR20"), .VAR27 ("VAR3"), .VAR43 ("VAR18"), .VAR145 ("VAR3"), .VAR97 (VAR97), .VAR22 (VAR22), .VAR51 (0.000), .VAR41 ("VAR3"), .VAR82 (VAR82), .VAR19 (0.000), .VAR62 (0.500), .VAR7 ("VAR3"), .VAR14 (VAR14), .VAR50 (0.000), .VAR12 (0.500), .VAR36 ("VAR3"), .VAR121 (VAR121), .VAR86 (0.000), .VAR52 (0.500), .VAR105 ("VAR3"), .VAR142 (VAR142), .VAR29 (0.000), .VAR87 (0.500), .VAR124 ("VAR3"), .VAR125 (VAR125), .VAR140 (0.000), .VAR5 (0.500), .VAR23 ("VAR3"), .VAR147 (VAR147), .VAR39 (0.010) ) VAR79 ( .VAR91 (VAR17), .VAR53 (1'd0), .VAR150 (1'd1), .VAR33 (VAR131), .VAR83 (!VAR143), .VAR119 (1'd0), .VAR141 (VAR131), .VAR72 (), .VAR133 (VAR93), .VAR136 (), .VAR11 (VAR56), .VAR148 (), .VAR64 (VAR106), .VAR95 (), .VAR139 (VAR128), .VAR114 (), .VAR107 (VAR111), .VAR92 (), .VAR89 (), .VAR25 (VAR55), .VAR127 ( 1'd0), .VAR45 ( 7'd0), .VAR13 ( 1'd0), .VAR112 ( 1'd0), .VAR49 (16'd0), .VAR75 (), .VAR46 (), .VAR57 (1'd0), .VAR132 (1'd0), .VAR117 (1'd0), .VAR80 (), .VAR63 (), .VAR146 () ); generate if (VAR38 != 1) begin : VAR58 VAR104 VAR98 ( .VAR135 (1'd1), .VAR35 (1'd1), .VAR70 (VAR93), .VAR81 (VAR56), .VAR77 (1'd0), .VAR149 (1'd0), .VAR134 (~VAR137), .VAR30 ( VAR137), .VAR76 (VAR16) ); end else begin : VAR90 VAR59 VAR98 ( .VAR10 (VAR93), .VAR76 (VAR9) ); assign VAR16 = VAR9; end endgenerate generate if(VAR68 == "VAR3") begin : VAR118 assign VAR138 = 1'b0; end else if (VAR38 != 1) begin : VAR54 VAR104 VAR67 ( .VAR135 (1'd1), .VAR35 (1'd1), .VAR70 (VAR93), .VAR81 (VAR56), .VAR77 (1'd0), .VAR149 (1'd0), .VAR134 (~VAR34), .VAR30 ( VAR34), .VAR76 (VAR138) ); end else begin : VAR100 VAR59 VAR67 ( .VAR10 (VAR93), .VAR76 (VAR138) ); end endgenerate generate if ((VAR144 == 1) || (VAR32 == "VAR84")) begin : VAR6 for (VAR8=0; VAR8<VAR123; VAR8=VAR8+1) begin : VAR69 VAR59 VAR69 ( .VAR10 (VAR88[VAR8]), .VAR76 (VAR108[VAR8]) ); end end else begin : VAR28 assign VAR108 = {VAR123{1'd0}}; end endgenerate generate if (VAR60 <= 3) begin : VAR31 assign VAR26 = VAR116; end else begin : VAR85 VAR59 VAR31 ( .VAR10 (VAR93), .VAR76 (VAR26) ); end endgenerate generate if (VAR99 == 1'b1 && VAR48 == 3) begin :VAR73 assign VAR122 = VAR16; end else begin : VAR96 VAR59 VAR126 ( .VAR10 (VAR106), .VAR76 (VAR122) ); end endgenerate generate if (VAR99 == 1'b1 && VAR60 == 3 ) begin : VAR61 assign VAR116 = VAR16; end else if (VAR60 == VAR48 ) begin : VAR66 assign VAR116 = VAR122; end else begin : VAR47 VAR59 VAR130 ( .VAR10 (VAR128), .VAR76 (VAR116) ); end endgenerate generate if (VAR21 == 2) begin : VAR15 VAR59 VAR15 ( .VAR10 (VAR111), .VAR76 (VAR44) ); end else begin : VAR102 assign VAR44 = VAR115; end endgenerate assign VAR115 = VAR16; assign VAR65 = VAR16; assign VAR4 = VAR122; assign VAR151 = VAR116; always @ (posedge VAR115) begin if (!VAR143) VAR137 <= 1'd0; end else begin if (&VAR109) VAR137 <= 1'd1; end else if (&(~VAR109)) VAR137 <= 1'd0; else VAR137 <= VAR137; end end always @ (posedge VAR115) begin if (!VAR143) VAR34<= 1'd0; end else begin if (&VAR71) VAR34 <= 1'd1; end else if (&(~VAR71)) VAR34 <= 1'd0; else VAR34 <= VAR34; end end assign VAR24 = VAR115; assign VAR2 = VAR55; endmodule
gpl-3.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/ASIC_fpaddsub_arch2/integracion_fisica/front_end/scripts/Shifter_DW_combinational.v
2,980
module MODULE3 ( input wire VAR43, input wire [VAR9-1:0] VAR27, input wire [VAR9-1:0] VAR20, output reg [VAR9-1:0] VAR25 ); always @(VAR43, VAR27, VAR20) case (VAR43) 1'b0: VAR25 <= VAR27; 1'b1: VAR25 <= VAR20; endcase endmodule module MODULE4 ( input wire [VAR30-1:0] VAR8, input wire VAR36, output wire [VAR30-1:0] VAR11 ); genvar VAR12; generate for (VAR12=0; VAR12 <= VAR30-1; VAR12=VAR12+1) begin : VAR6 case (VAR12) VAR30-1-VAR12:begin : VAR21 assign VAR11[VAR12]=VAR8[VAR30-1-VAR12]; end default:begin : VAR7 MODULE3 #(.VAR9(1)) VAR22( .VAR43(VAR36), .VAR27 (VAR8[VAR12]), .VAR20 (VAR8[VAR30-1-VAR12]), .VAR25 (VAR11[VAR12]) ); end endcase end endgenerate endmodule module MODULE2( VAR14, VAR41, VAR42 ); parameter VAR29 = 8; parameter VAR2 = 3; input [VAR29-1 : 0] VAR14; input [VAR2-1 : 0] VAR41; output [VAR29-1 : 0] VAR42; VAR40 #(VAR29, VAR2) VAR1 ( .VAR16(VAR14), .VAR19(VAR41), .VAR31(VAR42) ); endmodule module MODULE1 ( input wire clk, input wire rst, input wire VAR39, input wire [VAR30-1:0] VAR8, input wire VAR23, input wire [VAR26-1:0] VAR38, input wire VAR18, output wire [VAR30-1:0] VAR11 ); wire [VAR30:0] VAR13; wire [VAR30:0] VAR37; wire [VAR30:0] VAR10; wire [VAR30:0] VAR33; genvar VAR3;MODULE4 #(.VAR30(VAR30+1)) VAR5( .VAR8({VAR8, VAR18}), .VAR36(VAR23), .VAR11(VAR13) ); wire [VAR26-1:0] VAR34; assign VAR34 = VAR38+1; MODULE2 #(.VAR29(VAR30+1), .VAR2(VAR26)) VAR32 ( .VAR14(VAR13), .VAR41(VAR34), .VAR42(VAR37)); VAR24 #(.VAR9(VAR30)) VAR15( .clk(clk), .rst(rst), .VAR35(VAR39), .VAR4(VAR37), .VAR17(VAR10) ); MODULE4 #(.VAR30(VAR30+1))VAR28( .VAR8(VAR10), .VAR36(VAR23), .VAR11(VAR33) ); VAR24 #(.VAR9(VAR30)) VAR15( .clk(clk), .rst(rst), .VAR35(VAR39), .VAR4(VAR33[VAR30:1]), .VAR17(VAR11) ); endmodule
gpl-3.0
aanunez/KeypadScanner
Source/KeyPadDecoder.v
1,332
module MODULE1( input [3:0] VAR2, output reg [3:0] VAR1 ); always @(VAR2) begin case (VAR2) 4'b0000: VAR1 = 4'h1; 4'b0001: VAR1 = 4'h2; 4'b0010: VAR1 = 4'h3; 4'b0011: VAR1 = 4'hA; 4'b0100: VAR1 = 4'h4; 4'b0101: VAR1 = 4'h5; 4'b0110: VAR1 = 4'h6; 4'b0111: VAR1 = 4'hB; 4'b1000: VAR1 = 4'h7; 4'b1001: VAR1 = 4'h8; 4'b1010: VAR1 = 4'h9; 4'b1011: VAR1 = 4'hC; 4'b1100: VAR1 = 4'hF; 4'b1101: VAR1 = 4'h0; 4'b1110: VAR1 = 4'hE; 4'b1111: VAR1 = 4'hD; default: VAR1 = 4'h1; endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlxtn/sky130_fd_sc_lp__dlxtn_2.v
2,204
module MODULE2 ( VAR9 , VAR4 , VAR6, VAR7 , VAR5 , VAR2 , VAR8 ); output VAR9 ; input VAR4 ; input VAR6; input VAR7 ; input VAR5 ; input VAR2 ; input VAR8 ; VAR1 VAR3 ( .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6), .VAR7(VAR7), .VAR5(VAR5), .VAR2(VAR2), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR9 , VAR4 , VAR6 ); output VAR9 ; input VAR4 ; input VAR6; supply1 VAR7; supply0 VAR5; supply1 VAR2 ; supply0 VAR8 ; VAR1 VAR3 ( .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6) ); endmodule
apache-2.0
Cognoscan/BoostLogic
verilog/src/transceivers/XcvrSpiMaster.v
6,929
module MODULE1 #( parameter VAR14 = 4 ) ( input clk, input rst, input VAR6, input [7:0] VAR20, input write, input read, input VAR33, input VAR17, input VAR24, output wire VAR35, output wire VAR13, output reg VAR21, output wire VAR10, output wire VAR12, output wire VAR32, output wire VAR23, output wire VAR34, output wire VAR2, output wire [7:0] VAR15 ); wire [7:0] VAR27; wire [7:0] VAR4; wire VAR1; reg [7:0] VAR11; reg [7:0] VAR22; reg [7:0] VAR31; reg [4:0] state; reg VAR18; reg VAR30; reg VAR16; reg VAR5; reg VAR25; if (VAR14 > 0) begin VAR8 #( .VAR9(8), .VAR14(VAR14) ) VAR3 ( .clk(clk), .rst(rst), .write(write), .read(VAR25), .VAR20(VAR20), .VAR15(VAR27), .VAR28(VAR10), .VAR29(VAR12), .VAR7(VAR32) ); VAR26 begin VAR11 = 8'd0; VAR18 = 1'b0; end end else begin assign VAR27 = VAR11; assign VAR10 = VAR18; assign VAR12 = VAR18; assign VAR32 = VAR18; always @(posedge clk) begin if (write) begin VAR11 <= VAR20; end VAR18 <= VAR18 ? (~VAR25 & VAR18) : write; end end if (VAR14 > 0) begin VAR8 #( .VAR9(8), .VAR14(VAR14) ) VAR19 ( .clk(clk), .rst(rst), .write(VAR5), .read(read), .VAR20(VAR4), .VAR15(VAR15), .VAR28(VAR23), .VAR29(VAR34), .VAR7(VAR2) ); VAR26 begin VAR11 = 8'd0; VAR30 = 1'b0; end end else begin assign VAR15 = VAR22; assign VAR23 = VAR30; assign VAR34 = VAR30; assign VAR2 = VAR30; always @(posedge clk) begin if (VAR5) begin VAR22 <= VAR4; end VAR30 <= VAR30 ? (VAR30 & ~read) : VAR5; end end assign VAR13 = (~VAR21 & ~state[4] & state[0]) ^ VAR33; assign VAR35 = VAR31[7]; begin
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/user_design/rtl/phy/circ_buffer.v
7,193
module MODULE1 # ( parameter VAR16 = 100, parameter VAR30 = 5, parameter VAR32 = 1 ) ( output[VAR32-1:0] VAR34, input [VAR32-1:0] VAR19, input VAR12, input VAR18, input rst ); localparam VAR5 = (VAR30-1)/2; reg VAR35; reg [VAR5:0] VAR36; reg [2:0] VAR21; reg VAR33; reg VAR20; reg [2:0] VAR29; always @(posedge VAR12 or posedge rst) always @(posedge VAR12 or posedge VAR35) begin if (VAR35) begin end else begin if(VAR36[VAR5]) begin end end end end always @(posedge VAR18 or posedge VAR35) always @(posedge VAR18 or posedge VAR33) begin if (VAR33) begin end else begin if(VAR20) begin end end end end genvar VAR6; generate for(VAR6 = 0; VAR6 < VAR32; VAR6 = VAR6+1) begin: VAR31 VAR11 # ( .VAR7 (64'h0000000000000000) ) VAR22 (.VAR15 (VAR34[VAR6]), .VAR9 (), .VAR23 (VAR29[0]), .VAR17 (VAR29[1]), .VAR24 (VAR29[2]), .VAR27 (1'b0), .VAR3 (1'b0), .VAR2 (1'b0), .VAR25 (VAR19[VAR6]), .VAR26 (VAR21[0]), .VAR14 (VAR21[1]), .VAR4 (VAR21[2]), .VAR13 (1'b0), .VAR8 (1'b0), .VAR1 (1'b0), .VAR28 (VAR18), .VAR10 (1'b1) ); end endgenerate endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfxbp/sky130_fd_sc_lp__sdfxbp.pp.symbol.v
1,434
module MODULE1 ( input VAR8 , output VAR7 , output VAR10 , input VAR9 , input VAR5 , input VAR1 , input VAR4 , input VAR2, input VAR6, input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfrbp/sky130_fd_sc_hs__sdfrbp.functional.pp.v
2,206
module MODULE1 ( VAR11 , VAR20 , VAR9 , VAR17 , VAR13 , VAR16 , VAR18 , VAR14 , VAR6 ); input VAR11 ; input VAR20 ; output VAR9 ; output VAR17 ; input VAR13 ; input VAR16 ; input VAR18 ; input VAR14 ; input VAR6; wire VAR2 ; wire VAR19 ; wire VAR15; not VAR4 (VAR19 , VAR6 ); VAR12 VAR7 (VAR15, VAR16, VAR18, VAR14 ); VAR8 VAR5 VAR10 (VAR2 , VAR15, VAR13, VAR19, VAR11, VAR20); buf VAR1 (VAR9 , VAR2 ); not VAR3 (VAR17 , VAR2 ); endmodule
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/mem/cabac_neighbour_1p_8xMB_X_TOTAL.v
2,838
module MODULE1( clk , VAR14 , VAR4 , VAR13 , VAR5 , VAR8 , VAR1 ); input clk ; input VAR14 ; input [(VAR15)-1:0] VAR4 ; input VAR13 ; input [(VAR15)-1:0] VAR5 ; input [7:0] VAR8 ; output [7:0] VAR1 ; VAR10 #(.VAR12((VAR15)), .VAR9(8)) VAR3( .clk ( clk ), .VAR16 ( 1'b0 ), .VAR7 ( ~VAR13 ), .VAR6 ( VAR13 ? VAR5 : VAR4 ), .VAR2 ( VAR8 ), .VAR11 ( VAR1 ) ); endmodule
gpl-3.0
ipcoregarfield/GEM_Project
Example_CORDIC/Verilog Design/Verilog Codes/CORDIC_Element.v
2,697
module MODULE1 parameter VAR6 = 8, parameter[VAR12 - 1 : 0] VAR9 = 2**(VAR12 - 1), parameter VAR11 = 0, parameter VAR3 = 1) ( input VAR10, input VAR8, input signed[VAR6 : 0] VAR5, input signed[VAR6 : 0] VAR1, input signed[VAR12 : 0] VAR4, output reg signed[VAR6 : 0] VAR13, output reg signed[VAR6 : 0] VAR7, output reg signed[VAR12 : 0] VAR14 ); wire VAR2; generate if (VAR3 == 1) begin assign VAR2 = VAR4[VAR12]; end else begin assign VAR2 = ~(VAR5[VAR12]^VAR1[VAR12]); end endgenerate always @ (posedge VAR10 or negedge VAR8) begin if (!VAR8) begin VAR14 <= {(VAR12){1'b0}}; end else if (VAR2 == 1'b0) begin VAR14 <= VAR4 -{1'b0, VAR9}; end else begin VAR14 <= VAR4 + {1'b0, VAR9}; end end always @ (posedge VAR10 or negedge VAR8) begin if (!VAR8) begin VAR13 <= {(VAR6){1'b0}}; end else if (VAR2 == 1'b0) begin VAR13 <= VAR5 - (VAR1>>>VAR11); end else begin VAR13 <= VAR5 + (VAR1>>>VAR11); end end always @ (posedge VAR10 or negedge VAR8) begin if (!VAR8) begin VAR7 <= {(VAR6){1'b0}}; end else if (VAR2 == 1'b0) begin VAR7 <= VAR1 + (VAR5>>>VAR11); end else begin VAR7 <= VAR1 - (VAR5>>>VAR11); end end endmodule
gpl-3.0
theapi/nand2tetris_fpga
hack/DE0_NANO/DE0_NANO.v
1,742
module MODULE1( VAR14, VAR10, VAR6, VAR13, VAR12, VAR11, VAR9, VAR15, VAR4, VAR7, VAR1, VAR8, VAR5, VAR2, VAR3 ); input VAR14; output [7:0] VAR10; input [1:0] VAR6; output [12:0] VAR13; output [1:0] VAR12; output VAR11; output VAR9; output VAR15; output VAR4; inout [15:0] VAR7; output [1:0] VAR1; output VAR8; output VAR5; inout [33:0] VAR2; input [1:0] VAR3; endmodule
mit
liqimai/Assignment1-Calculator
Integer-Arithmetic/AdderAndSuuber64/pg_to_PG.v
1,029
module MODULE1( input [15:0] VAR3, input [15:0] VAR2, output [3:0] VAR1, output [3:0] VAR4 ); assign VAR4[0]=VAR2[3 ]|VAR3[3 ]&VAR2[2 ]|VAR3[3 ]&VAR3[2 ]&VAR2[1 ]|VAR3[3 ]&VAR3[2 ]&VAR3[1 ]&VAR2[0 ], VAR4[1]=VAR2[7 ]|VAR3[7 ]&VAR2[6 ]|VAR3[7 ]&VAR3[6 ]&VAR2[5 ]|VAR3[7 ]&VAR3[6 ]&VAR3[5 ]&VAR2[4 ], VAR4[2]=VAR2[11]|VAR3[11]&VAR2[10]|VAR3[11]&VAR3[10]&VAR2[9 ]|VAR3[11]&VAR3[10]&VAR3[9 ]&VAR2[8 ], VAR4[3]=VAR2[15]|VAR3[15]&VAR2[14]|VAR3[15]&VAR3[14]&VAR2[13]|VAR3[15]&VAR3[14]&VAR3[13]&VAR2[12]; assign VAR1[0]=VAR3[3]&VAR3[2]&VAR3[1]&VAR3[0], VAR1[1]=VAR3[7]&VAR3[6]&VAR3[5]&VAR3[4], VAR1[2]=VAR3[11]&VAR3[10]&VAR3[9]&VAR3[8], VAR1[3]=VAR3[15]&VAR3[14]&VAR3[13]&VAR3[12]; endmodule
gpl-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig37/mig_37/user_design/rtl/ecc/ecc_merge_enc.v
5,627
module MODULE1 parameter VAR20 = 100, parameter VAR21 = 64, parameter VAR26 = 72, parameter VAR6 = 4, parameter VAR4 = 1, parameter VAR10 = 64, parameter VAR17 = 72, parameter VAR12 = 8 ) ( VAR16, VAR15, clk, rst, VAR19, VAR14, VAR2, VAR11, VAR9 ); input clk; input rst; input [4*VAR21-1:0] VAR19; input [4*VAR10/8-1:0] VAR14; input [4*VAR10-1:0] VAR2; reg [4*VAR21-1:0] VAR22; reg [4*VAR10/8-1:0] VAR3; reg [4*VAR10-1:0] VAR25; wire [4*VAR21-1:0] VAR23; genvar VAR7; genvar VAR8; generate for (VAR7=0; VAR7<4; VAR7=VAR7+1) begin : VAR18 for (VAR8=0; VAR8<VAR10/8; VAR8=VAR8+1) begin : VAR5 assign VAR23[VAR7*VAR21+VAR8*8+:8] = VAR3[VAR7*VAR10/8+VAR8] ? VAR25[VAR7*VAR10+VAR8*8+:8] : VAR22[VAR7*VAR21+VAR8*8+:8]; end if (VAR21 > VAR10) assign VAR23[(VAR7+1)*VAR21-1-:VAR21-VAR10]= VAR22[(VAR7+1)*VAR21-1-:VAR21-VAR10]; end endgenerate input [VAR26*VAR12-1:0] VAR11; input [3:0] VAR9; reg [3:0] VAR24; output reg [4*VAR17-1:0] VAR16; genvar VAR1; integer VAR27; generate for (VAR1=0; VAR1<4; VAR1=VAR1+1) begin : VAR13 always @(VAR11 or VAR23 or VAR24) begin VAR16[VAR1*VAR17+:VAR17] = {{VAR17-VAR21{1'b0}}, VAR23[VAR1*VAR21+:VAR21]}; for (VAR27=0; VAR27<VAR12; VAR27=VAR27+1) if (~VAR24[VAR1]) VAR16[VAR1*VAR17+VAR26-VAR27-1] = ^(VAR23[VAR1*VAR21+:VAR10] & VAR11[VAR27*VAR26+:VAR10]); end end endgenerate output wire[4*VAR17/8-1:0] VAR15; assign VAR15 = {4*VAR17/8{1'b0}}; endmodule
lgpl-3.0
neale/CS-program
474-VLSI/UART/db/TX_PLL_altpll.v
4,535
module MODULE1 ( VAR5, clk, VAR7, VAR4) ; input VAR5; output [4:0] clk; input [1:0] VAR7; output VAR4; tri0 VAR5; tri0 [1:0] VAR7; reg VAR1; wire [4:0] VAR2; wire VAR6; wire VAR3;
unlicense
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand3b/sky130_fd_sc_lp__nand3b_2.v
2,229
module MODULE1 ( VAR7 , VAR6 , VAR5 , VAR8 , VAR1, VAR4, VAR2 , VAR9 ); output VAR7 ; input VAR6 ; input VAR5 ; input VAR8 ; input VAR1; input VAR4; input VAR2 ; input VAR9 ; VAR10 VAR3 ( .VAR7(VAR7), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8), .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR7 , VAR6, VAR5 , VAR8 ); output VAR7 ; input VAR6; input VAR5 ; input VAR8 ; supply1 VAR1; supply0 VAR4; supply1 VAR2 ; supply0 VAR9 ; VAR10 VAR3 ( .VAR7(VAR7), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_light/spw_light/synthesis/submodules/hps_sdram_p0_acv_hard_io_pads.v
11,393
module MODULE1( VAR17, VAR87, VAR85, VAR111, VAR6, VAR8, VAR146, VAR112, VAR91, VAR32, VAR44, VAR154, VAR64, VAR68, VAR138, VAR24, VAR155, VAR60, VAR107, VAR136, VAR156, VAR140, VAR113, VAR125, VAR96, VAR105, VAR81, VAR4, VAR139, VAR83, VAR148, VAR67, VAR82, VAR101, VAR110, VAR13, VAR135, VAR23, VAR76, VAR51, VAR21, VAR118, VAR41, VAR115, VAR100, VAR30, VAR98, VAR14, VAR50, VAR56, VAR7, VAR18, VAR132, VAR149, VAR109, VAR144, VAR69, VAR90, VAR55, VAR58, VAR22, VAR134, VAR71, VAR102, VAR28, VAR40, VAR128 ); parameter VAR131 = ""; parameter VAR59 = 0; parameter VAR47 = ""; parameter VAR124 = ""; parameter VAR78 = ""; parameter VAR137 = ""; parameter VAR152 = ""; parameter VAR63 = ""; parameter VAR130 = ""; parameter VAR127 = ""; parameter VAR95 = ""; parameter VAR20 = ""; parameter VAR86 = ""; parameter VAR92 = ""; parameter VAR94 = ""; parameter VAR141 = ""; parameter VAR15 = ""; parameter VAR61 = ""; parameter VAR151 = ""; parameter VAR27 = ""; localparam VAR39 = 64; localparam VAR1 = 12; localparam VAR46 = 8; localparam VAR77 = 8; localparam VAR70 = 8; localparam VAR16 = 20; localparam VAR121 = 4; input VAR87; input VAR17; input [VAR47-1:0] VAR85; input [VAR124-1:0] VAR111; input [VAR39-1:0] VAR6; input [VAR1-1:0] VAR8; input [VAR46-1:0] VAR146; input [VAR77-1:0] VAR112; input [VAR70-1:0] VAR91; input [VAR121-1:0] VAR44; input [VAR121-1:0] VAR154; input [VAR121-1:0] VAR64; input [VAR121-1:0] VAR32; input [VAR121-1:0] VAR68; output [VAR78-1:0] VAR138; output [VAR137-1:0] VAR24; output [VAR152-1:0] VAR155; output [VAR63-1:0] VAR60; output [VAR127-1:0] VAR107; output [VAR86-1:0] VAR136; output [VAR86-1:0] VAR156; output [VAR86-1:0] VAR140; output VAR113; input VAR125; input VAR96; input VAR105; input VAR81; input VAR4; input VAR139; input VAR83; input VAR148; input VAR67; input VAR82; inout [VAR92-1:0] VAR101; output [VAR20-1:0] VAR110; output [VAR130-1:0] VAR13; output [VAR130-1:0] VAR135; inout [VAR95-1:0] VAR23; inout [VAR95-1:0] VAR76; input [VAR15-1:0] VAR51; input VAR21; input VAR118; input [VAR94 - 1:0] VAR41; input [VAR94 - 1:0] VAR115; input [VAR92 - 1:0] VAR100; input [VAR20 - 1:0] VAR30; input [4:0] VAR14; input [VAR94-1:0] VAR50; input [VAR94-1:0] VAR56; input VAR98; output [VAR94 - 1:0] VAR128; input [24 : 0] VAR7; input [179 : 0] VAR18; input [9 : 0] VAR132; input [19 : 0] VAR149; input [9 : 0] VAR109; input [9 : 0] VAR144; input [4 : 0] VAR69; input [4 : 0] VAR90; input [4 : 0] VAR55; input [9 : 0] VAR58; input [24 : 0] VAR22; output [4 : 0] VAR134; output [179 : 0] VAR71; input [9 : 0] VAR102; input [9 : 0] VAR28; input [89 : 0] VAR40; wire [VAR92-1:0] VAR75; wire [VAR15-1:0] VAR147; wire [VAR94-1:0] VAR38; wire [VAR92-1:0] VAR119; wire [VAR92-1:0] VAR37; wire VAR31; wire VAR62 = VAR81; wire VAR126 = VAR125; wire VAR79 = VAR87; VAR35 VAR99( .VAR133 (VAR17), .VAR87 (VAR87), .VAR125 (VAR96), .VAR139 (VAR83), .VAR2 (VAR62), .VAR105 (VAR105), .VAR148 (VAR148), .VAR72 (VAR51), .VAR6 (VAR6), .VAR8 (VAR8), .VAR146 (VAR146), .VAR112 (VAR112), .VAR91 (VAR91), .VAR32 (VAR32), .VAR44 (VAR44), .VAR154 (VAR154), .VAR64 (VAR64), .VAR68 (VAR68), .VAR138 (VAR138), .VAR24 (VAR24), .VAR155 (VAR155), .VAR60 (VAR60), .VAR107 (VAR107), .VAR136 (VAR136), .VAR156 (VAR156), .VAR140 (VAR140), .VAR113 (VAR113), .VAR13 (VAR13), .VAR135 (VAR135) ); localparam VAR104 = VAR141; localparam VAR11 = VAR92 / VAR104; localparam VAR3 = (VAR11 == 8) ? 9 : VAR11; localparam VAR42 = VAR20 / VAR141; localparam VAR34 = VAR141; generate genvar VAR103; for (VAR103=0; VAR103<VAR104; VAR103=VAR103+1) begin: VAR57 VAR52 VAR143 ( .VAR88 (VAR83), .VAR12 (VAR79), .VAR80 (VAR126), .VAR9 (VAR148), .VAR142 (VAR105), .VAR73(VAR111), .VAR19(VAR85), .VAR145 (VAR62), .VAR128 (VAR128[VAR103]), .VAR97 (VAR101[(VAR11*(VAR103+1)-1) : VAR11*VAR103]), .VAR153 (VAR71[((VAR3*VAR103+VAR11)*4-1) : (VAR3*VAR103*4)]), .VAR10(VAR31), .VAR108 (VAR7[(VAR103 + 1) * 4 - 1 : (VAR103 * 4)]), .VAR48 (VAR18[((VAR3*VAR103+VAR11)*4-1) : (VAR3*VAR103*4)]), .VAR123 (VAR40[((VAR3*VAR103+VAR11)*2-1) : (VAR3*VAR103*2)]), .VAR122 (VAR23[VAR103]), .VAR5 (VAR76[VAR103]), .VAR117(VAR132[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR84(VAR149[(VAR103 + 1) * 4 - 1 : (VAR103 * 4)]), .VAR120(VAR144[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR29 (VAR110[VAR103]), .VAR66 (VAR118), .VAR54 (VAR41[VAR103]), .VAR53 (VAR100[(VAR11*(VAR103+1)-1) : VAR11*VAR103]), .VAR74 (VAR115[VAR103]), .VAR49 (VAR98), .VAR65 (VAR21), .VAR114 (VAR30[VAR103]), .VAR129(VAR102[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR45(VAR28[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR25(VAR22[(VAR103 + 1) * 5 - 1 : (VAR103 * 5)]), .VAR89 (VAR55[VAR103]), .VAR106(VAR134[VAR103]), .VAR36(VAR28[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR33(VAR58[(VAR103 + 1) * 2 - 1 : (VAR103 * 2)]), .VAR43 (VAR90[VAR103]), .VAR72 (VAR51), .VAR150(VAR69[VAR103]) ); end endgenerate generate genvar VAR26; for (VAR26 = VAR104; VAR26 < 5; VAR26=VAR26+1) begin: VAR116 assign VAR134[VAR26] = 1'b1; end endgenerate endmodule
gpl-3.0
defano/digital-design
microblaze/rtl/microblaze.v
1,596
module MODULE1 (clk, reset, VAR8); input clk, reset; output [7:0] VAR8; wire reset; wire [31:0] VAR16; wire VAR19; wire [31:0] VAR17; wire VAR10; wire [4:0] VAR21; wire [14:0] VAR12; wire [31:0] VAR15; wire VAR22; wire VAR24; wire [31:0] VAR6; wire VAR3; wire VAR5; wire VAR14; wire [31:0] VAR18; wire [3:0] VAR2; wire VAR35; assign reset = ~reset; VAR34 VAR31 ( .VAR30(clk), .VAR11(reset), .VAR20(VAR8), .VAR13(VAR16), .VAR32(VAR19), .VAR1(VAR17), .VAR28(VAR10), .VAR29(VAR21), .VAR26(VAR12), .VAR4(VAR15), .VAR9(VAR22), .VAR23(VAR24), .VAR33(VAR6), .VAR38(VAR3), .VAR25(VAR5), .VAR37(VAR14), .VAR36(VAR18), .VAR27(VAR2), .VAR7(VAR35) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/decap/sky130_fd_sc_hd__decap.pp.symbol.v
1,200
module MODULE1 ( input VAR2 , input VAR1, input VAR4, input VAR3 ); endmodule
apache-2.0
martinmiranda14/Digitales
Lab_6/new/templates.v
2,757
module MODULE1( ); endmodule module MODULE2(clk, hc, VAR14, VAR17, VAR23, VAR24); input clk; input [10:0] hc; input [10:0] VAR14; output reg[2:0]VAR17 = 3'd0; output reg[1:0]VAR23 = 2'd0; output reg VAR24; parameter VAR22=8'b11001; parameter VAR2=7'b11001; parameter VAR9=2'd0; parameter VAR19=2'd0; reg [7:0]VAR7=VAR22; reg [6:0]VAR3=VAR2; reg [7:0]VAR1; reg [6:0]VAR8; reg [2:0]VAR16; reg [1:0]VAR6; wire [10:0]VAR20, VAR10; parameter VAR15 = 212; parameter VAR5 = 812; parameter VAR12 = 184; parameter VAR4 = 584; assign VAR20 = ( (hc > VAR15) && (hc <= VAR5) )?hc - VAR15: 11'd0; assign VAR10 = ( (VAR14 > VAR12) && (VAR14 <= VAR4) )?VAR14 - VAR12: 11'd0; always@ if(VAR10 == 'd0) {VAR8,VAR6} = {VAR2, 2'd0}; else if(VAR10 > {VAR3, VAR19}) {VAR8, VAR6} = {VAR3 + VAR2, VAR23 + 2'd1}; else {VAR8, VAR6} = {VAR3, VAR23}; reg VAR21, VAR18; reg VAR13, VAR11; always@ if( (hc == (VAR15 + 11'd1)) || (hc == VAR5) || (VAR14 == (VAR12 + 11'd1)) || (VAR14 == VAR4) ) VAR24 = 1'b1; else if( (VAR21 == 1'b1) || (VAR13 == 1'b1)) VAR24 = 1'b1; else VAR24 = 1'b0; endmodule
apache-2.0
alexforencich/xfcp
lib/eth/lib/axis/rtl/axis_crosspoint.v
6,238
module MODULE1 # ( parameter VAR33 = 4, parameter VAR39 = 4, parameter VAR12 = 8, parameter VAR43 = (VAR12>8), parameter VAR32 = (VAR12/8), parameter VAR20 = 1, parameter VAR9 = 0, parameter VAR40 = 8, parameter VAR15 = 0, parameter VAR26 = 8, parameter VAR24 = 1, parameter VAR22 = 1 ) ( input wire clk, input wire rst, input wire [VAR33*VAR12-1:0] VAR25, input wire [VAR33*VAR32-1:0] VAR14, input wire [VAR33-1:0] VAR29, input wire [VAR33-1:0] VAR1, input wire [VAR33*VAR40-1:0] VAR8, input wire [VAR33*VAR26-1:0] VAR31, input wire [VAR33*VAR22-1:0] VAR21, output wire [VAR39*VAR12-1:0] VAR7, output wire [VAR39*VAR32-1:0] VAR13, output wire [VAR39-1:0] VAR38, output wire [VAR39-1:0] VAR19, output wire [VAR39*VAR40-1:0] VAR36, output wire [VAR39*VAR26-1:0] VAR3, output wire [VAR39*VAR22-1:0] VAR27, input wire [VAR39*VAR35(VAR33)-1:0] select ); parameter VAR44 = VAR35(VAR33); reg [VAR33*VAR12-1:0] VAR23 = {VAR33*VAR12{1'b0}}; reg [VAR33*VAR32-1:0] VAR11 = {VAR33*VAR32{1'b0}}; reg [VAR33-1:0] VAR18 = {VAR33{1'b0}}; reg [VAR33-1:0] VAR4 = {VAR33{1'b0}}; reg [VAR33*VAR40-1:0] VAR5 = {VAR33*VAR40{1'b0}}; reg [VAR33*VAR26-1:0] VAR30 = {VAR33*VAR26{1'b0}}; reg [VAR33*VAR22-1:0] VAR16 = {VAR33*VAR22{1'b0}}; reg [VAR39*VAR12-1:0] VAR10 = {VAR39*VAR12{1'b0}}; reg [VAR39*VAR32-1:0] VAR28 = {VAR39*VAR32{1'b0}}; reg [VAR39-1:0] VAR6 = {VAR39{1'b0}}; reg [VAR39-1:0] VAR34 = {VAR39{1'b0}}; reg [VAR39*VAR40-1:0] VAR41 = {VAR39*VAR40{1'b0}}; reg [VAR39*VAR26-1:0] VAR42 = {VAR39*VAR26{1'b0}}; reg [VAR39*VAR22-1:0] VAR17 = {VAR39*VAR22{1'b0}}; reg [VAR39*VAR44-1:0] VAR2 = {VAR39*VAR44{1'b0}}; assign VAR7 = VAR10; assign VAR13 = VAR43 ? VAR28 : {VAR39*VAR32{1'b1}}; assign VAR38 = VAR6; assign VAR19 = VAR20 ? VAR34 : {VAR39{1'b1}}; assign VAR36 = VAR9 ? VAR41 : {VAR39*VAR40{1'b0}}; assign VAR3 = VAR15 ? VAR42 : {VAR39*VAR26{1'b0}}; assign VAR27 = VAR24 ? VAR17 : {VAR39*VAR22{1'b0}}; integer VAR37; always @(posedge clk) begin if (rst) begin VAR18 <= {VAR33{1'b0}}; VAR6 <= {VAR33{1'b0}}; VAR2 <= {VAR39*VAR44{1'b0}}; end else begin VAR18 <= VAR29; for (VAR37 = 0; VAR37 < VAR39; VAR37 = VAR37 + 1) begin VAR6[VAR37] <= VAR18[VAR2[VAR37*VAR44 +: VAR44]]; end VAR2 <= select; end VAR23 <= VAR25; VAR11 <= VAR14; VAR4 <= VAR1; VAR5 <= VAR8; VAR30 <= VAR31; VAR16 <= VAR21; for (VAR37 = 0; VAR37 < VAR39; VAR37 = VAR37 + 1) begin VAR10[VAR37*VAR12 +: VAR12] <= VAR23[VAR2[VAR37*VAR44 +: VAR44]*VAR12 +: VAR12]; VAR28[VAR37*VAR32 +: VAR32] <= VAR11[VAR2[VAR37*VAR44 +: VAR44]*VAR32 +: VAR32]; VAR34[VAR37] <= VAR4[VAR2[VAR37*VAR44 +: VAR44]]; VAR41[VAR37*VAR40 +: VAR40] <= VAR5[VAR2[VAR37*VAR44 +: VAR44]*VAR40 +: VAR40]; VAR42[VAR37*VAR26 +: VAR26] <= VAR30[VAR2[VAR37*VAR44 +: VAR44]*VAR26 +: VAR26]; VAR17[VAR37*VAR22 +: VAR22] <= VAR16[VAR2[VAR37*VAR44 +: VAR44]*VAR22 +: VAR22]; end end endmodule
mit
kactus2/ipxactexamplelib
tut.fi/cpu.logic/memory_controller/1.0/memory_controller.v
6,910
module MODULE1 #( parameter VAR4 = 16, parameter VAR33 = 8, parameter VAR2 = 16, parameter VAR20 = 256, parameter VAR28 = 128, parameter VAR11 = 8, parameter VAR30 = VAR4/VAR33, parameter VAR37 = 'h40 ) ( input VAR15, input VAR23, input VAR7, input [VAR2-1:0] VAR13, input [VAR4-1:0] VAR31, input VAR5, output [VAR4-1:0] VAR10, output VAR16, output VAR9, input [VAR4-1:0] VAR27, output [VAR2-1:0] VAR8, output [VAR4-1:0] VAR32, output VAR6, input [VAR4-1:0] VAR3, input VAR12, output reg [VAR2-1:0] VAR14, output reg [VAR4-1:0] VAR19, output reg VAR24, output reg VAR34 ); wire VAR29 = VAR7 && VAR13 < VAR28; wire VAR17 = VAR7 && VAR13 >= VAR28; reg VAR22; reg VAR1; reg [VAR4-1:0] VAR26; reg VAR36; assign VAR10 = VAR17 ? VAR26 : VAR27; assign VAR32 = VAR31; assign VAR6 = VAR5; assign VAR8 = VAR13; assign VAR16 = VAR1 | VAR22; assign VAR9 = VAR16 && VAR36; always @(posedge VAR15 or posedge VAR23) begin if(VAR23 == 1'b1) begin VAR1 <= 0; VAR36 <= 0; end else begin if (VAR29) begin VAR1 <= 1; end else begin VAR1 <= 0; end if (VAR29 || VAR7) begin VAR36 <= ~VAR5; end else begin VAR36 <= 0; end end end reg [1:0] state; parameter [1:0] VAR25 = 2'd0, VAR35 = 2'd1, VAR21 = 2'd2, VAR18 = 2'd3; always @(posedge VAR15 or posedge VAR23) begin if(VAR23 == 1'b1) begin state <= VAR25; VAR22 <= 0; VAR26 <= 0; VAR14 <= 0; VAR19 <= 0; VAR24 <= 0; VAR34 <= 0; end else begin case(state) VAR25: begin if (VAR17 == 1) begin if (VAR5 == 1) begin VAR34 <= 1; VAR19 <= VAR31; state <= VAR35; end else begin state <= VAR21; end VAR24 <= 1; VAR14 <= VAR13 - VAR28; end end VAR35: begin VAR24 <= 0; if (VAR12 == 1) begin state <= VAR18; VAR22 <= 1; VAR34 <= 0; end end VAR21: begin VAR24 <= 0; if (VAR12 == 1) begin state <= VAR18; VAR26 <= VAR3; VAR22 <= 1; end end VAR18: begin state <= VAR25; VAR22 <= 0; end default: begin end endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21oi/sky130_fd_sc_lp__a21oi_1.v
2,261
module MODULE1 ( VAR2 , VAR3 , VAR5 , VAR10 , VAR9, VAR4, VAR8 , VAR1 ); output VAR2 ; input VAR3 ; input VAR5 ; input VAR10 ; input VAR9; input VAR4; input VAR8 ; input VAR1 ; VAR6 VAR7 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR10(VAR10), .VAR9(VAR9), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1) ); endmodule module MODULE1 ( VAR2 , VAR3, VAR5, VAR10 ); output VAR2 ; input VAR3; input VAR5; input VAR10; supply1 VAR9; supply0 VAR4; supply1 VAR8 ; supply0 VAR1 ; VAR6 VAR7 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR10(VAR10) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tapvpwrvgnd/sky130_fd_sc_ls__tapvpwrvgnd.functional.pp.v
1,200
module MODULE1 ( VAR1, VAR3, VAR4 , VAR2 ); input VAR1; input VAR3; input VAR4 ; input VAR2 ; endmodule
apache-2.0
asicguy/gplgpu
hdl/altera_ddr3_128/alt_mem_ddrx_ecc_decoder.v
13,306
module MODULE1 # ( parameter VAR32 = 40, VAR13 = 8, VAR36 = 1, VAR9 = 0, VAR38 = 7, VAR5 = 7, VAR26 = 1 ) ( VAR15, VAR12, VAR39, VAR2, VAR14, VAR16, VAR17, VAR49, VAR20, VAR46, VAR27, VAR50, VAR41, VAR3 ); localparam VAR37 = (VAR32 > 8) ? (VAR32 - VAR13) : (VAR32); input VAR15; input VAR12; input [VAR38 - 1 : 0] VAR39; input [VAR5 - 1 : 0] VAR2; input [VAR26 - 1 : 0] VAR14; input [VAR32 - 1 : 0] VAR16; input VAR17; output [VAR32 - 1 : 0] VAR49; output VAR20; output [VAR13 - 1 : 0] VAR46; output VAR27; output VAR50; output VAR41; output VAR3; reg [VAR32 - 1 : 0] VAR42; reg [VAR32 - 1 : 0] VAR19; reg [VAR32 - 1 : 0] VAR34; reg [VAR32 - 1 : 0] VAR1; reg [VAR32 - 1 : 0] VAR49; reg VAR20; reg [VAR13 - 1 : 0] VAR46; reg VAR27; reg VAR50; reg VAR41; reg VAR3; wire VAR8; wire VAR25; wire VAR43; wire VAR18; reg [VAR13 - 1 : 0] VAR47; wire [VAR32 - 1 : 0] VAR24; wire [VAR37 - 1 : 0] VAR30; reg VAR6; reg [VAR37 - 1 : 0] VAR44; reg VAR35; reg VAR40; reg VAR7; reg VAR4; reg VAR10; reg [VAR13 - 1 : 0] VAR11; wire VAR45 = 1'b0; generate genvar VAR33; for (VAR33 = 0;VAR33 < VAR32;VAR33 = VAR33 + 1) begin : VAR28 always @ begin VAR42 = VAR19; end always @ begin VAR47 = {VAR13{VAR45}}; end end else begin always @ begin if (VAR14) begin VAR49 = {{VAR13{1'b0}}, VAR44}; VAR20 = VAR35; VAR27 = VAR40; VAR50 = VAR7; VAR41 = VAR4; VAR3 = VAR10; VAR46 = VAR11; end else begin VAR49 = VAR16; VAR20 = VAR17; VAR27 = 1'b0; VAR50 = 1'b0; VAR41 = 1'b0; VAR3 = 1'b0; VAR46 = VAR47; end end end else begin always @ (*) begin if (VAR14) begin VAR49 = {{VAR13{1'b0}}, VAR30}; VAR20 = VAR6; VAR27 = VAR8; VAR50 = VAR25; VAR41 = VAR43; VAR3 = VAR18; VAR46 = VAR47; end else begin VAR49 = VAR16; VAR20 = VAR17; VAR27 = 1'b0; VAR50 = 1'b0; VAR41 = 1'b0; VAR3 = 1'b0; VAR46 = VAR47; end end end end endgenerate generate begin if (VAR37 == 8 && VAR32 > 8) begin wire [39 : 0] VAR42; wire [32 : 0] VAR29; assign VAR42 = {VAR24 [VAR32 - 1 : VAR37], 24'd0, VAR24 [VAR37 - 1 : 0]}; assign VAR30 = VAR29 [VAR37 - 1 : 0]; VAR48 VAR23 ( .VAR21 (VAR42 [38 : 0]), .VAR27 (VAR8 ), .VAR50 (VAR25 ), .VAR41 (VAR43 ), .VAR3 (VAR18 ), .VAR31 (VAR29 ) ); end else if (VAR37 == 16) begin wire [39 : 0] VAR42; wire [32 : 0] VAR29; assign VAR42 = {VAR24 [VAR32 - 1 : VAR37], 16'd0, VAR24 [VAR37 - 1 : 0]}; assign VAR30 = VAR29 [VAR37 - 1 : 0]; VAR48 VAR23 ( .VAR21 (VAR42 [38 : 0]), .VAR27 (VAR8 ), .VAR50 (VAR25 ), .VAR41 (VAR43 ), .VAR3 (VAR18 ), .VAR31 (VAR29 ) ); end else if (VAR37 == 32) begin VAR48 VAR23 ( .VAR21 (VAR24 [38 : 0]), .VAR27 (VAR8 ), .VAR50 (VAR25 ), .VAR41 (VAR43 ), .VAR3 (VAR18 ), .VAR31 (VAR30 ) ); end else if (VAR37 == 64) begin VAR22 VAR23 ( .VAR21 (VAR24 ), .VAR27 (VAR8), .VAR50 (VAR25 ), .VAR41 (VAR43 ), .VAR3 (VAR18 ), .VAR31 (VAR30 ) ); end end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxtp/sky130_fd_sc_ms__dlxtp_1.v
2,162
module MODULE2 ( VAR7 , VAR3 , VAR9, VAR5, VAR2, VAR1 , VAR8 ); output VAR7 ; input VAR3 ; input VAR9; input VAR5; input VAR2; input VAR1 ; input VAR8 ; VAR6 VAR4 ( .VAR7(VAR7), .VAR3(VAR3), .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR7 , VAR3 , VAR9 ); output VAR7 ; input VAR3 ; input VAR9; supply1 VAR5; supply0 VAR2; supply1 VAR1 ; supply0 VAR8 ; VAR6 VAR4 ( .VAR7(VAR7), .VAR3(VAR3), .VAR9(VAR9) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlrtp/sky130_fd_sc_ls__dlrtp.pp.blackbox.v
1,399
module MODULE1 ( VAR7 , VAR8, VAR4 , VAR6 , VAR5 , VAR3 , VAR2 , VAR1 ); output VAR7 ; input VAR8; input VAR4 ; input VAR6 ; input VAR5 ; input VAR3 ; input VAR2 ; input VAR1 ; endmodule
apache-2.0
jeichenhofer/chuck-light
SoC/soc_system/synthesis/submodules/ece453.v
3,285
module MODULE1( clk, reset, VAR15, VAR17, VAR3, VAR10, VAR13, VAR9, VAR12, VAR14, VAR11 ); input clk; input reset; input [3:0] VAR15; input VAR17; input VAR3; output wire [31:0] VAR10; input [31:0] VAR13; input [3:0] VAR9; input [31:0] VAR12; output [31:0] VAR14; output wire VAR11; reg [31:0] VAR5; reg [31:0] VAR7; reg [31:0] VAR1; wire [31:0] VAR16; wire [31:0] VAR2; assign VAR10 = ( (VAR15 == VAR6 ) && VAR17 ) ? VAR5 : ( (VAR15 == VAR4 ) && VAR17 ) ? VAR7 : ( (VAR15 == VAR8 ) && VAR17 ) ? VAR1 : 32'h00000000; assign VAR11 = 0; assign VAR14 = VAR1; assign VAR16 = VAR12; assign VAR2 = ( (VAR15 == VAR8 ) && VAR3 ) ? VAR13 : VAR1; always @ (posedge clk or posedge reset) begin if (reset == 1) begin VAR5 <= 32'hECE45300; VAR7 <= 32'h00000000; VAR1 <= 32'h00000000; end else begin VAR5 <= 32'hECE45300; VAR7 <= VAR16; VAR1 <= VAR2; end end endmodule
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ip/block_design_m00_regslice_0/synth/block_design_m00_regslice_0.v
10,722
module MODULE1 ( VAR52, VAR70, VAR3, VAR76, VAR102, VAR64, VAR86, VAR89, VAR13, VAR36, VAR28, VAR20, VAR85, VAR23, VAR56, VAR68, VAR81, VAR65, VAR72, VAR95, VAR84, VAR15, VAR78, VAR94, VAR6, VAR44, VAR11, VAR25, VAR31, VAR17, VAR45, VAR9, VAR27, VAR92, VAR112, VAR79, VAR75, VAR63, VAR46, VAR88 ); input wire VAR52; input wire VAR70; input wire [5 : 0] VAR3; input wire [2 : 0] VAR76; input wire VAR102; output wire VAR64; input wire [31 : 0] VAR86; input wire [3 : 0] VAR89; input wire VAR13; output wire VAR36; output wire [1 : 0] VAR28; output wire VAR20; input wire VAR85; input wire [5 : 0] VAR23; input wire [2 : 0] VAR56; input wire VAR68; output wire VAR81; output wire [31 : 0] VAR65; output wire [1 : 0] VAR72; output wire VAR95; input wire VAR84; output wire [5 : 0] VAR15; output wire [2 : 0] VAR78; output wire VAR94; input wire VAR6; output wire [31 : 0] VAR44; output wire [3 : 0] VAR11; output wire VAR25; input wire VAR31; input wire [1 : 0] VAR17; input wire VAR45; output wire VAR9; output wire [5 : 0] VAR27; output wire [2 : 0] VAR92; output wire VAR112; input wire VAR79; input wire [31 : 0] VAR75; input wire [1 : 0] VAR63; input wire VAR46; output wire VAR88; VAR106 #( .VAR59("VAR74"), .VAR77(2), .VAR110(1), .VAR37(6), .VAR105(32), .VAR104(0), .VAR73(1), .VAR48(1), .VAR19(1), .VAR113(1), .VAR53(1), .VAR43(7), .VAR34(7), .VAR71(7), .VAR91(7), .VAR69(7) ) VAR41 ( .VAR52(VAR52), .VAR70(VAR70), .VAR109(1'VAR97), .VAR3(VAR3), .VAR111(8'VAR49), .VAR5(3'VAR97), .VAR30(2'VAR12), .VAR96(1'VAR97), .VAR42(4'VAR97), .VAR76(VAR76), .VAR62(4'VAR97), .VAR83(4'VAR97), .VAR26(1'VAR97), .VAR102(VAR102), .VAR64(VAR64), .VAR82(1'VAR97), .VAR86(VAR86), .VAR89(VAR89), .VAR21(1'VAR12), .VAR58(1'VAR97), .VAR13(VAR13), .VAR36(VAR36), .VAR7(), .VAR28(VAR28), .VAR18(), .VAR20(VAR20), .VAR85(VAR85), .VAR103(1'VAR97), .VAR23(VAR23), .VAR32(8'VAR49), .VAR93(3'VAR97), .VAR57(2'VAR12), .VAR8(1'VAR97), .VAR90(4'VAR97), .VAR56(VAR56), .VAR67(4'VAR97), .VAR66(4'VAR97), .VAR1(1'VAR97), .VAR68(VAR68), .VAR81(VAR81), .VAR10(), .VAR65(VAR65), .VAR72(VAR72), .VAR99(), .VAR33(), .VAR95(VAR95), .VAR84(VAR84), .VAR114(), .VAR15(VAR15), .VAR16(), .VAR61(), .VAR100(), .VAR39(), .VAR101(), .VAR78(VAR78), .VAR14(), .VAR50(), .VAR54(), .VAR94(VAR94), .VAR6(VAR6), .VAR29(), .VAR44(VAR44), .VAR11(VAR11), .VAR47(), .VAR22(), .VAR25(VAR25), .VAR31(VAR31), .VAR4(1'VAR97), .VAR17(VAR17), .VAR108(1'VAR97), .VAR45(VAR45), .VAR9(VAR9), .VAR80(), .VAR27(VAR27), .VAR60(), .VAR98(), .VAR55(), .VAR51(), .VAR87(), .VAR92(VAR92), .VAR38(), .VAR107(), .VAR24(), .VAR112(VAR112), .VAR79(VAR79), .VAR40(1'VAR97), .VAR75(VAR75), .VAR63(VAR63), .VAR35(1'VAR12), .VAR2(1'VAR97), .VAR46(VAR46), .VAR88(VAR88) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a32oi/sky130_fd_sc_hdll__a32oi_4.v
2,499
module MODULE2 ( VAR5 , VAR12 , VAR2 , VAR4 , VAR3 , VAR7 , VAR9, VAR10, VAR1 , VAR8 ); output VAR5 ; input VAR12 ; input VAR2 ; input VAR4 ; input VAR3 ; input VAR7 ; input VAR9; input VAR10; input VAR1 ; input VAR8 ; VAR6 VAR11 ( .VAR5(VAR5), .VAR12(VAR12), .VAR2(VAR2), .VAR4(VAR4), .VAR3(VAR3), .VAR7(VAR7), .VAR9(VAR9), .VAR10(VAR10), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR5 , VAR12, VAR2, VAR4, VAR3, VAR7 ); output VAR5 ; input VAR12; input VAR2; input VAR4; input VAR3; input VAR7; supply1 VAR9; supply0 VAR10; supply1 VAR1 ; supply0 VAR8 ; VAR6 VAR11 ( .VAR5(VAR5), .VAR12(VAR12), .VAR2(VAR2), .VAR4(VAR4), .VAR3(VAR3), .VAR7(VAR7) ); endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/arbiter/arbiter_bytebus.v
35,730
module MODULE1 ( VAR41, VAR14, VAR1, VAR124, VAR29, VAR16, VAR110, VAR75, VAR83, VAR27, VAR127, VAR39, VAR24, VAR45, VAR48, VAR36, VAR47, VAR115, VAR74, VAR35, VAR82, VAR17, VAR81, VAR120, VAR61, VAR44, VAR118, VAR59, VAR49, VAR62, VAR11, VAR64, VAR56, VAR46, VAR77, VAR13, VAR97, VAR3, VAR122, VAR53, VAR31, VAR10, VAR40, VAR123, VAR86, VAR69, VAR66, VAR22, VAR19, VAR68, VAR126, VAR103, VAR107, VAR23, VAR60, VAR104, VAR92, VAR5, VAR28, VAR34, VAR42, VAR91, VAR55, VAR80, VAR117, VAR8, VAR54, VAR7, VAR2 ); parameter VAR99 = 32; parameter VAR106 = 8; parameter VAR38 = 32; parameter VAR94 = 8; parameter VAR43 = 20; parameter VAR52 = 8'h00; parameter VAR6 = 8'h00; parameter VAR112 = 8'h00; parameter VAR32 = 8'h00; parameter VAR79 = 8'h00; parameter VAR9 = 8'h00; parameter VAR95 = 8'h00; parameter VAR4 = 8'h00; parameter VAR12 = 8'h00; parameter VAR78 = 8'h00; parameter VAR57 = 8'h00; parameter VAR84 = 8'h00; parameter VAR89 = 8'h00; parameter VAR20 = 8'h00; parameter VAR76 = 8'h00; parameter VAR50 = 8'h00; parameter VAR67 = 8'h00; parameter VAR105 = 8'h00; parameter VAR125 = 8'h00; parameter VAR63 = 8'h00; input VAR7; input VAR2; input [VAR38-1:0] VAR41; input [VAR99-1:0] VAR14; input [3:0] VAR1; input VAR124; input VAR29; input VAR16; input [2:0] VAR110; input [1:0] VAR75; output [VAR99-1:0] VAR83; output VAR27; output VAR127; output VAR39; output [VAR38-1:0] VAR24; output [VAR106-1:0] VAR45; output VAR48; output VAR36; output VAR47; output [2:0] VAR115; output [1:0] VAR74; input [VAR106-1:0] VAR35; input VAR82; input VAR17; input VAR81; output [VAR38-1:0] VAR120; output [VAR106-1:0] VAR61; output VAR44; output VAR118; output VAR59; output [2:0] VAR49; output [1:0] VAR62; input [VAR106-1:0] VAR11; input VAR64; input VAR56; input VAR46; output [VAR38-1:0] VAR77; output [VAR106-1:0] VAR13; output VAR97; output VAR3; output VAR122; output [2:0] VAR53; output [1:0] VAR31; input [VAR106-1:0] VAR10; input VAR40; input VAR123; input VAR86; output [VAR38-1:0] VAR69; output [VAR106-1:0] VAR66; output VAR22; output VAR19; output VAR68; output [2:0] VAR126; output [1:0] VAR103; input [VAR106-1:0] VAR107; input VAR23; input VAR60; input VAR104; output [VAR38-1:0] VAR92; output [VAR106-1:0] VAR5; output VAR28; output VAR34; output VAR42; output [2:0] VAR91; output [1:0] VAR55; input [VAR106-1:0] VAR80; input VAR117; input VAR8; input VAR54; reg VAR93; wire [VAR38-1:0] VAR102; wire [VAR106-1:0] VAR121; wire [3:0] VAR85; wire VAR25; wire VAR72; wire VAR88; wire [2:0] VAR33; wire [1:0] VAR119; wire [VAR106-1:0] VAR87; wire VAR21; wire VAR70; wire VAR101; assign VAR102 = VAR41; assign VAR121 = VAR1[3] ? VAR14[31:24] : VAR1[2] ? VAR14[23:16] : VAR1[1] ? VAR14[15:8] : VAR14[7:0]; assign VAR25 = VAR124; assign VAR72 = VAR16; assign VAR88 = VAR16; assign VAR33 = VAR110; assign VAR119 = VAR75; assign VAR83 = (VAR1[3]) ? {VAR87, 24'd0} : (VAR1[2]) ? {8'd0, VAR87, 16'd0} : (VAR1[1]) ? {16'd0, VAR87, 8'd0} : {24'd0, VAR87}; assign VAR27 = VAR21; assign VAR127 = VAR70; assign VAR39 = VAR101; reg [VAR108:0] VAR90; reg VAR73; wire VAR65; always @(posedge VAR7) VAR73 <= VAR88; assign VAR65 = (VAR88 & !VAR73); always @(posedge VAR7) if (VAR2) VAR90 <= 0; else if (VAR21) VAR90 <= 0; else if (VAR65) VAR90 <= 1; else if (|VAR90) VAR90 <= VAR90 + 1; always @(posedge VAR7) VAR93 <= (&VAR90); VAR93 <= 0; wire [VAR38-1:0] VAR114; wire [VAR106-1:0] VAR30; wire VAR98; wire VAR18; wire VAR96; wire [2:0] VAR100; wire [1:0] VAR116; wire [VAR106-1:0] VAR109; wire VAR15; wire VAR71; wire VAR111; wire [VAR43-1:0] VAR128; wire [VAR106-1:0] VAR58 [0:VAR43-1]; wire VAR37 [0:VAR43-1]; wire VAR51 [0:VAR43-1]; wire VAR26 [0:VAR43-1]; assign VAR128[0] = VAR102[VAR113] == VAR52; assign VAR128[1] = VAR102[VAR113] == VAR6; assign VAR128[2] = VAR102[VAR113] == VAR112; assign VAR128[3] = VAR102[VAR113] == VAR32; assign VAR128[4] = VAR102[VAR113] == VAR79; assign VAR24 = VAR102; assign VAR45 = VAR121; assign VAR36 = VAR72 & VAR128[0]; assign VAR47 = VAR88 & VAR128[0]; assign VAR48 = VAR25; assign VAR115 = VAR33; assign VAR74 = VAR119; assign VAR58[0] = VAR35; assign VAR37[0] = VAR82 & VAR128[0]; assign VAR51[0] = VAR17 & VAR128[0]; assign VAR26[0] = VAR81 & VAR128[0]; assign VAR120 = VAR102; assign VAR61 = VAR121; assign VAR118 = VAR72 & VAR128[1]; assign VAR59 = VAR88 & VAR128[1]; assign VAR44 = VAR25; assign VAR49 = VAR33; assign VAR62 = VAR119; assign VAR58[1] = VAR11; assign VAR37[1] = VAR64 & VAR128[1]; assign VAR51[1] = VAR56 & VAR128[1]; assign VAR26[1] = VAR46 & VAR128[1]; assign VAR77 = VAR102; assign VAR13 = VAR121; assign VAR3 = VAR72 & VAR128[2]; assign VAR122 = VAR88 & VAR128[2]; assign VAR97 = VAR25; assign VAR53 = VAR33; assign VAR31 = VAR119; assign VAR58[2] = VAR10; assign VAR37[2] = VAR40 & VAR128[2]; assign VAR51[2] = VAR123 & VAR128[2]; assign VAR26[2] = VAR86 & VAR128[2]; assign VAR69 = VAR102; assign VAR66 = VAR121; assign VAR19 = VAR72 & VAR128[3]; assign VAR68 = VAR88 & VAR128[3]; assign VAR22 = VAR25; assign VAR126 = VAR33; assign VAR103 = VAR119; assign VAR58[3] = VAR107; assign VAR37[3] = VAR23 & VAR128[3]; assign VAR51[3] = VAR60 & VAR128[3]; assign VAR26[3] = VAR104 & VAR128[3]; assign VAR92 = VAR102; assign VAR5 = VAR121; assign VAR34 = VAR72 & VAR128[4]; assign VAR42 = VAR88 & VAR128[4]; assign VAR28 = VAR25; assign VAR91 = VAR33; assign VAR55 = VAR119; assign VAR58[4] = VAR80; assign VAR37[4] = VAR117 & VAR128[4]; assign VAR51[4] = VAR8 & VAR128[4]; assign VAR26[4] = VAR54 & VAR128[4]; assign VAR87 = VAR128[0] ? VAR58[0] : VAR128[1] ? VAR58[1] : VAR128[2] ? VAR58[2] : VAR128[3] ? VAR58[3] : VAR128[4] ? VAR58[4] : VAR58[0]; assign VAR21 = VAR37[0] | VAR37[1] | VAR37[2] | VAR37[3] | VAR37[4] ; assign VAR70 = VAR51[0] | VAR51[1] | VAR51[2] | VAR51[3] | VAR51[4] | VAR93 ; assign VAR101 = VAR26[0] | VAR26[1] | VAR26[2] | VAR26[3] | VAR26[4] ; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlxtn/sky130_fd_sc_hs__dlxtn.behavioral.v
1,893
module MODULE1 ( VAR6 , VAR2 , VAR10, VAR9 , VAR12 ); output VAR6 ; input VAR2 ; input VAR10; input VAR9 ; input VAR12 ; wire VAR1 VAR8 ; wire VAR1 VAR13; wire VAR1 VAR3 ; reg VAR7 ; wire VAR14 ; wire VAR4 ; not VAR15 (VAR14 , VAR13 ); VAR11 VAR16 (VAR8 , VAR3, VAR14, VAR7, VAR9, VAR12); buf VAR5 (VAR6 , VAR8 ); assign VAR4 = ( VAR9 === 1'b1 ); endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_auto_pc_0/synth/ip_design_auto_pc_0.v
14,657
module MODULE1 ( VAR39, VAR36, VAR37, VAR52, VAR71, VAR45, VAR10, VAR58, VAR93, VAR50, VAR22, VAR89, VAR102, VAR14, VAR23, VAR64, VAR44, VAR27, VAR73, VAR72, VAR46, VAR42, VAR25, VAR2, VAR82, VAR85, VAR99, VAR62, VAR111, VAR6, VAR104, VAR91, VAR97, VAR34, VAR20, VAR16, VAR38, VAR31, VAR94, VAR28, VAR84, VAR3, VAR49, VAR41, VAR109, VAR113, VAR1, VAR60, VAR33, VAR54, VAR69, VAR81, VAR74, VAR107, VAR80, VAR51, VAR5, VAR75, VAR87 ); input wire VAR39; input wire VAR36; input wire [11 : 0] VAR37; input wire [31 : 0] VAR52; input wire [3 : 0] VAR71; input wire [2 : 0] VAR45; input wire [1 : 0] VAR10; input wire [1 : 0] VAR58; input wire [3 : 0] VAR93; input wire [2 : 0] VAR50; input wire [3 : 0] VAR22; input wire VAR89; output wire VAR102; input wire [11 : 0] VAR14; input wire [31 : 0] VAR23; input wire [3 : 0] VAR64; input wire VAR44; input wire VAR27; output wire VAR73; output wire [11 : 0] VAR72; output wire [1 : 0] VAR46; output wire VAR42; input wire VAR25; input wire [11 : 0] VAR2; input wire [31 : 0] VAR82; input wire [3 : 0] VAR85; input wire [2 : 0] VAR99; input wire [1 : 0] VAR62; input wire [1 : 0] VAR111; input wire [3 : 0] VAR6; input wire [2 : 0] VAR104; input wire [3 : 0] VAR91; input wire VAR97; output wire VAR34; output wire [11 : 0] VAR20; output wire [31 : 0] VAR16; output wire [1 : 0] VAR38; output wire VAR31; output wire VAR94; input wire VAR28; output wire [31 : 0] VAR84; output wire [2 : 0] VAR3; output wire VAR49; input wire VAR41; output wire [31 : 0] VAR109; output wire [3 : 0] VAR113; output wire VAR1; input wire VAR60; input wire [1 : 0] VAR33; input wire VAR54; output wire VAR69; output wire [31 : 0] VAR81; output wire [2 : 0] VAR74; output wire VAR107; input wire VAR80; input wire [31 : 0] VAR51; input wire [1 : 0] VAR5; input wire VAR75; output wire VAR87; VAR15 #( .VAR4("VAR19"), .VAR26(2), .VAR88(1), .VAR24(0), .VAR110(12), .VAR43(32), .VAR77(32), .VAR78(1), .VAR68(1), .VAR17(0), .VAR40(1), .VAR103(1), .VAR35(1), .VAR63(1), .VAR59(1), .VAR108(2) ) VAR114 ( .VAR39(VAR39), .VAR36(VAR36), .VAR37(VAR37), .VAR52(VAR52), .VAR71(VAR71), .VAR45(VAR45), .VAR10(VAR10), .VAR58(VAR58), .VAR93(VAR93), .VAR50(VAR50), .VAR96(4'VAR8), .VAR22(VAR22), .VAR66(1'VAR8), .VAR89(VAR89), .VAR102(VAR102), .VAR14(VAR14), .VAR23(VAR23), .VAR64(VAR64), .VAR44(VAR44), .VAR53(1'VAR8), .VAR27(VAR27), .VAR73(VAR73), .VAR72(VAR72), .VAR46(VAR46), .VAR86(), .VAR42(VAR42), .VAR25(VAR25), .VAR2(VAR2), .VAR82(VAR82), .VAR85(VAR85), .VAR99(VAR99), .VAR62(VAR62), .VAR111(VAR111), .VAR6(VAR6), .VAR104(VAR104), .VAR100(4'VAR8), .VAR91(VAR91), .VAR11(1'VAR8), .VAR97(VAR97), .VAR34(VAR34), .VAR20(VAR20), .VAR16(VAR16), .VAR38(VAR38), .VAR31(VAR31), .VAR95(), .VAR94(VAR94), .VAR28(VAR28), .VAR30(), .VAR84(VAR84), .VAR47(), .VAR21(), .VAR61(), .VAR76(), .VAR18(), .VAR3(VAR3), .VAR9(), .VAR13(), .VAR55(), .VAR49(VAR49), .VAR41(VAR41), .VAR32(), .VAR109(VAR109), .VAR113(VAR113), .VAR7(), .VAR90(), .VAR1(VAR1), .VAR60(VAR60), .VAR67(12'VAR112), .VAR33(VAR33), .VAR105(1'VAR8), .VAR54(VAR54), .VAR69(VAR69), .VAR101(), .VAR81(VAR81), .VAR92(), .VAR48(), .VAR29(), .VAR83(), .VAR70(), .VAR74(VAR74), .VAR57(), .VAR98(), .VAR56(), .VAR107(VAR107), .VAR80(VAR80), .VAR12(12'VAR112), .VAR51(VAR51), .VAR5(VAR5), .VAR65(1'VAR106), .VAR79(1'VAR8), .VAR75(VAR75), .VAR87(VAR87) ); endmodule
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_alternate/pr_region_alternate_mm_bridge_0/synth/pr_region_alternate_mm_bridge_0.v
4,532
module MODULE1 #( parameter VAR10 = 32, parameter VAR27 = 8, parameter VAR19 = 10, parameter VAR9 = 1, parameter VAR8 = 1, parameter VAR7 = 1 ) ( input wire clk, input wire VAR11, input wire [VAR10-1:0] VAR12, input wire VAR4, output wire [VAR9-1:0] VAR6, output wire [VAR10-1:0] VAR30, output wire [VAR19-1:0] VAR5, output wire VAR20, output wire VAR24, output wire [3:0] VAR26, output wire VAR28, input wire reset, output wire VAR3, output wire [VAR10-1:0] VAR21, output wire VAR23, input wire [VAR9-1:0] VAR16, input wire [VAR10-1:0] VAR25, input wire [VAR19-1:0] VAR22, input wire VAR1, input wire VAR17, input wire [3:0] VAR14, input wire VAR13 ); VAR2 #( .VAR10 (VAR10), .VAR27 (VAR27), .VAR19 (VAR19), .VAR9 (VAR9), .VAR8 (VAR8), .VAR7 (VAR7) ) VAR15 ( .clk (clk), .reset (reset), .VAR3 (VAR3), .VAR21 (VAR21), .VAR23 (VAR23), .VAR16 (VAR16), .VAR25 (VAR25), .VAR22 (VAR22), .VAR1 (VAR1), .VAR17 (VAR17), .VAR14 (VAR14), .VAR13 (VAR13), .VAR11 (VAR11), .VAR12 (VAR12), .VAR4 (VAR4), .VAR6 (VAR6), .VAR30 (VAR30), .VAR5 (VAR5), .VAR20 (VAR20), .VAR24 (VAR24), .VAR26 (VAR26), .VAR28 (VAR28), .VAR18 (), .VAR29 (2'b00) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/conb/sky130_fd_sc_hdll__conb_1.v
2,058
module MODULE1 ( VAR8 , VAR4 , VAR5, VAR6, VAR1 , VAR2 ); output VAR8 ; output VAR4 ; input VAR5; input VAR6; input VAR1 ; input VAR2 ; VAR3 VAR7 ( .VAR8(VAR8), .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8, VAR4 ); output VAR8; output VAR4; supply1 VAR5; supply0 VAR6; supply1 VAR1 ; supply0 VAR2 ; VAR3 VAR7 ( .VAR8(VAR8), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp.functional.pp.v
2,233
module MODULE1 ( VAR12 , VAR11 , VAR15 , VAR8 , VAR20 , VAR16, VAR1 , VAR14 , VAR13 , VAR10 ); output VAR12 ; input VAR11 ; input VAR15 ; input VAR8 ; input VAR20 ; input VAR16; input VAR1 ; input VAR14 ; input VAR13 ; input VAR10 ; wire VAR7 ; wire VAR5 ; wire VAR2; not VAR17 (VAR5 , VAR16 ); VAR19 VAR4 (VAR2, VAR15, VAR8, VAR20 ); VAR6 VAR18 VAR9 (VAR7 , VAR2, VAR11, VAR5, , VAR1, VAR14); buf VAR3 (VAR12 , VAR7 ); endmodule
apache-2.0
gtaylormb/fpga_nes
hw/src/nes_top.v
8,601
module MODULE1 ( input wire VAR93, input wire VAR102, input wire VAR86, input wire VAR45, input wire [3:0] VAR129, input wire VAR7, input wire VAR84, output wire VAR99, output wire VAR137, output wire VAR74, output wire [2:0] VAR134, output wire [2:0] VAR113, output wire [1:0] VAR51, output wire VAR46, output wire VAR97, VAR75 VAR105 output wire VAR64, output wire [5:0] VAR135 output wire VAR64 VAR15 ); wire [ 7:0] VAR27; wire [15:0] VAR21; wire VAR16; wire [ 7:0] VAR112; wire [13:0] VAR28; wire VAR90; wire VAR1; wire [ 7:0] VAR5; wire VAR43; wire [ 7:0] VAR111; wire [15:0] VAR54; wire VAR96; wire VAR133; wire [ 3:0] VAR108; wire [ 7:0] VAR19; wire VAR34; wire [ 7:0] VAR101; VAR89 VAR109( .VAR71(VAR93), .VAR114(VAR102), .VAR11(VAR1), .din(VAR5), .VAR67(VAR43), .VAR78(~VAR86), .dout(VAR111), .VAR119(VAR54), .VAR31(VAR96), .VAR47(VAR133), .VAR132(VAR7), .VAR9(VAR84), .VAR104(VAR46), .VAR23(VAR97), .VAR85(VAR129), .VAR69(VAR64), .VAR26(VAR135), .VAR117(VAR108), .VAR33(VAR19), .VAR106(VAR34), .VAR58(VAR101) ); wire VAR61; wire [ 7:0] VAR120; wire [ 7:0] VAR80; wire VAR87; wire VAR68; wire [39:0] VAR35; wire VAR65; VAR81 VAR20( .VAR71(VAR93), .VAR30(VAR35), .VAR76(VAR65), .VAR88(VAR61), .VAR91(VAR21[14:0]), .VAR63(VAR16), .VAR83(VAR27), .VAR62(VAR120), .VAR36(VAR28), .VAR139(~VAR90), .VAR82(VAR112), .VAR56(VAR80), .VAR122(VAR87), .VAR14(VAR68) ); assign VAR61 = ~VAR21[15]; wire VAR53; wire [7:0] VAR50; VAR8 VAR37( .VAR71(VAR93), .VAR4(VAR53), .VAR60(VAR16), .VAR66(VAR21[10:0]), .din(VAR27), .dout(VAR50) ); assign VAR53 = (VAR21[15:13] == 0); wire [10:0] VAR95; wire [ 7:0] VAR115; VAR52 VAR107( .VAR71(VAR93), .VAR4(~VAR87), .VAR60(~VAR90), .VAR66(VAR95), .din(VAR112), .dout(VAR115) ); wire [ 2:0] VAR98; wire VAR49; wire VAR40; wire [ 7:0] VAR25; wire [ 7:0] VAR110; wire [13:0] VAR118; wire VAR48; wire [ 7:0] VAR77; wire [ 7:0] VAR72; wire VAR94; assign VAR98 = VAR21[2:0]; assign VAR49 = (VAR21[15:13] == 3'b001) ? 1'b0 : 1'b1; assign VAR40 = VAR16; assign VAR25 = VAR27; VAR24 VAR140( .VAR71(VAR93), .VAR114(VAR102), .VAR12(VAR98), .VAR138(VAR49), .VAR59(VAR40), .VAR22(VAR25), .VAR29(VAR77), .VAR103(VAR137), .VAR41(VAR74), .VAR38(VAR134), .VAR126(VAR113), .VAR42(VAR51), .VAR130(VAR110), .VAR44(VAR94), .VAR124(VAR118), .VAR115(VAR72), .VAR57(VAR48) ); assign VAR95 = { VAR68, VAR28[9:0] }; wire VAR125; wire [ 7:0] VAR100; wire [ 7:0] VAR32; wire [15:0] VAR131; wire VAR92; wire [ 7:0] VAR127; wire [ 7:0] VAR39; wire [15:0] VAR121; wire VAR13; VAR70 VAR18( .clk(VAR93), .rst(VAR102), .VAR6(VAR45), .VAR2(VAR133), .VAR3(VAR100), .VAR55(VAR101), .VAR77(VAR127), .VAR136(VAR99), .VAR123(VAR125), .VAR116(VAR92), .VAR128(VAR131), .VAR73(VAR32), .VAR10(VAR108), .VAR79(VAR19), .VAR17(VAR34), .VAR48(VAR13), .VAR118(VAR121), .VAR72(VAR39), .VAR35(VAR35), .VAR65(VAR65) ); assign VAR1 = (VAR125) ? 1'b0 : 1'b1; assign VAR21 = (VAR125) ? VAR131 : VAR54; assign VAR16 = (VAR125) ? VAR92 : VAR96; assign VAR27 = (VAR125) ? VAR32 : VAR111; assign VAR5 = VAR120 | VAR50 | VAR110; assign VAR100 = VAR120 | VAR50 | VAR110; assign VAR28 = (VAR125) ? VAR121[13:0] : VAR118; assign VAR90 = (VAR125) ? VAR13 : VAR48; assign VAR112 = (VAR125) ? VAR39 : VAR72; assign VAR77 = VAR80 | VAR115; assign VAR127 = VAR80 | VAR115; assign VAR43 = VAR94; endmodule
bsd-2-clause
benreynwar/fpga-sdrlib
verilog/flow/qa_buffer_AA_burst.v
2,191
module MODULE1 parameter VAR8 = 32 ) ( input wire clk, input wire reset, input wire [VAR8-1:0] VAR14, input wire VAR3, output reg [VAR8-1:0] VAR2, output reg VAR6 ); wire VAR12; assign VAR12 = ~reset; reg VAR21; wire VAR7; wire [VAR8-1:0] VAR19; wire VAR1; wire VAR9; reg [VAR15-1:0] VAR18; VAR11 #(VAR8, VAR10, VAR13) VAR5 (.clk(clk), .VAR12(VAR12), .VAR20(VAR3), .VAR17(VAR14), .VAR21(VAR21), .VAR7(VAR7), .VAR19(VAR19), .VAR1(VAR1), .VAR9(VAR9) ); always @ (posedge clk) begin if (!VAR12) begin VAR21 <= 1'b0; VAR2 <= {VAR8{1'b0}}; VAR6 <= 1'b0; VAR18 <= {VAR15{1'b0}}; end else if (VAR1) begin VAR6 <= 1'b1; VAR2 <= VAR16; VAR21 <= 1'b0; end else if (VAR9) begin VAR6 <= 1'b1; VAR2 <= VAR4; VAR21 <= 1'b0; end else begin if (!VAR21 && VAR7 && !(|VAR18)) begin VAR21 <= 1'b1; VAR6 <= 1'b1; VAR2 <= VAR19; end else begin if (!VAR21 && VAR3) VAR18 <= VAR18 + 1; VAR21 <= 1'b0; VAR6 <= 1'b0; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand3/sky130_fd_sc_hdll__nand3_2.v
2,191
module MODULE2 ( VAR8 , VAR3 , VAR7 , VAR6 , VAR9, VAR2, VAR1 , VAR4 ); output VAR8 ; input VAR3 ; input VAR7 ; input VAR6 ; input VAR9; input VAR2; input VAR1 ; input VAR4 ; VAR10 VAR5 ( .VAR8(VAR8), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6), .VAR9(VAR9), .VAR2(VAR2), .VAR1(VAR1), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR8, VAR3, VAR7, VAR6 ); output VAR8; input VAR3; input VAR7; input VAR6; supply1 VAR9; supply0 VAR2; supply1 VAR1 ; supply0 VAR4 ; VAR10 VAR5 ( .VAR8(VAR8), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6) ); endmodule
apache-2.0
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/submodules/sd_data_phy.v
9,946
module MODULE1( input VAR48, input rst, output reg VAR65, output reg[3:0] VAR18, input [3:0] VAR12, output [1:0] VAR44, input [7:0] VAR55, output reg [7:0] VAR34, output reg VAR16, output reg VAR30, input [3:4] VAR15, input [3:4] VAR66, input [1:0] VAR2, input VAR70 ); reg [5:0] VAR10; reg [5:0] VAR32; reg VAR22; reg [3:0] VAR39; reg [7:0] VAR24 ; reg[2:0] VAR23; reg [3:0] VAR54; reg VAR56; reg VAR59; wire [15:0] VAR41 [3:0]; reg [3:0] VAR13; reg VAR1; reg VAR38; wire [15:0] VAR57 [3:0]; reg[7:0] VAR29; reg VAR60; reg [10:0] VAR4; reg [10:0] VAR33; parameter VAR6 = 6; reg [VAR6-1:0] state; reg [VAR6-1:0] VAR58; parameter VAR11 = 6'b000001; parameter VAR28 = 6'b000010; parameter VAR8 = 6'b000100; parameter VAR25 = 6'b001000; parameter VAR20 = 6'b010000; parameter VAR50 = 6'b100000; reg VAR46; reg [2:0] VAR49; reg VAR63; reg VAR64; genvar VAR31; generate for(VAR31=0; VAR31<4; VAR31=VAR31+1) begin:VAR37 VAR62 VAR53 (VAR54[VAR31],VAR56, VAR48, VAR59, VAR41[VAR31]); end endgenerate generate for(VAR31=0; VAR31<4; VAR31=VAR31+1) begin:VAR7 VAR62 VAR53 (VAR13[VAR31],VAR1, VAR48, VAR38, VAR57[VAR31]); end endgenerate reg VAR36; always @ (state or VAR2 or VAR12[0] or VAR4 or VAR33 or VAR63 or VAR23 or VAR16 or VAR46 ) begin : VAR42 VAR58 = 0; case(state) VAR11: begin if (VAR2 == 2'b01) VAR58=VAR28; end else if (VAR2 == 2'b10) VAR58=VAR20; end else VAR58=VAR11; end VAR28: begin if (VAR4 >= VAR51+VAR17) VAR58= VAR8; end else if (VAR2 == 2'b11) VAR58=VAR11; else VAR58=VAR28; end VAR20: begin if (VAR12[0]== 0 ) VAR58= VAR50; end else VAR58=VAR20; end VAR8: begin if ( (VAR23 == 3'b111) &&(VAR63 ==1) ) VAR58= VAR25; end else VAR58=VAR8; end VAR25: begin VAR58= VAR11; end VAR50: begin if ((VAR33 >= VAR51-3) && (VAR46)) VAR58= VAR11; end else if (VAR2 == 2'b11) VAR58=VAR11; else VAR58=VAR50; end endcase end always @ (posedge VAR48 or posedge rst ) begin if (rst ) begin VAR36<=1; end else begin VAR36 <= VAR12[0]; end end always @ (posedge VAR48 or posedge rst ) begin : VAR61 if (rst ) begin state <= VAR11; end else begin state <= VAR58; end end reg [4:0] VAR67; reg [4:0]VAR5; reg [3:0] VAR35; reg [2:0] VAR69 ; reg [7:0] VAR27,VAR21, VAR40; reg VAR43,VAR9; reg VAR45; reg [1:0] VAR19; reg [1:0] VAR52; reg VAR47; assign VAR44 = VAR64 ? VAR19 : VAR52; reg [3:0] VAR68 [63:0]; always @ (negedge VAR48 or posedge rst ) begin if (rst) begin VAR65<=0; VAR56<=0; VAR59<=1; VAR4<=0; VAR67<=15; VAR49<=7; VAR45<=0; VAR43<=0; VAR9<=0; VAR47<=0; VAR27<=0; VAR21<=0; VAR30<=0; VAR40<=0; VAR52<=0; VAR54<=0; VAR18<=0; VAR35<=0; end else begin case(state) VAR11: begin VAR65<=0; VAR56<=0; VAR59<=1; VAR67<=16; VAR47<=0; VAR49<=7; VAR45<=0; VAR43<=0; VAR9<=0; VAR30<=0; VAR4<=0; end VAR28: begin VAR4<=VAR4+1; if ( (VAR9 != VAR43) || (VAR4<2) ) begin VAR47<=VAR47+1; VAR30<=0; case (VAR47) 0:begin VAR52 <=2; VAR30<=1; end 1:begin if (!VAR9) VAR27<=VAR55; end else VAR21 <=VAR55; VAR9<=VAR9+1; end endcase end if (!VAR43) VAR40<=VAR27; end else VAR40<=VAR21; if (VAR4==1+VAR17) begin VAR59<=0; VAR56<=1; VAR35 <=VAR27[3:0]; VAR65<=1; VAR18<=0; VAR54<= VAR27[3:0]; VAR45<=1; VAR43<=VAR43+1; end else if ( (VAR4>=2+VAR17) && (VAR4<=VAR51-VAR26+VAR17 )) begin VAR65<=1; case (VAR45) 0:begin VAR35 <=VAR40[3:0]; VAR54 <=VAR40[3:0]; VAR43<=VAR43+1; end 1:begin VAR35 <=VAR40[7:4]; VAR54 <=VAR40[7:4]; end endcase VAR45<=VAR45+1; VAR18<= VAR35; if ( VAR4 >=VAR51-VAR26 +VAR17) begin VAR56<=0; end end else if (VAR4>VAR51-VAR26 +VAR17 & VAR67!=0) begin VAR56<=0; VAR67<=VAR67-1; VAR65<=1; VAR18[0]<=VAR41[0][VAR67-1]; VAR18[1]<=VAR41[1][VAR67-1]; VAR18[2]<=VAR41[2][VAR67-1]; VAR18[3]<=VAR41[3][VAR67-1]; end else if (VAR4==VAR51-2+VAR17) begin VAR65<=1; VAR18<=4'b1111; end else if (VAR4 !=0) begin VAR65<=0; end end endcase end end always @ (posedge VAR48 or posedge rst ) begin if (rst) begin VAR64<=0; VAR19<=0; VAR23<=0; VAR16<=0; VAR24<=0; VAR38<=0; VAR1<=0; VAR10<=0; VAR32<=0; VAR5<=0; VAR33<=0; VAR60<=0; VAR46<=0; VAR29<=0; VAR63<=0; VAR34<=0; end else begin case(state) VAR11: begin VAR64<=0; VAR23<=0; VAR16<=0; VAR24<=0; VAR38<=1; VAR1<=0; VAR10<=0; VAR32<=0; VAR5<=15; VAR33<=0; VAR60<=0; VAR46<=0; end VAR50: begin VAR64<=1; VAR38<=0; VAR1<=1; if (VAR70) begin if ( (VAR10 - VAR32) >=2) begin VAR60<=~VAR60; case(VAR60) 0: begin VAR19<=3; VAR16<=0; VAR29[3:0]<=VAR68[VAR32 ]; VAR29[7:4]<=VAR68[VAR32+1 ]; end 1: begin VAR32<=VAR32+2; VAR34<=VAR29; VAR16<=1; end endcase end else VAR46<=1; end if (VAR33<VAR14) begin VAR68[VAR10]<=VAR12; VAR13<=VAR12; VAR22<=1; VAR33<=VAR33+1; VAR10<=VAR10+1; end else if ( VAR33 <= (VAR14 +VAR3)) begin VAR33<=VAR33+1; VAR1<=0; VAR39 <=VAR12; if (VAR33> VAR14) begin VAR5 <=VAR5-1; if (VAR57[0][VAR5] != VAR35[0]) VAR22<=0; if (VAR57[1][VAR5] != VAR35[1]) VAR22<=0; if (VAR57[2][VAR5] != VAR35[2]) VAR22<=0; if (VAR57[3][VAR5] != VAR35[3]) VAR22<=0; if (VAR5==0) begin end end end end VAR8: begin if (VAR23<3'b111) begin VAR23<=VAR23+1; VAR24[VAR23] <= VAR12[0]; end VAR63 <=VAR12[0]; end VAR25: begin VAR64<=1; VAR19<=3; VAR16<=1; VAR34<=VAR24; end endcase end end endmodule
gpl-2.0
xcthulhu/periphondemand
src/library/components/uart16550/hdl/uart_transmitter.v
12,545
module MODULE1 (clk, VAR9, VAR20, VAR42, VAR7, enable, VAR19, VAR33, VAR37, VAR3, VAR18); input clk; input VAR9; input [7:0] VAR20; input VAR42; input [7:0] VAR7; input enable; input VAR3; input VAR18; output VAR19; output [2:0] VAR33; output [VAR17-1:0] VAR37; reg [2:0] VAR33; reg [4:0] counter; reg [2:0] VAR35; reg [6:0] VAR8; reg VAR39; reg VAR38; reg VAR26; reg VAR4; wire [VAR34-1:0] VAR2; wire [VAR34-1:0] VAR13; wire VAR42; wire VAR31; wire [VAR17-1:0] VAR37; assign VAR2 = VAR7; VAR29 VAR25( .clk( clk ), .VAR9( VAR9 ), .VAR43( VAR2 ), .VAR1( VAR13 ), .VAR28( VAR42 ), .VAR23( VAR26 ), .VAR14( VAR31 ), .VAR12( VAR37 ), .VAR27( VAR3 ), .VAR15(VAR18) ); parameter VAR24 = 3'd0; parameter VAR36 = 3'd1; parameter VAR22 = 3'd2; parameter VAR41 = 3'd3; parameter VAR30 = 3'd4; parameter VAR21 = 3'd5; always @(posedge clk or posedge VAR9) begin if (VAR9) begin VAR33 <= VAR24; VAR39 <= 1'b1; counter <= 5'b0; VAR8 <= 7'b0; VAR4 <= 1'b0; VAR38 <= 1'b0; VAR26 <= 1'b0; VAR35 <= 3'b0; end else if (enable) begin case (VAR33) VAR24 : if (~|VAR37) begin VAR33 <= VAR24; VAR39 <= 1'b1; end else begin VAR26 <= 1'b0; VAR39 <= 1'b1; VAR33 <= VAR21; end VAR21 : begin VAR26 <= 1'b1; case (VAR20[1:0]) 2'b00 : begin VAR35 <= 3'b100; VAR38 <= ^VAR13[4:0]; end 2'b01 : begin VAR35 <= 3'b101; VAR38 <= ^VAR13[5:0]; end 2'b10 : begin VAR35 <= 3'b110; VAR38 <= ^VAR13[6:0]; end 2'b11 : begin VAR35 <= 3'b111; VAR38 <= ^VAR13[7:0]; end endcase {VAR8[6:0], VAR4} <= VAR13; VAR33 <= VAR36; end VAR36 : begin VAR26 <= 1'b0; if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin counter <= 0; VAR33 <= VAR22; end else counter <= counter - 1'b1; VAR39 <= 1'b0; end VAR22 : begin if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin if (VAR35 > 3'b0) begin VAR35 <= VAR35 - 1'b1; {VAR8[5:0],VAR4 } <= {VAR8[6:1], VAR8[0]}; VAR33 <= VAR22; end else if (~VAR20[VAR32]) begin VAR33 <= VAR30; end else begin case ({VAR20[VAR40],VAR20[VAR6]}) 2'b00: VAR4 <= ~VAR38; 2'b01: VAR4 <= 1'b1; 2'b10: VAR4 <= VAR38; 2'b11: VAR4 <= 1'b0; endcase VAR33 <= VAR41; end counter <= 0; end else counter <= counter - 1'b1; VAR39 <= VAR4; end VAR41 : begin if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin counter <= 4'b0; VAR33 <= VAR30; end else counter <= counter - 1'b1; VAR39 <= VAR4; end VAR30 : begin if (~|counter) begin casex ({VAR20[VAR5],VAR20[VAR10]}) 3'VAR11: counter <= 5'b01101; 3'b100: counter <= 5'b10101; default: counter <= 5'b11101; endcase end else if (counter == 5'b00001) begin counter <= 0; VAR33 <= VAR24; end else counter <= counter - 1'b1; VAR39 <= 1'b1; end default : VAR33 <= VAR24; endcase end else VAR26 <= 1'b0; end assign VAR19 = VAR20[VAR16] ? 1'b0 : VAR39; endmodule
lgpl-2.1
qeedquan/fpga
de2-115/uart_echo/3_uart_rx.v
1,944
module MODULE1 ( input wire clk, input wire reset, input wire VAR11, input wire VAR14, output reg VAR1, output wire [7:0] dout ); parameter VAR13 = 8; parameter VAR8 = 16; localparam VAR3 = 0; localparam VAR7 = 1; localparam VAR10 = 2; localparam VAR12 = 3; reg [1:0] VAR16, VAR15; reg [3:0] VAR4, VAR6; reg [3:0] VAR2, VAR9; reg [7:0] VAR5, VAR17; always @ (posedge clk, posedge reset) begin if (reset) begin VAR16 <= VAR3; VAR4 <= 0; VAR2 <= 0; VAR5 <= 0; end else begin VAR16 <= VAR15; VAR4 <= VAR6; VAR2 <= VAR9; VAR5 <= VAR17; end end always @ (VAR16, VAR4, VAR2, VAR5, VAR14, VAR11) begin VAR15 <= VAR16; VAR6 <= VAR4; VAR9 <= VAR2; VAR17 <= VAR5; VAR1 <= 0; case (VAR16) VAR3: begin if (!VAR11) begin VAR15 <= VAR7; VAR6 <= 0; end end VAR7: begin if (VAR14) begin if (VAR4 == 7) begin VAR15 <= VAR10; VAR6 <= 0; VAR9 <= 0; end else VAR6 <= VAR4 + 1; end end VAR10: begin if (VAR14) begin if (VAR4 == 15) begin VAR6 <= 0; VAR17 <= {VAR11, VAR5[7:1]}; if (VAR2 == VAR13-1) VAR15 <= VAR12; end else VAR9 <= VAR2 + 1; end else VAR6 <= VAR4 + 1; end end VAR12: begin if (VAR14) begin if (VAR4 == VAR8-1) begin VAR15 <= VAR3; VAR1 <= 1; end else VAR6 <= VAR4 + 1; end end endcase end assign dout = VAR5; endmodule
mit
agnicol88/Gaussian_Num_Gen
Vivado/gng/gng.srcs/sources_1/new/urn_gen.v
1,595
module MODULE1( input clk, input rst, output [63:0] VAR9 ); reg [63:0] VAR2 = 64'd1234; reg [63:0] VAR8 = 64'd5678; reg [63:0] VAR4 = 64'd9012; reg [63:0] VAR5 = 64'd0; wire [63:0] b1,VAR10,VAR6; wire [63:0] VAR7,VAR3,VAR1; assign VAR9 = VAR5; assign b1 = (((VAR2 << 13) ^ VAR2) >> 19); assign VAR7 = (((VAR2 & 64'hfffffffffffffffe) << 12) ^ b1); assign VAR10 = (((VAR8 << 2 ) ^ VAR8) >> 25); assign VAR3 = (((VAR8 & 64'hfffffffffffffff8) << 4 ) ^ VAR10); assign VAR6 = (((VAR4 << 3 ) ^ VAR4) >> 11); assign VAR1 = (((VAR4 & 64'hfffffffffffffff0) << 17) ^ VAR6); always @(posedge clk) begin if (rst) begin VAR2 <= 64'd1234; VAR8 <= 64'd5678; VAR4 <= 64'd9012; VAR5 <= 64'd0; end else begin VAR5 <= VAR7 ^ VAR3 ^ VAR1; VAR2 <= VAR7; VAR8 <= VAR3; VAR4 <= VAR1; end end endmodule
gpl-3.0
Jawanga/ece385final
usb_system/synthesis/submodules/usb_system_cpu_mult_cell.v
6,429
module MODULE1 ( VAR2, VAR23, clk, VAR48, VAR16 ) ; output [ 31: 0] VAR16; input [ 31: 0] VAR2; input [ 31: 0] VAR23; input clk; input VAR48; wire [ 31: 0] VAR16; wire [ 31: 0] VAR25; wire [ 15: 0] VAR29; wire VAR35; assign VAR35 = ~VAR48; VAR43 VAR8 ( .VAR56 (VAR35), .VAR15 (clk), .VAR14 (VAR2[15 : 0]), .VAR47 (VAR23[15 : 0]), .VAR11 (1'b1), .VAR51 (VAR25) ); VAR8.VAR28 = "VAR7", VAR8.VAR37 = "VAR41", VAR8.VAR31 = "VAR21", VAR8.VAR44 = "VAR41", VAR8.VAR9 = "VAR41", VAR8.VAR4 = "VAR5", VAR8.VAR12 = "VAR50", VAR8.VAR55 = "VAR43", VAR8.VAR26 = "VAR22", VAR8.VAR13 = "VAR40", VAR8.VAR24 = "VAR7", VAR8.VAR33 = 1, VAR8.VAR19 = "VAR41", VAR8.VAR10 = "VAR1", VAR8.VAR42 = "VAR1", VAR8.VAR32 = "VAR1", VAR8.VAR27 = "VAR1", VAR8.VAR20 = "VAR6", VAR8.VAR45 = "VAR6", VAR8.VAR46 = "VAR30", VAR8.VAR49 = "VAR40", VAR8.VAR52 = "VAR40", VAR8.VAR17 = "VAR7", VAR8.VAR38 = "VAR7", VAR8.VAR53 = "VAR41", VAR8.VAR36 = "VAR41", VAR8.VAR34 = 16, VAR8.VAR3 = 16, VAR8.VAR18 = 32; VAR43 VAR54 ( .VAR56 (VAR35), .VAR15 (clk), .VAR14 (VAR2[31 : 16]), .VAR47 (VAR23[15 : 0]), .VAR11 (1'b1), .VAR51 (VAR29) ); VAR54.VAR28 = "VAR7", VAR54.VAR37 = "VAR41", VAR54.VAR31 = "VAR21", VAR54.VAR44 = "VAR41", VAR54.VAR9 = "VAR41", VAR54.VAR4 = "VAR5", VAR54.VAR12 = "VAR50", VAR54.VAR55 = "VAR43", VAR54.VAR26 = "VAR22", VAR54.VAR13 = "VAR40", VAR54.VAR24 = "VAR7", VAR54.VAR33 = 1, VAR54.VAR19 = "VAR41", VAR54.VAR10 = "VAR1", VAR54.VAR42 = "VAR1", VAR54.VAR32 = "VAR1", VAR54.VAR27 = "VAR1", VAR54.VAR20 = "VAR6", VAR54.VAR45 = "VAR6", VAR54.VAR46 = "VAR30", VAR54.VAR49 = "VAR40", VAR54.VAR52 = "VAR40", VAR54.VAR17 = "VAR7", VAR54.VAR38 = "VAR7", VAR54.VAR53 = "VAR41", VAR54.VAR36 = "VAR41", VAR54.VAR34 = 16, VAR54.VAR3 = 16, VAR54.VAR18 = 16; assign VAR16 = {VAR25[31 : 16] + VAR29, VAR25[15 : 0]}; endmodule
apache-2.0
linuxbest/lzs
pcores/comp_unit_v1_00_a/hdl/verilog/codeout.v
2,895
module MODULE1 ( VAR15, VAR12, VAR6, VAR23, VAR2, VAR5, VAR1, VAR25, VAR16, VAR17, VAR11, VAR20, VAR21, VAR3 ); input VAR2, VAR5; input [23:0] VAR1; input [15:0] VAR25, VAR16; input VAR17, VAR11; input VAR20, VAR21; input VAR3; output [63:0] VAR15; output VAR12; output VAR6; output VAR23; reg [15:0] VAR13; reg VAR24, VAR9; always @(VAR1 or VAR16 or VAR21 or VAR11 or VAR25 or VAR20 or VAR17) begin if (VAR1[5]) begin VAR13 = {VAR25[7:0], VAR25[15:8]}; VAR24= VAR17; VAR9 = VAR20; end else begin VAR13 = VAR16; VAR24= VAR11; VAR9 = VAR21; end end reg [1:0] VAR8; always @(posedge VAR2 or posedge VAR5) begin if (VAR5) VAR8 <= 2'b00; end else if (VAR24) VAR8 <= VAR8 + 1'b1; end reg [63:0] VAR22; always @(posedge VAR2) begin if (VAR24) case (VAR8) 2'b00: VAR22[15:00] <= VAR13; 2'b01: VAR22[31:16] <= VAR13; 2'b10: VAR22[47:32] <= VAR13; 2'b11: VAR22[63:48] <= VAR13; endcase end reg VAR10, VAR14, VAR18; reg [1:0] VAR7; always @(posedge VAR2 or posedge VAR5) begin if (VAR5) VAR7 <= 2'b00; end else if (VAR9 && VAR7 == 2'b00) VAR7 <= 2'b01; else if (VAR7 == 2'b01 && VAR14 == 1'b0) VAR7 <= 2'b11; end always @(posedge VAR2 or posedge VAR5) begin if (VAR5) VAR10 <= 1'b0; end else if (VAR7[0]) VAR10 <= 1'b1; end always @(posedge VAR2) begin if (VAR24 && (&VAR8)) VAR14 <= 1'b0; end else if (VAR7 == 2'b01) VAR14 <= 1'b0; else VAR14 <= 1'b1; end always @(posedge VAR2) if (VAR7 == 2'b11) VAR18 <= 1'b0; else VAR18 <= 1'b1; reg sel; always @(VAR1 or VAR3) if (VAR3) sel = VAR1[5] | VAR1[6]; else sel = 1'b0; assign VAR15 = sel ? VAR22 : 64'VAR4; assign VAR6 = sel ? VAR10 : 1'VAR19; assign VAR12 = sel ? VAR14 : 1'VAR19; assign VAR23 = sel ? VAR18 : 1'VAR19; endmodule
gpl-2.0