repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand2/sky130_fd_sc_hs__nand2.symbol.v
1,230
module MODULE1 ( input VAR3, input VAR2, output VAR4 ); supply1 VAR5; supply0 VAR1; endmodule
apache-2.0
chriswynnyk/american-put-verilog
american_put_cyclone/src/addrdecode.v
1,891
module MODULE1( clk, VAR7, VAR10, VAR6, VAR2, VAR8, sel, VAR3 ); input clk; input [12:0]VAR7; output [9:0]VAR10; output [9:0]VAR6; output [9:0]VAR2; output [9:0]VAR8; output [1:0]sel; output VAR3; reg [9:0] VAR10; reg [9:0] VAR6; reg [9:0] VAR2; reg [9:0] VAR8; reg [1:0] VAR5; reg [1:0] VAR1; reg [1:0] sel; reg VAR9; reg VAR4; reg VAR3; wire [9:0] addr = VAR7[12:3]; wire [9:0] VAR11 = VAR7[12:3] + 1; always@(posedge clk) begin VAR9 <= VAR7[0]; VAR5 <= VAR7[2:1]; end always@(posedge clk) begin VAR4 <= VAR9; VAR1 <= VAR5; end always@(posedge clk) begin VAR3 <= VAR4; sel <= VAR1; end always@(posedge clk) begin case(VAR7[2:1]) 2'b00: begin VAR10 <= addr; VAR6 <= addr; VAR2 <= addr; VAR8 <= addr; end 2'b01: begin VAR10 <= VAR11; VAR6 <= addr; VAR2 <= addr; VAR8 <= addr; end 2'b10: begin VAR10 <= VAR11; VAR6 <= VAR11; VAR2 <= addr; VAR8 <= addr; end 2'b11: begin VAR10 <= VAR11; VAR6 <= VAR11; VAR2 <= VAR11; VAR8 <= addr; end endcase end endmodule
apache-2.0
TalentlessAlpaca/Automated_Vacuum_Cleaner
j1_soc/hdl/j1soc.v
7,087
module MODULE1#( parameter VAR67 = "../VAR18/VAR49/VAR56.VAR41" )( VAR42, VAR80, VAR86, VAR54, VAR55 ,VAR89, VAR7, VAR81, VAR84, VAR36, VAR2, VAR28, VAR62, VAR71, VAR8 , VAR52, VAR47, VAR94, VAR76, VAR63 ); input VAR86, VAR54; output VAR42; output VAR80; input VAR55; output VAR89; output VAR7; output VAR81; inout VAR84; output VAR36; output [2:0] VAR2 ; output [1:0] VAR28 ; output [1:0] VAR62 ; output VAR71; output VAR8; output [7:0] VAR52; output VAR36; inout VAR84; wire VAR6, VAR19 ; input VAR94; output VAR47; output VAR63; output VAR76 ; assign VAR84 = (VAR6) ? VAR19 : 1'VAR75; assign VAR69 = VAR84; wire VAR66; wire VAR77; wire [15:0] VAR3; reg [15:0] VAR73; wire [15:0] VAR90; reg [1:14]VAR35; wire [15:0] VAR5; wire [15:0] VAR11; wire VAR26; wire [15:0] VAR10; wire [15:0] VAR14; wire [15:0] VAR91; wire [15:0] VAR16; wire [15:0] VAR51; wire [15:0] VAR48; wire [15:0] VAR45; wire [15:0] VAR58; wire [15:0] VAR40; wire [15:0] VAR72; wire [15:0] VAR61; VAR56 #(VAR67) VAR59(VAR86, VAR54, VAR73, VAR66, VAR77, VAR3, VAR90); VAR87 VAR46 ( .clk(VAR86), .rst(VAR54), .din(VAR73), .VAR35(VAR35[1]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR5) ); VAR34 VAR39 (.clk(VAR86), .rst(VAR54), .din(VAR73), .VAR35(VAR35[2]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR11)); VAR20 VAR74 (.clk(VAR86), .rst(VAR54), .din(VAR73), .VAR35(VAR35[3]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR26), .VAR42(VAR42), .VAR80(VAR80)); VAR78 VAR1(.clk(VAR86), .din(VAR90), .VAR35(VAR35[4]), .addr(VAR3[7:0]), .rd(VAR66), .wr(VAR77), .dout(VAR10)); VAR44 VAR82 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[5]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR14) , .VAR55(VAR55) ,.VAR89(VAR89), .VAR7(VAR7), .VAR81(VAR81) ); VAR53 VAR43 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[6]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR91) ); VAR9 VAR12 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[7]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR16) ); VAR17 VAR33 (.clk(VAR86),.rst(VAR54),.din(VAR90),.dout(VAR79),.VAR35(VAR35[8]),.addr(VAR3[3:0]),.rd(VAR66),.wr(VAR77),.VAR2(VAR2)); VAR93 VAR15 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[9]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR38), .VAR71(VAR64), .VAR8(VAR30), .VAR52(VAR85), .VAR4(VAR4), .VAR65(VAR65), .VAR88(VAR88) ); VAR92 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[10]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(VAR24), .VAR50(VAR50), .VAR22(VAR22), .VAR27 (VAR27), . VAR68(VAR68), .VAR60(VAR60), .VAR36(VAR36), .VAR19(VAR19), .VAR6(VAR6), .VAR32(VAR32), .VAR57(VAR57), .VAR70(VAR70), .VAR69(VAR69)); VAR13 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[11]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77),.dout( VAR31 )); VAR37 (.clk(VAR86), .rst(VAR54), .din(VAR90), .VAR35(VAR35[12]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77),.dout( VAR83 )); VAR21 VAR29 (.clk(VAR86),.rst(VAR54),.din(VAR90),.dout(VAR79),.VAR35(VAR35[13]),.addr(VAR3[3:0]),.rd(VAR66),.wr(VAR77),.VAR28(VAR28),.VAR62(VAR62)); VAR25 VAR23 (.clk(VAR86), .rst(VAR54), .din(VAR73), .VAR35(VAR35[14]), .addr(VAR3[3:0]), .rd(VAR66), .wr(VAR77), .dout(dout), .VAR94(VAR94), .VAR47(VAR47), .VAR63(VAR63), .VAR76(VAR76) ) ; always @* begin case (VAR3[15:8]) 8'h67: VAR35= 14'b10000000000000; 8'h68: VAR35= 14'b01000000000000; 8'h69: VAR35= 14'b00100000000000; 8'h70: VAR35= 14'b00010000000000; 8'h72: VAR35= 14'b00001000000000; 8'h74: VAR35= 14'b00000100000000; 8'h76: VAR35= 14'b00000010000000; 8'h60: VAR35= 14'b00000001000000; 8'h61: VAR35= 14'b00000000100000; 8'h62: VAR35= 14'b00000000010000; 8'h63: VAR35= 14'b00000000001000; 8'h64: VAR35= 14'b00000000000100; 8'h65: VAR35= 14'b00000000000010; 8'h65: VAR35= 14'b00000000000001; default: VAR35= 14'b0000000000000; endcase end always @* begin case (VAR35) 14'b10000000000000: VAR73 = VAR5; 14'b01000000000000: VAR73 = VAR11; 14'b00100000000000: VAR73 = VAR26; 14'b00010000000000: VAR73 = VAR10; 14'b00001000000000: VAR73 = VAR14; 14'b00000100000000: VAR73 = VAR91; 14'b00000010000000: VAR73 = VAR16; 14'b00000001000000: VAR73 = VAR51; 14'b00000000100000: VAR73 = VAR48; 14'b00000000010000: VAR73 = VAR45; 14'b00000000001000: VAR73 = VAR58; 14'b00000000000100: VAR73 = VAR40; 14'b00000000000010: VAR73 = VAR72; 14'b00000000000001: VAR73 = VAR61; default: VAR73 = 16'h0666; endcase end endmodule
mit
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_queue_1.v
4,015
module MODULE1( input VAR1, input reset, output VAR31, input VAR26, input [7:0] VAR40, input VAR21, output VAR34, output [7:0] VAR7, output [3:0] VAR32 ); reg [7:0] VAR29 [0:7]; reg [31:0] VAR16; wire [7:0] VAR30; wire [2:0] VAR15; wire [7:0] VAR33; wire [2:0] VAR28; wire VAR13; wire VAR5; reg [2:0] VAR12; reg [31:0] VAR17; reg [2:0] VAR23; reg [31:0] VAR4; reg VAR37; reg [31:0] VAR6; wire VAR36; wire VAR18; wire VAR44; wire VAR2; wire VAR11; wire VAR8; wire VAR14; wire VAR3; wire [3:0] VAR41; wire [2:0] VAR27; wire [2:0] VAR10; wire [3:0] VAR42; wire [2:0] VAR43; wire [2:0] VAR9; wire VAR25; wire VAR22; wire VAR35; wire VAR19; wire [3:0] VAR39; wire [2:0] VAR38; wire VAR20; wire [3:0] VAR24; assign VAR31 = VAR19; assign VAR34 = VAR35; assign VAR7 = VAR30; assign VAR32 = VAR24; assign VAR15 = VAR23; assign VAR30 = VAR29[VAR15]; assign VAR33 = VAR40; assign VAR28 = VAR12; assign VAR13 = VAR8; assign VAR5 = VAR8; assign VAR36 = VAR12 == VAR23; assign VAR18 = VAR37 == 1'h0; assign VAR44 = VAR36 & VAR18; assign VAR2 = VAR36 & VAR37; assign VAR11 = VAR31 & VAR26; assign VAR8 = VAR11; assign VAR14 = VAR21 & VAR34; assign VAR3 = VAR14; assign VAR41 = VAR12 + 3'h1; assign VAR27 = VAR41[2:0]; assign VAR10 = VAR8 ? VAR27 : VAR12; assign VAR42 = VAR23 + 3'h1; assign VAR43 = VAR42[2:0]; assign VAR9 = VAR3 ? VAR43 : VAR23; assign VAR25 = VAR8 != VAR3; assign VAR22 = VAR25 ? VAR8 : VAR37; assign VAR35 = VAR44 == 1'h0; assign VAR19 = VAR2 == 1'h0; assign VAR39 = VAR12 - VAR23; assign VAR38 = VAR39[2:0]; assign VAR20 = VAR37 & VAR36; assign VAR24 = {VAR20,VAR38}; always @(posedge VAR1) begin if(VAR5 & VAR13) begin VAR29[VAR28] <= VAR33; end end always @(posedge VAR1 or posedge reset) if (reset) begin VAR12 <= 3'h0; end else begin if (VAR8) begin VAR12 <= VAR27; end end always @(posedge VAR1 or posedge reset) if (reset) begin VAR23 <= 3'h0; end else begin if (VAR3) begin VAR23 <= VAR43; end end always @(posedge VAR1 or posedge reset) if (reset) begin VAR37 <= 1'h0; end else begin if (VAR25) begin VAR37 <= VAR8; end end endmodule
apache-2.0
hj3938/FPGA-Imaging-Library
Generator/FrameController2/HDL/FrameController2.srcs/sources_1/new/FrameController2.v
5,761
module MODULE1( clk, VAR17, VAR5, VAR10, VAR9, VAR12, VAR20, VAR8, VAR28); parameter VAR33 = 0; parameter VAR32 = 0; parameter VAR25 = 8; parameter VAR13 = 320; parameter VAR21 = 240; parameter VAR23 = 9; parameter VAR11 = 17; parameter VAR18 = 2; parameter VAR4 = 3; input clk; input VAR17; input[VAR23 - 1 : 0] VAR5; input[VAR23 - 1 : 0] VAR10; input VAR9; input [VAR25 - 1 : 0] VAR12; output VAR20; output[VAR25 - 1 : 0] VAR8; output[VAR11 - 1 : 0] VAR28; reg[3 : 0] VAR2; reg[VAR23 - 1 : 0] VAR19; reg[VAR23 - 1 : 0] VAR15; reg[VAR11 - 1 : 0] VAR30; wire[11 : 0] VAR7, VAR16; wire[23 : 0] VAR14; assign VAR7 = {{(12 - VAR23){1'b0}}, VAR10}; assign VAR16 = VAR13; genvar VAR3; generate VAR34 VAR22(.VAR27(clk), .VAR31(VAR7), .VAR35(VAR16), .VAR24(~VAR17), .VAR26(VAR14)); for (VAR3 = 0; VAR3 < VAR4; VAR3 = VAR3 + 1) begin : VAR6 reg[VAR23 - 1 : 0] VAR29; if(VAR3 == 0) begin always @(posedge clk) VAR29 <= VAR5; end else begin always @(posedge clk) VAR29 <= VAR6[VAR3 - 1].VAR29; end end always @(posedge clk or negedge VAR17 or negedge VAR9) begin if(~VAR17 || ~VAR9) begin VAR30 <= 0; end else begin VAR30 <= VAR14 + VAR6[VAR4 - 1].VAR29; end end assign VAR28 = VAR30; if(VAR32 == 0) begin always @(posedge clk or negedge VAR17 or negedge VAR9) begin if(~VAR17 || ~VAR9) VAR2 <= 0; end else if(VAR2 == VAR4 + 1) VAR2 <= VAR2; end else VAR2 <= VAR2 + 1; end assign VAR20 = VAR2 == VAR4 + 1 ? 1 : 0; if(VAR33 == 0) begin for (VAR3 = 0; VAR3 < VAR4 + 1; VAR3 = VAR3 + 1) begin : buffer reg[VAR25 - 1 : 0] VAR29; if(VAR3 == 0) begin always @(posedge clk) VAR29 <= VAR12; end else begin always @(posedge clk) VAR29 <= buffer[VAR3 - 1].VAR29; end end assign VAR8 = VAR20 ? buffer[VAR4].VAR29 : 0; end else begin reg[VAR25 - 1 : 0] VAR1; always @(posedge VAR9) VAR1 = VAR12; assign VAR8 = VAR20 ? VAR1 : 0; end end else begin always @(posedge clk or negedge VAR17 or negedge VAR9) begin if(~VAR17 || ~VAR9) VAR2 <= 0; end else if (VAR2 == VAR4 + 1 + VAR18) VAR2 <= VAR2; end else VAR2 <= VAR2 + 1; end assign VAR8 = VAR20 ? VAR12 : 0; assign VAR20 = VAR2 == VAR4 + 1 + VAR18 ? 1 : 0; end endgenerate endmodule
lgpl-2.1
rkrajnc/minimig-de1
rtl/minimig/Akiko.v
2,107
module MODULE1 ( input clk, input reset, input [23:1] VAR4, input [15:0] VAR3, output [15:0] VAR1, input rd, input VAR6 ); reg [127:0] VAR5; reg [6:0] VAR2; wire sel; assign sel = VAR6 && VAR4[7:1]==8'b0011100; always @(posedge clk) if (reset) VAR2 <= 0; else if (!rd && sel) begin case(VAR2) 0 : VAR5[127:112] <= VAR3[15:0]; 1 : VAR5[111:96] <= VAR3[15:0]; 2 : VAR5[95:80] <= VAR3[15:0]; 3 : VAR5[79:64] <= VAR3[15:0]; 4 : VAR5[63:48] <= VAR3[15:0]; 5 : VAR5[47:32] <= VAR3[15:0]; 6 : VAR5[31:16] <= VAR3[15:0]; 7 : VAR5[15:0] <= VAR3[15:0]; endcase VAR2 <= VAR2 + 1; end else if (rd && sel) begin VAR5[127:0] <= {VAR5[126:0],1'b0}; VAR2 <= 0; end assign VAR1[15:0] = VAR6 && rd ? {VAR5[127],VAR5[119],VAR5[111],VAR5[103],VAR5[95],VAR5[87], VAR5[79],VAR5[71],VAR5[63],VAR5[55],VAR5[47],VAR5[39],VAR5[31], VAR5[23],VAR5[15],VAR5[7]} : 16'b0 ; endmodule
gpl-3.0
intelligenttoasters/CPC2.0
FPGA/rtl/tfr_mem.v
3,534
module MODULE1 ( input wire VAR7, output reg [23:0] VAR9, output reg [7:0] VAR15, output reg [31:0] VAR1, input VAR13, input VAR12, input [3:0] VAR3, input [7:0] VAR8, output reg [7:0] VAR6, input VAR10, input VAR11 ); reg [23:0] VAR2; function [7:0] VAR5( input [3:0] VAR4 ); case( VAR4 ) 4'h0: VAR5 = VAR2[7:0]; 4'h1: VAR5 = VAR2[15:8]; 4'h2: VAR5 = VAR2[23:16]; 4'h4: VAR5 = VAR1[7:0]; 4'h5: VAR5 = VAR1[15:8]; 4'h6: VAR5 = VAR1[23:16]; 4'h7: VAR5 = VAR1[31:24]; default: VAR5 = 8'hff; endcase endfunction task VAR14( input [3:0] VAR4, input [7:0] VAR16 ); case( VAR4 ) 4'h0: VAR2[7:0] <= VAR16; 4'h1: VAR2[15:8] <= VAR16; 4'h2: VAR2[23:16] <= VAR16; 4'h4: VAR1[VAR16[4:0]] <= VAR16[7]; 4'hf: VAR15 <= VAR16; default: ; endcase endtask always @(posedge VAR13) begin if( !VAR12 ) begin VAR2 <= 24'd0; VAR15 <= 8'd0; VAR1 <= 32'd0; end else begin if( !VAR11 ) VAR6 <= VAR5(VAR3); if( !VAR10 ) begin VAR14(VAR3,VAR8); if( VAR3 == 4'hf ) VAR2 <= VAR2 + 1'b1; end end end always @(posedge VAR7) begin VAR9 <= (VAR2 -1'b1); end endmodule
gpl-3.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/75df72de079a856e/zqynq_lab_1_design_xbar_0_stub.v
5,977
module MODULE1(VAR66, VAR14, VAR5, VAR77, VAR72, VAR9, VAR51, VAR19, VAR20, VAR73, VAR63, VAR62, VAR61, VAR11, VAR39, VAR64, VAR69, VAR6, VAR54, VAR41, VAR31, VAR55, VAR70, VAR49, VAR1, VAR26, VAR23, VAR21, VAR2, VAR8, VAR59, VAR56, VAR32, VAR33, VAR27, VAR12, VAR47, VAR40, VAR68, VAR76, VAR65, VAR52, VAR45, VAR42, VAR35, VAR53, VAR36, VAR67, VAR71, VAR46, VAR4, VAR29, VAR25, VAR75, VAR37, VAR24, VAR3, VAR22, VAR43, VAR16, VAR28, VAR78, VAR30, VAR17, VAR60, VAR48, VAR10, VAR7, VAR18, VAR34, VAR13, VAR15, VAR50, VAR74, VAR58, VAR57, VAR38, VAR44) ; input VAR66; input VAR14; input [11:0]VAR5; input [31:0]VAR77; input [7:0]VAR72; input [2:0]VAR9; input [1:0]VAR51; input [0:0]VAR19; input [3:0]VAR20; input [2:0]VAR73; input [3:0]VAR63; input [0:0]VAR62; output [0:0]VAR61; input [31:0]VAR11; input [3:0]VAR39; input [0:0]VAR64; input [0:0]VAR69; output [0:0]VAR6; output [11:0]VAR54; output [1:0]VAR41; output [0:0]VAR31; input [0:0]VAR55; input [11:0]VAR70; input [31:0]VAR49; input [7:0]VAR1; input [2:0]VAR26; input [1:0]VAR23; input [0:0]VAR21; input [3:0]VAR2; input [2:0]VAR8; input [3:0]VAR59; input [0:0]VAR56; output [0:0]VAR32; output [11:0]VAR33; output [31:0]VAR27; output [1:0]VAR12; output [0:0]VAR47; output [0:0]VAR40; input [0:0]VAR68; output [47:0]VAR76; output [127:0]VAR65; output [31:0]VAR52; output [11:0]VAR45; output [7:0]VAR42; output [3:0]VAR35; output [15:0]VAR53; output [11:0]VAR36; output [15:0]VAR67; output [15:0]VAR71; output [3:0]VAR46; input [3:0]VAR4; output [127:0]VAR29; output [15:0]VAR25; output [3:0]VAR75; output [3:0]VAR37; input [3:0]VAR24; input [47:0]VAR3; input [7:0]VAR22; input [3:0]VAR43; output [3:0]VAR16; output [47:0]VAR28; output [127:0]VAR78; output [31:0]VAR30; output [11:0]VAR17; output [7:0]VAR60; output [3:0]VAR48; output [15:0]VAR10; output [11:0]VAR7; output [15:0]VAR18; output [15:0]VAR34; output [3:0]VAR13; input [3:0]VAR15; input [47:0]VAR50; input [127:0]VAR74; input [7:0]VAR58; input [3:0]VAR57; input [3:0]VAR38; output [3:0]VAR44; endmodule
mit
glennchid/font5-firmware
src/verilog/synthesis/DCM2.v
3,059
module MODULE1(VAR23, VAR19, VAR33, VAR9, VAR30); input VAR23; input VAR19; output VAR33; output VAR9; output VAR30; wire VAR1; wire VAR57; wire VAR49; wire VAR6; wire [6:0] VAR37; wire [15:0] VAR14; assign VAR6 = 0; assign VAR37 = 7'b0000000; assign VAR14 = 16'b0000000000000000; assign VAR9 = VAR1; VAR26 VAR15 (.VAR4(VAR57), .VAR54(VAR33)); VAR26 VAR39 (.VAR4(VAR49), .VAR54(VAR1)); VAR38 #( .VAR13("1X"), .VAR5(2.0), .VAR28(1), .VAR46(9), .VAR45("VAR36"), .VAR40(25.000), .VAR7("VAR2"), .VAR56("VAR18"), .VAR51("VAR48"), .VAR64("VAR65"), .VAR32("VAR8"), .VAR50("VAR22"), .VAR25("VAR18"), .VAR67(16'hF0F0), .VAR41(0), .VAR61("VAR36"), .VAR20("VAR59") ) VAR11 (.VAR52(VAR1), .VAR62(VAR23), .VAR55(VAR37[6:0]), .VAR35(VAR6), .VAR31(VAR6), .VAR21(VAR14[15:0]), .VAR43(VAR6), .VAR60(VAR6), .VAR17(VAR6), .VAR42(VAR6), .VAR3(VAR19), .VAR47(), .VAR12(VAR57), .VAR44(), .VAR24(VAR49), .VAR34(), .VAR10(), .VAR53(), .VAR29(), .VAR27(), .VAR58(), .VAR66(), .VAR16(VAR30), .VAR63()); endmodule
gpl-3.0
freecores/orsoc_graphics_accelerator
bench/verilog/gfx/renderer_bench.v
1,950
module MODULE1(); reg VAR9; reg VAR11; reg [31:2] VAR7; reg [31:2] VAR2; reg [15:0] VAR17; reg [15:0] VAR15; reg [1:0] VAR13; reg [15:0] VAR14; reg [15:0] VAR10; reg signed [15:0] VAR6; reg VAR19; reg [31:0] VAR18; reg VAR8; wire VAR4; wire [31:2] VAR16; wire [3:0] VAR3; wire [31:0] VAR5; wire VAR1; reg VAR12; begin
gpl-3.0
shahid313/MSCourseWork
Adv ASIC Design and FPGA/8bitRISCProcessor/8bitRISCProcessor/RISC/Main.v
2,793
module MODULE1(input clk,rst,input [15:0] VAR70,input VAR15,output [7:0] VAR67,VAR38 ); wire [7:0] VAR58,VAR51,VAR32; wire VAR59; VAR5 VAR29(.VAR43(VAR51),.VAR42(VAR58),.out(VAR32), .sel(VAR59)); wire [7:0] VAR12; VAR56 VAR1(.clk(clk),.rst(rst),.VAR30(VAR32),.VAR55(VAR12),.VAR60(VAR51)); wire [15:0] VAR53,VAR40; assign VAR53 = VAR15?VAR70:VAR40; VAR34 VAR16(.addr(VAR12),.dout(VAR40)); wire [7:0] VAR10,VAR48; wire [3:0] VAR23,VAR36,VAR73,VAR19; wire [7:0] VAR4; wire VAR65; assign VAR23 = VAR53[11:8], VAR36 = VAR53[7:4], VAR73 = VAR53[3:0]; VAR3 VAR6 (.VAR43(VAR36),.VAR42(VAR73), .sel(VAR65),.out(VAR19) ); wire VAR50; VAR17 VAR31(.clk(clk),.VAR45(VAR50),.VAR13(VAR10),.VAR24(VAR48),.VAR37(VAR23), .VAR52(VAR36),.VAR61(VAR19),.VAR44(VAR4)); wire [7:0] VAR68,VAR71; wire VAR74; assign VAR68 = {{4{VAR73[3]}},VAR73[3:0]}; assign VAR58 = VAR68+VAR51; VAR5 VAR39(.VAR43(VAR48),.VAR42(VAR68),.out(VAR71), .sel(VAR74)); wire VAR41; wire [7:0] VAR2; wire [2:0] VAR57; VAR47 VAR26(.VAR72(VAR10),.VAR69(VAR71),.VAR8(VAR57),.VAR18(VAR41), .VAR9(VAR2)); assign VAR67 = VAR2; wire [7:0] VAR7; wire VAR14; VAR64 VAR11(.clk(clk), .VAR49(VAR14), .addr(VAR2), .din(VAR48), .dout(VAR7)); VAR5 VAR22(.VAR43(VAR2),.VAR42(VAR7),.out(VAR4), .sel(VAR62)); assign VAR38 = VAR4; wire VAR20; VAR25 VAR35(.VAR27(VAR53[15:12]),.VAR54(VAR57),.VAR63(VAR50),.VAR66(VAR65), .VAR21(VAR74),.VAR46(VAR20),.VAR33(VAR14),.VAR28(VAR62) ); assign VAR59 = VAR20&VAR41; endmodule
gpl-2.0
lneuhaus/pyrpl
pyrpl/fpga/rtl/red_pitaya_pid_block_with_normalizer.v
12,169
module MODULE1 #( parameter VAR37 = 12 , parameter VAR25 = 32 , parameter VAR5 = 10 , parameter VAR40 = 24 , parameter VAR51 = 0 , parameter VAR1 = 4 , parameter VAR50 = 5, parameter VAR27 = 5, parameter VAR4 = 1 ) ( input VAR45 , input VAR52 , input [ 14-1: 0] VAR26 , output [ 14-1: 0] VAR2 , input [ 16-1: 0] addr, input VAR11, input VAR47, output reg ack, output reg [ 32-1: 0] VAR30, input [ 32-1: 0] VAR31 ); reg [ 14-1: 0] VAR7; reg [ 16-1: 0] VAR43; reg VAR18; reg [ VAR40-1: 0] VAR6; reg [ VAR40-1: 0] VAR8; reg [ VAR40-1: 0] VAR14; reg [ 32-1: 0] VAR23; reg signed [ 14-1:0] VAR29; reg signed [ 14-1:0] VAR32; reg VAR46; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR7 <= 14'd0; VAR43 <= 14'd0; VAR6 <= {VAR40{1'b0}}; VAR8 <= {VAR40{1'b0}}; VAR14 <= {VAR40{1'b0}}; VAR23 <= 32'd0; VAR18 <= 1'b0; VAR32 <= {1'b1,{14-1{1'b0}}}; VAR29 <= {1'b0,{14-1{1'b1}}}; VAR46 <= 1'b0; end else begin if (VAR46 == 1'b1) VAR6 <= VAR20; if (VAR11) begin if (addr==16'h100) VAR43 <= VAR31[16-1:0]; if (addr==16'h104) VAR7 <= VAR31[14-1:0]; if ((addr==16'h108) && (VAR46 == 1'b0)) VAR6 <= VAR31[VAR40-1:0]; if (addr==16'h10C) VAR8 <= VAR31[VAR40-1:0]; if (addr==16'h110) VAR14 <= VAR31[VAR40-1:0]; if (addr==16'h120) VAR23 <= VAR31; if (addr==16'h124) VAR32 <= VAR31; if (addr==16'h128) VAR29 <= VAR31; if (addr==16'h130) VAR46 <= VAR31[0]; end if (addr==16'h100 && VAR11) VAR18 <= 1'b1; end else VAR18 <= 1'b0; casez (addr) 16'h100 : begin ack <= VAR11|VAR47; VAR30 <= VAR28; end 16'h104 : begin ack <= VAR11|VAR47; VAR30 <= {{32-14{1'b0}},VAR7}; end 16'h108 : begin ack <= VAR11|VAR47; VAR30 <= {{32-VAR40{1'b0}},VAR6}; end 16'h10C : begin ack <= VAR11|VAR47; VAR30 <= {{32-VAR40{1'b0}},VAR8}; end 16'h110 : begin ack <= VAR11|VAR47; VAR30 <= {{32-VAR40{1'b0}},VAR14}; end 16'h120 : begin ack <= VAR11|VAR47; VAR30 <= VAR23; end 16'h124 : begin ack <= VAR11|VAR47; VAR30 <= {{32-14{1'b0}},VAR32}; end 16'h128 : begin ack <= VAR11|VAR47; VAR30 <= {{32-14{1'b0}},VAR29}; end 16'h130 : begin ack <= VAR11|VAR47; VAR30 <= {{32-31{1'b0}},VAR46}; end 16'h200 : begin ack <= VAR11|VAR47; VAR30 <= VAR37; end 16'h204 : begin ack <= VAR11|VAR47; VAR30 <= VAR25; end 16'h208 : begin ack <= VAR11|VAR47; VAR30 <= VAR5; end 16'h20C : begin ack <= VAR11|VAR47; VAR30 <= VAR40; end 16'h220 : begin ack <= VAR11|VAR47; VAR30 <= VAR1; end 16'h224 : begin ack <= VAR11|VAR47; VAR30 <= VAR50; end 16'h228 : begin ack <= VAR11|VAR47; VAR30 <= VAR27; end default: begin ack <= VAR11|VAR47; VAR30 <= 32'h0; end endcase end end wire signed [14-1:0] VAR35; VAR38 #( .VAR21(VAR1), .VAR33(VAR50), .VAR34(14), .VAR22(VAR27) ) VAR44 ( .VAR45(VAR45), .VAR52(VAR52), .VAR23(VAR23), .VAR26(VAR26), .VAR2(VAR35) ); reg [ 16-1: 0] VAR9 ; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR9 <= 16'h0 ; end else begin VAR9 <= VAR46 ? (({VAR7, 1'b0}) - (VAR3)) : ((VAR35) - (VAR7)) ; end end reg [15+VAR40-VAR37-1: 0] VAR17 ; wire [15+VAR40-1: 0] VAR36 ; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR17 <= {15+VAR40-VAR37{1'b0}}; end else begin VAR17 <= VAR36[15+VAR40-1:VAR37] ; end end assign VAR36 = VAR46 ? ((VAR10) * (VAR6)) : ((VAR9) * (VAR6)); reg signed [14-1:0] VAR10; reg signed [15-1:0] VAR3; always @(posedge VAR45) begin VAR10 <= (VAR26) - (VAR14[VAR5+14-1:VAR5]); if ({(|VAR17[15+VAR40-VAR37-1:15]),VAR17[15-1]} == 2'b01) VAR3 <= {1'b0, {15-1{1'b1}}}; end else if ({(|VAR17[15+VAR40-VAR37-1:15]),VAR17[15-1]} == 2'b11) VAR3 <= {{15-1{1'b0}},1'b1}; else VAR3 <= VAR17; end localparam VAR13 = VAR25+16; reg [16+VAR40-1: 0] VAR42 ; wire [VAR13 : 0] VAR16 ; reg [VAR13-1: 0] VAR53 ; wire [VAR13-VAR25-1: 0] VAR28 ; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR42 <= {15+VAR40{1'b0}}; VAR53 <= {VAR13{1'b0}}; end else begin VAR42 <= (VAR9) * (VAR8) ; if (VAR18) VAR53 <= { {VAR13-16-VAR25{VAR43[16-1]}},VAR43[16-1:0],{VAR25{1'b0}}}; end else if ((VAR46==1'b1) && ({(|VAR16[VAR13:VAR25-VAR37+VAR40]),VAR16[VAR25-VAR37+VAR40-1]} == 2'b01)) VAR53 <= {{VAR13-1-VAR25+VAR37-VAR40+1{1'b0}},{VAR25-VAR37+VAR40-1{1'b1}}}; end else if ((VAR46==1'b0) && (VAR16[VAR13+1-1:VAR13+1-2] == 2'b01)) VAR53 <= {1'b0,{VAR13-1{1'b1}}}; else if ((VAR46==1'b1) && ({(|VAR16[VAR13:VAR25-VAR37+VAR40]),VAR16[VAR25-VAR37+VAR40-1]} == 2'b11)) VAR53 <= {{VAR13-1-VAR25+VAR37-1{1'b0}},{VAR25-VAR37+1{1'b1}}}; else if ((VAR46==1'b0) && (VAR16[VAR13+1-1:VAR13+1-2] == 2'b10)) VAR53 <= {1'b1,{VAR13-1{1'b0}}}; else VAR53 <= VAR16[VAR13-1:0]; end end assign VAR16 = (VAR42) + (VAR53) ; assign VAR28 = (VAR53[VAR13-1:VAR25]) ; wire [VAR40-1: 0] VAR20; assign VAR20 = (VAR53[VAR13-1:VAR25-VAR37]); wire [ 39-1: 0] VAR19 ; reg [39-VAR5-1: 0] VAR41 ; reg [39-VAR5-1: 0] VAR49 ; reg [39-VAR5 : 0] VAR12 ; generate if (VAR51 == 1) begin wire [15+VAR40-1: 0] VAR19; reg [15+VAR40-VAR5-1: 0] VAR41; reg [15+VAR40-VAR5-1: 0] VAR49; reg [15+VAR40-VAR5 : 0] VAR12; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR41 <= {15+VAR40-VAR5{1'b0}}; VAR49 <= {15+VAR40-VAR5{1'b0}}; VAR12 <= {15+VAR40-VAR5+1{1'b0}}; end else begin VAR41 <= VAR19[15+VAR40-1:VAR5] ; VAR49 <= VAR41; VAR12 <= (VAR41) - (VAR49); end end assign VAR19 = (VAR9) * (VAR14) ; end else begin wire [15+VAR40-VAR5:0] VAR12; assign VAR12 = {15+VAR40-VAR5+1{1'b0}}; end endgenerate localparam VAR24 = 17; wire [ VAR24-1: 0] VAR15; reg signed [ 14-1: 0] VAR48; always @(posedge VAR45) begin if (VAR52 == 1'b0) begin VAR48 <= 14'b0; end else begin if ({VAR15[VAR24-1],|VAR15[VAR24-2:13]} == 2'b01) VAR48 <= 14'h1FFF; end else if ({VAR15[VAR24-1],&VAR15[VAR24-2:13]} == 2'b10) VAR48 <= 14'h2000; end else VAR48 <= VAR15[14-1:0]; end end assign VAR15 = (VAR46) ? ((VAR9)): ((VAR17) + (VAR28) + (VAR12)); generate if (VAR4 == 0) assign VAR2 = VAR48; else begin reg signed [ 14-1:0] VAR39; always @(posedge VAR45) begin if (VAR48 >= VAR29) VAR39 <= VAR29; end else if (VAR48 <= VAR32) VAR39 <= VAR32; end else VAR39 <= VAR48; end assign VAR2 = VAR39; end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and3b/sky130_fd_sc_ls__and3b.functional.pp.v
1,961
module MODULE1 ( VAR4 , VAR15 , VAR9 , VAR2 , VAR12, VAR7, VAR6 , VAR13 ); output VAR4 ; input VAR15 ; input VAR9 ; input VAR2 ; input VAR12; input VAR7; input VAR6 ; input VAR13 ; wire VAR5 ; wire VAR1 ; wire VAR14; not VAR8 (VAR5 , VAR15 ); and VAR10 (VAR1 , VAR2, VAR5, VAR9 ); VAR3 VAR11 (VAR14, VAR1, VAR12, VAR7); buf VAR16 (VAR4 , VAR14 ); endmodule
apache-2.0
Fabeltranm/FPGA-Game-D1
HW/RTL/10KEYBOARD/Version_01/02 verilog/Touch/Bloquetouch/touch/ps2.v
1,182
module MODULE1 ( input VAR10, input VAR8, output reg VAR2, output [7:0] VAR7, output reg VAR9 ); reg [8:0] VAR1; reg [7:0] VAR4; reg [3:0] VAR11; reg [3:0] VAR6; reg [1:0] VAR12; reg VAR3; reg VAR5; begin begin begin begin begin begin begin begin begin end begin begin begin end begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/buf/sky130_fd_sc_ms__buf.pp.symbol.v
1,236
module MODULE1 ( input VAR4 , output VAR5 , input VAR2 , input VAR3, input VAR1, input VAR6 ); endmodule
apache-2.0
jefg89/proyecto_final_prototipado
ProyectoFinal/db/ip/SOC/submodules/SOC_sysid_qsys_0.v
1,405
module MODULE1 ( address, VAR2, VAR1, VAR3 ) ; output [ 31: 0] VAR3; input address; input VAR2; input VAR1; wire [ 31: 0] VAR3; assign VAR3 = address ? 1417708681 : 0; endmodule
gpl-2.0
archlabo/Frix
common/burst_converter.v
4,689
module MODULE1 parameter VAR18 = 32, parameter VAR9 = 32 ) ( input wire VAR8, input wire rst, input wire [VAR18-1:0] VAR2, input wire VAR1, input wire [31:0] VAR23, input wire VAR4, output wire [31:0] VAR16, output wire VAR24, input wire [3:0] VAR10, input wire [2:0] VAR21, output wire VAR6, output wire [VAR9-1:0] VAR7, output wire VAR12, output wire [31:0] VAR17, output wire VAR3, input wire [31:0] VAR19, input wire VAR13, output wire [3:0] VAR15, input wire VAR14 ); reg [VAR18-1:0] VAR5, VAR11; reg [3:0] VAR22, VAR20; assign VAR7 = (VAR22[1]) ? VAR5 + 4 : (VAR22[2]) ? VAR5 + 8 : (VAR22[3]) ? VAR5 + 12 : (VAR20[1]) ? VAR11 + 4 : (VAR20[2]) ? VAR11 + 8 : (VAR20[3]) ? VAR11 + 12 : VAR2; assign VAR17 = VAR23; assign VAR15 = VAR10; assign VAR12 = VAR1; assign VAR3 = (VAR4 && VAR21 != 0) || VAR22; assign VAR16 = VAR19; assign VAR24 = VAR13; assign VAR6 = VAR14; always @(posedge VAR8) begin if(rst) begin VAR20 <= 0; VAR11 <= 0; end else if(VAR20[1] && !VAR14) begin VAR20[1] <= 0; end else if(VAR20[2] && !VAR14) begin VAR20[2] <= 0; end else if(VAR20[3] && !VAR14) begin VAR20[3] <= 0; end else if(VAR21 > 1 && VAR1 && !VAR6) begin VAR11 <= VAR2; VAR20 <= (VAR21 == 4) ? 4'b1110 : (VAR21 == 3) ? 4'b0110 : (VAR21 == 2) ? 4'b0010 : 0; end end always @(posedge VAR8) begin if(rst) begin VAR22 <= 0; VAR5 <= 0; end else if(VAR22[1] && !VAR14) begin VAR22[1] <= 0; end else if(VAR22[2] && !VAR14) begin VAR22[2] <= 0; end else if(VAR22[3] && !VAR14) begin VAR22[3] <= 0; end else if(VAR21 > 1 && VAR4 && !VAR6) begin VAR5 <= VAR2; VAR22 <= (VAR21 == 4) ? 4'b1110 : (VAR21 == 3) ? 4'b0110 : (VAR21 == 2) ? 4'b0010 : 0; end end endmodule
bsd-2-clause
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/image_filter_Loop_1_proc_line_buffer_0_0_val.v
1,671
module MODULE1 (VAR7, VAR10, VAR13, VAR1, VAR6, VAR5, VAR11, clk); parameter VAR15 = 8; parameter VAR4 = 11; parameter VAR9 = 1921; input[VAR4-1:0] VAR7; input VAR10; output reg[VAR15-1:0] VAR13; input[VAR4-1:0] VAR1; input VAR6; input[VAR15-1:0] VAR5; input VAR11; input clk; reg [VAR15-1:0] VAR8[VAR9-1:0]; always @(posedge clk) begin if (VAR10) begin VAR13 <= VAR8[VAR7]; end end always @(posedge clk) begin if (VAR6) begin if (VAR11) begin VAR8[VAR1] <= VAR5; end end end endmodule module MODULE2( reset, clk, VAR16, VAR10, VAR13, VAR12, VAR6, VAR11, VAR5); parameter VAR14 = 32'd8; parameter VAR2 = 32'd1921; parameter VAR17 = 32'd11; input reset; input clk; input[VAR17 - 1:0] VAR16; input VAR10; output[VAR14 - 1:0] VAR13; input[VAR17 - 1:0] VAR12; input VAR6; input VAR11; input[VAR14 - 1:0] VAR5; MODULE1 VAR3( .clk( clk ), .VAR7( VAR16 ), .VAR10( VAR10 ), .VAR13( VAR13 ), .VAR1( VAR12 ), .VAR6( VAR6 ), .VAR5( VAR5 ), .VAR11( VAR11 )); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and2b/sky130_fd_sc_hdll__and2b_2.v
2,152
module MODULE1 ( VAR7 , VAR6 , VAR1 , VAR8, VAR5, VAR4 , VAR3 ); output VAR7 ; input VAR6 ; input VAR1 ; input VAR8; input VAR5; input VAR4 ; input VAR3 ; VAR9 VAR2 ( .VAR7(VAR7), .VAR6(VAR6), .VAR1(VAR1), .VAR8(VAR8), .VAR5(VAR5), .VAR4(VAR4), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR7 , VAR6, VAR1 ); output VAR7 ; input VAR6; input VAR1 ; supply1 VAR8; supply0 VAR5; supply1 VAR4 ; supply0 VAR3 ; VAR9 VAR2 ( .VAR7(VAR7), .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
slongfield/StereoCensus
verilog/census/argmin_stage.v
2,382
module MODULE1#( parameter VAR2=1, parameter VAR1=1, parameter VAR7=1 ) ( input wire clk, input wire rst, input wire [VAR2-1:0] VAR9, input wire [VAR1-1:0] VAR3, input wire [VAR2-1:0] VAR4, input wire [VAR1-1:0] VAR16, output wire [VAR2-1:0] VAR5, output wire [VAR1-1:0] VAR11 ); wire VAR8; wire [VAR2-1:0] VAR15; wire [VAR1-1:0] VAR13; VAR10#(.VAR2(VAR2)) VAR14(clk, rst, VAR15, VAR5); VAR10#(.VAR2(VAR1)) VAR6(clk, rst, VAR13, VAR11); assign VAR8 = VAR9 <= VAR4; assign VAR15 = VAR8 ? VAR9 : VAR4; genvar VAR12; generate for (VAR12 = 0; VAR12 < VAR1; VAR12++) begin if (VAR12 == VAR7) begin assign VAR13[VAR12] = !VAR8; end else begin assign VAR13[VAR12] = VAR8 ? VAR3[VAR12] : VAR16[VAR12]; end end endgenerate endmodule
gpl-3.0
markusC64/1541ultimate2
fpga/nios_dut/nios_dut/synthesis/submodules/read_burst_control.v
4,670
module MODULE1 ( address, VAR10, VAR14, VAR15, VAR3, VAR11, VAR5 ); parameter VAR6 = 1; parameter VAR9 = 3; parameter VAR18 = 2; parameter VAR7 = 32; parameter VAR13 = 32; parameter VAR2 = 1; localparam VAR4 = (VAR9 == 1)? 1: (VAR9-1); input [VAR7-1:0] address; input [VAR13-1:0] VAR10; input [VAR9-1:0] VAR14; input VAR15; input VAR3; input VAR11; output wire [VAR9-1:0] VAR5; wire [VAR9-1:0] VAR16; reg [VAR9-1:0] VAR12; wire VAR17; wire VAR8; wire [VAR4-1:0] VAR1; assign VAR1 = address[VAR4+VAR18-1:VAR18]; assign VAR17 = (VAR15 == 1) | (VAR3 == 1) | (VAR11 == 1) | ((VAR2 == 1) & (VAR1 != 0)); assign VAR8 = ((VAR10 >> VAR18) < VAR14); generate if ((VAR6 == 1) & (VAR9 > 1)) begin always @ (VAR14 or VAR10 or VAR8 or VAR17) begin case ({VAR8, VAR17}) 2'b00 : VAR12 = VAR14; 2'b01 : VAR12 = 1; 2'b10 : VAR12 = ((VAR10 >> VAR18) & {(VAR9-1){1'b1}}); 2'b11 : VAR12 = 1; endcase end assign VAR5 = VAR12; end else begin assign VAR5 = 1; end endgenerate endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/ui/ui_cmd.v
7,119
module MODULE1 # ( parameter VAR17 = 100, parameter VAR32 = 33, parameter VAR35 = 3, parameter VAR25 = 12, parameter VAR26 = 2, parameter VAR9 = 16, parameter VAR42 = 4 ) ( VAR22, VAR45, VAR27, VAR8, VAR14, VAR19, VAR30, VAR21, VAR43, VAR33, VAR34, VAR18, rst, clk, VAR6, VAR13, VAR3, VAR37, VAR36, VAR48, VAR15, VAR44, VAR41, VAR20 ); input rst; input clk; input VAR6; input VAR13; input VAR3; wire VAR54 = VAR6 && ~VAR13 && ~VAR3; reg VAR52; output wire VAR22; assign VAR22 = VAR52; input [VAR32-1:0] VAR37; input [2:0] VAR36; input VAR48; input VAR15; input VAR44; reg [VAR32-1:0] VAR5; reg [VAR32-1:0] VAR24; reg [2:0] VAR39; reg [2:0] VAR53; reg VAR1; reg VAR4; reg VAR11; reg VAR51; reg VAR23; reg VAR31; wire [VAR32-1:0] VAR7 = VAR52 ? VAR37 : VAR5; wire [VAR32-1:0] VAR16 = VAR52 ? VAR5 : VAR24; wire [2:0] VAR46 = VAR52 ? VAR36 : VAR39; wire [2:0] VAR40 = VAR52 ? VAR39 : VAR53; wire VAR28 = VAR52 ? VAR48 : VAR1; wire VAR29 = VAR52 ? VAR1 : VAR4; wire VAR38 = VAR52 ? VAR15 : VAR11; wire VAR49 = VAR52 ? VAR11 : VAR51; wire VAR12 = ~rst && (VAR52 ? VAR44 : VAR23); wire VAR10 = ~rst && (VAR52 ? VAR23 : VAR31); always @(posedge clk) begin end wire VAR47 = VAR31 && VAR52; output wire VAR45; assign VAR45 = VAR47; output wire [VAR26-1:0] VAR27; output wire [VAR35-1:0] VAR8; output wire [VAR9-1:0] VAR14; output wire [VAR25-1:0] VAR19; output wire VAR30; output wire [2:0] VAR21; output wire VAR43; assign VAR19 = VAR52 ? VAR5[0+:VAR25] : VAR24[0+:VAR25]; assign VAR14 = VAR52 ? VAR5[VAR25+:VAR9] : VAR24[VAR25+:VAR9]; assign VAR8 = VAR52 ? VAR5[VAR25+VAR9+:VAR35] : VAR24[VAR25+VAR9+:VAR35]; assign VAR27 = (VAR42 == 1) ? 1'b0 : VAR52 ? VAR5[VAR25+VAR9+VAR35+:VAR26] : VAR24[VAR25+VAR9+VAR35+:VAR26]; assign VAR30 = VAR52 ? VAR1 : VAR4; assign VAR21 = VAR52 ? VAR39 : VAR53; assign VAR43 = VAR52 ? VAR11 : VAR51; wire VAR50 = VAR47 && VAR52; wire rd = VAR53[1:0] == 2'b01; wire wr = VAR53[1:0] == 2'b00; wire VAR2 = VAR53[1:0] == 2'b11; wire write = wr || VAR2; output wire VAR33; assign VAR33 = VAR50 && rd; output wire VAR34; assign VAR34 = VAR50 && write; input [3:0] VAR41; input [3:0] VAR20; output wire [3:0] VAR18; assign VAR18 = ~write ? VAR20 : VAR41; endmodule
lgpl-3.0
GSejas/Karatsuba_FPU
Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/my_sourcefiles/cordic_jorge/DECO_CORDIC_OP.v
2,463
module MODULE1 #(parameter VAR2 = 32)( input wire [VAR2-1:0] VAR3, input wire VAR4, input wire [1:0] VAR1, output reg VAR5, output reg [VAR2-1:0] VAR6 ); always @(*) begin if(VAR4 == 1'b0) begin case (VAR1) 2'b00 : begin VAR5 = 1'b0; VAR6 = VAR3; end 2'b01 : begin VAR5 = 1'b1; VAR6 = {~VAR3[VAR2-1],VAR3[VAR2-2:0]}; end 2'b10 : begin VAR5 = 1'b1; VAR6 = VAR3; end 2'b11 : begin VAR5 = 1'b0; VAR6 = VAR3; end default: begin VAR5 = 1'b0; VAR6 = VAR3; end endcase end else begin case (VAR1) 2'b00 : begin VAR5 = 1'b1; VAR6 = VAR3; end 2'b01 : begin VAR5 = 1'b0; VAR6 = VAR3; end 2'b10 : begin VAR5 = 1'b0; VAR6 = {~VAR3[VAR2-1],VAR3[VAR2-2:0]};; end 2'b11 : begin VAR5 = 1'b1; VAR6 = VAR3; end default: begin VAR5 = 1'b1; VAR6 = VAR3; end endcase end end endmodule
gpl-3.0
genkilife/miaow
src/verilog/rtl/lsu/lsu_wb_router.v
3,246
module MODULE1 ( VAR2, VAR5, VAR6, VAR15, VAR17, VAR11, VAR21, VAR7, VAR9, VAR22, VAR20, VAR4, VAR1, VAR19, VAR10, VAR13, VAR3, VAR18, VAR23, VAR8, VAR14, VAR12 ); input [8191:0] VAR10; input [6:0] VAR13; input VAR3; input [63:0] VAR18; input [11:0] VAR23; input [3:0] VAR8; input [31:0] VAR14; input VAR12; output [8:0] VAR2; output [127:0] VAR5; output [3:0] VAR6; output VAR15; output [5:0] VAR17; output [9:0] VAR11; output [8191:0] VAR21; output [3:0] VAR7; output [63:0] VAR9; output VAR22; output [5:0] VAR20; output [31:0] VAR4; output VAR1; output VAR19; reg [3:0] VAR6; reg [3:0] VAR7; reg VAR15; reg VAR22; assign VAR2 = VAR23[8:0]; assign VAR5 = VAR10[127:0]; assign VAR17 = VAR13[6:1]; assign VAR11 = VAR23[9:0]; assign VAR21 = VAR10; assign VAR9 = VAR18; assign VAR20 = VAR13[6:1]; assign VAR4 = VAR14; assign VAR1 = VAR12; always @* begin casex({VAR3, VAR13[0], VAR23[11:10]}) 4'b0???: begin VAR6 <= 4'b0; VAR7 <= 4'b0; VAR15 <= 1'b0; VAR22 <= 1'b0; end 4'b1110: begin VAR6 <= 4'b0; VAR7 <= VAR8; VAR15 <= 1'b0; VAR22 <= 1'b1; end 4'b1111: begin VAR6 <= VAR8; VAR7 <= 4'b0; VAR15 <= 1'b1; VAR22 <= 1'b0; end 4'b1010: begin VAR6 <= 4'b0; VAR7 <= 4'b0; VAR15 <= 1'b0; VAR22 <= 1'b1; end 4'b1011: begin VAR6 <= 4'b0; VAR7 <= 4'b0; VAR15 <= 1'b1; VAR22 <= 1'b0; end 4'b1?0?: begin VAR6 <= 4'b0; VAR7 <= 4'b0; VAR15 <= 1'b0; VAR22 <= 1'b0; end default: begin VAR6 <= 4'VAR16; VAR7 <= 4'VAR16; VAR15 <= 1'VAR16; VAR22 <= 1'VAR16; end endcase end assign VAR19 = (|VAR7) | (|VAR6); endmodule
bsd-3-clause
asicguy/gplgpu
hdl/ramdac_sp/syncs.v
12,020
module MODULE1 ( input VAR77, input VAR2, input VAR74, input VAR28, input VAR40, input VAR32, input VAR55, input VAR54, input VAR21, input VAR49, input VAR34, input VAR59, input VAR56, input [1:0] VAR3, input [1:0] VAR84, input [3:0] VAR33, output VAR75, output reg VAR11, output reg VAR39 ); reg VAR69; reg VAR65; reg VAR18; reg VAR70; reg VAR36; reg VAR27; reg VAR5; reg VAR16; reg VAR43; reg VAR60; reg VAR19, VAR61, VAR42, VAR85, VAR78, VAR37, VAR68, VAR41, VAR51, VAR46, VAR10, VAR48, VAR24, VAR6, VAR80, VAR14, VAR15, VAR29, VAR45, VAR20, VAR26, VAR67, VAR81, VAR13, VAR82, VAR83, VAR86, VAR71, VAR50, VAR57, VAR35, VAR7, VAR12, VAR66, VAR44, VAR8, VAR25, VAR30, VAR52, VAR31, VAR79, VAR23, VAR47, VAR73, VAR4, VAR64, VAR17, VAR53; assign VAR75 = VAR56 ? VAR43 : 1'b0; wire VAR76 = VAR34 ? !VAR54 : VAR54; wire VAR58 = VAR59 ? !VAR54 : VAR54; wire VAR62 = VAR49 ? !VAR55 : VAR55; wire VAR72 = VAR21 ? (VAR76 ^ VAR62) : VAR58; wire VAR22 = VAR56 ? VAR72 : VAR60; always@* begin case(VAR3) 2'b10: VAR11 = 1'b0; 2'b01: VAR11 = 1'b1; default: VAR11 = VAR22; endcase end always@* begin case(VAR84) 2'b10: VAR39 = 1'b0; 2'b01: VAR39 = 1'b1; default: VAR39 = VAR62; endcase end always @(posedge VAR2) if (VAR77) begin VAR69 <= VAR28; VAR18 <= VAR40; VAR36 <= VAR69; VAR5 <= VAR18; end always @(posedge VAR2) if (VAR77) begin VAR65 <= VAR28; VAR70 <= VAR32; VAR27 <= VAR65; VAR16 <= VAR70; end always @(posedge VAR2 or negedge VAR74) if (!VAR74) begin VAR61 <= 1'b0; VAR19 <= 1'b0; end else if (VAR36 && VAR77) begin VAR61 <= 1'b0; VAR19 <= 1'b0; end else if (VAR77) begin VAR61 <= VAR72; VAR19 <= VAR76 ; end reg VAR38, VAR63, VAR1, VAR9; always @(posedge VAR2 or negedge VAR74) if (!VAR74) begin VAR85 <= 1'b0; VAR42 <= 1'b0; VAR38 <= 1'b0; VAR63 <= 1'b0; end else if (VAR77) begin if (VAR36 | VAR5) begin VAR85 <= 1'b0; VAR42 <= 1'b0; VAR38 <= 1'b0; VAR63 <= 1'b0; end else begin VAR85 <= VAR61; VAR42 <= VAR19; VAR38 <= VAR85; VAR63 <= VAR42; end end always @(posedge VAR2 or negedge VAR74) if (!VAR74) begin VAR37 <= 1'b0; VAR68 <= 1'b0; VAR9 <= 1'b0; VAR48 <= 1'b0; VAR24 <= 1'b0; VAR41 <= 1'b0; VAR51 <= 1'b0; VAR46 <= 1'b0; VAR10 <= 1'b0; VAR78 <= 1'b0; VAR6 <= 1'b0; VAR1 <= 1'b0; VAR45 <= 1'b0; VAR20 <= 1'b0; VAR80 <= 1'b0; VAR14 <= 1'b0; VAR15 <= 1'b0; VAR29 <= 1'b0; VAR26 <= 1'b0; VAR67 <= 1'b0; VAR81 <= 1'b0; VAR13 <= 1'b0; VAR82 <= 1'b0; VAR83 <= 1'b0; VAR86 <= 1'b0; VAR71 <= 1'b0; VAR50 <= 1'b0; VAR57 <= 1'b0; VAR35 <= 1'b0; VAR7 <= 1'b0; VAR12 <= 1'b0; VAR66 <= 1'b0; VAR44 <= 1'b0; VAR8 <= 1'b0; VAR25 <= 1'b0; VAR30 <= 1'b0; VAR52 <= 1'b0; VAR31 <= 1'b0; VAR79 <= 1'b0; VAR23 <= 1'b0; VAR47 <= 1'b0; VAR73 <= 1'b0; VAR4 <= 1'b0; VAR64 <= 1'b0; VAR17 <= 1'b0; VAR53 <= 1'b0; end else if (VAR27 | VAR16) begin VAR37 <= 1'b0; VAR68 <= 1'b0; VAR9 <= 1'b0; VAR48 <= 1'b0; VAR24 <= 1'b0; VAR41 <= 1'b0; VAR51 <= 1'b0; VAR46 <= 1'b0; VAR10 <= 1'b0; VAR78 <= 1'b0; VAR6 <= 1'b0; VAR1 <= 1'b0; VAR45 <= 1'b0; VAR20 <= 1'b0; VAR80 <= 1'b0; VAR14 <= 1'b0; VAR15 <= 1'b0; VAR29 <= 1'b0; VAR26 <= 1'b0; VAR67 <= 1'b0; VAR81 <= 1'b0; VAR13 <= 1'b0; VAR82 <= 1'b0; VAR83 <= 1'b0; VAR86 <= 1'b0; VAR71 <= 1'b0; VAR50 <= 1'b0; VAR57 <= 1'b0; VAR35 <= 1'b0; VAR7 <= 1'b0; VAR12 <= 1'b0; VAR66 <= 1'b0; VAR44 <= 1'b0; VAR8 <= 1'b0; VAR25 <= 1'b0; VAR30 <= 1'b0; VAR52 <= 1'b0; VAR31 <= 1'b0; VAR79 <= 1'b0; VAR23 <= 1'b0; VAR47 <= 1'b0; VAR73 <= 1'b0; VAR4 <= 1'b0; VAR64 <= 1'b0; VAR17 <= 1'b0; VAR53 <= 1'b0; end else begin VAR37 <= VAR38; VAR68 <= VAR37; VAR9 <= VAR68; VAR48 <= VAR9; VAR24 <= VAR48; VAR41 <= VAR24; VAR51 <= VAR41; VAR46 <= VAR51; VAR10 <= VAR46; VAR78 <= VAR63; VAR6 <= VAR78; VAR1 <= VAR6; VAR45 <= VAR1; VAR20 <= VAR45; VAR80 <= VAR20; VAR14 <= VAR80; VAR15 <= VAR14; VAR29 <= VAR15; VAR26 <= VAR29; VAR67 <= VAR26; VAR81 <= VAR67; VAR13 <= VAR81; VAR82 <= VAR13; VAR83 <= VAR82; VAR86 <= VAR83; VAR71 <= VAR86; VAR50 <= VAR71; VAR57 <= VAR50; VAR35 <= VAR57; VAR7 <= VAR35; VAR12 <= VAR7; VAR66 <= VAR12; VAR44 <= VAR10; VAR8 <= VAR44; VAR25 <= VAR8; VAR30 <= VAR25; VAR52 <= VAR30; VAR31 <= VAR52; VAR79 <= VAR31; VAR23 <= VAR79; VAR47 <= VAR23; VAR73 <= VAR47; VAR4 <= VAR73; VAR64 <= VAR4; VAR17 <= VAR64; VAR53 <= VAR17; end always @(posedge VAR2) case(VAR33) 4'b0000: VAR43 = VAR46; 4'b0001: VAR43 = VAR10; 4'b0010: VAR43 = VAR44; 4'b0011: VAR43 = VAR8; 4'b0100: VAR43 = VAR25; 4'b0101: VAR43 = VAR30; 4'b0110: VAR43 = VAR52; 4'b0111: VAR43 = VAR31; 4'b1000: VAR43 = VAR79; 4'b1001: VAR43 = VAR23; 4'b1010: VAR43 = VAR47; 4'b1011: VAR43 = VAR73; 4'b1100: VAR43 = VAR4; 4'b1101: VAR43 = VAR64; 4'b1110: VAR43 = VAR17; 4'b1111: VAR43 = VAR53; endcase always @(posedge VAR2) case(VAR33) 4'b0000: VAR60 = VAR15; 4'b0001: VAR60 = VAR29; 4'b0010: VAR60 = VAR26; 4'b0011: VAR60 = VAR67; 4'b0100: VAR60 = VAR81; 4'b0101: VAR60 = VAR13; 4'b0110: VAR60 = VAR82; 4'b0111: VAR60 = VAR83; 4'b1000: VAR60 = VAR86; 4'b1001: VAR60 = VAR71; 4'b1010: VAR60 = VAR50; 4'b1011: VAR60 = VAR57; 4'b1100: VAR60 = VAR35; 4'b1101: VAR60 = VAR7; 4'b1110: VAR60 = VAR12; 4'b1111: VAR60 = VAR66; endcase endmodule
gpl-3.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/tq/mcm.v
29,780
module MODULE1( clk,rst, VAR127, VAR53, VAR165, VAR155, VAR72, VAR40, VAR188, VAR141, VAR3, VAR49, VAR156, VAR17, VAR120, VAR237, VAR81, VAR283, VAR32, VAR181, VAR87, VAR212, VAR279, VAR92, VAR133, VAR258, VAR135, VAR25, VAR211, VAR167, VAR129, VAR240, VAR66, VAR264, VAR8, VAR56, VAR194, VAR177, VAR200, o0, o1, o2, o3, o4, o5, o6, o7, VAR159, VAR175, o10, o11, o12, o13, o14, o15, o16, o17, VAR257, VAR153, o20, o21, o22, o23, o24, o25, o26, o27, VAR51, VAR1, o30, o31 ); input clk; input rst; input VAR127; input VAR53; input [1:0] VAR165; input [1:0] VAR155; input signed [18:0] VAR72; input signed [18:0] VAR40; input signed [18:0] VAR188; input signed [18:0] VAR141; input signed [18:0] VAR3; input signed [18:0] VAR49; input signed [18:0] VAR156; input signed [18:0] VAR17; input signed [18:0] VAR120; input signed [18:0] VAR237; input signed [18:0] VAR81; input signed [18:0] VAR283; input signed [18:0] VAR32; input signed [18:0] VAR181; input signed [18:0] VAR87; input signed [18:0] VAR212; input signed [18:0] VAR279; input signed [18:0] VAR92; input signed [18:0] VAR133; input signed [18:0] VAR258; input signed [18:0] VAR135; input signed [18:0] VAR25; input signed [18:0] VAR211; input signed [18:0] VAR167; input signed [18:0] VAR129; input signed [18:0] VAR240; input signed [18:0] VAR66; input signed [18:0] VAR264; input signed [18:0] VAR8; input signed [18:0] VAR56; input signed [18:0] VAR194; input signed [18:0] VAR177; output reg VAR200; output reg signed [27:0] o0; output reg signed [27:0] o1; output reg signed [27:0] o2; output reg signed [27:0] o3; output reg signed [27:0] o4; output reg signed [27:0] o5; output reg signed [27:0] o6; output reg signed [27:0] o7; output reg signed [27:0] VAR159; output reg signed [27:0] VAR175; output reg signed [27:0] o10; output reg signed [27:0] o11; output reg signed [27:0] o12; output reg signed [27:0] o13; output reg signed [27:0] o14; output reg signed [27:0] o15; output reg signed [27:0] o16; output reg signed [27:0] o17; output reg signed [27:0] VAR257; output reg signed [27:0] VAR153; output reg signed [27:0] o20; output reg signed [27:0] o21; output reg signed [27:0] o22; output reg signed [27:0] o23; output reg signed [27:0] o24; output reg signed [27:0] o25; output reg signed [27:0] o26; output reg signed [27:0] o27; output reg signed [27:0] VAR51; output reg signed [27:0] VAR1; output reg signed [27:0] o30; output reg signed [27:0] o31; wire signed [18:0] VAR5; wire signed [18:0] VAR216; wire signed [18:0] VAR190; wire signed [18:0] VAR199; wire signed [18:0] VAR244; wire signed [18:0] VAR60; wire signed [18:0] VAR45; wire signed [18:0] VAR46; wire signed [18:0] VAR11; wire signed [18:0] VAR189; wire signed [18:0] VAR284; wire signed [18:0] VAR271; wire signed [18:0] VAR225; wire signed [18:0] VAR255; wire signed [18:0] VAR272; wire signed [18:0] VAR33; wire signed [18:0] VAR123; wire signed [18:0] VAR197; wire signed [18:0] VAR184; wire signed [18:0] VAR77; wire signed [18:0] VAR90; wire signed [18:0] VAR19; wire signed [18:0] VAR154; wire signed [18:0] VAR63; wire signed [18:0] VAR166; wire signed [18:0] VAR116; wire signed [18:0] VAR71; wire signed [18:0] VAR282; wire signed [18:0] VAR88; wire signed [18:0] VAR74; wire signed [18:0] VAR186; wire signed [18:0] VAR252; wire signed [27:0] VAR247; wire signed [27:0] VAR207; wire signed [27:0] VAR144; wire signed [27:0] VAR62; wire signed [27:0] VAR28; wire signed [27:0] VAR57; wire signed [27:0] VAR107; wire signed [27:0] VAR100; wire signed [27:0] VAR254; wire signed [27:0] VAR80; wire signed [27:0] VAR64; wire signed [27:0] VAR131; wire signed [27:0] VAR37; wire signed [27:0] VAR125; wire signed [27:0] VAR136; wire signed [27:0] VAR86; wire signed [27:0] VAR157; wire signed [27:0] VAR246; wire signed [27:0] VAR121; wire signed [27:0] VAR208; wire signed [27:0] VAR172; wire signed [27:0] VAR203; wire signed [27:0] VAR202; wire signed [27:0] VAR163; wire signed [27:0] VAR149; wire signed [27:0] VAR196; wire signed [27:0] VAR76; wire signed [27:0] VAR58; wire signed [27:0] VAR268; wire signed [27:0] VAR52; wire signed [27:0] VAR143; wire signed [27:0] VAR276; wire signed [27:0] VAR14; wire signed [27:0] VAR6; wire signed [27:0] VAR260; wire signed [27:0] VAR140; wire signed [27:0] VAR112; wire signed [27:0] VAR18; wire signed [27:0] VAR59; wire signed [27:0] VAR54; wire signed [27:0] VAR171; wire signed [27:0] VAR210; wire signed [27:0] VAR67; wire signed [27:0] VAR242; wire signed [27:0] VAR176; wire signed [27:0] VAR20; wire signed [27:0] VAR280; wire signed [27:0] VAR55; wire signed [27:0] VAR241; wire signed [27:0] VAR259; wire signed [27:0] VAR178; wire signed [27:0] VAR84; wire signed [27:0] VAR130; wire signed [27:0] VAR122; wire signed [27:0] VAR234; wire signed [27:0] VAR109; wire signed [27:0] VAR281; wire signed [27:0] VAR223; wire signed [27:0] VAR48; wire signed [27:0] VAR238; wire signed [27:0] VAR162; wire signed [27:0] VAR204; wire signed [27:0] VAR191; wire signed [27:0] VAR229; wire signed [27:0] VAR220 ; wire signed [27:0] VAR222 ; wire signed [27:0] VAR187 ; wire signed [27:0] VAR150 ; wire signed [27:0] VAR132 ; wire signed [27:0] VAR119 ; wire signed [27:0] VAR198 ; wire signed [27:0] VAR79 ; wire signed [27:0] VAR68 ; wire signed [27:0] VAR209 ; wire signed [27:0] VAR22; wire signed [27:0] VAR205; wire signed [27:0] VAR73; wire signed [27:0] VAR104; wire signed [27:0] VAR164; wire signed [27:0] VAR146; reg VAR265; reg signed [18:0] VAR224; reg signed [18:0] VAR96; reg signed [18:0] VAR158; reg signed [18:0] VAR26; reg signed [18:0] VAR142; reg signed [18:0] VAR61; reg signed [18:0] VAR95; reg signed [18:0] VAR117; reg signed [18:0] VAR221; reg signed [18:0] VAR36; reg signed [18:0] VAR170; reg signed [18:0] VAR256; reg signed [18:0] VAR231; reg signed [18:0] VAR29; reg signed [18:0] VAR180; reg signed [18:0] VAR274; reg signed [18:0] VAR50; reg signed [18:0] VAR124; reg signed [18:0] VAR2; reg signed [18:0] VAR151; reg signed [18:0] VAR206; reg signed [18:0] VAR89; reg signed [18:0] VAR44; reg signed [18:0] VAR31; reg signed [18:0] VAR160; reg signed [18:0] VAR213; reg signed [18:0] VAR118; reg signed [18:0] VAR193; reg signed [18:0] VAR78; reg signed [18:0] VAR10; reg signed [18:0] VAR108; reg signed [18:0] VAR98; reg signed [18:0] VAR102; reg signed [18:0] VAR217; reg signed [18:0] VAR269; reg signed [18:0] VAR249; reg signed [18:0] VAR243; reg signed [18:0] VAR103; reg signed [18:0] VAR250; reg signed [18:0] VAR115; reg signed [18:0] VAR251; reg signed [18:0] VAR35; reg signed [18:0] VAR110; reg signed [18:0] VAR42; reg signed [18:0] VAR94; reg signed [18:0] VAR275; reg signed [18:0] VAR228; reg signed [18:0] VAR248; reg signed [17:0] VAR148; reg signed [17:0] VAR253; reg signed [17:0] VAR262; reg signed [17:0] VAR273; reg signed [17:0] VAR101; reg signed [17:0] VAR41; reg signed [17:0] VAR114; reg signed [17:0] VAR4; reg signed [17:0] VAR185; reg signed [17:0] VAR16; reg signed [17:0] VAR43; reg signed [17:0] VAR30; reg signed [17:0] VAR230; reg signed [17:0] VAR113; reg signed [17:0] VAR227; reg signed [17:0] VAR263; reg signed [16:0] VAR182 ; reg signed [16:0] VAR179 ; reg signed [16:0] VAR138 ; reg signed [16:0] VAR219 ; reg signed [16:0] VAR169 ; reg signed [16:0] VAR9 ; reg signed [16:0] VAR183 ; reg signed [16:0] VAR235 ; reg signed [16:0] VAR195 ; reg signed [16:0] VAR168 ; reg signed [16:0] VAR85; reg signed [16:0] VAR137; reg signed [16:0] VAR93; reg signed [16:0] VAR111; reg signed [16:0] VAR174; reg signed [16:0] VAR83; assign VAR5=VAR127?VAR72:'b0; assign VAR216=VAR127?VAR40:'b0; assign VAR190=VAR127?VAR188:'b0; assign VAR199=VAR127?VAR141:'b0; assign VAR244=VAR127?VAR3:'b0; assign VAR60=VAR127?VAR49:'b0; assign VAR45=VAR127?VAR156:'b0; assign VAR46=VAR127?VAR17:'b0; assign VAR11=VAR127?VAR120:'b0; assign VAR189=VAR127?VAR237:'b0; assign VAR284=VAR127?VAR81:'b0; assign VAR271=VAR127?VAR283:'b0; assign VAR225=VAR127?VAR32:'b0; assign VAR255=VAR127?VAR181:'b0; assign VAR272=VAR127?VAR87:'b0; assign VAR33=VAR127?VAR212:'b0; assign VAR123=VAR127?VAR279:'b0; assign VAR197=VAR127?VAR92:'b0; assign VAR184=VAR127?VAR133:'b0; assign VAR77=VAR127?VAR258:'b0; assign VAR90=VAR127?VAR135:'b0; assign VAR19=VAR127?VAR25:'b0; assign VAR154=VAR127?VAR211:'b0; assign VAR63=VAR127?VAR167:'b0; assign VAR166=VAR127?VAR129:'b0; assign VAR116=VAR127?VAR240:'b0; assign VAR71=VAR127?VAR66:'b0; assign VAR282=VAR127?VAR264:'b0; assign VAR88=VAR127?VAR8:'b0; assign VAR74=VAR127?VAR56:'b0; assign VAR186=VAR127?VAR194:'b0; assign VAR252=VAR127?VAR177:'b0; always@(*) case(VAR165) 2'b00: if(!VAR155[1]) begin VAR50='b0;VAR124='b0;VAR2='b0;VAR151='b0; VAR206='b0;VAR89='b0;VAR44='b0;VAR31='b0; VAR160='b0;VAR213='b0;VAR118='b0;VAR193='b0; VAR78='b0;VAR10='b0;VAR108='b0;VAR98='b0; VAR102='b0;VAR217='b0;VAR269='b0;VAR249='b0; VAR243='b0;VAR103='b0;VAR250='b0;VAR115='b0; VAR251='b0;VAR35='b0;VAR110='b0;VAR42='b0; VAR94='b0;VAR275='b0;VAR228='b0;VAR248='b0; VAR148='b0;VAR253='b0;VAR262='b0;VAR273='b0; VAR101='b0;VAR41='b0;VAR114='b0;VAR4='b0; VAR185='b0;VAR16='b0;VAR43='b0;VAR30='b0; VAR230='b0;VAR113='b0;VAR227='b0;VAR263='b0; VAR182 ='b0;VAR179 ='b0;VAR138 ='b0;VAR219 ='b0; VAR169 ='b0;VAR9 ='b0;VAR183 ='b0;VAR235 ='b0; VAR195 ='b0;VAR168 ='b0;VAR85='b0;VAR137='b0; VAR93='b0;VAR111='b0;VAR174='b0;VAR83='b0; VAR224=VAR5 ; VAR96=VAR216 ; VAR158=VAR190 ; VAR26=VAR199 ; VAR142=VAR11 ; VAR61=VAR189 ; VAR95=VAR284; VAR117=VAR271; VAR221=VAR123; VAR36=VAR197; VAR170=VAR184; VAR256=VAR77; VAR231=VAR166; VAR29=VAR116; VAR180=VAR71; VAR274=VAR282; o0 =VAR247; o1 =VAR207; o2 =VAR144; o3 =VAR62; o4=28'b0; o5=28'b0; o6=28'b0; o7=28'b0; VAR159 =VAR28; VAR175 =VAR57; o10 =VAR107; o11 =VAR100; o12=28'b0; o13=28'b0; o14=28'b0; o15=28'b0; o16=VAR254; o17=VAR80; VAR257=VAR64; VAR153=VAR131; o20=28'b0; o21=28'b0; o22=28'b0; o23=28'b0; o24=VAR37; o25=VAR125; o26=VAR136; o27=VAR86; VAR51=28'b0; VAR1=28'b0; o30=28'b0; o31=28'b0; end else begin VAR224='b0;VAR96='b0;VAR158='b0;VAR26='b0; VAR142='b0;VAR61='b0;VAR95='b0;VAR117='b0; VAR221='b0;VAR36='b0;VAR170='b0;VAR256='b0; VAR231='b0;VAR29='b0;VAR180='b0;VAR274='b0; VAR102='b0;VAR217='b0;VAR269='b0;VAR249='b0; VAR243='b0;VAR103='b0;VAR250='b0;VAR115='b0; VAR251='b0;VAR35='b0;VAR110='b0;VAR42='b0; VAR94='b0;VAR275='b0;VAR228='b0;VAR248='b0; VAR148='b0;VAR253='b0;VAR262='b0;VAR273='b0; VAR101='b0;VAR41='b0;VAR114='b0;VAR4='b0; VAR185='b0;VAR16='b0;VAR43='b0;VAR30='b0; VAR230='b0;VAR113='b0;VAR227='b0;VAR263='b0; VAR182 ='b0;VAR179 ='b0;VAR138 ='b0;VAR219 ='b0; VAR169 ='b0;VAR9 ='b0;VAR183 ='b0;VAR235 ='b0; VAR195 ='b0;VAR168 ='b0;VAR85='b0;VAR137='b0; VAR93='b0;VAR111='b0;VAR174='b0;VAR83='b0; VAR50=VAR5 ; VAR124=VAR216 ; VAR2=VAR190 ; VAR151=VAR199 ; VAR206=VAR11 ; VAR89=VAR189 ; VAR44=VAR284; VAR31=VAR271; VAR160=VAR123; VAR213=VAR197; VAR118=VAR184; VAR193=VAR77; VAR78=VAR166; VAR10=VAR116; VAR108=VAR71; VAR98=VAR282; o0 =VAR157; o1 =VAR246; o2 =VAR121; o3 =VAR208; o4=28'b0; o5=28'b0; o6=28'b0; o7=28'b0; VAR159 =VAR172; VAR175 =VAR203; o10 =VAR202; o11 =VAR163; o12=28'b0; o13=28'b0; o14=28'b0; o15=28'b0; o16=VAR149; o17=VAR196; VAR257=VAR76; VAR153=VAR58; o20=28'b0; o21=28'b0; o22=28'b0; o23=28'b0; o24=VAR268; o25=VAR52; o26=VAR143; o27=VAR276; VAR51=28'b0; VAR1=28'b0; o30=28'b0; o31=28'b0; end 2'b01:begin VAR50=VAR5; VAR124=VAR216; VAR2=VAR190; VAR151=VAR199; VAR102=VAR244; VAR217=VAR60; VAR269=VAR45; VAR249=VAR46; VAR206=VAR11 ; VAR89=VAR189 ; VAR44=VAR284; VAR31=VAR271; VAR243=VAR225; VAR103=VAR255; VAR250=VAR272; VAR115=VAR33; VAR160=VAR123; VAR213=VAR197; VAR118=VAR184; VAR193=VAR77; VAR251=VAR90; VAR35=VAR19; VAR110=VAR154; VAR42=VAR63; VAR78=VAR166; VAR10=VAR116; VAR108=VAR71; VAR98=VAR282; VAR94=VAR88; VAR275=VAR74; VAR228=VAR186; VAR248=VAR252; VAR224='b0;VAR96='b0;VAR158='b0;VAR26='b0; VAR142='b0;VAR61='b0;VAR95='b0;VAR117='b0; VAR221='b0;VAR36='b0;VAR170='b0;VAR256='b0; VAR231='b0;VAR29='b0;VAR180='b0;VAR274='b0; VAR148='b0;VAR253='b0;VAR262='b0;VAR273='b0; VAR101='b0;VAR41='b0;VAR114='b0;VAR4='b0; VAR185='b0;VAR16='b0;VAR43='b0;VAR30='b0; VAR230='b0;VAR113='b0;VAR227='b0;VAR263='b0; VAR182 ='b0;VAR179 ='b0;VAR138 ='b0;VAR219 ='b0; VAR169 ='b0;VAR9 ='b0;VAR183 ='b0;VAR235 ='b0; VAR195 ='b0;VAR168 ='b0;VAR85='b0;VAR137='b0; VAR93='b0;VAR111='b0;VAR174='b0;VAR83='b0; o0=VAR157; o1=VAR246; o2=VAR121; o3=VAR208; o4=VAR14; o5=VAR6; o6=VAR260; o7=VAR140; VAR159 =VAR172; VAR175 =VAR203; o10=VAR202; o11=VAR163; o12=VAR112; o13=VAR18; o14=VAR59; o15=VAR54; o16=VAR149; o17=VAR196; VAR257=VAR76; VAR153=VAR58; o20=VAR171; o21=VAR210; o22=VAR67; o23=VAR242; o24=VAR268; o25=VAR52; o26=VAR143; o27=VAR276; VAR51=VAR176; VAR1=VAR20; o30=VAR280; o31=VAR55; end 2'b10:begin VAR224='b0;VAR96='b0;VAR158='b0;VAR26='b0; VAR142='b0;VAR61='b0;VAR95='b0;VAR117='b0; VAR221='b0;VAR36='b0;VAR170='b0;VAR256='b0; VAR231='b0;VAR29='b0;VAR180='b0;VAR274='b0; VAR160='b0;VAR213='b0;VAR118='b0;VAR193='b0; VAR78='b0;VAR10='b0;VAR108='b0;VAR98='b0; VAR251='b0;VAR35='b0;VAR110='b0;VAR42='b0; VAR94='b0;VAR275='b0;VAR228='b0;VAR248='b0; VAR182 ='b0;VAR179 ='b0;VAR138 ='b0;VAR219 ='b0; VAR169 ='b0;VAR9 ='b0;VAR183 ='b0;VAR235 ='b0; VAR195 ='b0;VAR168 ='b0;VAR85='b0;VAR137='b0; VAR93='b0;VAR111='b0;VAR174='b0;VAR83='b0; VAR50=VAR5 ; VAR124=VAR216 ; VAR2=VAR190 ; VAR151=VAR199 ; VAR102=VAR244 ; VAR217=VAR60 ; VAR269=VAR45 ; VAR249=VAR46 ; VAR148=VAR11 ; VAR253=VAR189 ; VAR262=VAR284; VAR273=VAR271; VAR101=VAR225; VAR41=VAR255; VAR114=VAR272; VAR4=VAR33; VAR206=VAR123; VAR89=VAR197; VAR44=VAR184; VAR31=VAR77; VAR243=VAR90; VAR103=VAR19; VAR250=VAR154; VAR115=VAR63; VAR185=VAR166; VAR16=VAR116; VAR43=VAR71; VAR30=VAR282; VAR230=VAR88; VAR113=VAR74; VAR227=VAR186; VAR263=VAR252; o0 =VAR157; o1 =VAR246; o2 =VAR121; o3 =VAR208; o4 =VAR14; o5 =VAR6; o6 =VAR260; o7 =VAR140; VAR159 =VAR241; VAR175 =VAR259; o10=VAR178; o11=VAR84; o12=VAR130; o13=VAR122; o14=VAR234; o15=VAR109; o16=VAR172; o17=VAR203; VAR257=VAR202; VAR153=VAR163; o20=VAR112; o21=VAR18; o22=VAR59; o23=VAR54; o24=VAR281; o25=VAR223; o26=VAR48; o27=VAR238; VAR51=VAR162; VAR1=VAR204; o30=VAR191; o31=VAR229; end 2'b11:begin VAR224='b0;VAR96='b0;VAR158='b0;VAR26='b0; VAR142='b0;VAR61='b0;VAR95='b0;VAR117='b0; VAR221='b0;VAR36='b0;VAR170='b0;VAR256='b0; VAR231='b0;VAR29='b0;VAR180='b0;VAR274='b0; VAR206='b0;VAR89='b0;VAR44='b0;VAR31='b0; VAR160='b0;VAR213='b0;VAR118='b0;VAR193='b0; VAR78='b0;VAR10='b0;VAR108='b0;VAR98='b0; VAR243='b0;VAR103='b0;VAR250='b0;VAR115='b0; VAR251='b0;VAR35='b0;VAR110='b0;VAR42='b0; VAR94='b0;VAR275='b0;VAR228='b0;VAR248='b0; VAR185='b0;VAR16='b0;VAR43='b0;VAR30='b0; VAR230='b0;VAR113='b0;VAR227='b0;VAR263='b0; VAR50=VAR5 ; VAR124=VAR216 ; VAR2=VAR190 ; VAR151=VAR199 ; VAR102=VAR244 ; VAR217=VAR60 ; VAR269=VAR45 ; VAR249=VAR46 ; VAR148=VAR11 ; VAR253=VAR189 ; VAR262=VAR284; VAR273=VAR271; VAR101=VAR225; VAR41=VAR255; VAR114=VAR272; VAR4=VAR33; VAR182=VAR123; VAR179=VAR197; VAR138=VAR184; VAR219=VAR77; VAR169=VAR90; VAR9=VAR19; VAR183=VAR154; VAR235=VAR63; VAR195=VAR166; VAR168=VAR116; VAR85=VAR71; VAR137=VAR282; VAR93=VAR88; VAR111=VAR74; VAR174=VAR186; VAR83=VAR252; o0 =VAR157; o1 =VAR246; o2 =VAR121; o3 =VAR208; o4 =VAR14; o5 =VAR6; o6 =VAR260; o7 =VAR140; VAR159 =VAR241; VAR175 =VAR259; o10=VAR178; o11=VAR84; o12=VAR130; o13=VAR122; o14=VAR234; o15=VAR109; o16=VAR220 ; o17=VAR222 ; VAR257=VAR187 ; VAR153=VAR150 ; o20=VAR132 ; o21=VAR119 ; o22=VAR198 ; o23=VAR79 ; o24=VAR68 ; o25=VAR209 ; o26=VAR22; o27=VAR205; VAR51=VAR73; VAR1=VAR104; o30=VAR164; o31=VAR146; end endcase always@(posedge clk or negedge rst) if(!rst) VAR265<=1'b0; else VAR265<=VAR127; always@(posedge clk or negedge rst) if(!rst) VAR200<=1'b0; else VAR200<=VAR265; VAR239 VAR245( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR224), .VAR40(VAR96), .VAR188(VAR158), .VAR141(VAR26), .o0(VAR247), .o1(VAR207), .o2(VAR144), .o3(VAR62) ); VAR239 VAR38( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR142), .VAR40(VAR61), .VAR188(VAR95), .VAR141(VAR117), .o0(VAR28), .o1(VAR57), .o2(VAR107), .o3(VAR100) ); VAR239 VAR128( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR221), .VAR40(VAR36), .VAR188(VAR170), .VAR141(VAR256), .o0(VAR254), .o1(VAR80), .o2(VAR64), .o3(VAR131) ); VAR239 VAR24( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR231), .VAR40(VAR29), .VAR188(VAR180), .VAR141(VAR274), .o0(VAR37), .o1(VAR125), .o2(VAR136), .o3(VAR86) ); VAR226 VAR147( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR50), .VAR40(VAR124), .VAR188(VAR2), .VAR141(VAR151), .o0(VAR157), .o1(VAR246), .o2(VAR121), .o3(VAR208) ); VAR226 VAR69( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR206), .VAR40(VAR89), .VAR188(VAR44), .VAR141(VAR31), .o0(VAR172), .o1(VAR203), .o2(VAR202), .o3(VAR163) ); VAR226 VAR134( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR160), .VAR40(VAR213), .VAR188(VAR118), .VAR141(VAR193), .o0(VAR149), .o1(VAR196), .o2(VAR76), .o3(VAR58) ); VAR226 VAR145( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR78), .VAR40(VAR10), .VAR188(VAR108), .VAR141(VAR98), .o0(VAR268), .o1(VAR52), .o2(VAR143), .o3(VAR276) ); VAR13 VAR236( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR102), .VAR40(VAR217), .VAR188(VAR269), .VAR141(VAR249), .VAR218(VAR14), .VAR161(VAR6), .VAR270(VAR260), .VAR15(VAR140) ); VAR13 VAR139( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR243), .VAR40(VAR103), .VAR188(VAR250), .VAR141(VAR115), .VAR218(VAR112), .VAR161(VAR18), .VAR270(VAR59), .VAR15(VAR54) ); VAR13 VAR173( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR251), .VAR40(VAR35), .VAR188(VAR110), .VAR141(VAR42), .VAR218(VAR171), .VAR161(VAR210), .VAR270(VAR67), .VAR15(VAR242) ); VAR13 VAR215( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR94), .VAR40(VAR275), .VAR188(VAR228), .VAR141(VAR248), .VAR218(VAR176), .VAR161(VAR20), .VAR270(VAR280), .VAR15(VAR55) ); VAR82 VAR201( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR148), .VAR40(VAR253), .VAR188(VAR262), .VAR141(VAR273), .VAR3(VAR101), .VAR49(VAR41), .VAR156(VAR114), .VAR17(VAR4), .VAR214(VAR241), .VAR97(VAR259), .VAR99(VAR178), .VAR39(VAR84), .VAR266(VAR130), .VAR267(VAR122), .VAR65(VAR234), .VAR47(VAR109) ); VAR82 VAR75( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR185), .VAR40(VAR16), .VAR188(VAR43), .VAR141(VAR30), .VAR3(VAR230), .VAR49(VAR113), .VAR156(VAR227), .VAR17(VAR263), .VAR214(VAR281), .VAR97(VAR223), .VAR99(VAR48), .VAR39(VAR238), .VAR266(VAR162), .VAR267(VAR204), .VAR65(VAR191), .VAR47(VAR229) ); VAR12 VAR152( .clk(clk), .rst(rst), .VAR53(VAR53), .VAR72(VAR182), .VAR40(VAR179), .VAR188(VAR138), .VAR141(VAR219), .VAR3(VAR169), .VAR49(VAR9), .VAR156(VAR183), .VAR17(VAR235), .VAR120(VAR195), .VAR237(VAR168), .VAR81(VAR85), .VAR283(VAR137), .VAR32(VAR93), .VAR181(VAR111), .VAR87(VAR174), .VAR212(VAR83), .VAR232(VAR220), .VAR278(VAR222), .VAR23(VAR187), .VAR233(VAR150), .VAR27(VAR132), .VAR91(VAR119), .VAR21(VAR198), .VAR105(VAR79), .VAR7(VAR68), .VAR70(VAR209), .VAR261(VAR22), .VAR106(VAR205), .VAR192(VAR73), .VAR277(VAR104), .VAR126(VAR164), .VAR34(VAR146) ); endmodule
gpl-3.0
lokisz/openzcore
pippo-riscv/rtl/verilog/lsu_reg2mem.v
4,378
module MODULE1(addr, VAR14, VAR15, VAR4); parameter VAR18 = VAR17; input [2:0] addr; input [VAR11-1:0] VAR14; input [VAR18-1:0] VAR15; output [VAR18-1:0] VAR4; reg [7:0] VAR5; reg [7:0] VAR8; reg [7:0] VAR9; reg [7:0] VAR16; reg [7:0] VAR12; reg [7:0] VAR13; reg [7:0] VAR3; reg [7:0] VAR6; assign VAR4 = {VAR6, VAR3, VAR13, VAR12, VAR16, VAR9, VAR8, VAR5}; always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b000} : VAR5 = VAR15[7:0]; {VAR1, 3'b000} : VAR5 = VAR15[7:0]; {VAR10, 3'b000} : VAR5 = VAR15[7:0]; {VAR7, 3'b000} : VAR5 = VAR15[7:0]; default : VAR5 = VAR15[7:0]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b001} : VAR8 = VAR15[7:0]; {VAR1, 3'b000} : VAR8 = VAR15[15:8]; {VAR10, 3'b000} : VAR8 = VAR15[15:8]; {VAR7, 3'b000} : VAR8 = VAR15[15:8]; default : VAR8 = VAR15[15:8]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b010} : VAR9 = VAR15[7:0]; {VAR1, 3'b010} : VAR9 = VAR15[7:0]; {VAR10, 3'b000} : VAR9 = VAR15[23:16]; {VAR7, 3'b000} : VAR9 = VAR15[23:16]; default : VAR8 = VAR15[23:16]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b011} : VAR16 = VAR15[7:0]; {VAR1, 3'b010} : VAR16 = VAR15[15:8]; {VAR10, 3'b000} : VAR16 = VAR15[31:24]; {VAR7, 3'b000} : VAR16 = VAR15[31:24]; default : VAR8 = VAR15[31:24]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b100} : VAR12 = VAR15[7:0]; {VAR1, 3'b100} : VAR12 = VAR15[7:0]; {VAR10, 3'b100} : VAR12 = VAR15[7:0]; {VAR7, 3'b000} : VAR12 = VAR15[39:32]; default : VAR5 = VAR15[7:0]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b101} : VAR13 = VAR15[7:0]; {VAR1, 3'b100} : VAR13 = VAR15[15:8]; {VAR10, 3'b100} : VAR13 = VAR15[15:8]; {VAR7, 3'b000} : VAR13 = VAR15[47:40]; default : VAR13 = VAR15[47:40]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b110} : VAR3 = VAR15[7:0]; {VAR1, 3'b110} : VAR3 = VAR15[7:0]; {VAR10, 3'b100} : VAR3 = VAR15[23:16]; {VAR7, 3'b000} : VAR3 = VAR15[55:48]; default : VAR8 = VAR15[55:48]; endcase end always @(VAR14 or addr or VAR15) begin casex({VAR14, addr[2:0]}) {VAR2, 3'b111} : VAR6 = VAR15[7:0]; {VAR1, 3'b110} : VAR6 = VAR15[15:8]; {VAR10, 3'b100} : VAR6 = VAR15[31:24]; {VAR7, 3'b000} : VAR6 = VAR15[63:56]; default : VAR8 = VAR15[63:56]; endcase end endmodule
gpl-2.0
skarpenko/ultiparc
rtl/src/dbus2ocp2.v
3,373
module MODULE1( clk, VAR29, VAR31, VAR9, VAR12, VAR1, VAR7, VAR15, VAR21, VAR24, VAR3, VAR10, VAR4, VAR19, VAR5, VAR28, VAR25 ); input wire clk; input wire VAR29; input wire [VAR27-1:0] VAR31; input wire VAR9; input wire VAR12; input wire [VAR2-1:0] VAR1; input wire [VAR30-1:0] VAR7; output reg [VAR30-1:0] VAR15; output reg VAR21; output reg VAR24; output reg [VAR27-1:0] VAR3; output reg [2:0] VAR10; output reg [VAR30-1:0] VAR4; output reg [VAR2-1:0] VAR19; input wire VAR5; input wire [VAR30-1:0] VAR28; input wire [1:0] VAR25; reg [VAR27-1:0] VAR18; reg [2:0] VAR14; reg [VAR30-1:0] VAR8; reg [VAR2-1:0] VAR11; reg VAR16; wire [2:0] VAR23 = (VAR9 ? (VAR12 ? VAR26 : VAR13) : always @(posedge clk or negedge VAR29) begin if(!VAR29) begin VAR18 <= { (VAR27){1'b0} }; VAR14 <= VAR22; VAR8 <= { (VAR30){1'b0} }; VAR11 <= { (VAR2){1'b0} }; VAR16 <= 1'b0; end else if(VAR9 && !VAR5) begin VAR18 <= VAR31; VAR14 <= VAR23; VAR11 <= VAR1; VAR16 <= 1'b1; if(!VAR12) VAR8 <= VAR7; end else if(VAR5) begin VAR16 <= 1'b0; end end always @(*) begin VAR3 = (!VAR16 ? VAR31 : VAR18); VAR10 = (!VAR16 ? VAR23 : VAR14); VAR19 = (!VAR16 ? VAR1 : VAR11); VAR4 = (!VAR16 ? VAR7 : VAR8); VAR15 = VAR28; VAR21 = (VAR25 != VAR17 ? 1'b1 : 1'b0); VAR24 = (VAR25 == VAR6 || VAR25 == VAR20 ? 1'b1 : 1'b0); end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and2b/sky130_fd_sc_lp__and2b.behavioral.v
1,452
module MODULE1 ( VAR9 , VAR10, VAR6 ); output VAR9 ; input VAR10; input VAR6 ; supply1 VAR1; supply0 VAR2; supply1 VAR3 ; supply0 VAR7 ; wire VAR5 ; wire VAR4; not VAR8 (VAR5 , VAR10 ); and VAR12 (VAR4, VAR5, VAR6 ); buf VAR11 (VAR9 , VAR4 ); endmodule
apache-2.0
kDaniu/miaow
src/verilog/rtl/alu/PS_flops_issue_alu.v
1,623
module MODULE1 ( VAR11, VAR18, VAR1, VAR3, VAR25, VAR2, VAR24, VAR7, VAR14, VAR9, VAR26, VAR27, VAR22, VAR21, VAR17, VAR15, clk, rst ); input VAR14; input [5:0] VAR9; input [31:0] VAR26; input [31:0] VAR27; input [15:0] VAR22; input [31:0] VAR21; input [11:0] VAR17; input [11:0] VAR15; output VAR11; output [5:0] VAR18; output [31:0] VAR1; output [31:0] VAR3; output [15:0] VAR25; output [31:0] VAR2; output [11:0] VAR24; output [11:0] VAR7; input clk; input rst; VAR4 VAR8 (.VAR23(VAR11), .VAR20(VAR14), .en(1'b1), .clk(clk), .rst(rst)); VAR4 VAR5[5:0] (.VAR23(VAR18), .VAR20(VAR9), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR19[31:0] (.VAR23(VAR1), .VAR20(VAR26), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR16[31:0] (.VAR23(VAR3), .VAR20(VAR27), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR10[15:0] (.VAR23(VAR25), .VAR20(VAR22), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR12[31:0] (.VAR23(VAR2), .VAR20(VAR21), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR6[11:0] (.VAR23(VAR24), .VAR20(VAR17), .en(VAR14), .clk(clk), .rst(rst)); VAR4 VAR13[11:0] (.VAR23(VAR7), .VAR20(VAR15), .en(VAR14), .clk(clk), .rst(rst)); endmodule
bsd-3-clause
glennchid/font5-firmware
src/verilog/synthesis/FB_MULT_ADD.v
4,885
module MODULE1(VAR86, VAR33, VAR35, VAR16, VAR78, VAR34); input [20:0] VAR86; input [12:0] VAR33; input VAR35; input VAR16; input [47:0] VAR78; output [47:0] VAR34; wire VAR19; wire [2:0] VAR72; wire [17:0] VAR79; wire [29:0] VAR58; wire [47:0] VAR6; wire VAR55; wire VAR14; assign VAR19 = 0; assign VAR72 = 3'b000; assign VAR79 = 18'b000000000000000000; assign VAR58 = 30'b000000000000000000000000000000; assign VAR6 = 48'b000000000000000000000000000000000000000000000000; assign VAR55 = 0; assign VAR14 = 1; VAR84 VAR30 (.VAR64({VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:20], VAR86[20:0]}), .VAR69(VAR58[29:0]), .VAR20({VAR19, VAR19, VAR19, VAR19}), .VAR29({VAR33[12:12], VAR33[12:12], VAR33[12:12], VAR33[12:12], VAR33[12:12], VAR33[12:0]}), .VAR38(VAR79[17:0]), .VAR15(VAR78[47:0]), .VAR22(VAR19), .VAR3(VAR19), .VAR83(VAR72[2:0]), .VAR47(VAR14), .VAR71(VAR14), .VAR23(VAR14), .VAR37(VAR14), .VAR61(VAR14), .VAR82(VAR14), .VAR76(VAR14), .VAR40(VAR14), .VAR73(VAR14), .VAR54(VAR35), .VAR27(VAR14), .VAR32(VAR16), .VAR63(VAR19), .VAR9({VAR55, VAR14, VAR14, VAR55, VAR14, VAR55, VAR14}), .VAR44(VAR6[47:0]), .VAR21(VAR19), .VAR39(VAR19), .VAR18(VAR19), .VAR66(VAR19), .VAR8(VAR19), .VAR7(VAR19), .VAR62(VAR19), .VAR43(VAR19), .VAR50(), .VAR5(), .VAR42(), .VAR2(), .VAR81(), .VAR75(), .VAR49(VAR34[47:0]), .VAR24(), .VAR41(), .VAR65(), .VAR13()); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor3/sky130_fd_sc_hd__xor3.pp.symbol.v
1,315
module MODULE1 ( input VAR4 , input VAR5 , input VAR8 , output VAR2 , input VAR6 , input VAR1, input VAR7, input VAR3 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/or2/gf180mcu_fd_sc_mcu7t5v0__or2_1.behavioral.v
1,173
module MODULE1( VAR1, VAR6, VAR3 ); input VAR1, VAR6; output VAR3; VAR2 VAR5(.VAR1(VAR1),.VAR6(VAR6),.VAR3(VAR3)); VAR2 VAR4(.VAR1(VAR1),.VAR6(VAR6),.VAR3(VAR3));
apache-2.0
hakehuang/pycpld
ips/ip/i2c_master_subad/I2C_wr_subad.v
10,651
module MODULE1( VAR39,VAR47,ack,VAR37,clk,VAR38,VAR1,VAR46 ); input VAR37,VAR38,VAR1,clk; output VAR47,ack; inout [7:0] VAR46; inout VAR39; reg VAR45,VAR5; reg[7:0] VAR6; reg VAR47,ack,VAR48,VAR7,VAR16; reg VAR52; reg VAR51; reg[8:0] VAR29; reg[9:0] VAR35; reg VAR32; reg[6:0] VAR41; reg[7:0] VAR50; reg[7:0] VAR9; reg[7:0] VAR22; assign VAR39 = (VAR45) ? VAR6[7] : 1'VAR8; assign VAR46 = (VAR5) ? VAR50 : 8'VAR11; parameter VAR26 = 10'd34, VAR20 = 10'd32; parameter VAR18 = 10'b0000001, ready = 10'b0000010, VAR12 = 11'b0000100, VAR23 = 11'b0001000, VAR4 = 11'b0010000, VAR27 = 11'b0100000, VAR19 = 11'b1000000; parameter VAR36 = 9'b000000001, VAR34 = 9'b000000010, VAR10 = 9'b000000100, VAR44 = 9'b000001000, VAR17 = 9'b000010000, VAR53 = 9'b000100000, VAR49 = 9'b001000000, VAR15 = 9'b010000000, VAR54 = 9'b100000000; parameter VAR33 = 10'b0000000001, VAR30 = 10'b0000000010, VAR13 = 10'b0000000100, VAR21 = 10'b0000001000, VAR2 = 10'b0000010000, VAR31 = 10'b0000100000, VAR28 = 10'b0001000000, VAR14 = 10'b0010000000, VAR3 = 10'b0100000000, VAR43 = 10'b1000000000; always @(negedge clk or negedge VAR37) begin if(!VAR37) VAR47 <= 1'b0; end else VAR47 <= ~VAR47; end always @(posedge clk or negedge VAR37) begin if(!VAR37)begin VAR45 <= 1'b0; ack <= 1'b0; VAR7 <= 1'b0; VAR48 <= 1'b0; VAR16 <= 1'b0; VAR41 <= VAR18; VAR51 <= 'h0; VAR29<= VAR36; VAR35 <= VAR33; VAR32 <= 'h0; VAR9 <= 'h1; VAR22 <= 'h1; end else begin case(VAR41) VAR18:begin VAR5 <= 'h0; VAR45 <= 'h0; if(VAR38) begin VAR48 <= 1'b1; VAR41 <= ready; end else if(VAR1)begin VAR7 <= 1'b1; VAR41 <= ready; end else begin VAR48 <= 1'b0; VAR7 <= 1'b0; VAR41 <=VAR18; end end ready:begin VAR16 <= 1'b0; VAR41 <= VAR12; end VAR12:begin if(VAR16==1'b0) VAR40; end else begin if(VAR48 == 1'b1) VAR6 <= {1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b0,1'b0}; end else VAR6 <= {1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b0,1'b1}; VAR16 <= 1'b0; VAR29 <= VAR34; VAR41 <= VAR23; end end VAR23:begin if(VAR16==1'b0) VAR25; end else begin if(VAR7==1'b1)begin VAR6 <= 'h0; VAR45 <= 1'b0; VAR16 <= 1'b0; VAR9 <= 1'b1; VAR41 <= VAR4; end else if(VAR48==1'b1)begin VAR16 <= 1'b0; VAR41 <= VAR4; VAR6 <= VAR46; VAR22 <= 1'b1; end end end VAR4:begin if(VAR7==1'b1)begin if(VAR9 <= VAR20) VAR24; end else begin VAR41 <= VAR27; VAR16 <= 1'b0; end end else if(VAR48==1'b1)begin if(VAR22 <= VAR26) case(VAR52) 1'b0:begin if(!VAR47)begin VAR6 <= VAR46; VAR45 <= 1'b1; VAR29<=VAR34; VAR52 <= 1'b1; ack <= 1'b0; end else VAR52 <= 1'b0; end 1'b1:VAR25; endcase end else begin VAR41 <= VAR27; VAR52 <= 1'b0; VAR16 <= 1'b0; end end end VAR27:begin if(VAR16 == 1'b0) VAR42; end else begin ack <= 1'b1; VAR16 <= 1'b0; VAR41 <= VAR19; end end VAR19:begin ack <= 1'b0; VAR48 <= 1'b0; VAR7 <= 1'b0; VAR41 <= VAR18; end default:VAR41 <= VAR18; endcase end end task VAR40; begin case(VAR51) 1'b0:begin if(!VAR47)begin VAR45 <= 1'b1; VAR6[7] <= 1'b1; VAR51 <= 1'b1; end else VAR51 <= 1'b0; end 1'b1:begin if(VAR47)begin VAR16 <= 1'b1; VAR6[7] <= 1'b0; VAR51 <= 1'b0; end else VAR51<= 1'b1; end endcase end endtask task VAR25; begin case(VAR29) VAR36:begin if(!VAR47) begin VAR45 <= 1'b1; VAR29 <= VAR34; end else VAR29 <= VAR36; end VAR34:begin if(!VAR47) begin VAR29 <= VAR10; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR34; end VAR10:begin if(!VAR47) begin VAR29 <= VAR44; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR10; end VAR44:begin if(!VAR47) begin VAR29 <= VAR17; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR44; end VAR17:begin if(!VAR47) begin VAR29 <= VAR53; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR17; end VAR53:begin if(!VAR47) begin VAR29 <= VAR49; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR53; end VAR49:begin if(!VAR47) begin VAR29 <= VAR15; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR49; end VAR15:begin if(!VAR47) begin VAR29 <= VAR54; VAR6 <= VAR6<<1'b1; end else VAR29 <= VAR15; end VAR54:begin if((VAR47 == 1'b0) && (VAR52 == 1'b1) ) begin VAR45 <= 1'b0; VAR29 <= VAR36; VAR52 <= 1'b0; VAR16 <= 1'b1; VAR22 <= VAR22 + 1'b1; ack <= 1'b1; end else if(VAR47==0)begin VAR45 <= 1'b0; VAR29 <= VAR36; VAR52 <= 1'b0; VAR16 <= 1'b1; VAR22 <= VAR22 + 1'b1; end else; end endcase end endtask task VAR24; begin case(VAR35) VAR33:begin VAR35 <= VAR30; VAR5 <= 1'b0; ack <= 1'b0; end VAR30:begin if(VAR47)begin VAR50[7] <= VAR39; VAR35 <= VAR13; end else begin VAR45 <= 1'b0; VAR35 <= VAR30; end end VAR13:begin if(VAR47)begin VAR50[6] <= VAR39; VAR35 <= VAR21; end else VAR35 <= VAR13; end VAR21:begin if(VAR47)begin VAR50[5] <= VAR39; VAR35 <= VAR2; end else VAR35 <= VAR21; end VAR2:begin if(VAR47)begin VAR50[4] <= VAR39; VAR35 <= VAR31; end else VAR35 <= VAR2; end VAR31:begin if(VAR47)begin VAR50[3] <= VAR39; VAR35 <= VAR28; end else VAR35 <= VAR31; end VAR28:begin if(VAR47)begin VAR50[2] <= VAR39; VAR35 <= VAR14; end else VAR35 <= VAR28; end VAR14:begin if(VAR47)begin VAR50[1] <= VAR39; VAR35 <= VAR3; end else VAR35 <= VAR14; end VAR3:begin if(VAR47)begin VAR50[0] <= VAR39; VAR35 <= VAR43; end else VAR35 <= VAR3; end VAR43:begin if(VAR9 == VAR20)begin VAR5 <= 1'b1; VAR45 <= 1'b1; VAR35 <= VAR33; VAR16 <= 1'b1; VAR6[7] <= 1'b1; VAR9 <= VAR9 + 1'b1; ack <= 1'b1; end else begin VAR5 <= 1'b1; VAR45 <= 1'b1; VAR35 <= VAR33; VAR16 <= 1'b1; VAR6[7] <= 1'b0; VAR9 <= VAR9 + 1'b1; ack <= 1'b1; end end default:begin VAR35 <= VAR33; end endcase end endtask task VAR42; begin case(VAR32) 1'b0:begin if(!VAR47)begin VAR45 <= 1'b1; VAR32 <= 1'b1; VAR6[7]<= 1'b0; end else VAR32 <= 1'b0; end 1'b1:begin if(VAR47)begin VAR6[7] <= 1'b1; VAR16 <= 1'b1; VAR32 <= 1'b0; end else VAR32 <=1'b1; end endcase end endtask endmodule
mit
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6t_AO_LVT_TT_210930.v
231,277
module MODULE1 (VAR9, VAR10, VAR5, VAR1, VAR11); output VAR9; input VAR10, VAR5, VAR1, VAR11; wire VAR2, VAR7, VAR6; wire VAR4, VAR8, VAR3; not (VAR4, VAR11); not (VAR6, VAR1); not (VAR7, VAR5); and (VAR8, VAR7, VAR6); not (VAR2, VAR10); and (VAR3, VAR2, VAR6); or (VAR9, VAR3, VAR8, VAR4);
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlygate4sd3/sky130_fd_sc_hd__dlygate4sd3_1.v
2,119
module MODULE1 ( VAR8 , VAR1 , VAR7, VAR4, VAR5 , VAR2 ); output VAR8 ; input VAR1 ; input VAR7; input VAR4; input VAR5 ; input VAR2 ; VAR6 VAR3 ( .VAR8(VAR8), .VAR1(VAR1), .VAR7(VAR7), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8, VAR1 ); output VAR8; input VAR1; supply1 VAR7; supply0 VAR4; supply1 VAR5 ; supply0 VAR2 ; VAR6 VAR3 ( .VAR8(VAR8), .VAR1(VAR1) ); endmodule
apache-2.0
krishna95/LCMQ
tag.v
8,286
module MODULE8(VAR1,VAR4,VAR6); input wire VAR4,VAR6; output wire VAR1; assign VAR1 = (~VAR4)*VAR6 + VAR4*(~VAR6); endmodule module MODULE3(VAR1,VAR4,VAR6); input wire VAR4,VAR6; output wire VAR1; assign VAR1 = VAR4*VAR6; endmodule module MODULE2(VAR1,VAR4); parameter VAR3=163; input wire[0:VAR3-1] VAR4; output wire VAR1; assign VAR1 = ^VAR4; endmodule module MODULE5(VAR1,VAR4,VAR6); parameter VAR3=163; input wire[0:VAR3-1] VAR4,VAR6; output wire[0:VAR3-1] VAR1; MODULE3 MODULE2[0:VAR3-1] (VAR1,VAR4,VAR6); endmodule module MODULE6(VAR1, VAR4, VAR6); parameter VAR3=163; input wire[0:VAR3-1] VAR4, VAR6; output wire[0:VAR3-1] VAR1; MODULE8 MODULE1[0:VAR3-1] (VAR1,VAR4,VAR6); endmodule module MODULE7(VAR1,VAR4,VAR6); parameter VAR3=163; input wire[0:VAR3-1] VAR4,VAR6; output wire VAR1; wire[0:VAR3-1] VAR7; MODULE5 MODULE2(VAR7,VAR4,VAR6); MODULE2 MODULE1(VAR1,VAR7); endmodule module MODULE1(VAR1,VAR4,VAR6,sel); input wire VAR4,VAR6; output wire VAR1; input wire sel; assign VAR1 = (~sel)*VAR4 + (sel&VAR6); endmodule module MODULE4(VAR2,VAR4,clk,reset); parameter VAR3=163; input wire[0:VAR3-1] VAR4; output wire[0:VAR3-1] VAR2; reg[0:VAR3-1] VAR9; input wire clk,reset; assign VAR2=VAR9; begin begin begin begin begin begin begin begin begin begin begin begin begin
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or2/sky130_fd_sc_hdll__or2.blackbox.v
1,235
module MODULE1 ( VAR5, VAR4, VAR1 ); output VAR5; input VAR4; input VAR1; supply1 VAR3; supply0 VAR6; supply1 VAR7 ; supply0 VAR2 ; endmodule
apache-2.0
hightoon/Sora
FPGA/SISO/rtl/pcie_userapp_wrapper/pcie_dma_engine/performance_counters.v
5,431
module MODULE1( input clk, input rst, input VAR3, input VAR10, input VAR17, input VAR2, output reg [31:0] VAR18, output reg [31:0] VAR11, input VAR4, input VAR19 ); wire VAR16; wire VAR6; wire VAR15; wire VAR8; reg VAR5, VAR7; VAR20 VAR12( .clk(clk), .rst(rst), .in(VAR3), .VAR9(VAR16) ); VAR20 VAR14( .clk(clk), .rst(rst), .in(VAR17), .VAR9(VAR6) ); VAR20 VAR13( .clk(clk), .rst(rst), .in(VAR10), .VAR9(VAR15) ); VAR20 VAR1( .clk(clk), .rst(rst), .in(VAR2), .VAR9(VAR8) ); always@(posedge clk)begin if(rst)begin VAR5 <= 1'b0; end else if(VAR16 | VAR15)begin case({VAR16, VAR15, VAR19}) 3'b000,3'b001,3'b110,3'b111:begin VAR5 <= VAR5; end 3'b011:begin VAR5 <= 1'b0; end 3'b100,3'b101,3'b010:begin VAR5 <= 1'b1; end default:begin VAR5 <= 1'b0;end endcase end else begin VAR5 <= VAR5; end end always@(posedge clk)begin if(rst)begin VAR7 <= 1'b0; end else if(VAR6 | VAR8)begin case({VAR6, VAR8, VAR4}) 3'b000,3'b001,3'b110,3'b111:begin VAR7 <= VAR7; end 3'b011:begin VAR7 <= 1'b0; end 3'b100,3'b101,3'b010:begin VAR7 <= 1'b1; end default:begin VAR7 <= 1'b0;end endcase end else begin VAR7 <= VAR7; end end always@(posedge clk)begin if(rst) VAR18[31:0] <= 0; end else if(VAR5) VAR18 <= VAR18 + 1; end always@(posedge clk)begin if(rst) VAR11[31:0] <= 0; end else if(VAR7) VAR11 <= VAR11 + 1; end endmodule
bsd-2-clause
hls-fpga-machine-learning/hls-fpga-machine-learning
hls4ml/templates/vivado_accelerator/alveo/krnl_rtl_src/myproject_kernel.v
8,477
module MODULE1 #( parameter integer VAR28 = 32, parameter integer VAR34 = 6, parameter integer VAR53 = 1, parameter integer VAR38 = 64, parameter integer VAR36 = 32 ) ( input wire VAR59, input wire VAR42, output wire VAR4, input wire VAR20, output wire [VAR38-1:0] VAR33, output wire [VAR53 - 1:0] VAR17, output wire [7:0] VAR24, output wire [2:0] VAR16, output wire [1:0] VAR19, output wire [1:0] VAR60, output wire [3:0] VAR65, output wire [2:0] VAR35, output wire [3:0] VAR30, output wire [3:0] VAR23, output wire VAR15, input wire VAR51, output wire [VAR36-1:0] VAR57, output wire [VAR36/8-1:0] VAR7, output wire VAR39, output wire VAR48, input wire VAR62, output wire [VAR38-1:0] VAR18, output wire [VAR53-1:0] VAR14, output wire [7:0] VAR32, output wire [2:0] VAR9, output wire [1:0] VAR1, output wire [1:0] VAR46, output wire [3:0] VAR37, output wire [2:0] VAR63, output wire [3:0] VAR12, output wire [3:0] VAR44, input wire VAR64, output wire VAR47, input wire [VAR36 - 1:0] VAR13, input wire VAR2, input wire [VAR53 - 1:0] VAR49, input wire [1:0] VAR58, input wire VAR22, output wire VAR31, input wire [1:0] VAR40, input wire [VAR53 - 1:0] VAR8, input wire VAR52, output wire VAR41, input wire [VAR34-1:0] VAR55, input wire VAR50, output wire VAR6, input wire [VAR28-1:0] VAR5, input wire [VAR28/8-1:0] VAR26, input wire VAR45, output wire VAR29, input wire [VAR34-1:0] VAR56, output wire VAR61, input wire VAR27, output wire [VAR28-1:0] VAR21, output wire [1:0] VAR11, output wire VAR10, input wire VAR43, output wire [1:0] VAR54, output wire interrupt ); VAR3 #( .VAR28 ( VAR28 ), .VAR34 ( VAR34 ), .VAR53 ( VAR53 ), .VAR38 ( VAR38 ), .VAR36 ( VAR36 ) ) VAR25 ( .VAR59 ( VAR59 ), .VAR42 ( VAR42 ), .VAR4 ( VAR4 ), .VAR20 ( VAR20 ), .VAR33 ( VAR33 ), .VAR17 ( VAR17 ), .VAR24 ( VAR24 ), .VAR16 ( VAR16 ), .VAR19 ( VAR19 ), .VAR60 ( VAR60 ), .VAR65 ( VAR65 ), .VAR35 ( VAR35 ), .VAR30 ( VAR30 ), .VAR23 ( VAR23 ), .VAR15 ( VAR15 ), .VAR51 ( VAR51 ), .VAR57 ( VAR57 ), .VAR7 ( VAR7 ), .VAR39 ( VAR39 ), .VAR48 ( VAR48 ), .VAR62 ( VAR62 ), .VAR18 ( VAR18 ), .VAR14 ( VAR14 ), .VAR32 ( VAR32 ), .VAR9 ( VAR9 ), .VAR1 ( VAR1 ), .VAR46 ( VAR46 ), .VAR37 ( VAR37 ), .VAR63 ( VAR63 ), .VAR12 ( VAR12 ), .VAR44 ( VAR44 ), .VAR64 ( VAR64 ), .VAR47 ( VAR47 ), .VAR13 ( VAR13 ), .VAR2 ( VAR2 ), .VAR49 ( VAR49 ), .VAR58 ( VAR58 ), .VAR22 ( VAR22 ), .VAR31 ( VAR31 ), .VAR40 ( VAR40 ), .VAR8 ( VAR8 ), .VAR52 ( VAR52 ), .VAR41 ( VAR41 ), .VAR55 ( VAR55 ), .VAR50 ( VAR50 ), .VAR6 ( VAR6 ), .VAR5 ( VAR5 ), .VAR26 ( VAR26 ), .VAR45 ( VAR45 ), .VAR29 ( VAR29 ), .VAR56 ( VAR56 ), .VAR61 ( VAR61 ), .VAR27 ( VAR27 ), .VAR21 ( VAR21 ), .VAR11 ( VAR11 ), .VAR10 ( VAR10 ), .VAR43 ( VAR43 ), .VAR54 ( VAR54 ), .interrupt ( interrupt ) ); endmodule : MODULE1
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3/sky130_fd_sc_hd__or3.functional.pp.v
1,801
module MODULE1 ( VAR10 , VAR7 , VAR13 , VAR11 , VAR12, VAR3, VAR2 , VAR5 ); output VAR10 ; input VAR7 ; input VAR13 ; input VAR11 ; input VAR12; input VAR3; input VAR2 ; input VAR5 ; wire VAR1 ; wire VAR4; or VAR14 (VAR1 , VAR13, VAR7, VAR11 ); VAR8 VAR6 (VAR4, VAR1, VAR12, VAR3); buf VAR9 (VAR10 , VAR4 ); endmodule
apache-2.0
thucoldwind/ucore_mips
CPU32/thinpad_top/thinpad_top.srcs/sources_1/new/if_id.v
1,994
module MODULE1( input wire clk, input wire rst, input wire[31:0] VAR13, input wire[31:0] VAR1, input wire VAR10, input wire VAR5, input wire VAR15, input wire[3:0] VAR18, input wire[31:0] VAR21, output reg[31:0] VAR7, output reg[31:0] VAR9, output reg[3:0] VAR16, output reg[31:0] VAR22, output reg VAR14 ); wire[31:0] VAR20 = VAR7; wire[31:0] VAR12 = VAR9; wire[3:0] VAR4 = VAR16; wire[31:0] VAR17 = VAR22; wire VAR6 = VAR14; always @(posedge clk) begin if (rst == VAR2) begin VAR7 <= VAR11; VAR9 <= VAR11; VAR16 <= VAR19; VAR22 <= VAR11; VAR14 <= VAR8; end else if (VAR5 == VAR3) begin VAR7 <= VAR20; VAR9 <= VAR12; VAR16 <= VAR4; VAR22 <= VAR17; VAR14 <= VAR6; end else if (VAR15 == VAR2) begin VAR7 <= VAR11; VAR9 <= VAR11; VAR16 <= VAR19; VAR22 <= VAR11; VAR14 <= VAR8; end else if (VAR10 == VAR2) begin VAR7 <= VAR20; VAR9 <= VAR12; VAR16 <= VAR4; VAR22 <= VAR17; VAR14 <= VAR6; end else begin VAR7 <= VAR13; VAR9 <= VAR1; VAR16 <= VAR18; VAR22 <= VAR21; VAR14 <= VAR2; end end endmodule
unlicense
bbrown1867/ObjectTracking
hw/common/vga_sync.v
3,333
module MODULE1 #( parameter VAR3 = 11, parameter VAR9 = 11, parameter VAR27 = 1'b1, parameter VAR33 = 56, parameter VAR5 = 120, parameter VAR30 = 64, parameter VAR23 = 800, parameter VAR7 = 37, parameter VAR11 = 6, parameter VAR4 = 23, parameter VAR28 = 600 )( input wire VAR14, input wire VAR15, input wire [9:0] VAR19, input wire [9:0] VAR18, input wire [9:0] VAR17, output wire [(VAR3-1):0] VAR24, output wire [(VAR9-1):0] VAR26, output wire ready, output wire VAR10, output reg [7:0] VAR25, output reg [7:0] VAR1, output reg [7:0] VAR32, output reg VAR31, output reg VAR8, output wire VAR20, output wire VAR21 ); localparam VAR2 = VAR33+VAR5+VAR30; localparam VAR22 = VAR33+VAR5+VAR30+VAR23; localparam VAR16 = VAR7+VAR11+VAR4; localparam VAR6 = VAR7+VAR11+VAR4+VAR28; reg [(VAR3-1):0] VAR29; reg [(VAR9-1):0] VAR12; reg VAR13; always @(posedge VAR14) begin VAR13 = VAR27; end assign VAR10 = ~VAR14; assign VAR24 = (VAR29 >= VAR2) ? VAR29 - VAR2 : 'd0; assign VAR26 = (VAR12 >= VAR16) ? VAR12 - VAR16 : 'd0; always @(posedge VAR14 or negedge VAR15) begin if (~VAR15) begin VAR29 <= 'd0; VAR31 <= VAR13 ? 1'b0 : 1'b1; end else begin if (VAR29 < VAR22) VAR29 <= VAR29 + 1; end else VAR29 <= 0; if (VAR29 == VAR33-1) VAR31 <= VAR13 ? 1'b1 : 1'b0; if (VAR29 == VAR33+VAR5-1) VAR31 <= VAR13 ? 1'b0 : 1'b1; end end always @(posedge VAR31 or negedge VAR15) begin if (~VAR15) begin VAR12 <= 'd0; VAR8 <= VAR13 ? 1'b0 : 1'b1; end else begin if (VAR12 < VAR6) VAR12 <= VAR12 + 1; end else VAR12 <= 0; if (VAR12 == VAR7-1) VAR8 <= VAR13 ? 1'b1 : 1'b0; if (VAR12 == VAR7+VAR11-1) VAR8 <= VAR13 ? 1'b0 : 1'b1; end end always @(posedge VAR14 or negedge VAR15) begin if (~VAR15) begin VAR25 <= 8'd0; VAR32 <= 8'd0; VAR1 <= 8'd0; end else if ((VAR29 < VAR2) | (VAR12 < VAR16)) begin VAR25 <= 8'd0; VAR32 <= 8'd0; VAR1 <= 8'd0; end else begin VAR25 <= VAR19[9:2]; VAR32 <= VAR17[9:2]; VAR1 <= VAR18[9:2]; end end assign VAR20 = ~((VAR29 < VAR2) | (VAR12 < VAR16)); assign VAR21 = 1'b1; assign ready = ((VAR29 >= VAR2 & VAR29 < VAR22) & (VAR12 >= VAR16 & VAR12 < VAR6)); endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v
8,853
module MODULE1 #(parameter VAR23(VAR83 ) ,parameter VAR23(VAR31 ) ,parameter VAR23(VAR50 ) ,parameter VAR23(VAR41 ) ,parameter VAR24 = 0 ,parameter VAR66 = 1 ,parameter VAR4 = VAR68(VAR31) ) (input VAR56 , input VAR19 , input VAR2 , input [VAR4-1:0] VAR35 , input [ VAR83-1:0] VAR42 , output VAR20 , output [VAR31-1:0] VAR58 , input [VAR31-1:0] VAR60 , output [VAR31-1:0] [VAR83-1:0] VAR91 ); localparam VAR76 = VAR68(VAR50); genvar VAR27; logic [VAR31-1:0][VAR76-1:0] VAR69, VAR15; logic [VAR31-1:0] VAR12, VAR63; logic [VAR31-1:0] VAR55, VAR54; logic [VAR31-1:0][VAR68(VAR41)-1:0] VAR64; logic [VAR31-1:0] VAR103; wire VAR97; wire VAR21 = VAR2; wire [VAR4-1:0] VAR9, VAR88; logic [VAR31-1:0] VAR26; wire VAR45, VAR79, VAR57; wire [VAR31-1:0 ] VAR5; VAR1 #(.VAR31(VAR31)) VAR18 (.VAR56 ,.VAR19 ,.VAR2 ,.VAR35 ,.VAR20 ,.VAR58(VAR5) ,.VAR33(~VAR12) ); assign VAR55 = (~VAR12) & (VAR5); for (VAR27 = 0; VAR27 < VAR31; VAR27=VAR27+1) begin: VAR39 if (VAR24[VAR27]) begin : VAR90 assign VAR15 [VAR27] = 0; assign VAR69 [VAR27] = 0; assign VAR12 [VAR27] = 1'b0; assign VAR63[VAR27] = 1'b1; assign VAR64[VAR27] = 0; end else begin : VAR87 VAR52 #(.VAR50(VAR50) ) VAR89 (.VAR56 ,.VAR19 ,.VAR100 (VAR55 [VAR27]) ,.VAR34 (VAR54 [VAR27]) ,.VAR43(VAR15[VAR27]) ,.VAR61(VAR69[VAR27]) ,.VAR37 (VAR12 [VAR27]) ,.VAR82 (VAR63 [VAR27]) ); VAR86 #(.VAR38 (VAR41) ,.VAR70(VAR41) ) VAR81 (.VAR56 ,.VAR19 ,.VAR106 (VAR60[VAR27] ) ,.VAR17 (VAR26[VAR27] & VAR79) ,.VAR7 (VAR64[VAR27] ) ); assign VAR103[VAR27] = |VAR64[VAR27]; end end VAR65 #(.VAR14(VAR31)) VAR53 (.VAR56 ,.VAR19 ,.VAR77 (1'b1) ,.VAR74 (VAR103 & ~VAR63) ,.VAR75(VAR36 ) ,.VAR30() ,.VAR40 (VAR97 ) ,.VAR85 (VAR9 ) ,.VAR60 (VAR79 & VAR97 ) ); wire [VAR4+VAR76-1:0] VAR32 = { VAR35, VAR15[VAR35] }; wire [VAR4+VAR76-1:0] VAR104 = { VAR9, VAR69[VAR9] }; wire [VAR83-1:0] VAR16; wire VAR44 = VAR24[VAR35]; if (VAR66) begin : 1rw assign VAR45 = VAR21 & ~VAR44; assign VAR79 = ~VAR21 & VAR97; VAR47 #(.VAR83(VAR83) ,.VAR50(VAR50 ) ) VAR71 (.VAR56 ,.VAR19 ,.VAR42(VAR42) ,.VAR67(VAR45 ? VAR95 : VAR72) ,.VAR105 (VAR45 | VAR79) ,.VAR102 (VAR45) ,.VAR91(VAR16) ); end else begin : 1r1w assign VAR45 = VAR21 & ~VAR44; assign VAR79 = VAR6; VAR78 #(.VAR83(VAR83) ,.VAR50 (VAR50 ) ) VAR71 (.VAR56 ,.VAR19 ,.VAR80 (VAR45 ) ,.VAR84 (VAR95) ,.VAR92 (VAR42 ) ,.VAR3 (VAR79 ) ,.VAR22 (VAR72) ,.VAR11 (VAR16) ); end VAR8 #(.VAR83((VAR79)+(VAR9)), .VAR70(0)) VAR49 (.VAR56,.VAR19 ,.VAR42({VAR79, VAR9 }) ,.VAR91({VAR94, VAR88}) ); wire VAR99; wire [VAR31-1:0] VAR98 = VAR60 & ~VAR24; wire [VAR31-1:0] VAR62; wire [VAR31-1:0] [VAR83-1:0] VAR46; VAR101 #(.VAR83 (VAR83) ,.VAR31 (VAR31) ,.VAR50 (VAR50) ,.VAR24(VAR24) ) VAR59 (.VAR56 ,.VAR19 ,.VAR2(VAR94 ) ,.VAR35 (VAR88) ,.VAR42 (VAR16) ,.VAR20 (VAR99) ,.VAR58(VAR62) ,.VAR60 (VAR98 ) ,.VAR91 (VAR46 ) ); assign VAR58 = VAR62 | (VAR24 & VAR5); for (VAR27 = 0; VAR27 < VAR31; VAR27=VAR27+1) begin: VAR93 assign VAR91 [VAR27] = VAR24[VAR27] ? VAR42 : VAR46; end VAR29 @(negedge VAR56) assert(VAR99 == VAR94) else ("%VAR73 VAR28; VAR25 VAR12 VAR48 VAR13; VAR10 VAR96 not VAR51?"); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o31ai/sky130_fd_sc_ms__o31ai.blackbox.v
1,347
module MODULE1 ( VAR5 , VAR9, VAR6, VAR7, VAR2 ); output VAR5 ; input VAR9; input VAR6; input VAR7; input VAR2; supply1 VAR1; supply0 VAR8; supply1 VAR3 ; supply0 VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/fa/sky130_fd_sc_hs__fa.symbol.v
1,255
module MODULE1 ( input VAR3 , input VAR6 , input VAR7 , output VAR5, output VAR1 ); supply1 VAR4; supply0 VAR2; endmodule
apache-2.0
alanachtenberg/CSCE-350
Project 2/SingleCycleProc.v
6,100
module MODULE1(VAR26, VAR22, VAR17, VAR2); input VAR22, VAR26; input [31:0] VAR17; output [31:0] VAR2; wire [31:0] VAR38; wire [31:0] VAR46; VAR36 VAR45(VAR38, VAR38, VAR22, VAR17,VAR26); VAR16 VAR15(VAR38, VAR46); wire VAR49, VAR33, VAR47, VAR39, VAR37, VAR13, VAR9, VAR28, VAR30; wire [3:0]VAR35; VAR41 VAR11(VAR49, VAR33, VAR47, VAR39, VAR37, VAR13, VAR9, VAR28, VAR30, VAR35, VAR46[31:26]); wire [3:0] VAR4; VAR29 VAR12(VAR4, VAR35,VAR46[5:0]); wire [4:0] VAR10; VAR25 VAR3(VAR46[20:16], VAR46[15:11], VAR49, VAR10 ); wire [31:0]VAR23, VAR34, VAR32; VAR48 VAR19(VAR23, VAR34, VAR32, VAR46[25:21],VAR46[20:16], VAR10, VAR39, VAR26, VAR22); wire [31:0]VAR7; VAR14 VAR21(VAR46[15:0], VAR7); wire [31:0] VAR8, VAR42; wire VAR27; VAR43 VAR44(VAR34, VAR7, VAR33, VAR8); VAR1 VAR31( VAR23, VAR8, VAR4, VAR32, VAR6, 1'b0, VAR5, VAR27 ); endmodule module MODULE2 (VAR26); parameter VAR18 = 0, VAR40 = 50, VAR24 = 50, VAR20 = VAR40+VAR24; output VAR26; reg VAR26;
gpl-2.0
hydai/Verilog-Practice
HardwareLab/Lab5/LED7SEG.v
1,064
module MODULE1(VAR5, VAR4,VAR6, VAR2, VAR7, VAR1, clk); input clk; input [3:0] VAR2; input [3:0] VAR6; input [3:0] VAR1; input [3:0] VAR7; output reg [3:0] VAR5; output [7:0] VAR4; reg [3:0] VAR3; always @ ( posedge clk) begin case(VAR5) 4'b0111: begin VAR3 <= VAR2; VAR5 <= 4'b1011; end 4'b1011: begin VAR3 <= VAR7; VAR5 <= 4'b1101; end 4'b1101: begin VAR3 <= VAR1; VAR5 <= 4'b1110; end 4'b1110: begin VAR3 <= VAR6; VAR5 <= 4'b0111; end default begin VAR5 <= 4'b0111; end endcase end assign VAR4 = (VAR3==4'h0) ? 8'hC0 : (VAR3==4'h1) ? 8'hF9 : (VAR3==4'h2) ? 8'h24 : (VAR3==4'h3) ? 8'h30 : (VAR3==4'h4) ? 8'h19 : (VAR3==4'h5) ? 8'h12 : (VAR3==4'h6) ? 8'h02 : (VAR3==4'h7) ? 8'hF8 : (VAR3==4'h8) ? 8'h00 : (VAR3==4'h9) ? 8'h10 : 8'hFF ; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a222oi/sky130_fd_sc_hs__a222oi.functional.pp.v
2,316
module MODULE1 ( VAR20 , VAR3 , VAR21 , VAR15 , VAR7 , VAR18 , VAR17 , VAR2, VAR1 ); output VAR20 ; input VAR3 ; input VAR21 ; input VAR15 ; input VAR7 ; input VAR18 ; input VAR17 ; input VAR2; input VAR1; wire VAR7 VAR13 ; wire VAR7 VAR9 ; wire VAR7 VAR10 ; wire VAR5 ; wire VAR6; nand VAR11 (VAR13 , VAR21, VAR3 ); nand VAR4 (VAR9 , VAR7, VAR15 ); nand VAR14 (VAR10 , VAR17, VAR18 ); and VAR8 (VAR5 , VAR13, VAR9, VAR10); VAR12 VAR19 (VAR6, VAR5, VAR2, VAR1 ); buf VAR16 (VAR20 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfxbp/sky130_fd_sc_hd__sdfxbp.behavioral.v
2,477
module MODULE1 ( VAR24 , VAR23, VAR10, VAR25 , VAR6, VAR8 ); output VAR24 ; output VAR23; input VAR10; input VAR25 ; input VAR6; input VAR8; supply1 VAR14; supply0 VAR22; supply1 VAR13 ; supply0 VAR20 ; wire VAR3 ; wire VAR19 ; reg VAR26 ; wire VAR4 ; wire VAR7; wire VAR15; wire VAR9; wire VAR12 ; wire VAR5 ; wire VAR1 ; wire VAR18 ; VAR27 VAR2 (VAR19, VAR4, VAR7, VAR15 ); VAR16 VAR21 (VAR3 , VAR19, VAR9, VAR26, VAR14, VAR22); assign VAR12 = ( VAR14 === 1'b1 ); assign VAR5 = ( ( VAR15 === 1'b0 ) && VAR12 ); assign VAR1 = ( ( VAR15 === 1'b1 ) && VAR12 ); assign VAR18 = ( ( VAR4 !== VAR7 ) && VAR12 ); buf VAR17 (VAR24 , VAR3 ); not VAR11 (VAR23 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or2b/sky130_fd_sc_hdll__or2b.functional.v
1,354
module MODULE1 ( VAR5 , VAR7 , VAR2 ); output VAR5 ; input VAR7 ; input VAR2; wire VAR3 ; wire VAR4; not VAR6 (VAR3 , VAR2 ); or VAR1 (VAR4, VAR3, VAR7 ); buf VAR8 (VAR5 , VAR4 ); endmodule
apache-2.0
mahdienan/Spongent
verilog/SpongentHash.v
2,914
module MODULE1(clk, rst, en, VAR10, VAR1); input rst; input clk; input en; output reg VAR10; output reg [87:0] VAR1; reg [263:0] state; reg [512:0] VAR9; reg [ 87:0] VAR21; reg [ 31:0] VAR8, VAR19; reg VAR5; reg [263:0] VAR13; reg VAR16; reg VAR14; wire VAR18; wire [263:0] VAR6; VAR12 VAR11 ( .VAR15(VAR13), .VAR20(VAR6), .clk(clk), .rst(VAR14), .en(VAR16), .VAR10(VAR18) ); always @ (posedge clk or posedge rst) begin if (rst) begin VAR10 = 0; state = 0; VAR9 = {"VAR4 VAR17 VAR2 VAR3 VAR22", 8'h80, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00}; VAR19 = 4; VAR5 = 1; VAR14 = rst; VAR13 = 0; VAR16 = 0; VAR21 = 0; end else if (en) begin VAR14 = 0; if (VAR5) begin VAR5 = 0; if (VAR19 > 0) begin for (VAR8 = 0; VAR8 < VAR7*8; VAR8 = VAR8+8) begin state[VAR8+:8] = state[VAR8+:8] ^ VAR9[VAR19 * 88 - (VAR8+8) +:8]; end VAR13 = state; VAR16 = 1; end else begin for (VAR8 = 0; VAR8 < VAR7*8; VAR8 = VAR8+8) begin VAR21[VAR8+:8] = VAR21[VAR8+:8] ^ state[VAR8 +:8]; end VAR1 = VAR21; VAR10 = 1; end end if (VAR18) begin state = VAR6; VAR14 = 1; if (VAR19 > 0) begin VAR19 = VAR19 - 1; VAR5 = 1; end end end end endmodule
gpl-2.0
vad-rulezz/megabot
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_pm.v
7,260
module MODULE1( clk, rst, VAR8, VAR11, VAR26, VAR15, VAR27, VAR2, VAR10, VAR14, VAR29, VAR3, VAR28, VAR21, VAR19, VAR6, VAR23 ); input clk; input rst; input VAR8; input VAR11; input [31:0] VAR26; input [31:0] VAR15; output [31:0] VAR27; input VAR10; output [3:0] VAR2; output VAR14; output VAR29; output VAR3; output VAR28; output VAR21; output VAR19; output VAR6; output VAR23; reg [3:0] VAR5; reg VAR7; reg VAR22; reg VAR18; wire VAR16; assign VAR16 = (VAR26[VAR4] == VAR12) ? 1'b1 : 1'b0; assign VAR16 = ((VAR26[VAR4] == VAR12) && (VAR26[VAR24] == VAR13)) ? 1'b1 : 1'b0; always @(posedge clk or posedge rst) if (rst) {VAR18, VAR22, VAR7, VAR5} <= 7'b0; else if (VAR16 && VAR11) begin VAR5 <= VAR15[VAR1]; VAR7 <= VAR15[VAR20]; VAR22 <= VAR15[VAR9]; VAR18 <= VAR15[VAR25]; end else if (VAR8) begin VAR7 <= 1'b0; VAR22 <= 1'b0; end assign VAR27[VAR1] = VAR5; assign VAR27[VAR20] = VAR7; assign VAR27[VAR9] = VAR22; assign VAR27[VAR25] = VAR18; assign VAR27[VAR17] = 25'b0; assign VAR2 = VAR5; assign VAR19 = (VAR7 | VAR22) & ~VAR8; assign VAR14 = VAR19; assign VAR29 = VAR19; assign VAR3 = VAR19; assign VAR28 = VAR19; assign VAR21 = VAR22 & ~VAR8; assign VAR6 = VAR8; assign VAR23 = VAR19 | VAR10; assign VAR2 = 4'b0; assign VAR19 = 1'b0; assign VAR14 = 1'b0; assign VAR29 = 1'b0; assign VAR3 = 1'b0; assign VAR28 = 1'b0; assign VAR21 = 1'b0; assign VAR6 = 1'b1; assign VAR23 = 1'b0; assign VAR27[VAR1] = 4'b0; assign VAR27[VAR20] = 1'b0; assign VAR27[VAR9] = 1'b0; assign VAR27[VAR25] = 1'b0; assign VAR27[VAR17] = 25'b0; endmodule
gpl-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/new/riffa_wrapper_vc709.v
34,657
module MODULE1 parameter VAR59 = 128, parameter VAR224 = 256, parameter VAR130 = 5 ) ( input VAR274, input VAR58, input [VAR59-1:0] VAR254, input [(VAR59/32)-1:0] VAR297, input [VAR111-1:0] VAR253, output VAR106, input VAR71, input VAR298, input [VAR59-1:0] VAR214, input [(VAR59/32)-1:0] VAR141, input [VAR57-1:0] VAR63, output VAR286, input VAR99, output VAR186, output VAR270, output [VAR59-1:0] VAR255, output [(VAR59/32)-1:0] VAR268, output [VAR223-1:0] VAR21, input VAR171, output VAR315, output VAR83, output [VAR59-1:0] VAR199, output [(VAR59/32)-1:0] VAR26, output [VAR206-1:0] VAR245, input VAR148, input VAR86, output [3:0] VAR14, output [1:0] VAR66, input [1:0] VAR183, input VAR95, input [31:0] VAR174, output [3:0] VAR89, output [31:0] VAR225, output [63:0] VAR110, input VAR261, input VAR182, output [2:0] VAR44, output VAR37, output [1:0] VAR278, output [8:0] VAR318, output [2:0] VAR166, input [7:0] VAR294, input [11:0] VAR67, output [2:0] VAR122, input [3:0] VAR221, input [2:0] VAR38, input [2:0] VAR238, input [2:0] VAR101, input [7:0] VAR235, input [1:0] VAR125, output VAR84, output VAR72, input [VAR184-1:0] VAR300, output [VAR184-1:0] VAR304, input [VAR184-1:0] VAR247, output [VAR184-1:0] VAR197, output [(VAR184*VAR204)-1:0] VAR290, output [(VAR184*VAR264)-1:0] VAR19, output [(VAR184*VAR59)-1:0] VAR239, output [VAR184-1:0] VAR201, input [VAR184-1:0] VAR15, input [VAR184-1:0] VAR51, input [VAR184-1:0] VAR212, output [VAR184-1:0] VAR22, input [VAR184-1:0] VAR124, input [(VAR184*VAR204)-1:0] VAR258, input [(VAR184*VAR264)-1:0] VAR271, input [(VAR184*VAR59)-1:0] VAR70, input [VAR184-1:0] VAR114, output [VAR184-1:0] VAR252 ); localparam VAR172 = "VAR128"; localparam VAR152 = VAR224 * 2; localparam VAR213 = "VAR267"; localparam VAR263 = VAR59 / 32; localparam VAR143 = 1; localparam VAR118 = 1; wire clk; wire VAR200; wire [VAR59-1:0] VAR108; wire VAR1; wire VAR283; wire [(VAR59/32)-1:0] VAR229; wire [VAR132(VAR59/32)-1:0] VAR129; wire [VAR314-1:0] VAR191; wire VAR93; wire [VAR132(VAR59/32)-1:0] VAR47; wire [VAR24-1:0] VAR165; wire [VAR65-1:0] VAR301; wire [VAR249-1:0] VAR193; wire [VAR285-1:0] VAR167; wire [VAR178-1:0] VAR145; wire [VAR8-1:0] VAR240; wire [VAR104-1:0] VAR92; wire VAR260; wire [VAR59-1:0] VAR96; wire VAR94; wire [(VAR59/32)-1:0] VAR46; wire VAR115; wire [VAR132(VAR59/32)-1:0] VAR211; wire [VAR314-1:0] VAR133; wire VAR17; wire [VAR132(VAR59/32)-1:0] VAR222; wire [VAR24-1:0] VAR230; wire [VAR82-1:0] VAR293; wire [VAR216-1:0] VAR194; wire [VAR65-1:0] VAR196; wire [VAR285-1:0] VAR97; wire [VAR113-1:0] VAR153; wire [VAR91-1:0] VAR291; wire [VAR100-1:0] VAR159; wire [VAR178-1:0] VAR6; wire VAR54; wire VAR2; wire [VAR59-1:0] VAR251; wire VAR312; wire [VAR132(VAR59/32)-1:0] VAR109; wire VAR272; wire [VAR132(VAR59/32)-1:0] VAR185; wire VAR160; wire VAR232; wire [VAR314-1:0] VAR279; wire [VAR24-1:0] VAR243; wire [VAR249-1:0] VAR306; wire [VAR285-1:0] VAR116; wire [VAR178-1:0] VAR32; wire [VAR8-1:0] VAR313; wire [VAR65-1:0] VAR7; wire [VAR100-1:0] VAR161; wire [VAR82-1:0] VAR142; wire [VAR216-1:0] VAR157; wire VAR192; wire VAR233; wire VAR121; wire VAR265; wire [VAR59-1:0] VAR226; wire VAR257; wire [VAR132(VAR59/32)-1:0] VAR188; wire VAR169; wire [VAR132(VAR59/32)-1:0] VAR187; wire VAR31; wire VAR190; wire [VAR314-1:0] VAR126; wire [VAR24-1:0] VAR20; wire [VAR113-1:0] VAR74; wire [VAR178-1:0] VAR33; wire [VAR65-1:0] VAR138; wire [VAR82-1:0] VAR308; wire [VAR216-1:0] VAR150; wire [VAR285-1:0] VAR168; wire VAR303; wire VAR218; wire VAR112; wire VAR131; wire [VAR59-1:0] VAR202 = 0; wire VAR250 = 0; wire [VAR154-1:0] VAR179 = 0; wire VAR275 = 0; wire [VAR154-1:0] VAR195 = 0; wire VAR284 = 0; wire [VAR91-1:0] VAR39 = 0; wire VAR256 = 0; wire [VAR59-1:0] VAR289; wire VAR276; wire [VAR154-1:0] VAR140; wire VAR241; wire [VAR154-1:0] VAR147; wire VAR134; wire VAR3; wire [VAR104-1:0] VAR36; wire VAR273; wire VAR64; wire [VAR127-1:0] VAR90; wire [VAR234-1:0] VAR320; wire [VAR28-1:0] VAR30; wire [VAR78-1:0] VAR296; wire [VAR236-1:0] VAR49; wire [VAR18-1:0] VAR76; wire VAR61; wire VAR11; genvar VAR175; assign clk = VAR148; assign VAR200 = VAR86; assign VAR36 = 0; assign VAR3 = VAR235[2]; assign VAR320 = {2'b00,VAR221}; assign VAR90 = VAR38[2]? 2'b11 : VAR38[2] ? 2'b10 : 2'b01; assign VAR30 = VAR238; assign VAR296 = VAR101; assign VAR273 = VAR125[0]; assign VAR64 = VAR183[0]; assign VAR49 = VAR67; assign VAR76 = VAR294; assign VAR122 = 3'b001; assign VAR225 = {31'b0,VAR61}; assign VAR89 = 0; assign VAR14 = 0; assign VAR66 = 0; assign VAR89 = 0; assign VAR110 = {63'b0,VAR61}; assign VAR44 = 0; assign VAR37 = 0; assign VAR318 = 0; assign VAR278 = 0; assign VAR166 = 0; assign VAR11 = VAR261 & ~VAR182; assign VAR84 = 1; VAR217 .VAR130 (VAR130), .VAR118 (VAR118), .VAR143 (VAR143), .VAR317 (VAR224/4), .VAR213 (VAR213)) VAR288 ( .VAR319 (VAR108[VAR59-1:0]), .VAR136 (VAR229[(VAR59/32)-1:0]), .VAR242 (VAR1), .VAR151 (VAR283), .VAR88 (VAR129[VAR132(VAR59/32)-1:0]), .VAR29 (VAR191[VAR314-1:0]), .VAR80 (VAR93), .VAR163 (VAR47[VAR132(VAR59/32)-1:0]), .VAR210 (VAR165[VAR24-1:0]), .VAR203 (VAR301[VAR65-1:0]), .VAR55 (VAR193[VAR249-1:0]), .VAR162 (VAR167[VAR285-1:0]), .VAR103 (VAR145[VAR178-1:0]), .VAR307 (VAR240[VAR8-1:0]), .VAR280 (VAR92[VAR104-1:0]), .VAR209 (VAR260), .VAR120 (VAR96[VAR59-1:0]), .VAR244 (VAR46[(VAR59/32)-1:0]), .VAR42 (VAR94), .VAR98 (VAR115), .VAR262 (VAR211[VAR132(VAR59/32)-1:0]), .VAR155 (VAR17), .VAR156 (VAR222[VAR132(VAR59/32)-1:0]), .VAR12 (VAR133[VAR314-1:0]), .VAR52 (VAR230[VAR24-1:0]), .VAR287 (VAR293[VAR82-1:0]), .VAR281 (VAR194[VAR216-1:0]), .VAR35 (VAR196[VAR65-1:0]), .VAR27 (VAR97[VAR285-1:0]), .VAR123 (VAR153[VAR113-1:0]), .VAR45 (VAR291[VAR91-1:0]), .VAR164 (VAR159[VAR100-1:0]), .VAR173 (VAR6[VAR178-1:0]), .VAR56 (VAR54), .VAR137 (VAR160), .VAR34 (VAR233), .VAR266 (VAR121), .VAR259 (VAR31), .VAR299 (VAR218), .VAR309 (VAR112), .VAR144 (VAR289), .VAR73 (VAR134), .VAR292 (VAR241), .VAR79 (VAR147), .VAR68 (VAR276), .VAR237 (VAR140), .VAR81 (VAR131), .VAR311 (clk), .VAR23 (VAR200), .VAR43 (VAR36[VAR104-1:0]), .VAR69 (VAR2), .VAR146 (VAR251[VAR59-1:0]), .VAR135 (VAR312), .VAR170 (VAR109[VAR132(VAR59/32)-1:0]), .VAR41 (VAR272), .VAR302 (VAR185[VAR132(VAR59/32)-1:0]), .VAR40 (VAR232), .VAR5 (VAR279[VAR314-1:0]), .VAR220 (VAR243[VAR24-1:0]), .VAR277 (VAR306[VAR249-1:0]), .VAR181 (VAR116[VAR285-1:0]), .VAR25 (VAR32[VAR178-1:0]), .VAR50 (VAR313[VAR8-1:0]), .VAR117 (VAR7[VAR65-1:0]), .VAR215 (VAR161[VAR100-1:0]), .VAR149 (VAR142[VAR82-1:0]), .VAR219 (VAR157[VAR216-1:0]), .VAR177 (VAR192), .VAR105 (VAR265), .VAR60 (VAR226[VAR59-1:0]), .VAR85 (VAR257), .VAR62 (VAR188[VAR132(VAR59/32)-1:0]), .VAR231 (VAR169), .VAR9 (VAR187[VAR132(VAR59/32)-1:0]), .VAR189 (VAR190), .VAR295 (VAR126[VAR314-1:0]), .VAR77 (VAR20[VAR24-1:0]), .VAR10 (VAR74[VAR113-1:0]), .VAR102 (VAR33[VAR178-1:0]), .VAR176 (VAR138[VAR65-1:0]), .VAR310 (VAR308[VAR82-1:0]), .VAR282 (VAR150[VAR216-1:0]), .VAR227 (VAR168[VAR285-1:0]), .VAR207 (VAR303), .VAR87 (VAR202), .VAR248 (VAR284), .VAR13 (VAR275), .VAR158 (VAR195), .VAR107 (VAR250), .VAR316 (VAR179), .VAR75 (VAR39), .VAR53 (VAR256), .VAR106 (VAR106), .VAR286 (VAR286), .VAR186 (VAR186), .VAR270 (VAR270), .VAR255 (VAR255[VAR59-1:0]), .VAR268 (VAR268[(VAR59/32)-1:0]), .VAR21 (VAR21[VAR223-1:0]), .VAR315 (VAR315), .VAR83 (VAR83), .VAR199 (VAR199[VAR59-1:0]), .VAR26 (VAR26[(VAR59/32)-1:0]), .VAR245 (VAR245[VAR206-1:0]), .VAR274 (VAR274), .VAR58 (VAR58), .VAR254 (VAR254[VAR59-1:0]), .VAR297 (VAR297[(VAR59/32)-1:0]), .VAR253 (VAR253[VAR111-1:0]), .VAR71 (VAR71), .VAR298 (VAR298), .VAR214 (VAR214[VAR59-1:0]), .VAR141 (VAR141[(VAR59/32)-1:0]), .VAR63 (VAR63[VAR57-1:0]), .VAR99 (VAR99), .VAR171 (VAR171)); VAR16 .VAR59 (VAR59), .VAR184 (VAR184), .VAR152 (VAR152), .VAR213 (VAR213), .VAR172 (VAR172)) VAR119 ( .VAR146 (VAR251[VAR59-1:0]), .VAR69 (VAR2), .VAR135 (VAR312), .VAR170 (VAR109[VAR132(VAR59/32)-1:0]), .VAR41 (VAR272), .VAR302 (VAR185[VAR132(VAR59/32)-1:0]), .VAR40 (VAR232), .VAR5 (VAR279[VAR314-1:0]), .VAR220 (VAR243[VAR24-1:0]), .VAR277 (VAR306[VAR249-1:0]), .VAR181 (VAR116[VAR285-1:0]), .VAR25 (VAR32[VAR178-1:0]), .VAR50 (VAR313[VAR8-1:0]), .VAR117 (VAR7[VAR65-1:0]), .VAR215 (VAR161[VAR100-1:0]), .VAR149 (VAR142[VAR82-1:0]), .VAR219 (VAR157[VAR216-1:0]), .VAR177 (VAR192), .VAR105 (VAR265), .VAR60 (VAR226[VAR59-1:0]), .VAR85 (VAR257), .VAR62 (VAR188[VAR132(VAR59/32)-1:0]), .VAR231 (VAR169), .VAR9 (VAR187[VAR132(VAR59/32)-1:0]), .VAR189 (VAR190), .VAR295 (VAR126[VAR314-1:0]), .VAR77 (VAR20[VAR24-1:0]), .VAR10 (VAR74[VAR113-1:0]), .VAR102 (VAR33[VAR178-1:0]), .VAR176 (VAR138[VAR65-1:0]), .VAR310 (VAR308[VAR82-1:0]), .VAR282 (VAR150[VAR216-1:0]), .VAR227 (VAR168[VAR285-1:0]), .VAR207 (VAR303), .VAR208 (VAR61), .VAR311 (clk), .VAR23 (VAR200), .VAR120 (VAR96[VAR59-1:0]), .VAR42 (VAR94), .VAR98 (VAR115), .VAR262 (VAR211[VAR132(VAR59/32)-1:0]), .VAR244 (VAR46[(VAR59/32)-1:0]), .VAR155 (VAR17), .VAR156 (VAR222[VAR132(VAR59/32)-1:0]), .VAR12 (VAR133[VAR314-1:0]), .VAR52 (VAR230[VAR24-1:0]), .VAR287 (VAR293[VAR82-1:0]), .VAR281 (VAR194[VAR216-1:0]), .VAR35 (VAR196[VAR65-1:0]), .VAR27 (VAR97[VAR285-1:0]), .VAR123 (VAR153[VAR113-1:0]), .VAR45 (VAR291[VAR91-1:0]), .VAR164 (VAR159[VAR100-1:0]), .VAR173 (VAR6[VAR178-1:0]), .VAR56 (VAR54), .VAR242 (VAR1), .VAR319 (VAR108[VAR59-1:0]), .VAR151 (VAR283), .VAR88 (VAR129[VAR132(VAR59/32)-1:0]), .VAR136 (VAR229[(VAR59/32)-1:0]), .VAR80 (VAR93), .VAR163 (VAR47[VAR132(VAR59/32)-1:0]), .VAR29 (VAR191[VAR314-1:0]), .VAR210 (VAR165[VAR24-1:0]), .VAR203 (VAR301[VAR65-1:0]), .VAR55 (VAR193[VAR249-1:0]), .VAR162 (VAR167[VAR285-1:0]), .VAR103 (VAR145[VAR178-1:0]), .VAR307 (VAR240[VAR8-1:0]), .VAR280 (VAR92[VAR104-1:0]), .VAR209 (VAR260), .VAR137 (VAR160), .VAR34 (VAR233), .VAR266 (VAR121), .VAR259 (VAR31), .VAR299 (VAR218), .VAR309 (VAR112), .VAR43 (VAR36[VAR104-1:0]), .VAR246 (VAR3), .VAR305 (VAR320[VAR234-1:0]), .VAR228 (VAR90[VAR127-1:0]), .VAR205 (VAR296[VAR78-1:0]), .VAR4 (VAR30[VAR28-1:0]), .VAR48 (VAR64), .VAR198 (VAR273), .VAR269 (VAR49[VAR236-1:0]), .VAR180 (VAR76[VAR18-1:0]), .VAR139 (VAR11), .VAR72 (VAR72), .VAR304 (VAR304[VAR184-1:0]), .VAR197 (VAR197[VAR184-1:0]), .VAR290 (VAR290[(VAR184*32)-1:0]), .VAR19 (VAR19[(VAR184*31)-1:0]), .VAR239 (VAR239[(VAR184*VAR59)-1:0]), .VAR201 (VAR201[VAR184-1:0]), .VAR22 (VAR22[VAR184-1:0]), .VAR252 (VAR252[VAR184-1:0]), .VAR300 (VAR300[VAR184-1:0]), .VAR247 (VAR247[VAR184-1:0]), .VAR15 (VAR15[VAR184-1:0]), .VAR51 (VAR51[VAR184-1:0]), .VAR212 (VAR212[VAR184-1:0]), .VAR124 (VAR124[VAR184-1:0]), .VAR258 (VAR258[(VAR184*32)-1:0]), .VAR271 (VAR271[(VAR184*31)-1:0]), .VAR70 (VAR70[(VAR184*VAR59)-1:0]), .VAR114 (VAR114[VAR184-1:0])); endmodule
gpl-3.0
scalable-networks/ext
uhd/fpga/usrp2/opencores/spi/rtl/verilog/spi_clgen.v
4,984
module MODULE1 (VAR3, rst, VAR2, enable, VAR6, VAR4, VAR1, posedge, negedge); input VAR3; input rst; input enable; input VAR2; input VAR6; input [VAR5-1:0] VAR4; output VAR1; output posedge; output negedge; reg VAR1; reg posedge; reg negedge; reg [VAR5-1:0] VAR8; wire VAR7; wire VAR9; assign VAR7 = VAR8 == {VAR5{1'b0}}; assign VAR9 = VAR8 == {{VAR5-1{1'b0}}, 1'b1}; always @(posedge VAR3) begin if(rst) VAR8 <= {VAR5{1'b1}}; end else begin if(!enable || VAR7) VAR8 <= VAR4; end else VAR8 <= VAR8 - {{VAR5-1{1'b0}}, 1'b1}; end end always @(posedge VAR3) begin if(rst) VAR1 <= 1'b0; end else VAR1 <= (enable && VAR7 && (!VAR6 || VAR1)) ? ~VAR1 : VAR1; end always @(posedge VAR3) begin if(rst) begin posedge <= 1'b0; negedge <= 1'b0; end else begin posedge <= (enable && !VAR1 && VAR9) || (!(|VAR4) && VAR1) || (!(|VAR4) && VAR2 && !enable); negedge <= (enable && VAR1 && VAR9) || (!(|VAR4) && !VAR1 && enable); end end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21ai/sky130_fd_sc_lp__o21ai_lp.v
2,269
module MODULE1 ( VAR9 , VAR8 , VAR10 , VAR2 , VAR3, VAR4, VAR5 , VAR6 ); output VAR9 ; input VAR8 ; input VAR10 ; input VAR2 ; input VAR3; input VAR4; input VAR5 ; input VAR6 ; VAR7 VAR1 ( .VAR9(VAR9), .VAR8(VAR8), .VAR10(VAR10), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR8, VAR10, VAR2 ); output VAR9 ; input VAR8; input VAR10; input VAR2; supply1 VAR3; supply0 VAR4; supply1 VAR5 ; supply0 VAR6 ; VAR7 VAR1 ( .VAR9(VAR9), .VAR8(VAR8), .VAR10(VAR10), .VAR2(VAR2) ); endmodule
apache-2.0
borti4938/sd2snes
verilog/sd2snes_obc1/msu_databuf.v
9,160
module MODULE1 ( VAR35, VAR39, VAR17, VAR6, VAR38, VAR27); input VAR35; input [7:0] VAR39; input [13:0] VAR17; input [13:0] VAR6; input VAR38; output [7:0] VAR27; tri1 VAR35; tri0 VAR38; wire [7:0] VAR4; wire [7:0] VAR27 = VAR4[7:0]; VAR25 VAR29 ( .VAR20 (VAR6), .VAR26 (VAR17), .VAR1 (VAR35), .VAR43 (VAR39), .VAR58 (VAR38), .VAR36 (VAR4), .VAR16 (1'b0), .VAR59 (1'b0), .VAR54 (1'b0), .VAR49 (1'b0), .VAR41 (1'b1), .VAR15 (1'b1), .VAR3 (1'b1), .VAR21 (1'b1), .VAR42 (1'b1), .VAR60 (1'b1), .VAR44 (1'b1), .VAR48 ({8{1'b1}}), .VAR9 (), .VAR19 (), .VAR52 (1'b1), .VAR24 (1'b1), .VAR7 (1'b0)); VAR29.VAR30 = "VAR33", VAR29.VAR10 = "VAR12", VAR29.VAR50 = "VAR45", VAR29.VAR8 = "VAR45", VAR29.VAR56 = "VAR45", VAR29.VAR51 = "VAR18 VAR46 VAR5", VAR29.VAR13 = "VAR25", VAR29.VAR2 = 16384, VAR29.VAR22 = 16384, VAR29.VAR57 = "VAR53", VAR29.VAR32 = "VAR33", VAR29.VAR55 = "VAR12", VAR29.VAR31 = "VAR14", VAR29.VAR28 = "VAR40", VAR29.VAR37 = 14, VAR29.VAR23 = 14, VAR29.VAR34 = 8, VAR29.VAR47 = 8, VAR29.VAR11 = 1; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/maj3/sky130_fd_sc_lp__maj3_1.v
2,174
module MODULE1 ( VAR6 , VAR9 , VAR4 , VAR10 , VAR3, VAR2, VAR8 , VAR7 ); output VAR6 ; input VAR9 ; input VAR4 ; input VAR10 ; input VAR3; input VAR2; input VAR8 ; input VAR7 ; VAR5 VAR1 ( .VAR6(VAR6), .VAR9(VAR9), .VAR4(VAR4), .VAR10(VAR10), .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR6, VAR9, VAR4, VAR10 ); output VAR6; input VAR9; input VAR4; input VAR10; supply1 VAR3; supply0 VAR2; supply1 VAR8 ; supply0 VAR7 ; VAR5 VAR1 ( .VAR6(VAR6), .VAR9(VAR9), .VAR4(VAR4), .VAR10(VAR10) ); endmodule
apache-2.0
lbl-cal/StanfordNoC
router/src/clib/c_clkgate.v
1,893
module MODULE1 (clk, VAR3, VAR1); input clk; input VAR3; output VAR1; wire VAR1; reg VAR2; always @(clk, VAR3) begin if(clk == 0) VAR2 <= VAR3; end assign VAR1 = clk & VAR2; endmodule
bsd-2-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/sdffrnq/gf180mcu_fd_sc_mcu7t5v0__sdffrnq_2.behavioral.v
18,312
module MODULE1( VAR182, VAR88, VAR152, VAR144, VAR112, VAR179 ); input VAR144, VAR152, VAR112, VAR182, VAR88; output VAR179; reg VAR153; VAR146 VAR43(.VAR182(VAR182),.VAR88(VAR88),.VAR152(VAR152),.VAR144(VAR144),.VAR112(VAR112),.VAR179(VAR179),.VAR153(VAR153)); VAR146 VAR220(.VAR182(VAR182),.VAR88(VAR88),.VAR152(VAR152),.VAR144(VAR144),.VAR112(VAR112),.VAR179(VAR179),.VAR153(VAR153)); not VAR37(VAR266,VAR152); and VAR222(VAR270,VAR112,VAR266); not VAR17(VAR4,VAR182); and VAR163(VAR249,VAR4,VAR270); not VAR267(VAR280,VAR88); and VAR114(VAR234,VAR280,VAR249); not VAR256(VAR62,VAR152); and VAR59(VAR135,VAR112,VAR62); not VAR216(VAR219,VAR182); and VAR30(VAR160,VAR219,VAR135); and VAR134(VAR73,VAR88,VAR160); not VAR290(VAR185,VAR152); and VAR116(VAR1,VAR112,VAR185); and VAR210(VAR246,VAR182,VAR1); not VAR48(VAR70,VAR88); and VAR190(VAR122,VAR70,VAR246); not VAR265(VAR214,VAR152); and VAR98(VAR207,VAR112,VAR214); and VAR206(VAR55,VAR182,VAR207); and VAR264(VAR27,VAR88,VAR55); and VAR119(VAR141,VAR112,VAR152); not VAR12(VAR22,VAR182); and VAR132(VAR274,VAR22,VAR141); not VAR117(VAR300,VAR88); and VAR165(VAR125,VAR300,VAR274); and VAR133(VAR251,VAR112,VAR152); not VAR205(VAR52,VAR182); and VAR33(VAR245,VAR52,VAR251); and VAR226(VAR204,VAR88,VAR245); and VAR201(VAR57,VAR112,VAR152); and VAR297(VAR202,VAR182,VAR57); not VAR169(VAR6,VAR88); and VAR108(VAR41,VAR6,VAR202); and VAR301(VAR35,VAR112,VAR152); and VAR106(VAR145,VAR182,VAR35); and VAR24(VAR13,VAR88,VAR145); not VAR94(VAR177,VAR182); and VAR79(VAR257,VAR177,VAR112); not VAR239(VAR71,VAR88); and VAR87(VAR44,VAR71,VAR257); not VAR295(VAR293,VAR182); and VAR286(VAR31,VAR293,VAR112); and VAR150(VAR284,VAR88,VAR31); not VAR34(VAR235,VAR152); and VAR170(VAR68,VAR182,VAR235); and VAR243(VAR136,VAR88,VAR68); not VAR225(VAR260,VAR182); and VAR262(VAR115,VAR260,VAR152); not VAR102(VAR228,VAR88); and VAR281(VAR197,VAR228,VAR115); not VAR10(VAR39,VAR182); and VAR75(VAR53,VAR39,VAR152); and VAR28(VAR194,VAR88,VAR53); and VAR159(VAR96,VAR182,VAR152); and VAR250(VAR237,VAR88,VAR96); not VAR107(VAR166,VAR144); not VAR90(VAR203,VAR152); and VAR294(VAR259,VAR203,VAR166); not VAR291(VAR65,VAR182); and VAR63(VAR93,VAR65,VAR259); not VAR213(VAR50,VAR88); and VAR47(VAR54,VAR50,VAR93); not VAR61(VAR58,VAR144); not VAR242(VAR78,VAR152); and VAR91(VAR36,VAR78,VAR58); not VAR7(VAR20,VAR182); and VAR232(VAR126,VAR20,VAR36); and VAR254(VAR147,VAR88,VAR126); not VAR181(VAR248,VAR144); not VAR83(VAR140,VAR152); and VAR223(VAR155,VAR140,VAR248); and VAR131(VAR285,VAR182,VAR155); not VAR111(VAR275,VAR88); and VAR40(VAR60,VAR275,VAR285); not VAR84(VAR45,VAR144); not VAR180(VAR21,VAR152); and VAR49(VAR209,VAR21,VAR45); and VAR263(VAR104,VAR182,VAR209); and VAR282(VAR99,VAR88,VAR104); not VAR217(VAR211,VAR144); and VAR72(VAR124,VAR152,VAR211); not VAR247(VAR80,VAR182); and VAR156(VAR56,VAR80,VAR124); not VAR193(VAR221,VAR88); and VAR110(VAR23,VAR221,VAR56); not VAR271(VAR14,VAR144); and VAR118(VAR128,VAR152,VAR14); not VAR287(VAR187,VAR182); and VAR32(VAR74,VAR187,VAR128); and VAR229(VAR92,VAR88,VAR74); not VAR38(VAR299,VAR144); and VAR167(VAR255,VAR152,VAR299); and VAR289(VAR233,VAR182,VAR255); not VAR82(VAR215,VAR88); and VAR127(VAR199,VAR215,VAR233); not VAR148(VAR137,VAR144); and VAR208(VAR25,VAR152,VAR137); and VAR283(VAR277,VAR182,VAR25); and VAR241(VAR196,VAR88,VAR277); not VAR174(VAR278,VAR152); and VAR173(VAR252,VAR278,VAR144); not VAR130(VAR236,VAR182); and VAR268(VAR11,VAR236,VAR252); not VAR200(VAR258,VAR88); and VAR164(VAR139,VAR258,VAR11); not VAR195(VAR109,VAR152); and VAR100(VAR89,VAR109,VAR144); not VAR157(VAR158,VAR182); and VAR19(VAR81,VAR158,VAR89); and VAR218(VAR51,VAR88,VAR81); not VAR66(VAR288,VAR152); and VAR103(VAR183,VAR288,VAR144); and VAR5(VAR230,VAR182,VAR183); not VAR188(VAR175,VAR88); and VAR113(VAR16,VAR175,VAR230); not VAR272(VAR120,VAR152); and VAR8(VAR143,VAR120,VAR144); and VAR154(VAR292,VAR182,VAR143); and VAR18(VAR227,VAR88,VAR292); and VAR142(VAR296,VAR152,VAR144); not VAR149(VAR129,VAR182); and VAR69(VAR85,VAR129,VAR296); not VAR26(VAR302,VAR88); and VAR95(VAR244,VAR302,VAR85); and VAR191(VAR198,VAR152,VAR144); not VAR151(VAR212,VAR182); and VAR261(VAR46,VAR212,VAR198); and VAR67(VAR178,VAR88,VAR46); and VAR186(VAR121,VAR152,VAR144); and VAR276(VAR76,VAR182,VAR121); not VAR42(VAR176,VAR88); and VAR161(VAR123,VAR176,VAR76); and VAR171(VAR105,VAR152,VAR144); and VAR279(VAR64,VAR182,VAR105); and VAR2(VAR273,VAR88,VAR64); not VAR101(VAR240,VAR152); and VAR172(VAR77,VAR112,VAR240); and VAR15(VAR138,VAR88,VAR77); and VAR9(VAR162,VAR112,VAR152); not VAR253(VAR238,VAR88); and VAR269(VAR86,VAR238,VAR162); not VAR189(VAR97,VAR152); and VAR192(VAR3,VAR112,VAR97); and VAR168(VAR298,VAR182,VAR3); and VAR184(VAR224,VAR112,VAR152); and VAR231(VAR29,VAR182,VAR224);
apache-2.0
fpgasystems/caribou
hw/src/net/vc709_10g_interface.v
17,567
module MODULE1( input VAR160, input VAR23, input reset, input VAR113, inout VAR40, inout VAR129, output VAR51, output VAR164, input VAR58, input VAR133, output VAR101, output VAR115, input VAR93, input VAR108, output VAR135, output VAR45, input VAR163, input VAR47, output VAR18, output VAR87, input VAR95, input VAR55, output VAR104, output VAR140, input VAR83, input VAR89, output[63:0] VAR13, output VAR10, output VAR8, output VAR7, output[7:0] VAR155, input VAR69, input[63:0] VAR148, input VAR171, input VAR32, input VAR3, input[7:0] VAR22, output VAR166, output[3:0] VAR16, output VAR76, output VAR149, output VAR77, output [7:0] VAR68 ); wire VAR121; wire VAR74; wire[7:0] VAR34; wire[7:0] VAR75; wire[7:0] VAR167; wire[7:0] VAR65; wire VAR24; wire VAR57; wire VAR125; wire VAR136; wire VAR152; wire VAR112; wire VAR85; wire VAR31; wire VAR1; wire VAR2; wire VAR99; wire VAR141; wire VAR41; wire VAR49; wire VAR5; wire VAR66; wire VAR159; wire VAR27; wire VAR88; wire VAR145; wire VAR103; wire VAR17; wire VAR9; wire VAR97; assign VAR77 = ~VAR99; wire[63:0] VAR60; wire VAR168; wire VAR70; wire VAR116; wire[7:0] VAR39; wire VAR106; wire[63:0] VAR139; wire VAR72; wire VAR173; wire[7:0] VAR61; wire VAR150; wire[63:0] VAR110; wire VAR82; wire VAR157; wire VAR50; wire[7:0] VAR52; wire VAR81; wire[63:0] VAR94; wire VAR98; wire VAR14; wire[7:0] VAR96; wire VAR86; wire[63:0] VAR130; wire VAR21; wire VAR38; wire VAR63; wire[7:0] VAR147; wire VAR143; wire[63:0] VAR123; wire VAR153; wire VAR107; wire[7:0] VAR111; wire VAR80; assign VAR76 = VAR17; assign VAR149 = VAR121; VAR42 #( .VAR62 ("VAR37"), .VAR102 ("VAR100") ) VAR151 ( .VAR131 (VAR160 ), .VAR78 (VAR23 ), .VAR156 (VAR74 ) ); VAR158 VAR165 ( .VAR156 (VAR121), .VAR131 (VAR74) ); wire VAR48; reg [1:0] VAR119 = 2'b00; always @(posedge VAR121) VAR119 <= VAR119 + 1'b1; VAR158 VAR43 ( .VAR131 (VAR119[1]), .VAR156 (VAR48 ) ); VAR35 VAR128 ( .VAR40 (VAR40 ), .VAR129 (VAR129 ), .VAR51 (VAR51 ), .VAR164 (VAR164 ), .rst (reset ), .VAR48 (VAR48 ) ); wire[7:0] VAR46; wire VAR15; assign VAR46 = 8'h00; assign VAR15 = 1'b1; VAR36 VAR71 ( .VAR64 (VAR17), .reset(reset), .VAR113(VAR113), .VAR44 (VAR9), .VAR137 (VAR57), .VAR169 (VAR125), .VAR91 (VAR24), .VAR4 (VAR66), .VAR126 (VAR159), .VAR27 (VAR27), .VAR31 (VAR31), .VAR145 (VAR145), .VAR103 (VAR103), .VAR154 (VAR1), .VAR105 (VAR136), .VAR12 (VAR146), .VAR19 (VAR122), .VAR88 (VAR88), .VAR6 (VAR141), .VAR134(VAR101), .VAR30(VAR115), .VAR79(VAR93), .VAR59(VAR108), .VAR90(VAR148), .VAR33(VAR171), .VAR162(VAR32), .VAR124(1'b0), .VAR20(VAR22), .VAR118(VAR166), .VAR67(VAR13), .VAR170(VAR10), .VAR144(VAR7), .VAR54(VAR8), .VAR11(VAR155), .VAR26(VAR69), .VAR99(VAR99), .VAR2(VAR2), .VAR15(VAR15), .VAR46(VAR46), .VAR29(), .VAR127(VAR34) ); VAR117 VAR120 ( .VAR131 (VAR58), .VAR78 (VAR133), .VAR156 (VAR97 ), .VAR84 (1'b0 ), .VAR28 ( ) ); assign VAR41 = 1'b1; assign VAR49 = 1'b1; assign VAR5 = 1'b1; VAR161 #( .VAR132 ("VAR37" ) ) VAR138 ( .VAR24 (VAR24), .VAR57 (VAR57), .VAR125 (VAR125), .VAR105 (VAR136), .VAR109 (VAR92), .VAR12 (VAR146), .VAR19 (VAR122), .VAR25 (VAR142), .VAR31 (VAR31), .VAR154 (VAR1), .VAR2 (VAR2), .VAR99 (VAR99), .VAR141 (VAR141), .VAR41 (VAR41), .VAR49 (VAR49), .VAR5 (VAR5), .VAR66 (VAR66), .VAR159 (VAR159), .VAR27 (VAR27), .VAR88 (VAR88), .VAR145 (VAR145), .VAR103 (VAR103), .VAR64 (VAR17 ), .VAR56 (reset), .VAR44 (VAR9 ), .VAR172 (VAR97 ) ); assign VAR16 = 4'b0000; localparam VAR114 = 26; reg [VAR114-1:0] VAR53; reg [VAR114-1:0] VAR73; always @(posedge VAR17) begin VAR53 <= VAR53 + {{(VAR114-1){1'b0}}, 1'b1}; end assign VAR68[0] = VAR53[VAR114-1]; assign VAR68[1] = VAR73[VAR114-1]; assign VAR68[2] = reset; assign VAR68[3] = VAR99; assign VAR68[4] = VAR34[0]; assign VAR68[5] = VAR75[0]; assign VAR68[6] = VAR167[0]; assign VAR68[7] = VAR65[0]; endmodule
gpl-3.0
BigEd/beeb816
debug_rtl/level1b_mk2_m.v
4,464
module MODULE1 ( input [15:0] VAR31, input VAR32, input VAR36, input VAR37, input VAR40, input VAR9, input VAR14, input VAR5, input VAR43, inout [7:0] VAR35, inout [7:0] VAR19, inout [5:0] VAR15, inout VAR34, inout VAR30, inout VAR38, output VAR22, output VAR17, output VAR26, output VAR3, output VAR20, output VAR28, output VAR18, output VAR1, output [15:8] VAR23, output VAR8, output VAR27, output VAR16, output VAR33 ); reg [7:0] VAR2; reg [7:0] VAR39; wire VAR6; wire VAR11; wire VAR29; wire VAR10; wire VAR25; wire VAR24; VAR21 VAR4 ( .VAR42(VAR14), .VAR12(VAR6)); VAR21 VAR11 ( .VAR42(VAR6), .VAR12(VAR11)); VAR21 VAR41 ( .VAR42(VAR11), .VAR12(VAR29)); VAR21 VAR10 ( .VAR42(VAR29), .VAR12(VAR10)); VAR21 VAR13 ( .VAR42(VAR10), .VAR12(VAR25)); VAR21 VAR24 ( .VAR42(VAR25), .VAR12(VAR24)); assign VAR27 = VAR6; assign VAR16 = VAR11; assign VAR27 = VAR29; assign VAR16 = VAR10; assign VAR27 = VAR25; assign VAR16 = VAR24; assign VAR33 = VAR16; assign VAR1 = VAR9 & VAR40; assign VAR34 = 1'VAR7; assign VAR38 = 1'VAR7; assign VAR30 = 1'VAR7; assign { VAR18, VAR28, VAR20, VAR15[2], VAR15[1]} = 0 ; assign { VAR26, VAR15[0], VAR17} = 3'b111; assign VAR22 = 1'b1; assign VAR23 = VAR31[15:8]; assign VAR8 = VAR43 ; assign VAR15[5] = ( !VAR43 & VAR16); assign VAR15[4] = ( VAR43 & VAR33); assign VAR19 = ( !VAR43 & VAR16) ? VAR39 : { 8{1'VAR7}}; assign VAR19 = ( !VAR43 & VAR16) ? VAR35 : { 8{1'VAR7}}; assign VAR35 = ( VAR43 & VAR33 ) ? VAR2 : {8{1'VAR7}}; assign VAR35 = ( VAR43 & VAR33 ) ? VAR19 : {8{1'VAR7}}; always @ ( * ) if ( VAR16 ) VAR2 <= VAR19; always @ ( * ) if ( VAR33 ) VAR39 <= VAR35; endmodule
lgpl-2.1
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_pll_0.v
6,255
module MODULE1( input wire VAR185, input wire rst, output wire VAR198, output wire VAR212, input wire VAR145 ); VAR206 #( .VAR28("false"), .VAR57("50.0 VAR225"), .VAR74(32), .VAR54("1storder"), .VAR112("VAR98"), .VAR39(1), .VAR192("400.000000 VAR225"), .VAR19("0 VAR91"), .VAR107(50), .VAR183("0 VAR225"), .VAR106("0 VAR91"), .VAR90(50), .VAR161("0 VAR225"), .VAR121("0 VAR91"), .VAR137(50), .VAR86("0 VAR225"), .VAR66("0 VAR91"), .VAR35(50), .VAR44("0 VAR225"), .VAR41("0 VAR91"), .VAR131(50), .VAR15("0 VAR225"), .VAR231("0 VAR91"), .VAR143(50), .VAR232("0 VAR225"), .VAR217("0 VAR91"), .VAR194(50), .VAR211("0 VAR225"), .VAR75("0 VAR91"), .VAR130(50), .VAR48("0 VAR225"), .VAR13("0 VAR91"), .VAR49(50), .VAR52("0 VAR225"), .VAR207("0 VAR91"), .VAR55(50), .VAR215("0 VAR225"), .VAR196("0 VAR91"), .VAR197(50), .VAR47("0 VAR225"), .VAR125("0 VAR91"), .VAR92(50), .VAR88("0 VAR225"), .VAR202("0 VAR91"), .VAR109(50), .VAR153("0 VAR225"), .VAR110("0 VAR91"), .VAR177(50), .VAR1("0 VAR225"), .VAR141("0 VAR91"), .VAR222(50), .VAR182("0 VAR225"), .VAR43("0 VAR91"), .VAR219(50), .VAR200("0 VAR225"), .VAR89("0 VAR91"), .VAR227(50), .VAR148("0 VAR225"), .VAR135("0 VAR91"), .VAR134(50), .VAR73("VAR37 VAR10"), .VAR188("VAR162"), .VAR103(4), .VAR30(4), .VAR116(256), .VAR72(256), .VAR102("false"), .VAR178("true"), .VAR208("false"), .VAR87("false"), .VAR104(256), .VAR61(256), .VAR158(1), .VAR85(0), .VAR113("VAR117"), .VAR223("true"), .VAR65("false"), .VAR230(1), .VAR120(1), .VAR4(1), .VAR45(0), .VAR14("VAR117"), .VAR68("true"), .VAR122("false"), .VAR155(1), .VAR218(1), .VAR11(1), .VAR149(0), .VAR140("VAR117"), .VAR81("true"), .VAR187("false"), .VAR229(1), .VAR97(1), .VAR22(1), .VAR210(0), .VAR118("VAR117"), .VAR25("true"), .VAR205("false"), .VAR51(1), .VAR17(1), .VAR105(1), .VAR58(0), .VAR166("VAR117"), .VAR2("true"), .VAR6("false"), .VAR214(1), .VAR99(1), .VAR133(1), .VAR93(0), .VAR59("VAR117"), .VAR132("true"), .VAR18("false"), .VAR204(1), .VAR195(1), .VAR179(1), .VAR7(0), .VAR184("VAR117"), .VAR5("true"), .VAR221("false"), .VAR150(1), .VAR23(1), .VAR186(1), .VAR20(0), .VAR21("VAR117"), .VAR9("true"), .VAR167("false"), .VAR40(1), .VAR170(1), .VAR176(1), .VAR165(0), .VAR3("VAR117"), .VAR42("true"), .VAR111("false"), .VAR64(1), .VAR70(1), .VAR156(1), .VAR38(0), .VAR213("VAR117"), .VAR209("true"), .VAR228("false"), .VAR151(1), .VAR138(1), .VAR126(1), .VAR180(0), .VAR191("VAR117"), .VAR175("true"), .VAR82("false"), .VAR220(1), .VAR29(1), .VAR69(1), .VAR63(0), .VAR79("VAR117"), .VAR26("true"), .VAR50("false"), .VAR146(1), .VAR33(1), .VAR190(1), .VAR77(0), .VAR67("VAR117"), .VAR154("true"), .VAR159("false"), .VAR108(1), .VAR16(1), .VAR129(1), .VAR173(0), .VAR101("VAR117"), .VAR181("true"), .VAR115("false"), .VAR60(1), .VAR76(1), .VAR160(1), .VAR144(0), .VAR27("VAR117"), .VAR56("true"), .VAR203("false"), .VAR224(1), .VAR157(1), .VAR174(1), .VAR36(0), .VAR83("VAR117"), .VAR163("true"), .VAR216("false"), .VAR152(1), .VAR32(1), .VAR53(1), .VAR128(0), .VAR8("VAR117"), .VAR189("true"), .VAR119("false"), .VAR201(1), .VAR46(1), .VAR127(1), .VAR124(0), .VAR100("VAR117"), .VAR164("true"), .VAR96("false"), .VAR171(2), .VAR168(20), .VAR226(4000), .VAR123("400.0 VAR225"), .VAR31("1"), .VAR169("VAR199"), .VAR193("VAR80"), .VAR62("VAR142"), .VAR24("VAR117"), .VAR172("false"), .VAR136("100.0 VAR225"), .VAR139("true"), .VAR95("false"), .VAR84("true"), .VAR71("VAR12"), .VAR147(0) ) VAR78 ( .VAR145 (VAR145), .rst (rst), .VAR34 ({VAR198}), .VAR212 (VAR212), .VAR114 ( ), .VAR94 (1'b0), .VAR185 (VAR185) ); endmodule
gpl-3.0
jameshegarty/rigel
platform/camera1x/vsrc/tribuf_ctrl.v
6,004
module MODULE1( input VAR27, input VAR36, input VAR21, input VAR1, input [31:0] VAR7, input [31:0] VAR49, input VAR6, output reg VAR37, input VAR20, output [31:0] VAR32, output [31:0] VAR33, output reg VAR4, input VAR11, output reg VAR40, input VAR38, output [31:0] VAR22, output [31:0] VAR31, output reg VAR39, output [1:0] VAR34, output [1:0] VAR47, output [1:0] VAR24, output [1:0] VAR16 ); wire VAR42; assign VAR42 = VAR21; wire [31:0] VAR23; wire [31:0] VAR2; wire [31:0] VAR43; assign VAR23 = VAR49[31:0]; assign VAR2 = VAR49[31:0] + VAR7[31:0] ; assign VAR43 = VAR49[31:0] + (VAR7[31:0] << 1); reg [1:0] VAR9; reg [1:0] VAR18; reg [1:0] VAR26; reg [1:0] VAR12; reg [1:0] VAR45; assign VAR34 = VAR9; assign VAR47 = VAR18; assign VAR32 = VAR7[31:0]; assign VAR33 = (VAR9==2'h0) ? VAR23 : (VAR9==2'h1) ? VAR2 : VAR43 ; assign VAR22 = VAR7[31:0]; assign VAR31 = (VAR18==2'h0) ? VAR23 : (VAR18==2'h1) ? VAR2 : VAR43 ; reg [1:0] VAR25; reg [1:0] VAR17; assign VAR24 = VAR25; reg VAR35; VAR14(VAR27, VAR35, 0, VAR1 ? 1 : (VAR21 ? 0 : VAR35)) localparam VAR29=0, VAR19=1, VAR46=2; always @ begin case(VAR48) VAR29 : begin VAR3 = VAR42 ? VAR19 : VAR29 ; VAR40 = 0; VAR39 = 0; end VAR19 : begin VAR3 = VAR11 && VAR38 ? VAR46 : VAR19; VAR40 = VAR11 && VAR38 ? 1 : 0 ; VAR39 = 0; end VAR46 : begin VAR3 = VAR38 ? VAR19 : VAR46; VAR40 = 0; VAR39 = VAR38 ? 1 : 0 ; end default : begin VAR3 = VAR29 ; VAR40 = 0; VAR39 = 0; end endcase end VAR14(VAR27, VAR48, VAR29, VAR3) VAR14(VAR27, VAR18, 2'h0, VAR40 ? VAR12 : VAR18) localparam VAR8=2'h0, VAR15=2'h1, VAR30=2'h2, VAR28=2'h3; reg [1:0] VAR44[2:0]; always @(posedge VAR27 or negedge VAR36) begin if(!VAR36) begin VAR44[0] <= VAR8; VAR44[1] <= VAR8; VAR44[2] <= VAR8; end else begin if (VAR37) begin VAR44[VAR26] <= VAR28 ; end if (VAR4) begin VAR44[VAR9] <= VAR30 ; if (VAR44[VAR45] == VAR30) begin VAR44[VAR45] <= VAR8 ; end end if (VAR40) begin VAR44[VAR12] <= VAR15 ; end if (VAR39) begin VAR44[VAR18] <= VAR8 ; end end end wire [1:0] VAR13; wire [1:0] VAR5; assign VAR13 = (VAR9==2'h2) ? 2'h0 : (VAR9+1'b1); assign VAR5 = (VAR9==2'h0) ? 2'h2 : (VAR9-1'b1); always @ begin if (VAR44[VAR41]==VAR30) begin VAR12 = VAR41; end else if (VAR44[VAR10]==VAR30) begin VAR12 = VAR10; end else begin VAR12 = VAR18 ; end end endmodule : MODULE1
mit
onchipuis/mriscv_vivado
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/phy/mig_7series_v4_0_ddr_phy_ocd_lim.v
21,190
module MODULE1 # (parameter VAR16 = 7, parameter VAR56 = 3, parameter VAR93 = 9, parameter VAR57 = 100, parameter VAR20 = 56, parameter VAR80 = 60, parameter VAR103 = "VAR11") ( VAR52, VAR18, VAR70, VAR53, VAR33, VAR97, VAR72, VAR54, VAR82, VAR21, VAR68, VAR22, clk, rst, VAR87, VAR51, VAR36, VAR45, VAR44, VAR62, VAR86, VAR24, VAR35, VAR94, VAR8, VAR90, VAR5 ); function [VAR16:0] VAR25 (input [VAR16-1:0] VAR10, input [VAR16-1:0] VAR28, input integer VAR13); begin VAR25 = (VAR10>=VAR28) ? VAR10-VAR28 : VAR10+VAR13[VAR16-1:0]-VAR28; end endfunction input clk; input rst; input VAR87; input VAR51; input [VAR16-1:0] VAR36; input [VAR16-1:0] VAR45; input [VAR16-1:0] VAR44; input [VAR16-1:0] VAR62; input [5:0] VAR86; input [5:0] VAR24; input [5:0] VAR35; input VAR94; input VAR8; input VAR90; input [VAR56:0] VAR5; output VAR52; output VAR18; output VAR70; output VAR53; output VAR33; output VAR97; output VAR72; output VAR54; output VAR82; output [5:0] VAR21; output [5:0] VAR68; output [255:0] VAR22; localparam VAR49 = 360/VAR80; localparam VAR88 = VAR20/VAR49; localparam VAR60 = 15; localparam VAR19 = 14'b00000000000001; localparam VAR42 = 14'b00000000000010; localparam VAR106 = 14'b00000000000100; localparam VAR102 = 14'b00000000001000; localparam VAR89 = 14'b00000000010000; localparam VAR71 = 14'b00000000100000; localparam VAR39 = 14'b00000001000000; localparam VAR43 = 14'b00000010000000; localparam VAR65 = 14'b00000100000000; localparam VAR104 = 14'b00001000000000; localparam VAR26 = 14'b00010000000000; localparam VAR23 = 14'b00100000000000; localparam VAR48 = 14'b01000000000000; localparam VAR81 = 14'b10000000000000; reg [5:0] VAR77; reg [13:0] VAR92; reg VAR31; reg VAR27; reg VAR6; reg VAR83; reg VAR79; reg VAR105; reg VAR101; reg [3:0] VAR64; reg [5:0] VAR96; reg [5:0] VAR14; reg [5:0] VAR61; reg [5:0] VAR67; reg [VAR93*6-1:0] VAR12; reg [VAR93*6-1:0] VAR55; reg [VAR93*6-1:0] VAR91; reg [VAR93*6-1:0] VAR50; reg [5:0] VAR34; reg [5:0] VAR17; reg VAR29; reg VAR76; reg VAR59; reg VAR58; reg VAR41; reg VAR95; reg VAR108; reg VAR63; reg VAR107; reg VAR3; reg VAR66; reg VAR4; reg [VAR16:0] VAR46; reg [VAR16:0] VAR84; reg [VAR16:0] VAR85; reg VAR69; reg [13:0] VAR2; reg VAR38; reg VAR7; reg VAR98; reg VAR40; reg VAR32; reg VAR47; reg VAR74; reg VAR15; reg VAR99; reg VAR9; reg VAR73; reg VAR75; reg VAR100; reg VAR1; reg VAR78; wire [VAR16:0] VAR37 = VAR25 (VAR84, VAR46, VAR20); wire [VAR16:0] VAR30 = VAR25 (VAR46, VAR85, VAR20); assign VAR22[0+:VAR93*6] = VAR55[VAR93*6-1:0]; assign VAR22[54+:VAR93*6] = VAR50[VAR93*6-1:0]; assign VAR22[255:108] = 'd0; assign VAR52 = VAR6; assign VAR18 = VAR83; assign VAR53 = VAR27; assign VAR70 = VAR79; assign VAR68 = VAR61; assign VAR21 = VAR67; assign VAR97 = VAR63; assign VAR33 = VAR107; assign VAR54 = VAR3; assign VAR72 = VAR66; assign VAR82 = VAR69; always @(posedge clk) begin if ((VAR92 == VAR106) || (VAR92 == VAR89) || (VAR92 == VAR42)) end else end always @(posedge clk) begin if (!VAR105) begin end else begin if (VAR64 != VAR60 - 1) begin end else begin end end end always @(posedge clk) begin if (rst) end else end always @(posedge clk) begin if (rst) end else if (VAR94) end else end always @(posedge clk) begin if (rst) begin end else begin if (VAR77 > (('d63 - VAR24)/2)) end else if (VAR77 < 'd63 - ((VAR24)/2)) end else end end always @(posedge clk) begin if (rst) end else if ((VAR92 == VAR19) || (VAR92 == VAR42)) else if (VAR92 == VAR71) else if (VAR92 == VAR39) end always @(posedge clk) begin if (rst) end else if ((VAR92 == VAR19) || (VAR92 == VAR42)) else if (VAR92 == VAR43) else if (VAR92 == VAR65) end always @(posedge clk) begin if (rst) begin end else if (VAR8 && !VAR29) begin if (VAR96 == VAR34) if (VAR96 == VAR17) end end always @(posedge clk) begin if (rst) begin end else if (VAR76) begin if (VAR96 == VAR34) end else end else begin if (VAR96 == VAR17) end else end end always @(posedge clk) begin if (rst) begin end else if (VAR58 && !VAR41) begin if (VAR94) end else end else if (VAR87 && !VAR31) end always @(posedge clk) begin if (rst) begin end else if (VAR95 && !VAR108) begin if (VAR94) end else end else if (VAR87 && !VAR31) end always @(*) begin VAR2 = VAR92; VAR38 = VAR27; VAR7 = VAR6; VAR98 = VAR83; VAR40 = VAR79; VAR32 = VAR76; VAR47 = VAR59; VAR74 = VAR58; VAR15 = VAR95; VAR99 = VAR63; VAR9 = VAR107; VAR75 = VAR66; VAR73 = VAR3; VAR100 = VAR4; VAR1 = VAR69; case(VAR92) VAR19: begin if (VAR87 && !VAR31) begin VAR2 = VAR42; VAR32 = 1'b1; VAR47 = 1'b1; VAR100 = 1'b1; VAR1 = 1'b0; end else if (VAR94 && !VAR78 && (VAR103 == "VAR11")) begin VAR1 = 1'b0; end end VAR42: begin VAR38 = 1'b1; if (VAR101) VAR2 = VAR23; end VAR106: begin VAR7 = 1'b1; if (VAR101) begin VAR40 = 1'b1; VAR2 = VAR102; end end VAR102: begin if (VAR8) begin VAR7 = 1'b0; VAR40 = 1'b0; VAR2 = VAR89; end end VAR89: begin if (VAR101) begin if (VAR76) begin if ((VAR96 > 'd0) && (VAR37 < VAR88)) VAR2 = VAR39; end else begin VAR32 = 1'b0; VAR74 = 1'b1; VAR2 = VAR71; end end else begin if ((VAR96 < 'd63) && (VAR30 < VAR88)) VAR2 = VAR71; end else begin VAR15 = 1'b1; VAR2 = VAR39; end end end end VAR71: begin VAR9 = 1'b1; VAR2 = VAR104; end VAR39: begin VAR99 = 1'b1; VAR2 = VAR104; end VAR104: begin VAR99 = 1'b0; VAR9 = 1'b0; if (!VAR63 && !VAR107 && VAR51) begin if (VAR4) begin if (VAR96 > VAR34) VAR2 = VAR39; end else begin VAR2 = VAR106; VAR100 = 1'b0; end end else if (VAR95) begin if (VAR96 > VAR77) VAR2 = VAR39; end else VAR2 = VAR23; end else if (VAR58) begin if (VAR96 < VAR17) VAR2 = VAR71; end else VAR2 = VAR23; end else begin VAR2 = VAR106; end end end VAR23: begin if (VAR95) begin if (VAR14 < VAR24) VAR2 = VAR43; end else begin VAR2 = VAR48; end end else if (VAR58) begin if (VAR14 > 'd0) VAR2 = VAR65; end else begin VAR2 = VAR48; VAR74 = 1'b0; end end else if (VAR59 && (VAR14 < 'd63)) begin VAR2 = VAR43; end else begin VAR2 = VAR104; VAR47 = 1'b0; end end VAR43: begin VAR75 = 1'b1; VAR2 = VAR26; end VAR65: begin VAR73 = 1'b1; VAR2 = VAR26; end VAR26: begin VAR75 = 1'b0; VAR73 = 1'b0; if (!VAR66 && !VAR3 && VAR51) VAR2 = VAR23; end VAR48: begin VAR98 = 1'b1; if (VAR90) begin VAR98 = 1'b0; if (VAR95) VAR2 = VAR81; end else VAR2 = VAR106; end end VAR81: begin VAR1 = 1'b1; VAR38 = 1'b0; VAR15 = 1'b0; VAR2 = VAR19; end default: begin VAR2 = VAR19; end endcase end endmodule
mit
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_csr.v
53,396
module MODULE1 # ( parameter VAR61 = 2, VAR1 = 1, VAR112 = 1, VAR151 = 0, VAR148 = 0, VAR184 = 8, VAR115 = 32, VAR21 = 1, VAR13 = 72, VAR36 = 1, VAR161 = 13, VAR54 = 10, VAR22 = 3, VAR34 = 1, VAR187 = 1, VAR171 = 0, VAR41 = 4, VAR157 = 4, VAR94 = 4, VAR20 = 5, VAR56 = 4, VAR182 = 6, VAR81 = 9, VAR165 = 14, VAR172 = 4, VAR140 = 4, VAR72 = 5, VAR133 = 4, VAR191 = 4, VAR174 = 6, VAR141 = 6, VAR48 = 16, VAR67 = 8, VAR96 = 0, VAR5 = 0, VAR147 = 0, VAR169 = 0, VAR82 = 0, VAR175 = 0, VAR193 = 0, VAR108 = 0, VAR8 = 0, VAR118 = 0, VAR44 = 0, VAR17 = 0, VAR190 = 0, VAR176 = 0, VAR91 = 0, VAR29 = 0, VAR179 = 1, VAR80 = 0, VAR43 = 0, VAR98 = 5, VAR93 = 5, VAR170 = 3, VAR192 = 3 ) ( VAR150, VAR31, VAR27, VAR137, VAR136, VAR18, VAR195, VAR131, VAR83, VAR146, VAR100, VAR177, VAR50, VAR189, VAR84, VAR121, VAR62, VAR119, VAR164, VAR60, VAR15, VAR2, VAR102, VAR95, VAR134, VAR142, VAR132, VAR6, VAR181, VAR86, VAR180, VAR156, VAR160, VAR71, VAR130, VAR153, VAR178, VAR126, VAR70, VAR69, VAR111, VAR37, VAR188, VAR59, VAR68, VAR99, VAR149, VAR173, VAR92, VAR183, VAR97, VAR162, VAR85, VAR16, VAR124, VAR55, VAR30, VAR117 ); localparam integer VAR66 = (2**VAR36); input VAR150; input VAR31; input VAR136; input VAR195; input [VAR184 - 1 : 0] VAR27; input [VAR115 - 1 : 0] VAR18; input [(VAR115 / 8) - 1 : 0] VAR137; output VAR146; output VAR83; output [VAR115 - 1 : 0] VAR131; input VAR100; input VAR177; input VAR50; input VAR189; input VAR84; input VAR121; input VAR62; input [7 : 0] VAR119; input [7 : 0] VAR164; input [7 : 0] VAR60; input [31 : 0] VAR15; input [31 : 0] VAR2; output VAR102; output [VAR21 - 1 : 0] VAR95; output [VAR13 * VAR66 - 1 : 0] VAR134; output [VAR41 - 1 : 0] VAR142; output [VAR157 - 1 : 0] VAR132; output [VAR94 - 1 : 0] VAR6; output [VAR20 - 1 : 0] VAR181; output [VAR56 - 1 : 0] VAR86; output [VAR182 - 1 : 0] VAR180; output [VAR81 - 1 : 0] VAR156; output [VAR165 - 1 : 0] VAR160; output [VAR172 - 1 : 0] VAR71; output [VAR140 - 1 : 0] VAR130; output [VAR72 - 1 : 0] VAR153; output [VAR133 - 1 : 0] VAR178; output [VAR191 - 1 : 0] VAR126; output [VAR174 - 1 : 0] VAR70; output [VAR141 - 1 : 0] VAR69; output [VAR48 - 1 : 0] VAR111; output [1 : 0] VAR37; output VAR30; output [VAR67-1: 0] VAR117; output [VAR98 - 1 : 0] VAR188; output [VAR93 - 1 : 0] VAR59; output [VAR170 - 1 : 0] VAR68; output [VAR192 - 1 : 0] VAR99; output VAR149; output VAR173; output VAR92; output VAR183; output VAR97; output VAR162; output VAR85; output VAR16; output VAR124; output VAR55; wire VAR146; wire VAR83; wire [VAR115 - 1 : 0] VAR131; reg VAR167; reg VAR4; reg VAR135; reg [8 - 1 : 0] VAR3; reg [VAR115 - 1 : 0] VAR128; reg [VAR115 - 1 : 0] VAR14; reg [(VAR115 / 8) - 1 : 0] VAR129; reg VAR101; reg VAR39; reg VAR196; reg VAR74; wire VAR102; wire [VAR21 - 1 : 0] VAR95; wire [VAR13 * VAR66 - 1 : 0] VAR134; wire [VAR41 - 1 : 0] VAR142; wire [VAR157 - 1 : 0] VAR132; wire [VAR94 - 1 : 0] VAR6; wire [VAR20 - 1 : 0] VAR181; wire [VAR56 - 1 : 0] VAR86; wire [VAR182 - 1 : 0] VAR180; wire [VAR81 - 1 : 0] VAR156; wire [VAR165 - 1 : 0] VAR160; wire [VAR172 - 1 : 0] VAR71; wire [VAR140 - 1 : 0] VAR130; wire [VAR72 - 1 : 0] VAR153; wire [VAR133 - 1 : 0] VAR178; wire [VAR191 - 1 : 0] VAR126; wire [VAR174 - 1 : 0] VAR70; wire [VAR141 - 1 : 0] VAR69; wire [VAR48 - 1 : 0] VAR111; wire [1 : 0] VAR37; wire VAR30; wire [VAR67-1: 0] VAR117; wire [VAR98 - 1 : 0] VAR188; wire [VAR93 - 1 : 0] VAR59; wire [VAR170 - 1 : 0] VAR68; wire [VAR192 - 1 : 0] VAR99; wire VAR149; wire VAR173; wire VAR92; wire VAR183; wire VAR97; wire VAR162; wire VAR85; wire VAR16; wire VAR124; wire VAR55; reg [VAR115 - 1 : 0] VAR40; reg [VAR115 - 1 : 0] VAR152; reg [VAR115 - 1 : 0] VAR10; reg [VAR115 - 1 : 0] VAR90; reg [VAR115 - 1 : 0] VAR76; reg [VAR115 - 1 : 0] VAR73; reg [VAR115 - 1 : 0] VAR9; reg [VAR115 - 1 : 0] VAR12; reg [VAR115 - 1 : 0] VAR109; reg [VAR115 - 1 : 0] VAR110; reg [VAR115 - 1 : 0] VAR24; reg [VAR115 - 1 : 0] VAR103; reg [VAR115 - 1 : 0] VAR52; reg [VAR115 - 1 : 0] VAR113; assign VAR146 = 1'b0; generate if (!VAR1 && !VAR112) begin assign VAR131 = 0; assign VAR83 = 0; end else begin always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR167 <= 0; VAR4 <= 0; VAR3 <= 0; VAR128 <= 0; VAR129 <= 0; end else begin VAR3 <= VAR27 [7 : 0]; VAR128 <= VAR18; VAR129 <= VAR137; if (VAR136) VAR167 <= 1'b1; end else VAR167 <= 1'b0; if (VAR195) VAR4 <= 1'b1; end else VAR4 <= 1'b0; end end always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR101 <= 1'b0; VAR39 <= 1'b0; VAR196 <= 1'b0; VAR74 <= 1'b0; end else begin if (VAR151) begin VAR101 <= 1'b1; VAR39 <= 1'b0; end else begin VAR101 <= 1'b0; VAR39 <= 1'b0; end if (VAR148) begin VAR196 <= 1'b1; VAR74 <= 1'b0; end else begin VAR196 <= 1'b0; VAR74 <= 1'b0; end end end assign VAR131 = VAR14; assign VAR83 = VAR135; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR14 <= 0; VAR135 <= 0; end else begin if (VAR4) begin if (VAR3 == 8'h00) VAR14 <= VAR40; end else if (VAR3 == 8'h10) VAR14 <= VAR152; end else if (VAR3 == 8'h20) VAR14 <= VAR10; end else if (VAR3 == 8'h21) VAR14 <= VAR90; else if (VAR3 == 8'h22) VAR14 <= VAR76; else if (VAR3 == 8'h23) VAR14 <= VAR73; else if (VAR3 == 8'h24) VAR14 <= VAR9; else if (VAR3 == 8'h25) VAR14 <= VAR12; else if (VAR3 == 8'h26) VAR14 <= VAR109; else if (VAR3 == 8'h30) VAR14 <= VAR110; else if (VAR3 == 8'h31) VAR14 <= VAR24; else if (VAR3 == 8'h32) VAR14 <= VAR103; else if (VAR3 == 8'h33) VAR14 <= VAR52; else if (VAR3 == 8'h34) VAR14 <= VAR113; end if (VAR4) VAR135 <= 1'b1; else VAR135 <= 1'b0; end end end endgenerate generate genvar VAR186; if (!VAR1) begin assign VAR142 = VAR96; assign VAR132 = VAR5; assign VAR6 = VAR147; assign VAR181 = VAR169; assign VAR86 = VAR82; assign VAR180 = VAR175; assign VAR156 = VAR193; assign VAR160 = VAR108; assign VAR71 = VAR8; assign VAR130 = VAR118; assign VAR153 = VAR44; assign VAR178 = VAR17; assign VAR126 = VAR190; assign VAR70 = VAR176; assign VAR69 = VAR91; assign VAR111 = VAR29; assign VAR37 = VAR179; assign VAR30 = VAR80; assign VAR117 = VAR43; assign VAR99 = VAR66 > 1 ? VAR36 : 0; assign VAR68 = VAR22; assign VAR59 = VAR161; assign VAR188 = VAR54; assign VAR102 = 0; assign VAR95 = 0; assign VAR134 = 0; assign VAR55 = 1'b1; always @ begin VAR40 = 0; VAR40 [0] = VAR114; VAR40 [1] = VAR185; VAR40 [2] = VAR28; VAR40 [13 : 8] = VAR120; end reg [15 : 0] VAR138; reg VAR125; reg VAR32; reg VAR65; reg VAR11; reg [1 : 0] VAR64; reg VAR123; reg [1 : 0] VAR75; assign VAR111 = VAR138; assign VAR37 = VAR64; assign VAR55 = VAR123; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR138 <= VAR29; VAR32 <= 0; VAR11 <= 0; VAR64 <= VAR179; VAR123 <= VAR171; end else begin if (!VAR101 && VAR167 && VAR3 == 8'h10) begin if (VAR129 [0]) begin VAR138 [ 7 : 0] <= VAR128 [ 7 : 0]; end if (VAR129 [1]) begin VAR138 [15 : 8] <= VAR128 [15 : 8]; end if (VAR129 [2]) begin VAR32 <= VAR128 [17] ; VAR11 <= VAR128 [19] ; VAR64 <= VAR128 [21 : 20]; VAR123 <= VAR128 [22] ; end end end end always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR125 <= 0; VAR65 <= 0; VAR75 <= 0; end else begin VAR125 <= VAR50; VAR65 <= VAR189; VAR75 <= (VAR61 == 2) ? 2'b00 : 2'b01; end end always @ begin VAR10 = 0; VAR10 [7 : 0 ] = VAR77; VAR10 [15 : 8 ] = VAR7; VAR10 [19 : 16] = VAR79; VAR10 [23 : 20] = VAR51; end reg [31 : 0] VAR194; reg [7 : 0] VAR38; reg [VAR13 * VAR66 - 1 : 0] VAR143; assign VAR134 = ~VAR143; for (VAR186 = 0;VAR186 < VAR66;VAR186 = VAR186 + 1) begin : VAR154 always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) end VAR143 [(VAR186 + 1) * VAR13 - 1 : VAR186 * VAR13] <= {VAR13{1'b1}}; else begin if (VAR38[VAR186]) VAR143 [(VAR186 + 1) * VAR13 - 1 : VAR186 * VAR13] <= VAR194 [VAR13 - 1 : 0]; end else VAR143 [(VAR186 + 1) * VAR13 - 1 : VAR186 * VAR13] <= 0; end end end always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR194 <= {VAR13{1'b1}}; end else begin if (!VAR101 && VAR167 && VAR3 == 8'h21) begin if (VAR129 [0]) begin VAR194 [ 7 : 0] <= VAR128 [ 7 : 0]; end if (VAR129 [1]) begin VAR194 [15 : 8] <= VAR128 [15 : 8]; end if (VAR129 [2]) begin VAR194 [23 : 16] <= VAR128 [23 : 16]; end if (VAR129 [3]) begin VAR194 [31 : 24] <= VAR128 [31 : 24]; end end end end always @ begin VAR76 = 0; VAR76 [7 : 0 ] = VAR38; end reg [3 : 0] VAR88; reg [3 : 0] VAR166; reg [3 : 0] VAR49; reg [3 : 0] VAR47; reg [7 : 0] VAR145; reg [7 : 0] VAR33; assign VAR71 = VAR88 [VAR172 - 1 : 0]; assign VAR86 = VAR166 [VAR56 - 1 : 0]; assign VAR130 = VAR49 [VAR140 - 1 : 0]; assign VAR70 = VAR145 [VAR174 - 1 : 0]; assign VAR69 = VAR33 [VAR141 - 1 : 0]; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR88 <= VAR8; VAR166 <= VAR82; VAR49 <= VAR118; VAR47 <= 0; VAR145 <= VAR176; VAR33 <= VAR91; end else begin if (!VAR101 && VAR167 && VAR3 == 8'h23) begin if (VAR129 [0]) begin VAR88 <= VAR128 [3 : 0 ]; VAR166 <= VAR128 [7 : 4 ]; end if (VAR129 [1]) begin VAR49 <= VAR128 [11 : 8 ]; VAR47 <= VAR128 [15 : 12]; end if (VAR129 [2]) begin VAR145 <= VAR128 [23 : 16]; end if (VAR129 [3]) begin VAR33 <= VAR128 [31 : 24]; end end end end always @ begin VAR9 = 0; VAR9 [3 : 0 ] = VAR168; VAR9 [7 : 4 ] = VAR122; VAR9 [15 : 8 ] = VAR107; end reg [15 : 0] VAR87; reg [8 : 0] VAR26; assign VAR160 = VAR87 [VAR165 - 1 : 0]; assign VAR156 = VAR26 [VAR81 - 1 : 0]; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR87 <= VAR108; VAR26 <= VAR193; end else begin if (!VAR101 && VAR167 && VAR3 == 8'h25) begin if (VAR129 [0]) begin VAR87 [ 7 : 0] <= VAR128 [ 7 : 0]; end if (VAR129 [1]) begin VAR87 [15 : 8] <= VAR128 [15 : 8]; end if (VAR129 [2]) begin VAR26 [ 7 : 0] <= VAR128 [23 : 16]; end if (VAR129 [3]) begin VAR26 [ 8 ] <= VAR128 [24]; end end end end always @ begin VAR109 = 0; VAR109 [3 : 0 ] = VAR58; VAR109 [7 : 4 ] = VAR57; VAR109 [11 : 8 ] = VAR89; VAR109 [15 : 12] = VAR158[3:0]; VAR109 [23 : 16] = VAR116; VAR109 [24] = VAR158[4]; end reg VAR78; reg [7 : 0] VAR19; assign VAR30 = VAR78; assign VAR117 = VAR19; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR78 <= VAR80; VAR19 <= VAR43; end else begin if (!VAR101 && VAR167 && VAR3 == 8'h34) begin if (VAR129 [0]) begin VAR78 <= VAR128 [ 0]; end if (VAR129 [2]) begin VAR19 <= VAR128 [23 : 16]; end end end end always @ begin VAR110 = 0; VAR110 [0] = VAR155; VAR110 [1] = VAR23; VAR110 [2] = VAR104; VAR110 [3] = VAR46; VAR110 [4] = VAR63; VAR110 [5] = VAR127; VAR110 [6] = VAR105; VAR110 [7] = VAR42; VAR110 [8] = VAR159; end reg VAR45; reg VAR25; reg VAR139; reg [7 : 0] VAR163; reg [7 : 0] VAR106; reg [7 : 0] VAR35; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR45 <= 0; VAR25 <= 0; VAR163 <= 0; VAR106 <= 0; VAR139 <= 0; VAR35 <= 0; end else begin if (VAR42) begin VAR45 <= 0; VAR25 <= 0; VAR163 <= 0; VAR106 <= 0; VAR139 <= 0; VAR35 <= 0; end else begin VAR45 <= VAR84; VAR25 <= VAR121; VAR163 <= VAR119; VAR106 <= VAR164; VAR139 <= VAR62; VAR35 <= VAR60; end end end always @ begin VAR103 = VAR144; end reg [31 : 0] VAR53; always @ (posedge VAR150 or negedge VAR31) begin if (!VAR31) begin VAR53 <= 0; end else begin if (VAR42) VAR53 <= 0; end else VAR53 <= VAR2; end end always @ (*) begin VAR52 = VAR53; end end endgenerate endmodule
gpl-3.0
gbraad/minimig-de1
lib/altera/cycloneiiils_atoms.v
253,622
module MODULE1( primitive VAR17 (VAR22, VAR12, VAR4, VAR2, VAR5, VAR7, VAR11); input VAR4; input VAR5; input VAR7; input VAR2; input VAR12; input VAR11; output VAR22; reg VAR22; VAR1 VAR22 = 1'b0; VAR16 (??) ? ? 1 1 ? : ? : -; VAR13 ? ? 1 1 ? : ? : -; 1 1 (01) 1 1 ? : ? : 1; 1 1 (01) 1 VAR13 ? : ? : 1; 1 1 ? 1 VAR13 ? : 1 : 1; 1 0 0 1 VAR13 ? : 1 : 1; 1 0 VAR13 1 (?VAR13) ? : 1 : 1; 1 0 1 1 (?VAR13) ? : 1 : 1; 1 VAR13 0 1 VAR13 ? : 1 : 1; 1 VAR13 VAR13 1 (?VAR13) ? : 1 : 1; 1 VAR13 1 1 (?VAR13) ? : 1 : 1; 1 0 (01) 1 1 ? : ? : 0; 1 0 (01) VAR13 1 ? : ? : 0; 1 0 ? VAR13 1 ? : 0 : 0; 0 ? ? VAR13 1 ? : ? : -; 1 1 0 VAR13 1 ? : 0 : 0; 1 1 VAR13 (?VAR13) 1 ? : 0 : 0; 1 1 1 (?VAR13) 1 ? : 0 : 0; 1 VAR13 0 VAR13 1 ? : 0 : 0; 1 VAR13 VAR13 (?VAR13) 1 ? : 0 : 0; 1 VAR13 1 (?VAR13) 1 ? : 0 : 0; 1 ? (VAR21) 1 1 ? : ? : -; 1 1 (0x) 1 1 ? : 1 : 1; 1 0 (0x) 1 1 ? : 0 : 0; ? ? ? 0 0 ? : ? : 0; ? ? ? 0 1 ? : ? : 0; ? ? ? 1 0 ? : ? : 1; 1 ? (?0) 1 1 ? : ? : -; 1 ? (1x) 1 1 ? : ? : -; 1 * ? ? ? ? : ? : -; 1 ? ? (?1) ? ? : ? : -; 1 ? ? ? (?1) ? : ? : -; 0 ? ? 1 1 ? : ? : -; ? ? ? 1 1 * : ? : VAR13; VAR15 endprimitive primitive VAR20 (VAR14, VAR23, clk, VAR19, VAR8, VAR9, VAR6, VAR3, VAR18, VAR10, VAR11 ); input VAR23,clk,VAR19,VAR8,VAR9,VAR6,VAR3,VAR18,VAR10, VAR11; output VAR14; reg VAR14; begin begin begin end begin begin begin begin begin end begin begin end begin end begin begin begin begin end begin begin end begin begin begin begin begin end begin end begin begin begin begin end begin begin end begin begin end begin begin begin begin begin begin end begin begin begin begin end begin begin begin begin begin begin begin begin end begin begin begin begin begin begin begin end begin begin begin begin begin begin end begin begin begin end begin end begin begin begin begin end begin begin begin begin end begin begin end begin begin end begin end begin begin end begin begin begin begin end begin begin begin begin begin begin begin begin end begin begin begin begin begin end begin end begin begin begin begin end begin end begin begin end begin begin begin end begin begin begin begin end begin begin begin begin begin begin begin begin begin begin end begin begin begin end begin begin begin end begin end begin begin begin begin begin begin begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin end begin begin begin begin begin begin begin begin end begin end begin begin begin end begin begin begin begin begin begin begin end begin begin begin begin begin end begin begin end begin begin begin end begin begin begin begin begin begin begin begin begin begin end begin begin begin begin begin end begin end begin end begin begin begin begin end begin begin begin begin begin begin begin begin begin begin begin end begin begin begin end else begin begin begin begin begin begin end begin begin begin end begin begin begin begin end begin begin begin begin begin begin begin end else begin begin begin begin begin begin end else begin end else begin begin begin begin end begin begin begin end begin begin end begin begin end begin end begin end begin end begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin end begin begin end begin begin begin begin begin begin end begin end begin begin begin end begin begin end begin begin begin end begin begin end begin begin begin end begin begin end begin begin begin end begin begin end begin end begin begin end begin begin begin end begin begin end begin begin end begin begin end begin begin begin end begin begin end begin begin begin begin begin end begin begin begin begin begin end begin end begin begin begin begin begin end begin begin begin begin begin end begin end begin begin begin begin begin begin end begin end begin begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin end begin begin begin end begin begin begin begin end begin begin begin begin begin begin begin end begin begin begin begin begin end begin begin
gpl-3.0
ShepardSiegel/ocpi
coregen/temac_axi_v5_2/example_design/axi_ipif/address_decoder.v
14,593
module MODULE1 parameter VAR13 = 2, parameter VAR57 = 16, parameter VAR36 = 32, parameter [0:32*2*VAR13-1] VAR24 = {2*VAR13{32'h70000000}}, parameter [0:8*VAR13-1] VAR11 = {VAR13{8'd4}}, parameter VAR65 = "VAR52" ) ( input VAR54, input VAR41, input[0:VAR36 - 1] VAR67, input VAR18, input VAR17, input VAR55, input VAR12, input VAR69, input VAR22, output[0:(VAR13)-1] VAR21, output[0:VAR57-1] VAR32, output[0:VAR57-1] VAR30 ); function integer VAR43; input[0:VAR36 - 1] VAR35; input[0:VAR36 - 1] VAR63; reg[0:VAR36 - 1] VAR31; begin VAR31 = VAR35 ^ VAR63; begin : VAR42 integer VAR28; for(VAR28 = 0; VAR31[VAR28] == 1'b0; VAR28 = VAR28 + 1) begin VAR43 = VAR28+1; end end end endfunction function automatic integer VAR14; input [0:8*VAR13-1] VAR50; input VAR1; integer VAR1, VAR8; begin VAR8 = 0; if (VAR1 == 0) begin VAR8 = 0; end else begin begin : VAR62 integer VAR28; for(VAR28 = 0; VAR28 <= VAR1-1; VAR28 = VAR28 + 1) begin VAR8 = VAR8 + VAR50[(VAR28*8)+:8]; end end end VAR14 = VAR8; end endfunction wire[0:VAR13-1] VAR59; reg [0:VAR13-1] VAR7 ; wire[0:VAR57-1] VAR3; reg [0:VAR57-1] VAR66 ; reg [0:VAR57-1] VAR34 ; wire VAR19; wire[0:VAR57-1] VAR9; wire[0:VAR57-1] VAR45; assign VAR19 = ~VAR41 | VAR69 ; generate genvar VAR48; for (VAR48 = 0; VAR48 <= VAR13-1; VAR48 = VAR48+1) begin : VAR38 localparam [0:31] VAR46 = VAR24[(VAR48*2)*32:(VAR48*2+1)*32-1]; localparam [0:31] VAR6 = VAR24[(VAR48*2+1)*32:(VAR48*2+2)*32-1]; localparam [0:VAR36-1] VAR56 = VAR46[(32-VAR36):31]; localparam [0:VAR36-1] VAR25= VAR6[(32-VAR36):31]; localparam VAR71 = VAR14(VAR11[0:(8*VAR13-1)],VAR48); localparam VAR23 = VAR43(VAR56, VAR25); localparam [0:7] VAR44 = VAR11[VAR48*8:(VAR48+1)*8-1]; localparam VAR27 = VAR29(VAR44); localparam VAR2 = VAR11[VAR48*8:(VAR48+1)*8-1]; if (VAR13 > 1)begin : VAR39 VAR47 #(.VAR33(VAR23), .VAR49(VAR36), .VAR10(VAR56), .VAR65(VAR65) ) VAR61( .VAR37(VAR67), .VAR4(VAR18), .VAR70(VAR59[VAR48])); end if (VAR13 == 1 )begin : VAR20 assign VAR59[VAR48] = VAR18 ; end always @(posedge VAR54) begin : VAR40 if (VAR41 == 1'b0 | VAR69 == 1'b1) begin VAR7[VAR48] <= 1'b0; end else if (VAR12 == 1'b1) begin VAR7[VAR48] <= VAR59[VAR48]; end end genvar VAR16; for (VAR16 = 0; VAR16 < VAR2; VAR16 = VAR16+1) begin : VAR26 localparam [VAR27-1:0] VAR60 = VAR16; if (VAR27 > 0) begin : VAR5 VAR47 #(.VAR33(VAR27), .VAR49(VAR27), .VAR10(VAR60), .VAR65(VAR65) ) VAR64( .VAR37(VAR67[VAR36-VAR27-2:VAR36-2-1]), .VAR4(VAR59[VAR48]), .VAR70(VAR3[VAR71 + VAR16])); end if (VAR27 == 0 )begin : VAR58 assign VAR3[VAR71 + VAR16] = VAR59[VAR48] ; end end end endgenerate generate genvar VAR53; for (VAR53 = 0; VAR53 <= VAR57-1; VAR53 = VAR53+1) begin : VAR68 assign VAR9[VAR53] = VAR3[VAR53] & VAR55 ; always @(posedge VAR54) begin : VAR51 if (VAR19 == 1'b1) begin VAR66[VAR53] <= 1'b0 ; end else if (VAR22 == 1'b1) begin VAR66[VAR53] <= VAR9[VAR53]; end end assign VAR45[VAR53] = VAR3[VAR53] & ~VAR55 ; always @(posedge VAR54) begin : VAR15 if (VAR19 == 1'b1) begin VAR34[VAR53] <= 1'b0 ; end else if (VAR22 == 1'b1) begin VAR34[VAR53] <= VAR45[VAR53] ; end end end endgenerate assign VAR21 = VAR7; assign VAR32 = VAR66; assign VAR30 = VAR34; endmodule
lgpl-3.0
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_rx.v
12,124
module MODULE1 ( input VAR54 , input VAR26, input VAR50 , input VAR10 , input [7:0] VAR30 , input VAR59 , output [15:0] VAR43 , output VAR5 , output VAR42 , input VAR35 , output [31:0] VAR25 , output [1:0] VAR7 , output VAR37 , output VAR20 , output VAR33 , input VAR3 , input [7:0] VAR27 , input [2:0] VAR6 , input VAR16 , input VAR48 , input [15:0] VAR18 , input [15:0] VAR38 , input VAR14, input [4:0] VAR34 , input [4:0] VAR57 , input VAR23 , input [5:0] VAR56 , input [15:0] VAR49 ,input [6:0] VAR32 , output [15:0] VAR19 , output VAR1 , output [2:0] VAR22 , output [2:0] VAR58 ); wire VAR12 ; wire VAR39; wire VAR44 ; wire VAR28 ; wire VAR17 ; wire VAR41 ; wire VAR2 ; wire [7:0] VAR21 ; wire VAR29 ; wire VAR31 ; wire VAR36 ; wire VAR47 ; VAR24 VAR9( .VAR54 (VAR54 ), .VAR50 (VAR50 ), .VAR10 (VAR10 ), .VAR30 (VAR30 ), .VAR59 (VAR59 ), .VAR12 (VAR12 ), .VAR39 (VAR39 ), .VAR44 (VAR44 ), .VAR28 (VAR28 ), .VAR17 (VAR17 ), .VAR41 (VAR41 ), .VAR2 (VAR2 ), .VAR43 (VAR43 ), .VAR5 (VAR5 ), .VAR21 (VAR21 ), .VAR29 (VAR29 ), .VAR36 (VAR36 ), .VAR47 (VAR47 ), .VAR31 (VAR31 ), .VAR58 (VAR58 ), .VAR19 (VAR19 ), .VAR1 (VAR1 ), .VAR22 (VAR22 ), .VAR56 (VAR56 ), .VAR49 (VAR49 ), .VAR32 (VAR32 ) ); VAR53 VAR13 ( .VAR54 (VAR54 ), .VAR45 (VAR50 ), .VAR46 (VAR26 ), .VAR21 (VAR21 ), .VAR29 (VAR29 ), .VAR31 (VAR31 ), .VAR36 (VAR36 ), .VAR47 (VAR47 ), .VAR34 (VAR34 ), .VAR57 (VAR57 ), .VAR14 (VAR14 ), .VAR42 (VAR42 ), .VAR35 (VAR35 ), .VAR25 (VAR25 ), .VAR7 (VAR7 ), .VAR20 (VAR20 ), .VAR37 (VAR37 ), .VAR33 (VAR33 ) ); VAR52 VAR8( .VAR54 (VAR54 ), .VAR50 (VAR50 ), .VAR41 (VAR41 ), .VAR2 (VAR2 ), .VAR48 (VAR48 ), .VAR18 (VAR18 ), .VAR38 (VAR38 ) ); assign VAR2=0; VAR40 VAR55( .VAR54 (VAR54 ), .VAR50 (VAR50 ), .VAR11 (VAR21 ), .VAR39 (VAR39 ), .VAR12 (VAR12 ), .VAR23 (VAR23 ), .VAR44 (VAR44 ) ); VAR15 VAR60( .VAR54 (VAR54 ), .VAR50 (VAR50 ), .VAR51 (VAR39 ), .VAR4 (VAR21 ), .VAR28 (VAR28 ), .VAR17 (VAR17 ), .VAR3 (VAR3 ), .VAR27 (VAR27 ), .VAR6 (VAR6 ), .VAR16 (VAR16 ) ); assign VAR17=0; endmodule
apache-2.0
deepakcu/maestro
fpga/DE4_Ethernet_0/src/router_op_lut_regs_non_cntr.v
22,470
module MODULE1 parameter VAR96 = 4, parameter VAR56 = 4, parameter VAR42 = 4, parameter VAR7 = 2 ) ( input VAR88, input VAR22, input VAR51, input [VAR9-1:0] VAR57, input [VAR94-1:0] VAR8, input [VAR7-1:0] VAR15, output reg VAR37, output reg VAR28, output reg VAR93, output reg [VAR9-1:0] VAR48, output reg [VAR94-1:0] VAR14, output reg [VAR7-1:0] VAR95, output reg [VAR56-1:0 ] VAR89, output reg VAR13, input [31:0] VAR82, input [31:0] VAR79, input [VAR19-1:0] VAR83, input [31:0] VAR6, input VAR4, output reg [VAR56-1:0] VAR69, output reg VAR65, output [VAR19-1:0] VAR70, output [31:0] VAR24, output [31:0] VAR30, output [31:0] VAR52, input VAR5, output reg [VAR96-1:0] VAR49, output reg VAR16, input [47:0] VAR73, input [31:0] VAR40, input VAR41, output reg [VAR96-1:0] VAR85, output reg VAR64, output [47:0] VAR43, output [31:0] VAR26, input VAR74, output reg [VAR42-1:0] VAR3, output reg VAR77, input [31:0] VAR18, input VAR34, output reg [VAR42-1:0] VAR17, output reg VAR76, output [31:0] VAR80, input VAR67, output reg [47:0] VAR20, output reg [47:0] VAR31, output reg [47:0] VAR29, output reg [47:0] VAR45, output [31:0] VAR91, output [31:0] VAR1, output [31:0] VAR47, output [31:0] VAR84, output [31:0] VAR38, output [31:0] VAR50, output [3:0] VAR36, output VAR60, output [7:0] VAR78, input clk, input reset ); function integer VAR71; input integer VAR39; begin VAR71=0; while(2**VAR71<VAR39) begin VAR71=VAR71+1; end end endfunction localparam VAR21 = 32; localparam VAR32 = VAR71(VAR21); localparam VAR63 = 1; localparam VAR25 = 2; localparam VAR81 = 4; localparam VAR68 = 8; localparam VAR11 = 16; localparam VAR86 = 32; localparam VAR23 = 64; localparam VAR75 = 128; wire [VAR32-1:0] addr; wire [VAR2- 1:0] VAR59; wire [VAR9-VAR2- 1:0] VAR58; wire VAR35; wire VAR33; reg [7:0] state; reg VAR72; reg [VAR9-1:0] VAR92; reg [VAR94-1:0] VAR90; reg [VAR7-1:0] VAR44; reg [VAR19-1:0] VAR61; reg [31:0] VAR12; reg [31:0] VAR55; reg [31:0] VAR87; reg [63:0] VAR10; reg [31:0] VAR53; reg [31:0] VAR46; assign VAR50 = VAR12; assign VAR38 = VAR87; assign VAR84 = VAR55; assign VAR91 = VAR53; wire [31:0] VAR62; assign VAR36 = VAR61; assign VAR78 = ~((8'hFF)<<VAR36); assign VAR60 = VAR46; assign VAR47 = VAR10[63:32]; assign VAR62 = VAR10[31:0]; assign VAR1 = (VAR62==1)?0: (VAR62==2)?1: (VAR62==4)?2: (VAR62==8)?3: 0; assign addr = VAR57[VAR32-1:0]; assign VAR59 = VAR57[VAR2-1:0]; assign VAR58 = VAR57[VAR9 - 1:VAR2]; assign VAR35 = VAR59 >= VAR66 && VAR59 <= VAR27; assign VAR33 = VAR58 == VAR54; assign VAR70 = VAR61; assign VAR24 = VAR12; assign VAR30 = VAR55; assign VAR52 = VAR87; assign VAR80 = VAR46; assign VAR26 = VAR53; assign VAR43 = VAR10[47:0]; begin begin
apache-2.0
dtysky/LD3320_AXI
src/VOICE_ROM_INIT/VOICE_ROM_INIT_stub.v
1,378
module MODULE1(VAR3, VAR5, VAR2, VAR1, VAR7, VAR6, VAR4) ; input VAR3; input [0:0]VAR5; input [5:0]VAR2; input [15:0]VAR1; input VAR7; input [5:0]VAR6; output [15:0]VAR4; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/decap/sky130_fd_sc_hs__decap.functional.v
1,112
module MODULE1 ( VAR1, VAR2 ); input VAR1; input VAR2; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand3b/sky130_fd_sc_hdll__nand3b.blackbox.v
1,309
module MODULE1 ( VAR2 , VAR3, VAR8 , VAR4 ); output VAR2 ; input VAR3; input VAR8 ; input VAR4 ; supply1 VAR5; supply0 VAR1; supply1 VAR6 ; supply0 VAR7 ; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_ssi/rtl/jbi_ssi.v
11,936
module MODULE1 ( VAR75, VAR78, VAR13, VAR56, VAR68, clk, VAR88, VAR35, VAR100, VAR25, VAR70, VAR82, VAR44, VAR18 ); input clk; input VAR88; input VAR35; input VAR100; output VAR75; input VAR25; output VAR78; input VAR70; input VAR82; input [3:0] VAR44; input VAR18; output VAR13; output [3:0] VAR56; output VAR68; wire VAR81; wire VAR32; wire [63:0] VAR2; wire VAR30; wire VAR11; wire VAR71; wire VAR54; wire [VAR52-VAR105:0]VAR55;wire [VAR83-VAR14:0]VAR50;wire [VAR74-VAR77:0]VAR95;wire VAR69;wire VAR97; wire VAR49; wire [VAR1-VAR38:0]VAR21;wire [VAR67-VAR63:0]VAR64;wire VAR31; wire [VAR48-1:0]VAR24; wire VAR58; wire VAR10; wire [VAR57-1:0]VAR59; wire VAR15;wire [VAR42-1:0]VAR19;wire VAR91; wire [63:0] VAR62; wire [VAR83-VAR14:0]VAR9;wire VAR73; wire [63:0] VAR90; wire [VAR16-1:0]VAR103; wire VAR85;wire VAR39;wire [VAR28-1:0]VAR84; wire VAR29; wire VAR87; wire VAR72; wire VAR12; wire [VAR67-VAR63:0]VAR93; VAR60 #(4,4) VAR86 ( .VAR43(VAR68), .VAR20 (VAR49), .VAR98 (VAR31), .VAR53(VAR69), .VAR79 (VAR64[VAR67-VAR63:0]), .VAR104 (VAR50[VAR83-VAR14:0]), .VAR96 (VAR21[VAR1-VAR38:0]), .VAR5 (VAR55[VAR52-VAR105:0]), .VAR51 (VAR95[VAR74-VAR77:0]), .VAR76 (VAR54), .VAR33 (VAR97), .VAR22 (VAR13), .VAR7(VAR56[3:0]), .clk (clk), .VAR88 (VAR88), .VAR3 (VAR82), .VAR27(VAR44[3:0]), .VAR34 (VAR12), .VAR41 (VAR87), .VAR66 (VAR72), .VAR94(VAR85), .VAR23(VAR39), .VAR65 (VAR93[VAR67-VAR63:0]), .VAR80 (VAR9[VAR83-VAR14:0]), .VAR40 (VAR73), .VAR6 (VAR90[63:0]), .VAR101 (VAR29), .VAR92 (VAR84), .VAR45 ({VAR67-VAR63+1{1'b0}}), .VAR61 (VAR103), .VAR36 ({VAR46-VAR37+1{1'b0}}), .VAR8 ({VAR26-VAR102+1{1'b0}}), .VAR17(VAR18)); VAR4 VAR89 ( .VAR12(VAR12), .VAR87(VAR87), .VAR72(VAR72), .VAR85(VAR85), .VAR39(VAR39), .VAR93(VAR93[VAR67-VAR63:0]), .VAR9(VAR9[VAR83-VAR14:0]), .VAR73(VAR73), .VAR90(VAR90[63:0]), .VAR29(VAR29), .VAR84(VAR84[VAR28-1:0]), .VAR103(VAR103[VAR16-1:0]), .VAR91 (VAR91), .VAR10 (VAR10), .VAR59 (VAR59[VAR57-1:0]), .VAR24 (VAR24[VAR48-1:0]), .VAR62(VAR62[63:0]), .VAR58(VAR58), .VAR15(VAR15), .VAR19(VAR19[VAR42-1:0]), .clk (clk), .VAR88 (VAR88), .VAR70(VAR70), .VAR49(VAR49), .VAR69(VAR69), .VAR31(VAR31), .VAR64(VAR64[VAR67-VAR63:0]), .VAR50(VAR50[VAR83-VAR14:0]), .VAR21(VAR21[VAR1-VAR38:0]), .VAR55(VAR55[VAR52-VAR105:0]), .VAR95(VAR95[VAR74-VAR77:0]), .VAR54(VAR54), .VAR97(VAR97), .VAR81 (VAR81), .VAR2(VAR2[63:0]), .VAR30(VAR30), .VAR11(VAR11), .VAR71(VAR71), .VAR32(VAR32)); VAR99 VAR47 ( .VAR11 (VAR11), .VAR71(VAR71), .VAR32 (VAR32), .VAR81 (VAR81), .VAR2 (VAR2[63:0]), .VAR30 (VAR30), .VAR75 (VAR75), .VAR78 (VAR78), .clk (clk), .VAR88 (VAR88), .VAR35 (VAR35), .VAR100 (VAR100), .VAR19 (VAR19[VAR42-1:0]), .VAR15(VAR15), .VAR91 (VAR91), .VAR10 (VAR10), .VAR59 (VAR59[VAR57-1:0]), .VAR24 (VAR24[VAR48-1:0]), .VAR62 (VAR62[63:0]), .VAR58(VAR58), .VAR25 (VAR25)); endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/sparc/exu/rtl/sparc_exu_rml_cwp.v
25,917
module MODULE1 ( VAR34, VAR160, VAR89, VAR144, VAR83, VAR169, VAR123, VAR133, VAR122, VAR95, VAR138, VAR77, VAR146, VAR94, VAR55, VAR69, VAR54, VAR7, VAR102, VAR110, clk, VAR63, reset, VAR29, VAR30, VAR10, VAR142, VAR170, VAR87, VAR150, VAR41, VAR58, VAR24, VAR168, VAR40, VAR120, VAR166, VAR171, VAR3, VAR162, VAR1, VAR8, VAR5 ) ; input clk; input VAR63; input reset; input VAR29; input [2:0] VAR30; input VAR10; input VAR142; input VAR170; input VAR87; input [2:0] VAR150; input [3:0] VAR41; input [3:0] VAR58; input [3:0] VAR24; input [1:0] VAR168; input [2:0] VAR40; input [2:0] VAR120; input VAR166; input VAR171; input VAR3; input VAR162; input VAR1; input VAR8; input VAR5; output [2:0] VAR34; output [2:0] VAR160; output [2:0] VAR89; output [2:0] VAR144; output [2:0] VAR83; output [2:0] VAR169; output [1:0] VAR123; output [2:0] VAR133; output [2:0] VAR122; output VAR95; output VAR138; output VAR77; output [2:0] VAR146; output VAR94; output [1:0] VAR55; output [3:0] VAR69; output VAR54; output [1:0] VAR7; output VAR102; output [3:0] VAR110; wire VAR44; wire VAR139; wire VAR117; wire VAR124; wire VAR22; wire [3:0] VAR56; wire [3:0] VAR61; wire [3:0] VAR156; wire [3:0] VAR131; wire [2:0] VAR74; wire VAR161; wire [2:0] VAR99; wire VAR68; wire [1:0] VAR51; wire VAR141; wire VAR157; wire VAR164; wire VAR31; wire VAR47; wire [2:0] VAR18; wire VAR101; wire [3:0] VAR137; wire [1:0] VAR53; wire [3:0] VAR50; wire VAR106; wire [3:0] VAR108; wire [1:0] VAR155; wire [1:0] VAR153; wire [1:0] VAR103; wire [1:0] VAR37; wire [1:0] VAR154; wire [1:0] VAR21; wire [1:0] VAR119; wire [1:0] VAR62; wire [1:0] VAR129; wire [1:0] VAR88; wire [1:0] VAR75; wire [1:0] VAR15; wire [3:0] VAR125; wire [3:0] VAR19; wire [1:0] VAR36; wire [3:0] VAR60; wire [12:0] VAR105; wire [12:0] VAR140; wire [12:0] VAR175; wire [12:0] VAR39; wire [12:0] VAR65; wire [12:0] VAR149; wire [12:0] VAR2; wire [12:0] VAR116; wire [12:0] VAR86; wire [12:0] VAR126; wire [12:0] VAR97; wire VAR143; wire [2:0] VAR80; wire [2:0] VAR33; wire [2:0] VAR112; wire [2:0] VAR11; wire [2:0] VAR64; wire [2:0] VAR79; wire [2:0] VAR43; wire [2:0] VAR107; wire [2:0] VAR130; wire [2:0] VAR32; wire VAR16; wire VAR12; wire VAR158; wire VAR9; wire [3:0] VAR20; wire [3:0] VAR70; wire [2:0] VAR35; wire [3:0] VAR118; wire [2:0] VAR26; wire VAR152; wire [1:0] VAR96; wire VAR163; wire [2:0] VAR14; assign VAR108[0] = ~VAR168[1] & ~VAR168[0]; assign VAR108[1] = ~VAR168[1] & VAR168[0]; assign VAR108[2] = VAR168[1] & ~VAR168[0]; assign VAR108[3] = VAR168[1] & VAR168[0]; VAR52 #(2) VAR128(.dout(VAR123[1:0]), .VAR100(VAR168[1:0]), .VAR49(VAR53[1:0]), .VAR38(~VAR44), .VAR151(VAR44)); assign VAR80[2:0] = VAR105[2:0]; assign VAR33[2:0] = VAR105[5:3]; assign VAR143 = VAR44; assign VAR122[2:0] = (VAR143)? VAR33[2:0]: VAR120[2:0]; assign VAR133[2:0] = (VAR143)? VAR80[2:0]: VAR160[2:0]; assign VAR89[2:0] = VAR112[2:0]; assign VAR144[2:0] = VAR11[2:0]; assign VAR83[2:0] = VAR64[2:0]; assign VAR169[2:0] = VAR79[2:0]; VAR135 #(3) VAR165(.dout(VAR26[2:0]), .VAR38(VAR24[0]), .VAR151(VAR24[1]), .VAR172(VAR24[2]), .VAR76(VAR24[3]), .VAR100(VAR112[2:0]), .VAR49(VAR11[2:0]), .VAR6(VAR64[2:0]), .VAR173(VAR79[2:0])); VAR135 #(3) VAR4(.dout(VAR34[2:0]), .VAR38(VAR41[0]), .VAR151(VAR41[1]), .VAR172(VAR41[2]), .VAR76(VAR41[3]), .VAR100(VAR112[2:0]), .VAR49(VAR11[2:0]), .VAR6(VAR64[2:0]), .VAR173(VAR79[2:0])); VAR135 #(3) VAR42(.dout(VAR160[2:0]), .VAR38(VAR108[0]), .VAR151(VAR108[1]), .VAR172(VAR108[2]), .VAR76(VAR108[3]), .VAR100(VAR112[2:0]), .VAR49(VAR11[2:0]), .VAR6(VAR64[2:0]), .VAR173(VAR79[2:0])); VAR135 #(3) VAR174(.dout(VAR74[2:0]), .VAR38(VAR58[0]), .VAR151(VAR58[1]), .VAR172(VAR58[2]), .VAR76(VAR58[3]), .VAR100(VAR112[2:0]), .VAR49(VAR11[2:0]), .VAR6(VAR64[2:0]), .VAR173(VAR79[2:0])); assign VAR70[3:0] = VAR137[3:0] & {4{VAR152}}; assign VAR16 = ((VAR24[0] & VAR166)) & ~VAR70[0]; assign VAR12 = ((VAR24[1] & VAR166)) & ~VAR70[1]; assign VAR158 = ((VAR24[2] & VAR166)) & ~VAR70[2]; assign VAR9 = ((VAR24[3] & VAR166)) & ~VAR70[3]; assign VAR20[3:0] = VAR24[3:0] & {4{VAR47}} & ~VAR70 & {~VAR9,~VAR158,~VAR12,~VAR16}; assign VAR118[3:0] = ~(VAR20[3:0] | VAR70[3:0] | {VAR9,VAR158, VAR12,VAR16}); assign VAR110[3:0] = {VAR32[0],VAR130[0],VAR107[0],VAR43[0]}; VAR135 #(3) VAR67(.dout(VAR43[2:0]), .VAR100(VAR112[2:0]), .VAR49(VAR40[2:0]), .VAR6(VAR18[2:0]), .VAR173(VAR35[2:0]), .VAR38(VAR118[0]), .VAR151(VAR16), .VAR172(VAR20[0]), .VAR76(VAR70[0])); VAR135 #(3) VAR85(.dout(VAR107[2:0]), .VAR100(VAR11[2:0]), .VAR49(VAR40[2:0]), .VAR6(VAR18[2:0]), .VAR173(VAR35[2:0]), .VAR38(VAR118[1]), .VAR151(VAR12), .VAR172(VAR20[1]), .VAR76(VAR70[1])); VAR135 #(3) VAR147(.dout(VAR130[2:0]), .VAR100(VAR64[2:0]), .VAR49(VAR40[2:0]), .VAR6(VAR18[2:0]), .VAR173(VAR35[2:0]), .VAR38(VAR118[2]), .VAR151(VAR158), .VAR172(VAR20[2]), .VAR76(VAR70[2])); VAR135 #(3) VAR92(.dout(VAR32[2:0]), .VAR100(VAR79[2:0]), .VAR49(VAR40[2:0]), .VAR6(VAR18[2:0]), .VAR173(VAR35[2:0]), .VAR38(VAR118[3]), .VAR151(VAR9), .VAR172(VAR20[3]), .VAR76(VAR70[3])); VAR28 #(3) VAR45(.din(VAR43[2:0]), .clk(clk), .VAR98(VAR112[2:0]), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 #(3) VAR145(.din(VAR107[2:0]), .clk(clk), .VAR98(VAR11[2:0]), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 #(3) VAR82(.din(VAR130[2:0]), .clk(clk), .VAR98(VAR64[2:0]), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 #(3) VAR134(.din(VAR32[2:0]), .clk(clk), .VAR98(VAR79[2:0]), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 VAR159(.din(VAR8), .clk(clk), .VAR98(VAR124), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 VAR78(.din(VAR124), .clk(clk), .VAR98(VAR22), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR175 = {1'b0, VAR30[2:0], VAR10, 1'b0, VAR142, VAR120[2:0],VAR160[2:0]}; assign VAR140 = {VAR101, 4'b0, 1'b1, 1'b0, VAR18[2:0], VAR26[2:0]}; assign VAR61[3:0] = VAR108[3:0] & {4{VAR8}}; assign VAR156[3:0] = VAR24[3:0] & {4{VAR31}} & ~VAR61[3:0]; assign VAR131[3:0] = ~(VAR156[3:0] | VAR61[3:0]); assign VAR125[3:0] = ~(VAR156[3:0] | VAR61[3:0]) & ~(VAR137[3:0] & {4{VAR44}}); assign VAR19[3:0] = ~(VAR156[3:0] | VAR61[3:0]) & (VAR137[3:0] & {4{VAR44}}); VAR111 #(13) VAR167(.dout(VAR39[12:0]), .VAR100(VAR175[12:0]), .VAR49(VAR140[12:0]), .VAR6(VAR116[12:0]), .VAR38(VAR61[0]), .VAR151(VAR156[0]), .VAR172(VAR131[0])); VAR111 #(13) VAR148(.dout(VAR65[12:0]), .VAR100(VAR175[12:0]), .VAR49(VAR140[12:0]), .VAR6(VAR86[12:0]), .VAR38(VAR61[1]), .VAR151(VAR156[1]), .VAR172(VAR131[1])); VAR111 #(13) VAR57(.dout(VAR149[12:0]), .VAR100(VAR175[12:0]), .VAR49(VAR140[12:0]), .VAR6(VAR126[12:0]), .VAR38(VAR61[2]), .VAR151(VAR156[2]), .VAR172(VAR131[2])); VAR111 #(13) VAR132(.dout(VAR2[12:0]), .VAR100(VAR175[12:0]), .VAR49(VAR140[12:0]), .VAR6(VAR97[12:0]), .VAR38(VAR61[3]), .VAR151(VAR156[3]), .VAR172(VAR131[3])); VAR135 #(2) VAR59(.dout(VAR129[1:0]), .VAR100(2'b10), .VAR49({1'b0, VAR47}), .VAR6(VAR154[1:0]), .VAR173({VAR154[0], 1'b0}), .VAR38(VAR61[0]), .VAR151(VAR156[0]), .VAR172(VAR125[0]), .VAR76(VAR19[0])); VAR135 #(2) VAR25(.dout(VAR88[1:0]), .VAR100(2'b10), .VAR49({1'b0, VAR47}), .VAR6(VAR21[1:0]), .VAR173({VAR21[0], 1'b0}), .VAR38(VAR61[1]), .VAR151(VAR156[1]), .VAR172(VAR125[1]), .VAR76(VAR19[1])); VAR135 #(2) VAR81(.dout(VAR75[1:0]), .VAR100(2'b10), .VAR49({1'b0, VAR47}), .VAR6(VAR119[1:0]), .VAR173({VAR119[0], 1'b0}), .VAR38(VAR61[2]), .VAR151(VAR156[2]), .VAR172(VAR125[2]), .VAR76(VAR19[2])); VAR135 #(2) VAR46(.dout(VAR15[1:0]), .VAR100(2'b10), .VAR49({1'b0, VAR47}), .VAR6(VAR62[1:0]), .VAR173({VAR62[0], 1'b0}), .VAR38(VAR61[3]), .VAR151(VAR156[3]), .VAR172(VAR125[3]), .VAR76(VAR19[3])); assign VAR106 = VAR5 & VAR22; assign VAR154[1:0] = {(VAR155[1] & ~(VAR106 & VAR24[0])), (VAR155[0])}; assign VAR21[1:0] = {(VAR153[1] & ~(VAR106 & VAR24[1])), (VAR153[0])}; assign VAR119[1:0] = {(VAR103[1] & ~(VAR106 & VAR24[2])), (VAR103[0])}; assign VAR62[1:0] = {(VAR37[1] & ~(VAR106 & VAR24[3])), (VAR37[0])}; VAR93 #(15) VAR13(.din({VAR129[1:0], VAR39[12:0]}), .clk(clk), .VAR98({VAR155[1:0], VAR116[12:0]}), .rst(reset), .VAR63(VAR63), .VAR114(), .VAR136()); VAR93 #(15) VAR17(.din({VAR88[1:0], VAR65[12:0]}), .clk(clk), .VAR98({VAR153[1:0], VAR86[12:0]}), .rst(reset), .VAR63(VAR63), .VAR114(), .VAR136()); VAR93 #(15) VAR73(.din({VAR75[1:0], VAR149[12:0]}), .clk(clk), .VAR98({VAR103[1:0], VAR126[12:0]}), .rst(reset), .VAR63(VAR63), .VAR114(), .VAR136()); VAR93 #(15) VAR72(.din({VAR15[1:0], VAR2[12:0]}), .clk(clk), .VAR98({VAR37[1:0], VAR97[12:0]}), .rst(reset), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR50[0] = (VAR155[1] | VAR155[0]); assign VAR50[1] = (VAR153[1] | VAR153[0]); assign VAR50[2] = (VAR103[1] | VAR103[0]); assign VAR50[3] = (VAR37[1] | VAR37[0]); VAR71 VAR84( .VAR66(VAR60[3:0]), .clk(clk), .reset(reset), .VAR63(VAR63), .VAR27(VAR50[3:0]), .VAR23(VAR44)); VAR28 #(4) VAR127(.din(VAR60[3:0]), .clk(clk), .VAR98(VAR137[3:0]), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR53[1] = VAR137[3] | VAR137[2]; assign VAR53[0] = VAR137[3] | VAR137[1]; wire [3:0] VAR109; assign VAR109[0] = ~(VAR137[1] | VAR137[2] | VAR137[3]) | VAR29; assign VAR109[3:1] = VAR137[3:1] & {3{~VAR29}}; VAR135 #(15) VAR48(.dout({VAR36[1:0], VAR105[12:0]}), .VAR100({VAR155[1:0], VAR116[12:0]}), .VAR49({VAR153[1:0], VAR86[12:0]}), .VAR6({VAR103[1:0], VAR126[12:0]}), .VAR173({VAR37[1:0], VAR97[12:0]}), .VAR38(VAR109[0]), .VAR151(VAR109[1]), .VAR172(VAR109[2]), .VAR76(VAR109[3])); VAR93 VAR104(.din(VAR139), .clk(clk), .VAR98(VAR117), .rst(reset), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR44 = ~(VAR171 | VAR3 | VAR162 | VAR1 | VAR117); assign VAR95 = VAR44 & VAR36[0]; assign VAR138 = VAR44 & VAR36[1]; assign VAR139 = (VAR44 & |VAR36[1:0]) | VAR8 | VAR124; assign VAR152 = VAR105[6] & ~VAR105[7] & VAR138; assign VAR96[1:0] = VAR53[1:0]; assign VAR163 = VAR105[8]; assign VAR14[2:0] = VAR105[11:9]; VAR28 #(7) VAR91(.din({VAR152,VAR96[1:0], VAR163, VAR14[2:0]}), .VAR98({VAR77,VAR55[1:0], VAR94, VAR146[2:0]}), .clk(clk), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR35[2:0] = VAR105[5:3]; assign VAR56[3] = (VAR138 & ~VAR105[6] & ~VAR105[7] & VAR53[1] & VAR53[0]); assign VAR56[2] = (VAR138 & ~VAR105[6] & ~VAR105[7] & VAR53[1] & ~VAR53[0]); assign VAR56[1] = (VAR138 & ~VAR105[6] & ~VAR105[7] & ~VAR53[1] & VAR53[0]); assign VAR56[0] = (VAR138 & ~VAR105[6] & ~VAR105[7] & ~VAR53[1] & ~VAR53[0]); VAR28 #(4) VAR121(.din(VAR56[3:0]), .clk(clk), .VAR98(VAR69[3:0]), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 #(4) VAR113(.din({VAR68, VAR51[1:0], VAR141}), .VAR98({VAR54,VAR7[1:0], VAR102}), .clk(clk), .VAR114(), .VAR136(), .VAR63(VAR63)); assign VAR68 = VAR138 & VAR105[7]; assign VAR51[1:0] = VAR53[1:0]; assign VAR141 = VAR105[12]; assign VAR99[2:0] = VAR74[2:0] ^ VAR150[2:0]; assign VAR161 = ~(VAR99[2] | VAR99[1] | VAR99[0]); assign VAR157 = VAR170 & VAR161; VAR28 VAR115(.din(VAR157), .clk(clk), .VAR98(VAR164), .VAR63(VAR63), .VAR114(), .VAR136()); VAR28 #(5) VAR90(.VAR98({VAR31,VAR18[2:0],VAR101}), .din({VAR170,VAR150[2:0],VAR87}), .clk(clk), .VAR63(VAR63), .VAR114(), .VAR136()); assign VAR47 = VAR31 & ~VAR5 & ~VAR164; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nand2/gf180mcu_fd_sc_mcu9t5v0__nand2_4.functional.v
1,046
module MODULE1( VAR6, VAR3, VAR1 ); input VAR1, VAR6; output VAR3; wire VAR5; not VAR2( VAR5, VAR1 ); wire VAR7; not VAR4( VAR7, VAR6 ); or VAR8( VAR3, VAR5, VAR7 ); endmodule
apache-2.0
VCTLabs/DE1_SOC_Linux_FB
ip/my_log_generator/log_generator.v
6,032
module MODULE1( VAR15, reset, VAR1, VAR33, VAR37, VAR7, VAR36, VAR39 ); input VAR15; input reset; input VAR1; output VAR33; output [23:0] VAR37; output VAR7; output VAR36; output [1:0] VAR39; assign VAR39 = 0; parameter VAR53 = 24'h000001; parameter VAR35 = 24'hffffff; parameter VAR48 = "./VAR52.VAR42"; parameter VAR16 = 1024; parameter VAR44 = 114; localparam VAR5 = 3; localparam VAR34 = 24'd15; localparam VAR8 = 24'd0; reg VAR31; always @(posedge VAR15 or posedge reset) begin if (reset) VAR31 <= 0; end else VAR31 <= VAR1 ; end assign VAR33 = VAR31 & !VAR25; wire [3:0] VAR51; wire VAR24; wire VAR25; VAR32 VAR3( .VAR15(VAR15), .VAR41(reset), .VAR27({VAR17,VAR6,VAR9}), .VAR18(VAR46), .VAR2(VAR24), .VAR11(VAR25), .VAR28({VAR7,VAR36,VAR51}), .VAR50(VAR33) ); assign VAR37 = (VAR51[3:1] == 3'd0) ? ((VAR51[0] == 1'b1)?VAR35:VAR53): (VAR51[3:1] == 3'd1) ? VAR34: (VAR51[3:1] == 3'd2) ? { 4'b0, VAR47[ 7: 4], 4'b0, VAR47[11: 8], 4'b0, VAR47[15:12] }: (VAR51[3:1] == 3'd3) ? { 4'b0, VAR29[11: 8], 4'b0, VAR29[15:12], 4'b0, VAR47[ 3: 0] }: (VAR51[3:1] == 3'd4) ? { 4'b0, 4'b0, 4'b0, VAR29[ 3: 0], 4'b0, VAR29[ 7: 4] }: (VAR51[3:1] == 3'd5) ? VAR8: ((VAR51[0] == 1'b1)?VAR35:VAR53); reg [11:0] VAR14; reg [11:0] VAR10; reg [1:0] VAR12; localparam VAR20 = 0; localparam VAR26 = 1; localparam VAR40 = 2; localparam VAR13 = 3; wire VAR19 = (VAR12 == VAR20 ) ? 1 : 0 ; wire VAR23 = ((VAR12 == VAR26) & (VAR14==(VAR5-1)) ) ? 1 : 0 ; wire VAR30 = (VAR12 == VAR40 ) ? 1 : 0 ; wire VAR49 = ((VAR12 == VAR13) & (VAR14==(VAR16-1)) & (VAR10==(VAR44-1)) ) ? 1 : 0 ; always @ (posedge VAR15 or posedge reset) begin if (reset) VAR12 <= VAR20; end else case (VAR12) VAR20: if (!VAR24) VAR12 <= VAR26; VAR26: if (!VAR24 & VAR23) VAR12 <= VAR40; VAR40: if (!VAR24) VAR12 <= VAR13; VAR13: if (!VAR24 & VAR49) VAR12 <= VAR20; default : VAR12 = VAR26; endcase end wire VAR17 = (VAR19 | VAR30) ; wire VAR6 = (VAR23 | VAR49) ; reg VAR46; always @(posedge VAR15 or posedge reset) begin if (reset) VAR46 <= 0; end else VAR46 <= ~VAR24 ; end always @(posedge VAR15 or posedge reset) begin if (reset) begin VAR14 <= 0; end else begin if (!VAR24) if ((VAR12 == VAR13) ) begin if ( VAR14 < (VAR16-1) ) VAR14 <= VAR14 + 11'd1; end else VAR14 <= 0; end else if ((VAR12 == VAR26) )begin if ( VAR14 < (VAR5-1) ) VAR14 <= VAR14 + 11'd1; end else VAR14 <= 0; end end end always @(posedge VAR15 or posedge reset) begin if (reset) begin VAR10 <= 0; end else begin if (!VAR24 ) begin if (VAR12 == VAR13) begin if ( VAR14 == (VAR16-1) ) begin if ( VAR10 < (VAR44-1) ) VAR10 <= VAR10 + 11'd1; end else VAR10 <= 0; end end else VAR10 <= 0; end end end wire VAR45; VAR43 VAR21( .address({VAR10[6:0],VAR14[9:0]}), .VAR15(VAR15), .VAR28(VAR45)); reg [3:0] VAR9; always @(VAR12 or VAR38 or VAR45 ) begin case (VAR12) VAR20: VAR9 = {3'd1,1'b0}; VAR26: VAR9 = {VAR38,1'b0}; VAR40: VAR9 = {3'd5,1'b0}; default: VAR9 = {3'd0,VAR45}; endcase end reg [2:0] VAR38; wire [15:0] VAR47 = VAR16; wire [15:0] VAR29 = VAR44; always @(VAR14[3:0] or VAR47 or VAR29) begin case (VAR14[3:0]) 0 : VAR38 = 3'd2; 1 : VAR38 = 3'd3; 2 : VAR38 = 3'd4; default : VAR38 = 3'd0; endcase end endmodule
epl-1.0
theapi/de0-nano
uart_buffered/fifo.v
2,911
module MODULE1( clk, rst, VAR2, VAR12, VAR4, VAR5, VAR11, VAR7, VAR8 ); input rst, clk, VAR4, VAR5; input [7:0] VAR2; output[7:0] VAR12; output VAR11, VAR7; output[VAR6 :0] VAR8; reg[7:0] VAR12; reg VAR11, VAR7; reg[VAR6 :0] VAR8; reg[VAR6 -1:0] VAR9, VAR3; reg[7:0] VAR10[VAR1 -1 : 0]; always @(VAR8) begin VAR11 = (VAR8==0); VAR7 = (VAR8== VAR1); end always @(posedge clk or posedge rst) begin if ( rst ) begin VAR8 <= 0; end else if ((!VAR7 && VAR4) && ( !VAR11 && VAR5 )) begin VAR8 <= VAR8; end else if (!VAR7 && VAR4) begin VAR8 <= VAR8 + 1; end else if (!VAR11 && VAR5) begin VAR8 <= VAR8 - 1; end else begin VAR8 <= VAR8; end end always @( posedge clk or posedge rst) begin if (rst) begin VAR12 <= 0; end else begin if (VAR5 && !VAR11) begin VAR12 <= VAR10[VAR9]; end else begin VAR12 <= VAR12; end end end always @(posedge clk) begin if (VAR4 && !VAR7) begin VAR10[ VAR3 ] <= VAR2; end else begin VAR10[ VAR3 ] <= VAR10[ VAR3 ]; end end always@(posedge clk or posedge rst) begin if (rst) begin VAR3 <= 0; VAR9 <= 0; end else begin if (!VAR7 && VAR4) begin VAR3 <= VAR3 + 1; end else begin VAR3 <= VAR3; end if (!VAR11 && VAR5) begin VAR9 <= VAR9 + 1; end else begin VAR9 <= VAR9; end end end endmodule
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/vfabric_counter.v
8,121
module MODULE1#(parameter VAR5=32) ( input VAR20, input VAR9, input VAR26, input VAR18, input [VAR5-1:0] VAR7[2:0], input [VAR5-1:0] VAR4[2:0], input [VAR5-1:0] VAR2[2:0], output reg [VAR5-1:0] VAR21[2:0], output reg [VAR5-1:0] VAR31[2:0], output reg [VAR5-1:0] VAR8[2:0], output reg [VAR5-1:0] VAR3, output reg [VAR5-1:0] VAR32, output VAR30, input VAR16 ); wire [VAR5-1:0] VAR22 = VAR31[0] + VAR4[0] * ( VAR31[1] + VAR4[1] * VAR31[2] ); wire [VAR5-1:0] VAR13 = VAR21[0] + VAR7[0] * ( VAR21[1] + VAR7[1] * VAR21[2] ); wire VAR29; reg VAR1; assign VAR29 = VAR26 & ~VAR16 & ~VAR1; assign VAR30 = VAR26 && !VAR18 & ~VAR1; function [VAR5-1:0] VAR28 ( input [VAR5-1:0] VAR11, input VAR12, input VAR25 ); if ( VAR12 ) if ( VAR25 ) VAR28 = {VAR5{1'b0}}; else VAR28 = VAR11 + 2'b01; else VAR28 = VAR11; endfunction reg [VAR5-1:0] VAR10[2:0]; wire VAR33[2:0]; assign VAR33[0] = (VAR21[0] == VAR10[0] ); assign VAR33[1] = (VAR21[1] == VAR10[1] ); assign VAR33[2] = (VAR21[2] == VAR10[2] ); wire VAR17; assign VAR17 = VAR33[0] & VAR33[1] & VAR33[2]; wire VAR24[2:0]; assign VAR24[0] = (VAR10[0] != 0); assign VAR24[1] = (VAR10[1] != 0) && VAR33[0]; assign VAR24[2] = (VAR10[2] != 0) && VAR33[0] && VAR33[1]; always @(posedge VAR20 or negedge VAR9) begin if ( ~VAR9 ) begin VAR21[0] <= {VAR5{1'b0}}; VAR21[1] <= {VAR5{1'b0}}; VAR21[2] <= {VAR5{1'b0}}; VAR3 <= {VAR5{1'b0}}; VAR10[0] <= {VAR5{1'b0}}; VAR10[1] <= {VAR5{1'b0}}; VAR10[2] <= {VAR5{1'b0}}; end else if ( VAR18 ) begin VAR21[0] <= {VAR5{1'b0}}; VAR21[1] <= {VAR5{1'b0}}; VAR21[2] <= {VAR5{1'b0}}; VAR3 <= {VAR5{1'b0}}; VAR10[0] <= VAR7[0] - 2'b01; VAR10[1] <= VAR7[1] - 2'b01; VAR10[2] <= VAR7[2] - 2'b01; end else begin if ( VAR29 ) begin VAR21[0] <= VAR28 (VAR21[0], VAR24[0], VAR33[0]); VAR21[1] <= VAR28 (VAR21[1], VAR24[1], VAR33[1]); VAR21[2] <= VAR28 (VAR21[2], VAR24[2], VAR33[2]); VAR3 <= (VAR17) ? {VAR5{1'b0}} : VAR3 + 2'b01; end end end wire VAR19[2:0]; assign VAR19[0] = (VAR31[0] == (VAR4[0] - 2'b01) ); assign VAR19[1] = (VAR31[1] == (VAR4[1] - 2'b01) ); assign VAR19[2] = (VAR31[2] == (VAR4[2] - 2'b01) ); always @(posedge VAR20 or negedge VAR9) begin if ( ~VAR9 ) begin VAR1 = 1'b0; end else if ( VAR18 ) begin VAR1 = 1'b0; end else begin VAR1 = VAR26 & ~VAR16 & VAR19[0] & VAR19[1] & VAR19[2] ? 1'b1 : VAR1; end end always @(posedge VAR20 or negedge VAR9) begin if ( ~VAR9 ) begin VAR31[0] <= {VAR5{1'b0}}; VAR31[1] <= {VAR5{1'b0}}; VAR31[2] <= {VAR5{1'b0}}; end else if ( VAR18 ) begin VAR31[0] <= {VAR5{1'b0}}; VAR31[1] <= {VAR5{1'b0}}; VAR31[2] <= {VAR5{1'b0}}; end else begin if ( VAR29 ) begin if (VAR17) begin VAR31[0] <= VAR19[0] ? {VAR5{1'b0}} : (VAR31[0] + 2'b01); VAR31[1] <= (VAR19[0] & VAR19[1]) ? {VAR5{1'b0}} : (VAR19[0] ? (VAR31[1] + 2'b01) : (VAR31[1] - VAR10[1])); VAR31[2] <= (VAR19[0] & VAR19[1] & VAR19[2]) ? {VAR5{1'b0}} : (VAR19[0] & VAR19[1]) ? (VAR31[2] + 2'b01) : (VAR31[2] - VAR10[2]); end else begin if ( VAR24[0] ) VAR31[0] <= VAR33[0] ? (VAR31[0] - VAR10[0]) : (VAR31[0] + 2'b01); if ( VAR24[1] ) VAR31[1] <= VAR33[1] ? (VAR31[1] - VAR10[1]) : (VAR31[1] + 2'b01); if ( VAR24[2] ) VAR31[2] <= VAR33[2] ? (VAR31[2] - VAR10[2]) : (VAR31[2] + 2'b01); end end end end wire VAR14[2:0]; assign VAR14[0] = (VAR8[0] == (VAR2[0] - 2'b01) ); assign VAR14[1] = (VAR8[1] == (VAR2[1] - 2'b01) ); assign VAR14[2] = (VAR8[2] == (VAR2[2] - 2'b01) ); wire VAR15[2:0]; assign VAR15[0] = ((VAR2[0] - 2'b01) != 0); assign VAR15[1] = ((VAR2[1] - 2'b01) != 0) && VAR14[0]; assign VAR15[2] = ((VAR2[2] - 2'b01) != 0) && VAR14[0] && VAR14[1]; always @(posedge VAR20 or negedge VAR9) begin if ( ~VAR9 ) begin VAR8[0] <= {VAR5{1'b0}}; VAR8[1] <= {VAR5{1'b0}}; VAR8[2] <= {VAR5{1'b0}}; VAR32 <= {VAR5{1'b0}}; end else if ( VAR18 ) begin VAR8[0] <= {VAR5{1'b0}}; VAR8[1] <= {VAR5{1'b0}}; VAR8[2] <= {VAR5{1'b0}}; VAR32 <= {VAR5{1'b0}}; end else begin if ( VAR29 ) begin if ( VAR17 ) begin VAR8[0] <= VAR28 (VAR8[0], VAR15[0], VAR14[0]); VAR8[1] <= VAR28 (VAR8[1], VAR15[1], VAR14[1]); VAR8[2] <= VAR28 (VAR8[2], VAR15[2], VAR14[2]); VAR32 <= VAR32 + 1; end end end end wire [VAR5-1:0] VAR27[2:0]; wire [VAR5-1:0] VAR23[2:0]; wire [VAR5-1:0] VAR6[2:0]; wire [VAR5-1:0] VAR34[2:0]; assign VAR27 = VAR31; assign VAR23 = VAR21; assign VAR6 = VAR8; assign VAR34 = VAR10; endmodule
mit
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/X5000_ztexmerge/hdl/fpgaminer_top.v
2,261
module MODULE1 ( input VAR14 ); reg [255:0] VAR15 = 0; reg [95:0] VAR9 = 0; reg [31:0] VAR17 = 32'd254, VAR5 = 32'h0; wire VAR23; VAR22 VAR24 (.VAR11(VAR14), .VAR25(VAR23)); assign VAR23 = VAR14; wire [255:0] VAR3; wire [31:0] VAR7; VAR20 VAR12 ( .clk (VAR23), .state (VAR15), .VAR13 (VAR15), .VAR9 ({384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR17, VAR9}), .VAR3 (VAR3) ); VAR26 VAR10 ( .clk (VAR23), .VAR9 ({256'h0000010000000000000000000000000000000000000000000000000080000000, VAR3}), .VAR3 (VAR7) ); wire [255:0] VAR8; wire [95:0] VAR27; reg VAR18 = 1'b0; reg [31:0] VAR28 = 0; VAR6 VAR21 ( .VAR23 (VAR23), .VAR1 (VAR18), .VAR16 (VAR28), .VAR2(VAR8), .VAR4(VAR27) ); reg VAR19 = 1'b0; always @ (posedge VAR23) begin VAR15 <= VAR8; VAR9 <= VAR27[95:0]; VAR17 <= VAR17 + 32'd1; VAR5 <= VAR5 + 32'd1; VAR19 <= VAR7 == 32'hA41F32E7; VAR18 <= VAR19; if(VAR19) VAR28 <= VAR5; end endmodule
gpl-3.0
kadircet/CENG
232/lab_5_2/Board232.v
1,918
module MODULE1 ( input VAR5, input [3:0] VAR9, input [7:0] VAR8, output [7:0] VAR12, output reg [6:0] VAR7, output reg [3:0] VAR11, output VAR2, output [2:1] VAR6, output [2:0] VAR1, output [2:0] VAR3, output VAR4, output VAR10 ); assign VAR2 = 1'b1; begin end begin begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor2b/sky130_fd_sc_ls__nor2b.symbol.v
1,326
module MODULE1 ( input VAR4 , input VAR1, output VAR5 ); supply1 VAR6; supply0 VAR2; supply1 VAR3 ; supply0 VAR7 ; endmodule
apache-2.0
mrehkopf/sd2snes
verilog/sd2snes_sa1/dec_table.v
6,444
module MODULE1 ( address, VAR14, VAR8); input [7:0] address; input VAR14; output [31:0] VAR8; tri1 VAR14; wire [31:0] VAR47; wire [31:0] VAR8 = VAR47[31:0]; VAR37 VAR49 ( .VAR23 (address), .VAR12 (VAR14), .VAR31 (VAR47), .VAR33 (1'b0), .VAR51 (1'b0), .VAR32 (1'b1), .VAR16 (1'b0), .VAR41 (1'b0), .VAR35 (1'b1), .VAR27 (1'b1), .VAR43 (1'b1), .VAR22 (1'b1), .VAR36 (1'b1), .VAR42 (1'b1), .VAR25 (1'b1), .VAR11 ({32{1'b1}}), .VAR5 (1'b1), .VAR39 (), .VAR52 (), .VAR45 (1'b1), .VAR29 (1'b1), .VAR20 (1'b0), .VAR1 (1'b0)); VAR49.VAR17 = "VAR46", VAR49.VAR13 = "VAR28", VAR49.VAR9 = "VAR28", VAR49.VAR6 = "MODULE1.VAR30", VAR49.VAR34 = "VAR40 VAR7 VAR44", VAR49.VAR48 = "VAR15=VAR18", VAR49.VAR38 = "VAR37", VAR49.VAR24 = 256, VAR49.VAR4 = "VAR26", VAR49.VAR3 = "VAR46", VAR49.VAR50 = "VAR19", VAR49.VAR10 = 8, VAR49.VAR21 = 32, VAR49.VAR2 = 1; endmodule
gpl-2.0
praveendath92/securePUF
source/puf_files/back_up/alu_puf.v
3,327
module MODULE1(VAR11, VAR9, VAR6, reset, VAR16, VAR3); output [15:0] VAR9; input [127:0] VAR11; input VAR6; input reset; input wire [15:0] VAR16; input wire [15:0] VAR3; wire [127:0] VAR11; wire [15:0] VAR9; reg [15:0] VAR14; reg [15:0] VAR19; reg [15:0] b1; reg [15:0] VAR25; wire [15:0] VAR31; wire [15:0] VAR12; always @ (posedge VAR6) begin VAR14 <= VAR16; VAR19 <= VAR16; b1 <= VAR3; VAR25 <= VAR3; end assign VAR31 = (VAR6==1)?(VAR14+b1):0; assign VAR12 = (VAR6==1)?(VAR19+VAR25):0; VAR2 VAR30 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[0]), .VAR29(VAR12[0]), .reset(reset), .VAR17(VAR9[0])); VAR2 VAR15 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[1]), .VAR29(VAR12[1]), .reset(reset), .VAR17(VAR9[1])); VAR2 VAR23 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[2]), .VAR29(VAR12[2]), .reset(reset), .VAR17(VAR9[2])); VAR2 VAR8 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[3]), .VAR29(VAR12[3]), .reset(reset), .VAR17(VAR9[3])); VAR2 VAR24 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[4]), .VAR29(VAR12[4]), .reset(reset), .VAR17(VAR9[4])); VAR2 VAR20 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[5]), .VAR29(VAR12[5]), .reset(reset), .VAR17(VAR9[5])); VAR2 VAR28 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[6]), .VAR29(VAR12[6]), .reset(reset), .VAR17(VAR9[6])); VAR2 VAR32 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[7]), .VAR29(VAR12[7]), .reset(reset), .VAR17(VAR9[7])); VAR2 VAR18 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[8]), .VAR29(VAR12[8]), .reset(reset), .VAR17(VAR9[8])); VAR2 VAR13 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[9]), .VAR29(VAR12[9]), .reset(reset), .VAR17(VAR9[9])); VAR2 VAR21 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[10]), .VAR29(VAR12[10]), .reset(reset), .VAR17(VAR9[10])); VAR2 VAR26 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[11]), .VAR29(VAR12[11]), .reset(reset), .VAR17(VAR9[11])); VAR2 VAR22 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[12]), .VAR29(VAR12[12]), .reset(reset), .VAR17(VAR9[12])); VAR2 VAR5 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[13]), .VAR29(VAR12[13]), .reset(reset), .VAR17(VAR9[13])); VAR2 VAR4 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[14]), .VAR29(VAR12[14]), .reset(reset), .VAR17(VAR9[14])); VAR2 VAR1 (.VAR27(VAR11[63:0]), .VAR10(VAR11[127:64]), .VAR7(VAR31[15]), .VAR29(VAR12[15]), .reset(reset), .VAR17(VAR9[15])); endmodule
gpl-2.0
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/InputMux.v
4,024
module MODULE1( input [31:0] VAR11, input [31:0] VAR4, input [31:0] VAR15, input [31:0] VAR1, input [31:0] VAR22, input [31:0] VAR7, input [31:0] VAR40, input VAR3, input VAR34, input reset, input VAR23, input [1:0] VAR6, input VAR25, input VAR39, input [1:0] VAR19, input VAR13, input VAR2, input [7:0] VAR36, input [7:0] VAR38, output reg [31:0] VAR5, output reg [31:0] VAR33, output reg [31:0] VAR27, output reg [31:0] VAR28, output reg [31:0] VAR31, output reg [31:0] VAR10, output reg [31:0] VAR14, output reg [31:0] VAR37, output reg [1:0] VAR30, output reg VAR16, output reg VAR42 = 1'b0, output reg VAR35, output reg VAR21 = 1'b0, output reg [7:0] VAR41, output reg [7:0] VAR17, output reg VAR32, output reg VAR20 = 1'b0 ); reg [7:0] VAR26, VAR18; parameter VAR24 = 1'b1, VAR29 = 1'b0; parameter VAR9 = 2'b01, VAR8 = 2'b00, VAR12 = 2'b11; always @ (*) begin case (VAR13) VAR24 : VAR26 <= 8'b01111111 - VAR7[30:23]; VAR29 : VAR26 <= VAR22[30:23] - VAR1[30:23]; default : VAR26 <= VAR22[30:23] - VAR1[30:23]; endcase VAR18 <= ~VAR26 + 8'b00000001 ;end always @ ( posedge VAR23) begin if (reset == 1'b1) begin VAR21 <= 1'b0; VAR20 <= 1'b0; VAR42 <= 1'b0; end else begin if((VAR34 == 1'b1)) begin if (!((VAR13==VAR24 && VAR19 !=VAR8 && VAR7[30:23] <= 8'b00000000)||(VAR13==VAR29 && ((VAR18[7] == 1'b0 && VAR18 >= 8'b00001110 && ((VAR19 == 2'b11)|| (VAR19==2'b01)))||(VAR18[7] == 1'b0 && VAR18 >= 8'b00001111) || VAR22[30:23] == 8'b0)))) begin VAR5 <= VAR1; VAR33 <= VAR22; VAR27 <= VAR7; VAR28 <= VAR40; VAR21 <= 1'b1; VAR30 <= VAR19; VAR16 <= VAR13; VAR41 <= VAR38; VAR20 <= 1'b0; VAR42 <= VAR2; end else begin VAR31 <= VAR1; VAR10 <= VAR22; VAR14 <= VAR7; VAR37 <= VAR40; VAR17 <= VAR38; VAR20 <= 1'b1; VAR35 <= 1'b1; VAR21 <= 1'b0; VAR32 <= VAR39; VAR5 <= VAR11; VAR33 <= VAR4; VAR27 <= VAR15; VAR28 <= 32'h3f800000; VAR30 <= VAR6; VAR16 <= VAR25; VAR41 <= VAR36; VAR42 <= VAR39; end end else if ((VAR3 == 1'b1)) begin VAR5 <= VAR11; VAR33 <= VAR4; VAR27 <= VAR15; VAR28 <= 32'h3f800000; VAR30 <= VAR6; VAR16 <= VAR25; VAR41 <= VAR36; VAR20 <= 1'b0; VAR42 <= VAR39; end end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a31o/sky130_fd_sc_lp__a31o_4.v
2,337
module MODULE1 ( VAR2 , VAR11 , VAR3 , VAR8 , VAR6 , VAR4, VAR7, VAR10 , VAR5 ); output VAR2 ; input VAR11 ; input VAR3 ; input VAR8 ; input VAR6 ; input VAR4; input VAR7; input VAR10 ; input VAR5 ; VAR9 VAR1 ( .VAR2(VAR2), .VAR11(VAR11), .VAR3(VAR3), .VAR8(VAR8), .VAR6(VAR6), .VAR4(VAR4), .VAR7(VAR7), .VAR10(VAR10), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR2 , VAR11, VAR3, VAR8, VAR6 ); output VAR2 ; input VAR11; input VAR3; input VAR8; input VAR6; supply1 VAR4; supply0 VAR7; supply1 VAR10 ; supply0 VAR5 ; VAR9 VAR1 ( .VAR2(VAR2), .VAR11(VAR11), .VAR3(VAR3), .VAR8(VAR8), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand3/sky130_fd_sc_ms__nand3.symbol.v
1,280
module MODULE1 ( input VAR1, input VAR7, input VAR4, output VAR3 ); supply1 VAR2; supply0 VAR5; supply1 VAR8 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sedfxbp/sky130_fd_sc_hdll__sedfxbp.behavioral.v
2,758
module MODULE1 ( VAR21 , VAR11, VAR23, VAR13 , VAR30 , VAR29, VAR15 ); output VAR21 ; output VAR11; input VAR23; input VAR13 ; input VAR30 ; input VAR29; input VAR15; supply1 VAR19; supply0 VAR9; supply1 VAR20 ; supply0 VAR31 ; wire VAR4 ; reg VAR7 ; wire VAR25 ; wire VAR12 ; wire VAR10; wire VAR3; wire VAR28; wire VAR18 ; wire VAR26 ; wire VAR22 ; wire VAR27 ; wire VAR14 ; wire VAR17 ; VAR6 VAR5 (VAR18, VAR26, VAR10, VAR3 ); VAR6 VAR8 (VAR26 , VAR4, VAR25, VAR12 ); VAR16 VAR2 (VAR4 , VAR18, VAR28, VAR7, VAR19, VAR9); assign VAR22 = ( VAR19 === 1'b1 ); assign VAR27 = ( VAR22 && ( VAR3 === 1'b0 ) && ( VAR12 === 1'b1 ) ); assign VAR14 = ( VAR22 && ( VAR3 === 1'b1 ) ); assign VAR17 = ( VAR22 && ( VAR12 === 1'b1 ) && ( VAR25 !== VAR10 ) ); buf VAR1 (VAR21 , VAR4 ); not VAR24 (VAR11 , VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/clkdlyinv5sd3/sky130_fd_sc_ms__clkdlyinv5sd3.symbol.v
1,357
module MODULE1 ( input VAR4, output VAR3 ); supply1 VAR6; supply0 VAR2; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlymetal6s6s/sky130_fd_sc_lp__dlymetal6s6s.symbol.v
1,358
module MODULE1 ( input VAR6, output VAR3 ); supply1 VAR2; supply0 VAR1; supply1 VAR4 ; supply0 VAR5 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi221/gf180mcu_fd_sc_mcu9t5v0__aoi221_1.functional.pp.v
2,125
module MODULE1( VAR14, VAR27, VAR13, VAR25, VAR16, VAR21, VAR15, VAR3 ); input VAR21, VAR16, VAR27, VAR14, VAR13; inout VAR15, VAR3; output VAR25; wire VAR6; not VAR11( VAR6, VAR21 ); wire VAR9; not VAR1( VAR9, VAR27 ); wire VAR24; not VAR22( VAR24, VAR13 ); wire VAR10; and VAR23( VAR10, VAR6, VAR9, VAR24 ); wire VAR7; not VAR4( VAR7, VAR14 ); wire VAR2; and VAR8( VAR2, VAR6, VAR7, VAR24 ); wire VAR17; not VAR18( VAR17, VAR16 ); wire VAR19; and VAR5( VAR19, VAR17, VAR9, VAR24 ); wire VAR12; and VAR20( VAR12, VAR17, VAR7, VAR24 ); or VAR26( VAR25, VAR10, VAR2, VAR19, VAR12 ); endmodule
apache-2.0
carstenbru/fpga-log
spartanmc/hardware/uart_light/src/uart_light_tx.v
3,456
module MODULE1 parameter VAR14 = 8, parameter VAR13 = 5 )( input wire reset, input wire VAR11, input wire VAR17, input wire VAR5, input wire [VAR14-1:0] VAR15, output wire VAR7, output wire VAR2, output wire VAR6, output wire VAR1 ); wire VAR3,VAR12,VAR4,VAR16,VAR19,VAR8,VAR21; assign VAR1 = VAR16; VAR10 VAR9 ( .reset(reset), .VAR17(VAR17), .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6), .VAR3(VAR3), .VAR12(VAR12), .VAR4(VAR4), .VAR16(VAR16), .VAR19(VAR19), .VAR8(VAR8), .VAR21(VAR21) ); VAR18 .VAR13(VAR13) ) VAR20 ( .reset(reset), .VAR11(VAR11), .VAR17(VAR17), .VAR7(VAR7), .VAR15(VAR15), .VAR12(VAR12), .VAR4(VAR4), .VAR16(VAR16), .VAR3(VAR3), .VAR19(VAR19), .VAR8(VAR8), .VAR21(VAR21) ); endmodule
gpl-3.0
sehugg/8bitworkshop
presets/verilog/framebuffer.v
2,488
module MODULE1(clk, reset, VAR24, VAR13, VAR14, VAR15, VAR19, VAR23, VAR8, VAR4, VAR7 ); input clk, reset; input VAR14, VAR15; output VAR24, VAR13; wire VAR12; wire [8:0] VAR9; wire [8:0] VAR2; output reg [3:0] VAR7; reg [15:0] VAR10[0:32767]; reg [15:0] VAR1[0:1023]; output wire [15:0] VAR19; output reg [15:0] VAR23; output wire [15:0] VAR8; output wire VAR4; VAR18 VAR5(.clk(clk), .reset(reset), .VAR16(VAR16), .VAR20(VAR20), .address(VAR19), .VAR22(VAR23), .VAR6(VAR8), .write(VAR4)); always @(posedge clk) if (VAR4) begin VAR10[VAR19[14:0]] <= VAR8; end always @(*) if (VAR19[15]) VAR23 = VAR1[VAR19[9:0]]; else VAR23 = VAR10[VAR19[14:0]]; VAR3 VAR11( .clk(clk), .reset(0), .VAR24(VAR24), .VAR13(VAR13), .VAR12(VAR12), .VAR9(VAR9), .VAR2(VAR2) ); reg VAR16 = 0; wire VAR20; reg [12:0] VAR21; reg [15:0] VAR25; reg [3:0] VAR17[0:3] = '{0,1,4,7}; always @(posedge clk) begin if (VAR12) begin if (0 == VAR9[2:0]) begin VAR25 <= VAR10[{2'b10,VAR21}]; VAR21 <= VAR21 + 1; end else VAR25 <= VAR25 << 2; VAR7 <= VAR17[VAR25[15:14]]; end else begin VAR7 <= 0; if (VAR13) VAR21 <= 0; end; end
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_adc_2c_v1_00_a/hdl/verilog/user_logic.v
8,333
module MODULE1 ( VAR20, VAR9, VAR7, VAR30, VAR47, VAR25, VAR26, VAR54, VAR34, VAR6, VAR24, VAR40, VAR14, VAR15, VAR43, VAR55, VAR44, VAR36, VAR13, VAR3, VAR28, VAR1, VAR45, VAR53, VAR23, VAR19, VAR2, VAR49, VAR31, VAR12, VAR41, VAR4, VAR17, VAR56, VAR27, VAR39, VAR5, VAR21, VAR16); parameter VAR42 = 32; parameter VAR51 = 32; parameter VAR50 = 0; parameter VAR18 = "VAR57"; input [ 7:0] VAR20; input VAR9; input VAR7; input [13:0] VAR30; input [13:0] VAR47; input VAR25; input VAR26; output VAR54; output VAR34; output VAR6; output VAR24; input VAR40; input VAR14; output VAR15; output [63:0] VAR43; output [ 7:0] VAR55; output VAR44; input VAR36; input VAR13; output [ 7:0] VAR3; output VAR28; input VAR1; output [63:0] VAR45; output [ 7:0] VAR53; output VAR23; output [63:0] VAR19; output [ 7:0] VAR2; output VAR49; output [31:0] VAR31; input VAR12; input VAR41; input [31:0] VAR4; input [ 3:0] VAR17; input [31:0] VAR56; input [31:0] VAR27; output [31:0] VAR39; output VAR5; output VAR21; output VAR16; reg VAR32 = 'd0; reg VAR46 = 'd0; reg [ 4:0] VAR11 = 'd0; reg [31:0] VAR10 = 'd0; reg VAR5 = 'd0; reg VAR21 = 'd0; reg [31:0] VAR39 = 'd0; reg VAR16 = 'd0; wire [31:0] VAR52; wire [31:0] VAR33; wire VAR29; assign VAR52 = (VAR56 == 0) ? VAR27 : VAR56; always @(negedge VAR41 or posedge VAR12) begin if (VAR41 == 0) begin VAR32 <= 'd0; VAR46 <= 'd0; VAR11 <= 'd0; VAR10 <= 'd0; end else begin VAR32 <= (VAR52 == 0) ? 1'b0 : 1'b1; VAR46 <= (VAR56 == 0) ? 1'b0 : 1'b1; case (VAR52) 32'h80000000: VAR11 <= 5'h00; 32'h40000000: VAR11 <= 5'h01; 32'h20000000: VAR11 <= 5'h02; 32'h10000000: VAR11 <= 5'h03; 32'h08000000: VAR11 <= 5'h04; 32'h04000000: VAR11 <= 5'h05; 32'h02000000: VAR11 <= 5'h06; 32'h01000000: VAR11 <= 5'h07; 32'h00800000: VAR11 <= 5'h08; 32'h00400000: VAR11 <= 5'h09; 32'h00200000: VAR11 <= 5'h0a; 32'h00100000: VAR11 <= 5'h0b; 32'h00080000: VAR11 <= 5'h0c; 32'h00040000: VAR11 <= 5'h0d; 32'h00020000: VAR11 <= 5'h0e; 32'h00010000: VAR11 <= 5'h0f; 32'h00008000: VAR11 <= 5'h10; 32'h00004000: VAR11 <= 5'h11; 32'h00002000: VAR11 <= 5'h12; 32'h00001000: VAR11 <= 5'h13; 32'h00000800: VAR11 <= 5'h14; 32'h00000400: VAR11 <= 5'h15; 32'h00000200: VAR11 <= 5'h16; 32'h00000100: VAR11 <= 5'h17; 32'h00000080: VAR11 <= 5'h18; 32'h00000040: VAR11 <= 5'h19; 32'h00000020: VAR11 <= 5'h1a; 32'h00000010: VAR11 <= 5'h1b; 32'h00000008: VAR11 <= 5'h1c; 32'h00000004: VAR11 <= 5'h1d; 32'h00000002: VAR11 <= 5'h1e; 32'h00000001: VAR11 <= 5'h1f; default: VAR11 <= 5'h1f; endcase VAR10 <= VAR4; end end always @(negedge VAR41 or posedge VAR12) begin if (VAR41 == 0) begin VAR5 <= 'd0; VAR21 <= 'd0; VAR39 <= 'd0; VAR16 <= 'd0; end else begin VAR5 <= (VAR56 == 0) ? 1'b0 : VAR29; VAR21 <= (VAR27 == 0) ? 1'b0 : VAR29; VAR39 <= VAR33; VAR16 <= 'd0; end end assign VAR54 = 1'b1; assign VAR34 = 1'b1; assign VAR6 = 1'b0; assign VAR24 = 1'b0; VAR37 #(.VAR50(VAR50), .VAR18(VAR18)) VAR48 ( .VAR20 (VAR20), .VAR9 (VAR9), .VAR7 (VAR7), .VAR30 (VAR30), .VAR47 (VAR47), .VAR25 (VAR25), .VAR26 (VAR26), .VAR14 (VAR14), .VAR15 (VAR15), .VAR43 (VAR43), .VAR55 (VAR55), .VAR44 (VAR44), .VAR36 (VAR36), .VAR22 (VAR41), .VAR35 (VAR12), .VAR32 (VAR32), .VAR46 (VAR46), .VAR11 (VAR11), .VAR10 (VAR10), .VAR8 (VAR33), .VAR38 (VAR29), .VAR3 (VAR3), .VAR28 (VAR28), .VAR1 (VAR1), .VAR13 (VAR13), .VAR45 (VAR45), .VAR53 (VAR53), .VAR23 (VAR23), .VAR19 (VAR19), .VAR2 (VAR2), .VAR49 (VAR49), .VAR31 (VAR31)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor3/sky130_fd_sc_ls__xnor3_1.v
2,184
module MODULE2 ( VAR9 , VAR8 , VAR10 , VAR7 , VAR1, VAR3, VAR2 , VAR5 ); output VAR9 ; input VAR8 ; input VAR10 ; input VAR7 ; input VAR1; input VAR3; input VAR2 ; input VAR5 ; VAR6 VAR4 ( .VAR9(VAR9), .VAR8(VAR8), .VAR10(VAR10), .VAR7(VAR7), .VAR1(VAR1), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR9, VAR8, VAR10, VAR7 ); output VAR9; input VAR8; input VAR10; input VAR7; supply1 VAR1; supply0 VAR3; supply1 VAR2 ; supply0 VAR5 ; VAR6 VAR4 ( .VAR9(VAR9), .VAR8(VAR8), .VAR10(VAR10), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and4/sky130_fd_sc_ls__and4.pp.blackbox.v
1,314
module MODULE1 ( VAR6 , VAR3 , VAR1 , VAR8 , VAR7 , VAR5, VAR2, VAR4 , VAR9 ); output VAR6 ; input VAR3 ; input VAR1 ; input VAR8 ; input VAR7 ; input VAR5; input VAR2; input VAR4 ; input VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a311o/sky130_fd_sc_ls__a311o.blackbox.v
1,388
module MODULE1 ( VAR5 , VAR8, VAR4, VAR3, VAR10, VAR7 ); output VAR5 ; input VAR8; input VAR4; input VAR3; input VAR10; input VAR7; supply1 VAR1; supply0 VAR6; supply1 VAR9 ; supply0 VAR2 ; endmodule
apache-2.0
fallen/milkymist-mmu
cores/pfpu/rtl/pfpu_regf.v
2,839
module MODULE1( input VAR25, input VAR10, output reg VAR15, output [31:0] VAR5, output [31:0] VAR17, input [31:0] VAR2, input VAR3, input [6:0] VAR32, input [6:0] VAR26, input [6:0] VAR30, input VAR4, input [6:0] VAR21, output [31:0] VAR31, input [31:0] VAR13, input VAR18, input [31:0] VAR34, input [31:0] VAR19, output VAR11 ); wire [6:0] VAR8; wire [31:0] VAR16; wire [6:0] VAR14; wire [31:0] VAR22; wire VAR6; wire [6:0] VAR23; wire [31:0] VAR12; VAR29 VAR7( .VAR25(VAR25), .VAR8(VAR8), .VAR16(VAR16), .VAR14(VAR14), .VAR22(VAR22), .VAR6(VAR6), .VAR23(VAR23), .VAR12(VAR12) ); assign VAR8 = VAR4 ? VAR21 : VAR32; reg VAR27; reg VAR20; reg [31:0] VAR9; always @(posedge VAR25) begin VAR27 <= 1'b0; VAR20 <= 1'b1; if(VAR8 == 7'd0) VAR9 <= VAR34; end else if(VAR8 == 7'd1) VAR9 <= VAR19; else begin VAR27 <= 1'b1; VAR20 <= 1'b0; VAR9 <= 32'VAR1; end end assign VAR5 = ({32{VAR27}} & VAR16)|({32{VAR20}} & VAR9); assign VAR31 = VAR5; assign VAR14 = VAR26; reg VAR24; reg VAR33; reg [31:0] VAR28; always @(posedge VAR25) begin VAR24 <= 1'b0; VAR33 <= 1'b1; if(VAR14 == 7'd0) VAR28 <= VAR34; end else if(VAR14 == 7'd1) VAR28 <= VAR19; else begin VAR24 <= 1'b1; VAR33 <= 1'b0; VAR28 <= 32'VAR1; end end assign VAR17 = ({32{VAR24}} & VAR22)|({32{VAR33}} & VAR28); assign VAR6 = VAR4 ? VAR18 : VAR3; assign VAR23 = VAR4 ? VAR21 : VAR30; assign VAR12 = VAR4 ? VAR13 : VAR2; always @(posedge VAR25) begin if(VAR6) begin if(VAR23 == 7'd2) VAR15 <= VAR12 != 32'd0; end end assign VAR11 = VAR6 & (VAR23 == 7'd0); endmodule
lgpl-3.0
antmicro/yosys-symbiflow-plugins
ql-qlf-plugin/pp3/brams_map.v
32,000
module \VAR145 ( VAR157, VAR97, VAR142, VAR32, VAR63, VAR7, VAR164, VAR135 ); parameter VAR174 = 9; parameter VAR21 = 36; parameter VAR74 = 4; parameter VAR67 = 1; parameter VAR62 = 1; parameter [16383:0] VAR38 = 16384'VAR171; input VAR157; input VAR97; input [VAR174-1:0] VAR142; output [VAR21-1:0] VAR32; input VAR63; input [VAR174-1:0] VAR7; input [VAR21-1:0] VAR164; input [VAR74-1:0] VAR135; wire VAR44 = 1'b1; wire VAR20 = 1'b0; wire [3:0] VAR150, VAR29; wire [31:0] VAR18, VAR99; wire [31:0] VAR58; wire [ 3:0] VAR93; wire [ 1:0] VAR118; wire [ 1:0] VAR87; wire [ 1:0] VAR137; wire [ 1:0] VAR5; wire [ 4:0] VAR166; assign VAR166[4:VAR74] = 0; assign VAR166[VAR74-1:0] = VAR135; assign VAR32 = VAR99; assign VAR18 = VAR164; if (VAR21 <= 8) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR21 > 8 && VAR21 <= 16) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR21 > 16) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end generate if (VAR21 <= 16) begin VAR132 #( ) VAR131 ( .VAR75(VAR7), .VAR130(VAR20), .VAR28(VAR142), .VAR26(VAR20), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR157), .VAR155(VAR157), .VAR15(!VAR67), .VAR138(!VAR67), .VAR13(VAR44), .VAR114(VAR44), .VAR8(VAR97), .VAR129(VAR97), .VAR136(!VAR62), .VAR76(!VAR62), .VAR85(VAR63), .VAR30(VAR63), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR44), .VAR80(VAR20), .VAR117(VAR44), .VAR19(VAR20), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR20), .VAR60(VAR20), .VAR83(VAR20), .VAR165(VAR20), .VAR140(VAR20), .VAR43({VAR20, VAR18[15:8], VAR20, VAR18[7:0]}), .VAR40(VAR118), .VAR24(VAR20), .VAR79(VAR137), .VAR98(VAR20), .VAR54(VAR166[1:0]), .VAR119(VAR166[3:2]), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR29[1], VAR99[15:8], VAR29[0], VAR99[7:0]}), .VAR47(), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end else if (VAR21 <= 32) begin VAR132 #( ) VAR131 ( .VAR75(VAR7), .VAR130(VAR20), .VAR28(VAR142), .VAR26(VAR20), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR157), .VAR155(VAR157), .VAR15(!VAR67), .VAR138(!VAR67), .VAR13(VAR44), .VAR114(VAR44), .VAR8(VAR97), .VAR129(VAR97), .VAR136(!VAR62), .VAR76(!VAR62), .VAR85(VAR63), .VAR30(VAR63), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR44), .VAR80(VAR20), .VAR117(VAR44), .VAR19(VAR20), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR20), .VAR60(VAR20), .VAR83(VAR20), .VAR165(VAR20), .VAR140({VAR20, VAR18[31:24], VAR20, VAR18[23:16]}), .VAR43({VAR20, VAR18[15:8], VAR20, VAR18[7:0]}), .VAR40(VAR118), .VAR24(VAR20), .VAR79(VAR137), .VAR98(VAR20), .VAR54(VAR166[1:0]), .VAR119(VAR166[3:2]), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR29[1], VAR99[15:8], VAR29[0], VAR99[7:0]}), .VAR47({VAR29[3], VAR99[31:24], VAR29[2], VAR99[23:16]}), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end else begin wire VAR3 = 1'b1; end endgenerate endmodule module \VAR39 ( VAR157, VAR97, VAR142, VAR32, VAR63, VAR7, VAR164, VAR135 ); parameter VAR174 = 9; parameter VAR21 = 18; parameter VAR74 = 2; parameter VAR67 = 1; parameter VAR62 = 1; parameter [8191:0] VAR38 = 8192'VAR171; input VAR157; input VAR97; input [VAR174-1:0] VAR142; output [VAR21-1:0] VAR32; input VAR63; input [VAR174-1:0] VAR7; input [VAR21-1:0] VAR164; input [VAR74-1:0] VAR135; wire [10:0] VAR94; wire [10:0] VAR89; wire [1:0] VAR150, VAR29; wire [15:0] VAR18, VAR99; wire [15:0] VAR37; wire [ 1:0] VAR109; wire [ 1:0] VAR118; wire [ 1:0] VAR87; wire [ 1:0] VAR137; wire [ 1:0] VAR5; wire [ 2:0] VAR166; assign VAR166[2:VAR74] = 0; assign VAR166[VAR74-1:0] = VAR135; wire VAR20 = 1'b0; wire VAR44 = 1'b1; assign VAR32 = VAR99; assign VAR18 = VAR164; if (VAR174 == 11) begin assign VAR94[VAR174-1:0] = VAR142; assign VAR89[VAR174-1:0] = VAR7; end else begin assign VAR94[10:VAR174] = 0; assign VAR94[VAR174-1:0] = VAR142; assign VAR89[10:VAR174] = 0; assign VAR89[VAR174-1:0] = VAR7; end if (VAR21 <= 9) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR21 > 9 && VAR21 <= 18) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR21 > 18) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end VAR132 #( ) VAR131 ( .VAR75(VAR89), .VAR130(VAR20), .VAR28(VAR94), .VAR26(VAR20), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR157), .VAR155(VAR20), .VAR15(!VAR67), .VAR138(VAR20), .VAR13(VAR44), .VAR114(VAR44), .VAR8(VAR97), .VAR129(VAR20), .VAR136(!VAR62), .VAR76(VAR20), .VAR85(VAR63), .VAR30(VAR20), .VAR56(VAR20), .VAR14(VAR20), .VAR36(VAR44), .VAR80(VAR20), .VAR117(VAR44), .VAR19(VAR20), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR20), .VAR60(VAR20), .VAR83(VAR20), .VAR165(VAR20), .VAR140(VAR20), .VAR43({VAR20, VAR18[15:8], VAR20, VAR18[7:0]}), .VAR40(VAR118), .VAR24(VAR20), .VAR79(VAR137), .VAR98(VAR20), .VAR54(VAR166[1:0]), .VAR119(VAR20), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR29[1], VAR99[15:8], VAR29[0], VAR99[7:0]}), .VAR47(), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); endmodule module MODULE4 ( VAR133, VAR77, VAR169, VAR113, VAR115, VAR167, VAR48, VAR116, VAR146 ); parameter VAR107 = 9, VAR95 = 512, VAR35 = 18, VAR25 = 2, VAR71 = 0; parameter [8191:0] VAR38 = 8192'VAR171; parameter VAR84 = "VAR33.VAR110"; input [VAR107-1:0] VAR133; input [VAR107-1:0] VAR77; input VAR113, VAR115; input VAR167, VAR48; input [VAR25-1:0] VAR116; input [VAR35-1:0] VAR169; output [VAR35-1:0] VAR146; wire VAR44, VAR20; wire VAR124, VAR148; wire VAR153, VAR141; wire VAR158; wire VAR72; wire [10:0] VAR64, VAR45, VAR160, VAR106; wire [17:0] VAR111; wire [ 2:0] VAR172; wire [15:0] VAR96; wire [ 1:0] VAR4; wire [1:0] VAR118, VAR137; wire [1:0] VAR41; wire VAR105, VAR173, VAR34, VAR128, VAR100, VAR88; wire VAR11, VAR52; wire VAR156, VAR46; assign VAR44 = 1'b1; assign VAR20 = 1'b0; assign VAR11 = 1'b1; assign VAR52 = 1'b1; assign VAR156 = 1'b0; assign VAR46 = 1'b0; assign VAR124 = 1'b0; assign VAR148 = 1'b0; assign VAR153 = 1'b0; assign VAR141 = 1'b0; assign VAR105 = 1'b0; assign VAR173 = 1'b0; assign VAR34 = 1'b0; assign VAR128 = 1'b0; assign VAR100 = 1'b0; assign VAR88 = 1'b0; assign VAR158 = VAR71; assign VAR41 = 2'b00; assign VAR72 = 1'b0; assign VAR172[2:VAR25] = 0; assign VAR172[VAR25-1:0] = VAR116; assign VAR160 = 11'b0000000000; assign VAR106 = 11'b0000000000; generate if (VAR107 == 11) begin assign VAR64[10:0] = VAR133; assign VAR45[10:0] = VAR77; end else begin assign VAR64[10:VAR107] = 0; assign VAR64[VAR107-1:0] = VAR133; assign VAR45[10:VAR107] = 0; assign VAR45[VAR107-1:0] = VAR77; end if (VAR35 == 16) begin assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end else if (VAR35 > 8 && VAR35 < 16) begin assign VAR111[15:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end else if (VAR35 <= 8) begin assign VAR111[15:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end if (VAR35 <= 8) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR35 > 8 && VAR35 <= 16) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR35 > 16) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end endgenerate VAR132 #( .VAR38(VAR38) ) VAR131 ( .VAR75(VAR64), .VAR130(VAR160), .VAR28(VAR45), .VAR26(VAR106), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR113), .VAR155(VAR20), .VAR15(VAR124), .VAR138(VAR153), .VAR13(VAR167), .VAR114(VAR20), .VAR8(VAR115), .VAR129(VAR20), .VAR136(VAR148), .VAR76(VAR141), .VAR85(VAR48), .VAR30(VAR20), .VAR56(VAR20), .VAR14(VAR20), .VAR36(VAR11), .VAR80(VAR156), .VAR117(VAR52), .VAR19(VAR46), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR72), .VAR83(VAR20), .VAR165(VAR20), .VAR140({18{VAR20}}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24(VAR41), .VAR79(VAR137), .VAR98(VAR41), .VAR54(VAR172[1:0]), .VAR119({2{VAR20}}), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47(), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); assign VAR146[VAR35-1 : 0] = {VAR4, VAR96}; endmodule module MODULE2 ( VAR133, VAR77, VAR169, VAR113, VAR115, VAR167, VAR48, VAR116, VAR146 ); parameter VAR107 = 9, VAR95 = 512, VAR35 = 36, VAR25 = 4, VAR71 = 0; parameter [16383:0] VAR38 = 16384'VAR171; parameter VAR84 = "VAR33.VAR110"; input [VAR107-1:0] VAR133; input [VAR107-1:0] VAR77; input VAR113, VAR115; input VAR167, VAR48; input [VAR25-1:0] VAR116; input [VAR35-1:0] VAR169; output [VAR35-1:0] VAR146; wire VAR44, VAR20; wire VAR124, VAR148; wire VAR153, VAR141; wire VAR158; wire VAR72; wire [10:0] VAR64, VAR45, VAR160, VAR106; wire [31:0] VAR111; wire [ 4:0] VAR172; wire [31:0] VAR96; wire [ 3:0] VAR4; wire [1:0] VAR118, VAR137; wire [1:0] VAR41; wire VAR105, VAR173, VAR34, VAR128, VAR100, VAR88; wire VAR11, VAR52; wire VAR156, VAR46; assign VAR44 = 1'b1; assign VAR20 = 1'b0; assign VAR11 = 1'b1; assign VAR52 = 1'b1; assign VAR156 = 1'b1; assign VAR46 = 1'b1; assign VAR124 = 1'b0; assign VAR148 = 1'b0; assign VAR153 = 1'b0; assign VAR141 = 1'b0; assign VAR105 = 1'b0; assign VAR173 = 1'b0; assign VAR34 = 1'b0; assign VAR128 = 1'b0; assign VAR100 = 1'b0; assign VAR88 = 1'b0; assign VAR158 = VAR71; assign VAR41 = 2'b00; assign VAR72 = 1'b0; assign VAR172[4:VAR25] = 0; assign VAR172[VAR25-1:0] = VAR116; assign VAR160 = 11'b0000000000; assign VAR106 = 11'b0000000000; generate if (VAR107 == 11) begin assign VAR64[10:0] = VAR133; assign VAR45[10:0] = VAR77; end else begin assign VAR64[10:VAR107] = 0; assign VAR64[VAR107-1:0] = VAR133; assign VAR45[10:VAR107] = 0; assign VAR45[VAR107-1:0] = VAR77; end if (VAR35 == 32) begin assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end else if (VAR35 > 8 && VAR35 < 32) begin assign VAR111[31:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end else if (VAR35 <= 8) begin assign VAR111[31:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR169[VAR35-1:0]; end if (VAR35 <= 8) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR35 > 8 && VAR35 <= 16) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR35 > 16) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end if (VAR35 <= 16) begin VAR132 #( .VAR38(VAR38) ) VAR131 ( .VAR75(VAR64), .VAR130(VAR160), .VAR28(VAR45), .VAR26(VAR106), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR113), .VAR155(VAR113), .VAR15(VAR124), .VAR138(VAR124), .VAR13(VAR167), .VAR114(VAR167), .VAR8(VAR115), .VAR129(VAR115), .VAR136(VAR148), .VAR76(VAR148), .VAR85(VAR48), .VAR30(VAR48), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR11), .VAR80(VAR20), .VAR117(VAR52), .VAR19(VAR20), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR20), .VAR83(VAR20), .VAR165(VAR20), .VAR140({18{VAR20}}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24(VAR41), .VAR79(VAR137), .VAR98(VAR41), .VAR54(VAR172[1:0]), .VAR119(VAR172[3:2]), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47(), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end else if (VAR35 > 16) begin VAR132 #( .VAR38(VAR38) ) VAR131 ( .VAR75(VAR64), .VAR130(VAR160), .VAR28(VAR45), .VAR26(VAR106), .VAR22(VAR20), .VAR86(VAR20), .VAR10(VAR20), .VAR90(VAR20), .VAR144(VAR113), .VAR155(VAR113), .VAR15(VAR124), .VAR138(VAR124), .VAR13(VAR167), .VAR114(VAR167), .VAR8(VAR115), .VAR129(VAR115), .VAR136(VAR148), .VAR76(VAR148), .VAR85(VAR48), .VAR30(VAR48), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR11), .VAR80(VAR20), .VAR117(VAR52), .VAR19(VAR20), .VAR81(VAR20), .VAR122(VAR20), .VAR175(VAR20), .VAR159(VAR20), .VAR55(VAR20), .VAR73(VAR20), .VAR16(VAR20), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR20), .VAR83(VAR20), .VAR165(VAR20), .VAR140({1'b0, VAR111[31:24], 1'b0, VAR111[23:16]}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24(VAR41), .VAR79(VAR137), .VAR98(VAR41), .VAR54(VAR172[1:0]), .VAR119(VAR172[3:2]), .VAR112(), .VAR9(), .VAR170(), .VAR154(), .VAR102(), .VAR31(), .VAR68(), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47({VAR4[3], VAR96[31:24], VAR4[2], VAR96[23:16]}), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end else begin wire VAR3 = 1'b1; end endgenerate assign VAR146[VAR35-1 : 0] = {VAR4, VAR96}; endmodule module MODULE6 ( VAR101, VAR163, VAR82, VAR162, VAR1, VAR120, VAR92, VAR59, VAR152, VAR12, VAR57, VAR49, VAR127, VAR51, VAR161, VAR104 ); parameter VAR95 = 512, VAR35 = 18, VAR71 = 0, VAR42 = 0; input VAR163, VAR82; input VAR120, VAR92; input VAR162, VAR1; input [VAR35-1:0] VAR101; input VAR59, VAR152, VAR12, VAR57; output [VAR35-1:0] VAR104; output [3:0] VAR51, VAR161; output VAR49, VAR127; wire VAR105, VAR173, VAR34, VAR128, VAR100, VAR88; wire VAR44, VAR20; wire [10:0] VAR23, VAR126; wire VAR123, VAR53, VAR27, VAR78, VAR91, VAR70, VAR65, VAR139,VAR168,VAR149; wire VAR158, VAR151; wire [15:0] VAR111; wire [15:0] VAR96; wire [ 1:0] VAR118; wire [ 1:0] VAR137; wire VAR125, VAR121; wire VAR103; wire [1:0] VAR4; assign VAR105 = 1'b0; assign VAR173 = 1'b0; assign VAR34 = 1'b0; assign VAR128 = 1'b0; assign VAR100 = 1'b0; assign VAR88 = 1'b0; assign VAR44 = 1'b1; assign VAR20 = 1'b0; assign VAR125 = 1'b0; assign VAR121 = 1'b0; assign VAR103 = 1'b0; assign VAR158 = VAR71; assign VAR151 = VAR42; assign VAR23=11'b00000000000; assign VAR126=11'b00000000000; assign VAR123 = VAR12 ? VAR92 : VAR120; assign VAR53 = VAR12 ? VAR120 : VAR92 ; assign VAR27 = VAR12 ? VAR152 : VAR59; assign VAR78 = VAR12 ? VAR59 : VAR152 ; assign VAR168 = VAR125; assign VAR149 = VAR121 ; assign VAR91 = VAR12 ? VAR82 : VAR163; assign VAR70 = VAR12 ? VAR163 : VAR82 ; assign VAR65 = VAR12 ? VAR1 : VAR162; assign VAR139 = VAR12 ? VAR162 : VAR1 ; generate if (VAR35 == 16) begin assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end else if (VAR35 > 8 && VAR35 < 16) begin assign VAR111[15:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end else if (VAR35 <= 8) begin assign VAR111[15:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end if (VAR35 <= 8) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR35 > 8 && VAR35 <= 16) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR35 > 16) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end endgenerate VAR132 VAR131 ( .VAR75(VAR23), .VAR130(VAR23), .VAR28(VAR126), .VAR26(VAR126), .VAR22(VAR57), .VAR86(VAR20), .VAR10(VAR103), .VAR90(VAR20), .VAR144(VAR123), .VAR155(VAR20), .VAR13(VAR27), .VAR114(VAR20), .VAR8(VAR53), .VAR129(VAR20), .VAR15(VAR168), .VAR138(VAR20), .VAR136(VAR149), .VAR76(VAR20), .VAR85(VAR78), .VAR30(VAR20), .VAR56(VAR20), .VAR14(VAR20), .VAR36(VAR91), .VAR80(VAR20), .VAR117(VAR70), .VAR19(VAR20), .VAR81(VAR12), .VAR122(VAR20), .VAR175(VAR44), .VAR159(VAR20), .VAR55(VAR65), .VAR73(VAR20), .VAR16(VAR139), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR20), .VAR83(VAR151), .VAR165(VAR20), .VAR140({18{VAR20}}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24({VAR20, VAR20}), .VAR79(VAR137), .VAR98({VAR20, VAR20}), .VAR54({VAR20, VAR20}), .VAR119({VAR20, VAR20}), .VAR112(VAR127), .VAR9(), .VAR170(VAR49), .VAR154(), .VAR102(VAR161), .VAR31(), .VAR68(VAR51), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47(), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); assign VAR104[VAR35-1 : 0] = {VAR4, VAR96}; endmodule module MODULE5 ( VAR101, VAR163, VAR82, VAR162, VAR1, VAR120, VAR92, VAR59, VAR152, VAR12, VAR57, VAR49, VAR127, VAR51, VAR161, VAR104 ); parameter VAR95 = 512, VAR35 = 36, VAR71 = 0, VAR42 = 0; input VAR163, VAR82; input VAR120, VAR92; input VAR162, VAR1; input [VAR35-1:0] VAR101; input VAR59, VAR152, VAR12, VAR57; output [VAR35-1:0] VAR104; output [3:0] VAR51, VAR161; output VAR49, VAR127; wire VAR105, VAR173, VAR34, VAR128, VAR100, VAR88; wire VAR44, VAR20; wire [10:0] VAR23, VAR126; wire VAR123, VAR53, VAR27, VAR78, VAR91, VAR70, VAR65, VAR139,VAR168,VAR149; wire VAR158, VAR151; wire [31:0] VAR111; wire [31:0] VAR96; wire [ 1:0] VAR118; wire [ 1:0] VAR137; wire VAR125, VAR121; wire VAR103; wire [3:0] VAR4; wire [1:0] VAR143; assign VAR105 = 1'b0; assign VAR173 = 1'b0; assign VAR34 = 1'b0; assign VAR128 = 1'b0; assign VAR100 = 1'b0; assign VAR88 = 1'b0; assign VAR44 = 1'b1; assign VAR20 = 1'b0; assign VAR125 = 1'b0; assign VAR121 = 1'b0; assign VAR103 = 1'b0; assign VAR158 = VAR71; assign VAR151 = VAR42; assign VAR23=11'b00000000000; assign VAR126=11'b00000000000; assign VAR123 = VAR12 ? VAR92 : VAR120; assign VAR53 = VAR12 ? VAR120 : VAR92 ; assign VAR27 = VAR12 ? VAR152 : VAR59; assign VAR78 = VAR12 ? VAR59 : VAR152 ; assign VAR168 = VAR125; assign VAR149 = VAR121 ; assign VAR91 = VAR12 ? VAR82 : VAR163; assign VAR70 = VAR12 ? VAR163 : VAR82 ; assign VAR65 = VAR12 ? VAR1 : VAR162; assign VAR139 = VAR12 ? VAR162 : VAR1 ; generate if (VAR35 == 32) begin assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end else if (VAR35 > 8 && VAR35 < 32) begin assign VAR111[31:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end else if (VAR35 <= 8) begin assign VAR111[31:VAR35] = 0; assign VAR111[VAR35-1:0] = VAR101[VAR35-1:0]; end if (VAR35 <= 8) begin assign VAR118 = 2'b00; assign VAR137 = 2'b00; end else if (VAR35 > 8 && VAR35 <= 16) begin assign VAR118 = 2'b01; assign VAR137 = 2'b01; end else if (VAR35 > 16) begin assign VAR118 = 2'b10; assign VAR137 = 2'b10; end if (VAR35 <= 16) begin VAR132 VAR131 ( .VAR75(VAR23), .VAR130(VAR23), .VAR28(VAR126), .VAR26(VAR126), .VAR22(VAR57), .VAR86(VAR20), .VAR10(VAR103), .VAR90(VAR103), .VAR144(VAR123), .VAR155(VAR123), .VAR13(VAR27), .VAR114(VAR27), .VAR8(VAR53), .VAR129(VAR53), .VAR15(VAR168), .VAR138(VAR168), .VAR136(VAR149), .VAR76(VAR149), .VAR85(VAR78), .VAR30(VAR78), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR91), .VAR80(VAR20), .VAR117(VAR70), .VAR19(VAR20), .VAR81(VAR12), .VAR122(VAR20), .VAR175(VAR44), .VAR159(VAR20), .VAR55(VAR65), .VAR73(VAR20), .VAR16(VAR139), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR20), .VAR83(VAR151), .VAR165(VAR20), .VAR140({18{VAR20}}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24({VAR20, VAR20}), .VAR79(VAR137), .VAR98({VAR20, VAR20}), .VAR54({VAR20, VAR20}), .VAR119({VAR20, VAR20}), .VAR112(VAR127), .VAR9(), .VAR170(VAR49), .VAR154(), .VAR102(VAR161), .VAR31(), .VAR68(VAR51), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47(), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end else if (VAR35 > 16) begin VAR132 VAR131 ( .VAR75(VAR23), .VAR130(VAR23), .VAR28(VAR126), .VAR26(VAR126), .VAR22(VAR57), .VAR86(VAR20), .VAR10(VAR103), .VAR90(VAR103), .VAR144(VAR123), .VAR155(VAR123), .VAR13(VAR27), .VAR114(VAR27), .VAR8(VAR53), .VAR129(VAR53), .VAR15(VAR168), .VAR138(VAR168), .VAR136(VAR149), .VAR76(VAR149), .VAR85(VAR78), .VAR30(VAR78), .VAR56(VAR44), .VAR14(VAR20), .VAR36(VAR91), .VAR80(VAR20), .VAR117(VAR70), .VAR19(VAR20), .VAR81(VAR12), .VAR122(VAR20), .VAR175(VAR44), .VAR159(VAR20), .VAR55(VAR65), .VAR73(VAR20), .VAR16(VAR139), .VAR6(VAR20), .VAR50(VAR158), .VAR60(VAR20), .VAR83(VAR151), .VAR165(VAR20), .VAR140({1'b0, VAR111[31:24], 1'b0, VAR111[23:16]}), .VAR43({1'b0, VAR111[15:8], 1'b0, VAR111[7:0]}), .VAR40(VAR118), .VAR24({VAR20, VAR20}), .VAR79(VAR137), .VAR98({VAR20, VAR20}), .VAR54({VAR20, VAR20}), .VAR119({VAR20, VAR20}), .VAR112(VAR127), .VAR9(), .VAR170(VAR49), .VAR154(), .VAR102(VAR161), .VAR31(), .VAR68(VAR51), .VAR2(), .VAR66({VAR4[1], VAR96[15:8], VAR4[0], VAR96[7:0]}), .VAR47({VAR4[3], VAR96[31:24], VAR4[2], VAR96[23:16]}), .VAR34(VAR34), .VAR88(VAR88), .VAR105(VAR105), .VAR128(VAR128), .VAR173(VAR173), .VAR100(VAR100), .VAR17(VAR20), .VAR61(VAR20), .VAR69(4'd0), .VAR134(4'd0), .VAR147(VAR20), .VAR108(VAR20) ); end endgenerate assign VAR104[VAR35-1 : 0] = {VAR4, VAR96}; endmodule
isc
alexforencich/xfcp
example/Arty/fpga/rtl/fpga.v
5,687
module MODULE1 ( input wire clk, input wire VAR95, input wire [3:0] VAR39, input wire [3:0] VAR44, output wire VAR68, output wire VAR98, output wire VAR73, output wire VAR42, output wire VAR55, output wire VAR56, output wire VAR28, output wire VAR104, output wire VAR108, output wire VAR3, output wire VAR38, output wire VAR57, output wire VAR89, output wire VAR81, output wire VAR37, output wire VAR93, output wire VAR54, input wire VAR53, input wire [3:0] VAR112, input wire VAR34, input wire VAR36, input wire VAR67, output wire [3:0] VAR51, output wire VAR71, input wire VAR2, input wire VAR113, output wire VAR48, input wire VAR52, output wire VAR46 ); wire VAR47; wire VAR59; wire VAR9; wire VAR1; wire VAR90; wire VAR78 = ~VAR95; wire VAR31; wire VAR50; VAR111 VAR87( .VAR17(clk), .VAR4(VAR47) ); wire VAR20; wire VAR26; VAR83 #( .VAR66("VAR29"), .VAR22(8), .VAR10(0.5), .VAR24(0), .VAR14(40), .VAR64(0.5), .VAR7(0), .VAR76(1), .VAR12(0.5), .VAR70(0), .VAR63(1), .VAR40(0.5), .VAR8(0), .VAR105(1), .VAR33(0.5), .VAR30(0), .VAR19(1), .VAR114(0.5), .VAR86(0), .VAR106(1), .VAR82(0.5), .VAR85(0), .VAR16(10), .VAR58(0), .VAR62(1), .VAR61(0.010), .VAR77(10.0), .VAR102("VAR84"), .VAR110("VAR84") ) VAR109 ( .VAR74(VAR47), .VAR11(VAR50), .VAR99(VAR78), .VAR23(1'b0), .VAR41(VAR9), .VAR79(), .VAR97(VAR20), .VAR92(), .VAR49(), .VAR6(), .VAR65(), .VAR72(), .VAR100(), .VAR32(), .VAR25(), .VAR107(VAR50), .VAR45(), .VAR96(VAR31) ); VAR18 VAR94 ( .VAR17(VAR9), .VAR4(VAR1) ); VAR18 VAR13 ( .VAR17(VAR20), .VAR4(VAR26) ); VAR43 #( .VAR91(4) ) VAR35 ( .clk(VAR1), .rst(~VAR31), .out(VAR90) ); wire [3:0] VAR60; wire [3:0] VAR80; VAR101 #( .VAR15(8), .VAR91(4), .VAR88(125000) ) VAR103 ( .clk(VAR1), .rst(VAR90), .in({VAR44, VAR39}), .out({VAR60, VAR80}) ); VAR75 #( .VAR15(1), .VAR91(2) ) VAR69 ( .clk(VAR1), .in({VAR52}), .out({VAR5}) ); assign VAR54 = VAR26; VAR27 VAR21 ( .clk(VAR1), .rst(VAR90), .VAR44(VAR60), .VAR39(VAR80), .VAR68(VAR68), .VAR98(VAR98), .VAR73(VAR73), .VAR42(VAR42), .VAR55(VAR55), .VAR56(VAR56), .VAR28(VAR28), .VAR104(VAR104), .VAR108(VAR108), .VAR3(VAR3), .VAR38(VAR38), .VAR57(VAR57), .VAR89(VAR89), .VAR81(VAR81), .VAR37(VAR37), .VAR93(VAR93), .VAR53(VAR53), .VAR112(VAR112), .VAR34(VAR34), .VAR36(VAR36), .VAR67(VAR67), .VAR51(VAR51), .VAR71(VAR71), .VAR2(VAR2), .VAR113(VAR113), .VAR48(VAR48), .VAR52(VAR5), .VAR46(VAR46) ); endmodule
mit