repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
bigeagle/riffa
|
fpga/riffa_hdl/ram_2clk_1w_1r.v
| 3,315 |
module MODULE1
parameter VAR12 = 32,
parameter VAR2 = 1024
)
(
input VAR3,
input VAR10,
input VAR11,
input [VAR7(VAR2)-1:0] VAR4,
input [VAR7(VAR2)-1:0] VAR6,
input [VAR12-1:0] VAR1,
output [VAR12-1:0] VAR9
);
localparam VAR13 = VAR7(VAR2);
reg [VAR12-1:0] VAR8 [VAR2-1:0];
reg [VAR12-1:0] VAR5;
assign VAR9 = VAR5;
always @(posedge VAR3) begin
if (VAR11)
VAR8[VAR4] <= VAR1;
end
always @(posedge VAR10) begin
VAR5 <= VAR8[VAR6];
end
endmodule
|
bsd-3-clause
|
neale/CS-program
|
474-VLSI/Lab_ADC/ADC_bb.v
| 5,007 |
module MODULE1 (
address,
VAR1,
VAR2);
input [10:0] address;
input VAR1;
output [11:0] VAR2;
tri1 VAR1;
endmodule
|
unlicense
|
cr88192/bgbtech_bjx1core
|
srvcore/ExUop_0.v
| 5,674 |
module MODULE1(clk);
input clk;
reg[31:0] VAR35; reg[31:0] VAR57;
reg[7:0] VAR19;
reg[6:0] VAR96; reg[6:0] VAR88; reg[6:0] VAR12; reg[31:0] VAR83; reg[11:0] VAR5;
parameter[7:0] VAR64 = 8'h00; parameter[7:0] VAR94 = 8'h01;
parameter[7:0] VAR47 = 8'h02;
parameter[7:0] VAR74 = 8'h03;
parameter[7:0] VAR27 = 8'h04;
parameter[7:0] VAR46 = 8'h05;
parameter[7:0] VAR91 = 8'h06;
parameter[7:0] VAR28 = 8'h07;
parameter[7:0] VAR42 = 8'h08;
parameter[7:0] VAR1 = 8'h09;
parameter[7:0] VAR61 = 8'h0A;
parameter[7:0] VAR31 = 8'h0B;
parameter[7:0] VAR63 = 8'h0C;
parameter[7:0] VAR80 = 8'h0D;
parameter[7:0] VAR95 = 8'h0E;
parameter[7:0] VAR55 = 8'h0F;
parameter[7:0] VAR99 = 8'h10; parameter[7:0] VAR6 = 8'h11;
parameter[7:0] VAR18 = 8'h12;
parameter[7:0] VAR71 = 8'h13;
parameter[7:0] VAR87 = 8'h14;
parameter[7:0] VAR101 = 8'h15;
parameter[7:0] VAR66 = 8'h16;
parameter[7:0] VAR82 = 8'h17;
parameter[7:0] VAR62 = 8'h18;
parameter[7:0] VAR52 = 8'h19;
parameter[7:0] VAR89 = 8'h1A;
parameter[7:0] VAR22 = 8'h1B;
parameter[7:0] VAR14 = 8'h1C;
parameter[7:0] VAR2 = 8'h1D;
parameter[7:0] VAR8 = 8'h1E;
parameter[7:0] VAR73 = 8'h1F;
parameter[7:0] VAR90 = 8'h21;
parameter[7:0] VAR34 = 8'h22;
parameter[7:0] VAR53 = 8'h23;
parameter[7:0] VAR59 = 8'h24;
parameter[7:0] VAR78 = 8'h25;
parameter[7:0] VAR102 = 8'h31;
parameter[7:0] VAR17 = 8'h32;
parameter[7:0] VAR65 = 8'h33;
parameter[7:0] VAR69 = 8'h34;
parameter[7:0] VAR24 = 8'h36;
parameter[7:0] VAR41 = 8'h37;
parameter[7:0] VAR54 = 8'h39;
parameter[7:0] VAR75 = 8'h3A;
parameter[7:0] VAR20 = 8'h3B;
parameter[7:0] VAR36 = 8'h3C;
reg[63:0] VAR16;
reg[63:0] VAR79;
reg[63:0] VAR51;
reg[63:0] VAR15;
reg[6:0] VAR68;
reg VAR97;
reg VAR56;
reg[63:0] VAR29;
reg[6:0] VAR23;
reg VAR13;
reg VAR26;
VAR67 VAR86(clk,
VAR13, VAR13, VAR23, VAR29,
VAR96, VAR16, VAR88, VAR79, VAR12, VAR51);
reg[63:0] VAR49;
reg[31:0] VAR37;
reg[31:0] VAR3;
reg[63:0] VAR81;
reg[63:0] VAR33;
reg[3:0] VAR60;
reg[3:0] VAR48;
VAR44 VAR10(clk, VAR60,
VAR79, VAR51, VAR49, VAR37[3:0], VAR48);
reg[63:0] VAR39;
reg[2:0] VAR77;
VAR21 VAR92(clk, VAR77,
VAR79, VAR51[31:0], VAR83, VAR39);
reg VAR100;
reg VAR7;
reg[2:0] VAR30;
reg[47:0] VAR43;
reg[63:0] VAR72;
reg[63:0] VAR76;
VAR93 VAR84(clk, VAR100, VAR7, VAR30,
VAR43, VAR72, VAR76);
reg[1:0] VAR11;
VAR50 VAR45(clk, VAR35,
VAR96, VAR88, VAR12, VAR83, VAR5, VAR11);
reg[63:0] VAR38;
reg[11:0] VAR32;
reg[11:0] VAR85;
reg[31:0] VAR9[4096];
always @ (clk)
begin
VAR57=VAR9[VAR32];
if(VAR57[23])
VAR85=12'h000;
end
else
VAR85=VAR32+1;
VAR19=VAR57[31:24];
VAR81[31: 0]=VAR86.VAR86[VAR86.VAR40];
VAR81[63:32]=VAR86.VAR86[VAR86.VAR25];
VAR33=VAR81;
VAR37=VAR86.VAR86[VAR86.VAR98];
case(VAR19[7:4])
4'h0:
begin
if(VAR19[3:0]==4'h0)
begin
VAR85=VAR5;
VAR38[63:3]=61'h0;
VAR38[2:1]=VAR11[1:0];
VAR38[0]=1'b0;
VAR33=VAR81+VAR38;
end
else
begin
VAR60=VAR19[3:0];
VAR15=VAR49;
VAR97=VAR60<VAR10.VAR70;
VAR56=1'b0;
if(VAR60<VAR10.VAR4)
VAR3=VAR37;
end
else
begin
VAR3[31:1]=VAR37[31:1];
VAR3[0]=VAR48[0];
end
end
end
4'h1:
begin
if(VAR19[3:0]==4'h0)
begin
VAR43[47:0]=VAR81[47:0];
VAR30=VAR84.VAR58;
VAR100=1'b1;
VAR7=1'b0;
VAR35=VAR72[31:0];
VAR15=VAR39;
VAR97=1'b0;
VAR56=1'b0;
VAR3=VAR37;
end
else
begin
VAR60=VAR19[3:0];
VAR15=VAR49;
VAR97=VAR60<VAR10.VAR70;
VAR56=1'b1;
if(VAR60<VAR10.VAR4)
VAR3=VAR37;
end
else
begin
VAR3[31:1]=VAR37[31:1];
VAR3[0]=VAR48[1];
end
end
end
4'h2:
begin
VAR77=VAR19[2:0];
VAR15=VAR39;
VAR97=1'b1;
VAR56=1'b1;
VAR3=VAR37;
end
4'h3:
begin
VAR77=VAR19[2:0];
VAR30=VAR19[2:0];
VAR43=VAR39[47:0];
VAR97=VAR19[3];
VAR56=VAR19[2];
VAR3=VAR37;
VAR76=VAR16;
VAR15=VAR72;
if(VAR19[3])
begin
VAR100=1'b0;
VAR7=1'b1;
end
else
begin
VAR100=1'b1;
VAR7=1'b0;
end
end
default: begin
VAR15=VAR16;
VAR97=1'b0;
VAR56=1'b0;
VAR3=VAR37;
end
endcase
end
always @ (posedge clk) begin
VAR29 <= VAR15;
VAR13 <= VAR97;
VAR26 <= VAR56;
VAR86.VAR86[VAR86.VAR98] <= VAR3;
VAR32 <= VAR85;
VAR86.VAR86[VAR86.VAR40] <= VAR33[31: 0];
VAR86.VAR86[VAR86.VAR25] <= VAR33[63:32];
end
endmodule
|
mit
|
alexforencich/xfcp
|
lib/eth/rtl/ssio_sdr_out_diff.v
| 2,947 |
module MODULE1 #
(
parameter VAR14 = "VAR27",
parameter VAR4 = "VAR25",
parameter VAR8 = 1
)
(
input wire clk,
input wire [VAR8-1:0] VAR7,
output wire VAR16,
output wire VAR10,
output wire [VAR8-1:0] VAR11,
output wire [VAR8-1:0] VAR15
);
wire VAR13;
wire [VAR8-1:0] VAR6;
VAR17 #(
.VAR14(VAR14),
.VAR4(VAR4),
.VAR8(VAR8)
)
VAR9(
.clk(clk),
.VAR7(VAR7),
.VAR13(VAR13),
.VAR6(VAR6)
);
genvar VAR21;
generate
if (VAR14 == "VAR28") begin
VAR2
VAR19 (
.VAR18(VAR13),
.VAR3(VAR16),
.VAR12(VAR10)
);
for (VAR21 = 0; VAR21 < VAR8; VAR21 = VAR21 + 1) begin
VAR2
VAR20 (
.VAR18(VAR6[VAR21]),
.VAR3(VAR11[VAR21]),
.VAR12(VAR15[VAR21])
);
end
end else if (VAR14 == "VAR1") begin
VAR24
VAR23 (
.VAR22(VAR13),
.VAR5(VAR16),
.VAR26(VAR10)
);
for (VAR21 = 0; VAR21 < VAR8; VAR21 = VAR21 + 1) begin
VAR24
VAR29 (
.VAR22(VAR6[VAR21]),
.VAR5(VAR11[VAR21]),
.VAR26(VAR15[VAR21])
);
end
end else begin
assign VAR16 = VAR13;
assign VAR10 = ~VAR13;
assign VAR11 = VAR6;
assign VAR15 = ~VAR6;
end
endgenerate
endmodule
|
mit
|
mbuesch/pyprofibus
|
phy_fpga/profibus_phy_mod.v
| 30,438 |
module MODULE1 (
input clk,
input VAR10,
input [7:0] VAR4,
input VAR6,
output reg VAR7,
output reg [7:0] VAR2,
output reg VAR15,
);
localparam VAR1 = 0;
localparam VAR14 = 1;
localparam VAR11 = 2;
localparam VAR5 = 8'h10;
localparam VAR8 = 8'h68;
localparam VAR3 = 8'hA2;
localparam VAR12 = 8'hDC;
localparam VAR9 = 8'hE5;
reg [7:0] VAR13;
reg [1:0] state;
|
gpl-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/reorder_queue.v
| 12,631 |
module MODULE1
parameter VAR76 = 9'd128,
parameter VAR1 = 4'd12,
parameter VAR86 = 512, parameter VAR27 = 5, parameter VAR16 = VAR76/32,
parameter VAR29 = VAR53(VAR16+1),
parameter VAR13 = 2**VAR27,
parameter VAR19 = VAR86/4,
parameter VAR33 = VAR65(VAR19+1),
parameter VAR28 = VAR65(VAR19/VAR16), parameter VAR66 = VAR27 + VAR28
)
(
input VAR63, input VAR37, input VAR10, input [VAR76-1:0] VAR74, input [(VAR76/32)-1:0] VAR91, input VAR77, input [VAR65(VAR76/32)-1:0] VAR79, input VAR20, input [VAR65(VAR76/32)-1:0] VAR23, input VAR60, input VAR14, input [VAR27-1:0] VAR68,
input [5:0] VAR90, input VAR56, output [VAR27-1:0] VAR7, output VAR57,
output [VAR76-1:0] VAR22, output [(VAR1*VAR29)-1:0] VAR40, output [VAR1-1:0] VAR81, output [VAR1-1:0] VAR80, output [(VAR1*VAR29)-1:0] VAR12, output [VAR1-1:0] VAR54, output [VAR1-1:0] VAR26, output [(VAR1*VAR29)-1:0] VAR44, output [VAR1-1:0] VAR51, output [VAR1-1:0] VAR9 );
wire [(VAR66*VAR16)-1:0] VAR3;
wire [VAR76-1:0] VAR64;
wire [VAR16-1:0] VAR5;
wire [VAR27-1:0] VAR17;
wire [VAR33-1:0] VAR46;
wire VAR41;
wire VAR36;
wire VAR82;
wire VAR72;
wire VAR11;
wire [VAR66-1:0] VAR43;
wire [VAR76-1:0] VAR83;
wire [VAR27-1:0] VAR89;
wire [(1+1+1+1+VAR33)-1:0] VAR47;
wire [5:0] VAR35;
wire [VAR13-1:0] VAR87;
wire [VAR13-1:0] VAR6;
reg [VAR27-1:0] VAR67=0;
reg VAR21=0;
reg [VAR13-1:0] VAR32=0;
reg [VAR13-1:0] VAR85=0;
reg [VAR13-1:0] VAR48=0;
assign VAR7 = VAR67;
assign VAR57 = VAR21;
always @ (posedge VAR63) begin
if (VAR37) begin
VAR67 <= 0;
VAR85 <= 0;
VAR21 <= 0;
end
else begin
if (VAR56 & VAR57) begin
VAR67 <= VAR67 + 1'd1;
VAR85 <= 1<<VAR67;
VAR21 <= !VAR48[VAR67 + 1'd1];
end
else begin
VAR85 <= 0;
VAR21 <= !VAR48[VAR67];
end
end
end
always @ (posedge VAR63) begin
if (VAR37) begin
VAR48 <= 0;
VAR32 <= 0;
end
else begin
VAR48 <= (VAR48 | VAR85) & ~VAR6;
VAR32 <= (VAR32 | VAR87) & ~VAR6;
end
end
genvar VAR2;
generate
for (VAR2 = 0; VAR2 < VAR16; VAR2 = VAR2 + 1) begin : VAR71
VAR70
.VAR69(VAR13*VAR19/VAR16)
)
VAR45
(
.VAR63(VAR63),
.VAR73(VAR3[VAR66*VAR2 +:VAR66]),
.VAR30(VAR5[VAR2]),
.VAR25(VAR64[32*VAR2 +:32]),
.VAR59(VAR43),
.VAR75(VAR83[32*VAR2 +:32])
);
end
endgenerate
VAR70
.VAR69(VAR13))
VAR34
(
.VAR63(VAR63),
.VAR73(VAR17),
.VAR30((VAR72 | VAR11) & VAR82),
.VAR25({VAR72, VAR11, VAR36, VAR41, VAR46}),
.VAR59(VAR89),
.VAR75(VAR47)
);
VAR70
.VAR69(VAR13))
VAR50
(
.VAR63(VAR63),
.VAR73(VAR67),
.VAR30(VAR56 & VAR57),
.VAR25(VAR90),
.VAR59(VAR89),
.VAR75(VAR35)
);
VAR78
.VAR76(VAR76),
.VAR27(VAR27),
.VAR33(VAR33),
.VAR28(VAR28),
.VAR66(VAR66)
)
VAR15
(
.VAR63(VAR63),
.VAR37(VAR37),
.VAR10(VAR10),
.VAR77 (VAR77),
.VAR79 (VAR79[VAR65(VAR76/32)-1:0]),
.VAR20 (VAR20),
.VAR23 (VAR23[VAR65(VAR76/32)-1:0]),
.VAR74 (VAR74),
.VAR91 (VAR91),
.VAR60(VAR60),
.VAR14(VAR14),
.VAR68(VAR68),
.VAR39(VAR87),
.VAR8(VAR6),
.VAR4(VAR3),
.VAR55(VAR64),
.VAR31(VAR5),
.VAR84(VAR82),
.VAR42(VAR17),
.VAR24(VAR46),
.VAR88(VAR41),
.VAR52(VAR36),
.VAR61(VAR72),
.VAR92(VAR11)
);
VAR38
.VAR76(VAR76),
.VAR1(VAR1),
.VAR27(VAR27),
.VAR33(VAR33),
.VAR28(VAR28),
.VAR66(VAR66)
)
VAR62
(
.VAR63(VAR63),
.VAR37(VAR37),
.VAR58(VAR43),
.VAR74(VAR83),
.VAR49(VAR32),
.VAR8(VAR6),
.VAR68(VAR89),
.VAR18(VAR35),
.VAR24(VAR47[0 +:VAR33]),
.VAR88(VAR47[VAR33]),
.VAR52(VAR47[VAR33+1]),
.VAR92(VAR47[VAR33+2]),
.VAR61(VAR47[VAR33+3]),
.VAR22(VAR22),
.VAR40(VAR40),
.VAR81(VAR81),
.VAR80(VAR80),
.VAR12(VAR12),
.VAR54(VAR54),
.VAR26(VAR26),
.VAR44(VAR44),
.VAR51(VAR51),
.VAR9(VAR9)
);
endmodule
|
gpl-3.0
|
mrehkopf/sd2snes
|
verilog/sd2snes_base/snescmd_buf.v
| 10,694 |
module MODULE1 (
VAR13,
VAR8,
VAR14,
VAR41,
VAR64,
VAR48,
VAR28,
VAR11,
VAR24);
input [9:0] VAR13;
input [9:0] VAR8;
input VAR14;
input [7:0] VAR41;
input [7:0] VAR64;
input VAR48;
input VAR28;
output [7:0] VAR11;
output [7:0] VAR24;
tri1 VAR14;
tri0 VAR48;
tri0 VAR28;
wire [7:0] VAR59;
wire [7:0] VAR47;
wire [7:0] VAR11 = VAR59[7:0];
wire [7:0] VAR24 = VAR47[7:0];
VAR33 VAR15 (
.VAR13 (VAR13),
.VAR8 (VAR8),
.VAR1 (VAR14),
.VAR41 (VAR41),
.VAR64 (VAR64),
.VAR48 (VAR48),
.VAR28 (VAR28),
.VAR11 (VAR59),
.VAR24 (VAR47),
.VAR3 (1'b0),
.VAR62 (1'b0),
.VAR25 (1'b0),
.VAR45 (1'b0),
.VAR37 (1'b1),
.VAR20 (1'b1),
.VAR60 (1'b1),
.VAR16 (1'b1),
.VAR26 (1'b1),
.VAR53 (1'b1),
.VAR49 (1'b1),
.VAR55 (),
.VAR19 (1'b1),
.VAR40 (1'b1));
VAR15.VAR44 = "VAR6",
VAR15.VAR43 = "VAR57",
VAR15.VAR38 = "VAR57",
VAR15.VAR51 = "VAR57",
VAR15.VAR17 = "VAR57",
VAR15.VAR18 = "VAR6",
VAR15.VAR34 = "VAR63 VAR22 VAR46",
VAR15.VAR23 = "VAR33",
VAR15.VAR30 = 1024,
VAR15.VAR7 = 1024,
VAR15.VAR35 = "VAR4",
VAR15.VAR10 = "VAR31",
VAR15.VAR52 = "VAR31",
VAR15.VAR21 = "VAR6",
VAR15.VAR32 = "VAR6",
VAR15.VAR27 = "VAR50",
VAR15.VAR29 = "VAR36",
VAR15.VAR42 = "VAR2",
VAR15.VAR9 = "VAR2",
VAR15.VAR58 = 10,
VAR15.VAR5 = 10,
VAR15.VAR61 = 8,
VAR15.VAR56 = 8,
VAR15.VAR39 = 1,
VAR15.VAR12 = 1,
VAR15.VAR54 = "VAR6";
endmodule
|
gpl-2.0
|
diegovalverde/papiGB
|
rtl/gpu_ucode_rom.v
| 12,191 |
module MODULE1
(
input wire[7:0] VAR46,
output reg [VAR9-1:0] VAR60
);
always @ ( VAR46 )
begin
case ( VAR46 )
0: VAR60 = { VAR18, VAR5, VAR5, VAR5 }; 1: VAR60 = { VAR27, state, VAR3 };
2: VAR60 = { VAR31, VAR37, VAR1, VAR5 }; 3: VAR60 = { VAR31, VAR10, VAR48, VAR5 }; 4: VAR60 = { VAR31, VAR15, VAR43, VAR5}; 5: VAR60 = { VAR27, VAR30, 12'd32 };
6: VAR60 = { VAR59, VAR24, VAR44, VAR37 }; 7: VAR60 = { VAR38, VAR5, VAR5, VAR5 }; 8: VAR60 = { VAR31, VAR33, VAR24, VAR5 }; 9: VAR60 = { VAR59, VAR56,VAR22, VAR15 }; 10: VAR60 = { VAR59, VAR24, VAR56, VAR26 }; 11: VAR60 = { VAR38, VAR5, VAR5, VAR5 }; 12: VAR60 = { VAR31, VAR12, VAR49, VAR5 }; 13: VAR60 = { VAR32, VAR24, 12'd1 }; 14: VAR60 = { VAR38, VAR5, VAR5, VAR5 }; 15: VAR60 = { VAR31, VAR4, VAR49, VAR5 }; 16: VAR60 = { VAR53, VAR5,VAR5, VAR5};
17: VAR60 = {VAR27, VAR2, 12'b10}; 18: VAR60 = {VAR42, VAR33, VAR2, VAR28}; 19: VAR60 = {VAR47,VAR58};
20:
begin
VAR60 = {VAR27, VAR2, 12'd0};
end
21: VAR60 = {VAR59, VAR24, VAR2, VAR6}; 22: VAR60 = {VAR38, VAR5, VAR5, VAR5}; 23: VAR60 = {VAR17, VAR49, 12'd0}; 24: VAR60 = {VAR47, VAR45}; 25: VAR60 = {VAR27,VAR34 , 12'd16}; 26: VAR60 = {VAR54,VAR39 ,VAR49,VAR34}; 27: VAR60 = {VAR32, VAR24, 12'd1}; 28: VAR60 = {VAR38, VAR5,VAR5,VAR5};
29: VAR60 = {VAR17, VAR49, 12'd0}; 30: VAR60 = {VAR47, VAR45}; 31: VAR60 = {VAR27,VAR34 , 12'd8};
32: VAR60 = {VAR54, VAR7 ,VAR49 ,VAR34 }; 33: VAR60 = {VAR18, VAR5, VAR5, VAR5}; 34: VAR60 = {VAR55, VAR34, VAR7, VAR39}; 35: VAR60 = {VAR47, VAR45};
36: VAR60 = {VAR32, VAR24, 12'd1}; 37: VAR60 = {VAR38, VAR5,VAR5,VAR5};
38: VAR60 = {VAR59, VAR34 ,VAR22, VAR15 }; 39: VAR60 = {VAR32, VAR24, 12'd1}; 40: VAR60 = {VAR38, VAR5,VAR5,VAR5};
41: VAR60 = {VAR31, VAR14,VAR49};
42: VAR60 = {VAR59, VAR24,VAR26,VAR34}; 43: VAR60 = {VAR38,VAR5,VAR5,VAR5};
44: VAR60 = {VAR31, VAR23, VAR49, VAR5}; 45: VAR60 = {VAR32, VAR24, 12'd1};
46: VAR60 = {VAR38, VAR5,VAR5,VAR5};
47: VAR60 = {VAR31, VAR35,VAR49, VAR5}; 48: VAR60 = {VAR18, VAR5, VAR5, VAR5}; 49: VAR60 = {VAR18, VAR5, VAR5, VAR5}; 50: VAR60 = {VAR53, VAR5,VAR5, VAR5}; 51: VAR60 = {VAR32,VAR2, 12'd4};
52: VAR60 = {VAR17,VAR2, 12'd156}; 53: begin
VAR60 = {VAR31, VAR41, VAR15, VAR5}; end
54: VAR60 = {VAR27, VAR2, 12'b00100001}; 55: VAR60 = {VAR42, VAR33, VAR2, VAR28}; 56: VAR60 = {VAR47,VAR40}; 57: VAR60 = {VAR52, VAR5, VAR5, VAR5};
58: VAR60 = {VAR47,VAR40};
59: VAR60 = {VAR29, VAR5, VAR5, VAR5}; 60: VAR60 = {VAR47,VAR40};
61: VAR60 = {VAR17, VAR16, 12'b0 }; 62: VAR60 = {VAR50,VAR19}; 63: VAR60 = {VAR17,VAR8,12'b0};
64: VAR60 = {VAR50,VAR57};
65: VAR60 = {VAR25,VAR40};
66: VAR60 = {VAR27,VAR36, 12'b0};
67: VAR60 = {VAR27,VAR8, 12'b1};
68: VAR60 = { VAR59, VAR24, VAR51, VAR36 };69: VAR60 = { VAR38, VAR5, VAR5, VAR5 };70: VAR60 = { VAR31, VAR33, VAR24, VAR5 };71: VAR60 = { VAR59, VAR56,VAR22, VAR15 };72: VAR60 = { VAR59, VAR24, VAR56, VAR26 };73: VAR60 = { VAR38, VAR5, VAR5, VAR5 };74: VAR60 = { VAR31, VAR12, VAR49, VAR5 };75: VAR60 = { VAR32, VAR24, 12'd1 };76: VAR60 = { VAR38, VAR5, VAR5, VAR5 }; 77: VAR60 = { VAR31, VAR4, VAR49, VAR5 };78: VAR60 = { VAR53, VAR5,VAR5, VAR5};79: VAR60 = {VAR32, VAR36, 12'd1};80: VAR60 = { VAR32, VAR10, 12'd1 }; 81: VAR60 = { VAR17, VAR10, 12'd255 }; 82: VAR60 = { VAR50, VAR40 };
83: VAR60 = { VAR27, VAR8, 12'd0 };
84: VAR60 = {VAR13, VAR5, VAR5, VAR5}; 85: VAR60 = {VAR27, VAR35, 12'd0};
86: VAR60 = {VAR27, VAR23, 12'd0};
87: VAR60 = { VAR17, VAR56, 12'd8191}; 88: VAR60 = { VAR54, VAR56, VAR20, VAR21}; 89: VAR60 = { VAR47, 18'h2}; 90: VAR60 = { VAR32, VAR36, 12'd0 }; 91: VAR60 = { VAR27,VAR41, 12'd0}; 92: VAR60 = { VAR32, VAR37, 12'd1 }; 93: VAR60 = { VAR17, VAR30, 12'd1 }; 94: VAR60 = { VAR50 , 18'd6}; 95: VAR60 = { VAR32, VAR15, 12'd2 };
96: VAR60 = { VAR32 , VAR11, 12'd1 };
97: VAR60 = { VAR31, VAR56, VAR15, VAR5 };
98: VAR60 = { VAR17, VAR56, 12'h10 };
99: VAR60 = { VAR47 , 18'd4 };
100: VAR60 = { VAR17, VAR36, 12'd32 };
101: VAR60 = { VAR17, VAR37, 12'd32 }; 102: VAR60 = { VAR25, 18'd5 };
endcase
end
endmodule
|
gpl-2.0
|
glocklueng/proxmark3-lcd
|
fpga/hi_read_tx.v
| 2,363 |
module MODULE1(
VAR4, VAR11, VAR9,
VAR8, VAR17, VAR19, VAR21, VAR6, VAR5,
VAR18, VAR3,
VAR7, VAR20, VAR13, VAR2,
VAR10, VAR14,
VAR22,
VAR15
);
input VAR4, VAR11, VAR9;
output VAR8, VAR17, VAR19, VAR21, VAR6, VAR5;
input [7:0] VAR18;
output VAR3;
input VAR13;
output VAR7, VAR20, VAR2;
input VAR10, VAR14;
output VAR22;
input VAR15;
reg VAR17;
reg VAR19;
reg VAR21;
reg VAR6;
reg VAR5;
always @(VAR9 or VAR13 or VAR15)
begin
if(VAR15)
begin
VAR17 <= VAR9;
VAR19 <= ~VAR13;
VAR21 <= ~VAR13;
VAR6 <= ~VAR13;
VAR5 <= 1'b0;
end
else
begin
VAR17 <= VAR9 & VAR13;
VAR19 <= 1'b0;
VAR21 <= 1'b0;
VAR6 <= 1'b0;
VAR5 <= 1'b0;
end
end
reg [6:0] VAR16;
always @(posedge VAR11)
VAR16 <= VAR16 + 1;
assign VAR2 = VAR16[6];
reg [2:0] VAR1;
always @(negedge VAR2)
VAR1 <= VAR1 + 1;
assign VAR7 = (VAR1 == 3'b000);
assign VAR3 = VAR11;
reg VAR12;
always @(negedge VAR3)
begin
if(& VAR18[7:0]) VAR12 <= 1'b1;
end
else if(~(| VAR18[7:0])) VAR12 <= 1'b0;
end
assign VAR20 = VAR12;
assign VAR8 = 1'b0;
assign VAR22 = VAR20;
endmodule
|
gpl-2.0
|
olgirard/openmsp430
|
core/synthesis/altera/src/megawizard/arria2gx_dmem.v
| 7,635 |
module MODULE1 (
address,
VAR36,
VAR41,
VAR46,
VAR11,
VAR27,
VAR45);
input [9:0] address;
input [1:0] VAR36;
input VAR41;
input VAR46;
input [15:0] VAR11;
input VAR27;
output [15:0] VAR45;
tri1 [1:0] VAR36;
tri1 VAR41;
tri1 VAR46;
wire [15:0] VAR19;
wire [15:0] VAR45 = VAR19[15:0];
VAR48 VAR31 (
.VAR42 (VAR41),
.VAR44 (VAR27),
.VAR13 (VAR46),
.VAR39 (VAR36),
.VAR21 (address),
.VAR7 (VAR11),
.VAR35 (VAR19),
.VAR33 (1'b0),
.VAR38 (1'b0),
.VAR57 (1'b1),
.VAR37 (1'b0),
.VAR47 (1'b0),
.VAR12 (1'b1),
.VAR2 (1'b1),
.VAR8 (1'b1),
.VAR24 (1'b1),
.VAR10 (1'b1),
.VAR5 (1'b1),
.VAR3 (),
.VAR56 (),
.VAR6 (1'b1),
.VAR16 (1'b1),
.VAR17 (1'b0));
VAR31.VAR34 = 8,
VAR31.VAR20 = "VAR15",
VAR31.VAR1 = "VAR53",
VAR31.VAR43 = "VAR32 VAR54 VAR59",
VAR31.VAR26 = "VAR52=VAR49",
VAR31.VAR18 = "VAR48",
VAR31.VAR29 = 1024,
VAR31.VAR28 = "VAR25",
VAR31.VAR55 = "VAR50",
VAR31.VAR14 = "VAR30",
VAR31.VAR4 = "VAR23",
VAR31.VAR58 = "VAR51",
VAR31.VAR9 = 10,
VAR31.VAR40 = 16,
VAR31.VAR22 = 2;
endmodule
|
bsd-3-clause
|
monotone-RK/FACE
|
IEICE-Trans/data_compression/4-way_2-tree/src/ip_pcie/source/PCIeGen2x8If128_pcie_bram_7x.v
| 8,976 |
module MODULE1
parameter [3:0] VAR17 = 4'h1, parameter [5:0] VAR8 = 6'h08, parameter VAR26 = "VAR3", parameter VAR14 = 0, parameter VAR23 = 0 )
(
input VAR27, input VAR13,
input VAR9, input [12:0] VAR7, input [VAR23 - 1:0] VAR22,
input VAR6, input VAR21, input [12:0] VAR16,
output [VAR23 - 1:0] VAR24 );
localparam VAR10 = ((VAR23 == 4) ? 12 :
(VAR23 == 9) ? 11 :
(VAR23 == 18) ? 10 :
(VAR23 == 36) ? 9 :
8
);
localparam VAR29 = ((VAR23 == 4) ? 2 :
(VAR23 == 9) ? 3 :
(VAR23 == 18) ? 4 :
(VAR23 == 36) ? 5 :
0 );
localparam VAR11 = ((VAR23 == 4) ? 3 :
(VAR23 == 9) ? 7 :
(VAR23 == 18) ? 15 :
(VAR23 == 36) ? 31 :
63
);
localparam VAR18 = VAR11 + 1;
localparam VAR12 = ((VAR23 == 4) ? 4 :
(VAR23 == 9) ? 8 :
(VAR23 == 18) ? 17 :
(VAR23 == 36) ? 35 :
71
);
localparam VAR4 = VAR12 - VAR18 + 1;
localparam VAR5 = ((VAR23 == 72) && (!((VAR17 == 4'h2) && (VAR8 == 6'h08)))) ? "VAR1" :
((VAR17 == 4'h2) && (VAR8 == 6'h08)) ? "VAR1" : "VAR28";
localparam VAR2 = (VAR26 == "VAR3") ? "7SERIES" : "VAR15";
localparam VAR19 = "36Kb";
localparam VAR20 =(VAR2 == "VAR25" || VAR2 == "VAR15" || VAR2 == "7SERIES") ?
((VAR23 <= 9) ? 1 :
(VAR23 > 9 && VAR23 <= 18) ? 2 :
(VAR23 > 18 && VAR23 <= 36) ? 4 :
(VAR23 > 36 && VAR23 <= 72) ? 8 :
(VAR19 == "18Kb") ? 4 : 8 ) : 8;
begin
|
mit
|
grvmind/amber-cycloneiii
|
trunk/hw/vlog/ethmac/eth_cop.v
| 12,883 |
module MODULE1
(
VAR11, VAR58,
VAR34, VAR41, VAR9, VAR43,
VAR30, VAR22, VAR26, VAR35,
VAR29,
VAR27, VAR60, VAR19, VAR21,
VAR33, VAR25, VAR28, VAR24,
VAR56,
VAR50, VAR16, VAR51, VAR14,
VAR3, VAR53, VAR8, VAR6,
VAR5,
VAR45, VAR57, VAR23, VAR37,
VAR4, VAR10, VAR49, VAR47,
VAR2
);
parameter VAR1=1;
input VAR11, VAR58;
input [31:0] VAR34, VAR30;
input [3:0] VAR41;
input VAR22, VAR26, VAR9;
output [31:0] VAR43;
output VAR35, VAR29;
input [31:0] VAR27, VAR33;
input [3:0] VAR60;
input VAR25, VAR28, VAR19;
output [31:0] VAR21;
output VAR24, VAR56;
input [31:0] VAR6;
input VAR53, VAR8;
output [31:0] VAR50, VAR5;
output [3:0] VAR16;
output VAR51, VAR14, VAR3;
input [31:0] VAR47;
input VAR10, VAR49;
output [31:0] VAR45, VAR2;
output [3:0] VAR57;
output VAR23, VAR37, VAR4;
reg VAR59;
reg VAR52;
reg [31:0] VAR50;
reg [3:0] VAR16;
reg VAR51;
reg [31:0] VAR5;
reg VAR14;
reg VAR3;
reg [31:0] VAR45;
reg [3:0] VAR57;
reg VAR23;
reg [31:0] VAR2;
reg VAR37;
reg VAR4;
reg VAR35;
reg [31:0] VAR43;
reg VAR24;
reg [31:0] VAR21;
reg VAR29;
reg VAR56;
wire VAR18;
wire VAR31 = VAR22 & VAR26 & (VAR17 | VAR42);
wire VAR39 = VAR25 & VAR28 & (VAR38 | VAR48);
always @ (posedge VAR11 or posedge VAR58)
begin
if(VAR58)
begin
VAR59 <=#VAR1 0;
VAR52 <=#VAR1 0;
VAR50 <=#VAR1 0;
VAR16 <=#VAR1 0;
VAR51 <=#VAR1 0;
VAR5 <=#VAR1 0;
VAR14 <=#VAR1 0;
VAR3 <=#VAR1 0;
VAR45 <=#VAR1 0;
VAR57 <=#VAR1 0;
VAR23 <=#VAR1 0;
VAR2 <=#VAR1 0;
VAR37 <=#VAR1 0;
VAR4 <=#VAR1 0;
end
else
begin
case({VAR59, VAR52, VAR31, VAR39, VAR18}) 5'b00100, 5'b00110 :
begin
VAR59 <=#VAR1 1'b1; if(VAR17)
begin
VAR50 <=#VAR1 VAR34;
VAR16 <=#VAR1 VAR41;
VAR51 <=#VAR1 VAR9;
VAR5 <=#VAR1 VAR30;
VAR14 <=#VAR1 1'b1;
VAR3 <=#VAR1 1'b1;
end
else if(VAR42)
begin
VAR45 <=#VAR1 VAR34;
VAR57 <=#VAR1 VAR41;
VAR23 <=#VAR1 VAR9;
VAR2 <=#VAR1 VAR30;
VAR37 <=#VAR1 1'b1;
VAR4 <=#VAR1 1'b1;
end
else
(%VAR15)VAR7 VAR20: VAR54 address VAR36 VAR13", );
end
5'b00010 :
begin
VAR52 <=#VAR1 1'b1; if(VAR38)
begin
VAR50 <=#VAR1 VAR27;
VAR16 <=#VAR1 VAR60;
VAR51 <=#VAR1 VAR19;
VAR5 <=#VAR1 VAR33;
VAR14 <=#VAR1 1'b1;
VAR3 <=#VAR1 1'b1;
end
else if(VAR48)
begin
VAR45 <=#VAR1 VAR27;
VAR57 <=#VAR1 VAR60;
VAR23 <=#VAR1 VAR19;
VAR2 <=#VAR1 VAR33;
VAR37 <=#VAR1 1'b1;
VAR4 <=#VAR1 1'b1;
end
else
(%VAR15)VAR7 VAR20: VAR54 address VAR36 VAR13", );
end
5'b10101, 5'b10111 :
begin
VAR59 <=#VAR1 1'b0; if(VAR17)
begin
VAR14 <=#VAR1 1'b0;
VAR3 <=#VAR1 1'b0;
end
else if(VAR42)
begin
VAR37 <=#VAR1 1'b0;
VAR4 <=#VAR1 1'b0;
end
end
5'b01011, 5'b01111 :
begin
VAR52 <=#VAR1 1'b0; if(VAR38)
begin
VAR14 <=#VAR1 1'b0;
VAR3 <=#VAR1 1'b0;
end
else if(VAR48)
begin
VAR37 <=#VAR1 1'b0;
VAR4 <=#VAR1 1'b0;
end
end
endcase
end
end
always @ (VAR59 or VAR34 or VAR53 or VAR10 or VAR6 or VAR47 or VAR17 or VAR42)
begin
if(VAR59)
begin
if(VAR17) begin
VAR35 <= VAR53;
VAR43 <= VAR6;
end
else if(VAR42) begin
VAR35 <= VAR10;
VAR43 <= VAR47;
end
end
else
VAR35 <= 0;
end
always @ (VAR52 or VAR27 or VAR53 or VAR10 or VAR6 or VAR47 or VAR38 or VAR48)
begin
if(VAR52)
begin
if(VAR38) begin
VAR24 <= VAR53;
VAR21 <= VAR6;
end
else if(VAR48) begin
VAR24 <= VAR10;
VAR21 <= VAR47;
end
end
else
VAR24 <= 0;
end
always @ (VAR59 or VAR34 or VAR8 or VAR49 or VAR38 or VAR48 or
VAR22 or VAR26)
begin
if(VAR59) begin
if(VAR17)
VAR29 <= VAR8;
end
else if(VAR42)
VAR29 <= VAR49;
end
else if(VAR22 & VAR26 & ~VAR17 & ~VAR42)
VAR29 <= 1'b1;
else
VAR29 <= 1'b0;
end
always @ (VAR52 or VAR27 or VAR8 or VAR49 or VAR38 or VAR48 or
VAR25 or VAR28)
begin
if(VAR52) begin
if(VAR38)
VAR56 <= VAR8;
end
else if(VAR48)
VAR56 <= VAR49;
end
else if(VAR25 & VAR28 & ~VAR38 & ~VAR48)
VAR56 <= 1'b1;
else
VAR56 <= 1'b0;
end
assign VAR18 = VAR35 | VAR29 | VAR24 | VAR56;
integer VAR46;
always @ (posedge VAR11 or posedge VAR58)
begin
if(VAR58)
VAR46 <=#VAR1 0;
end
else
if(VAR53 | VAR8 | VAR10 | VAR49)
VAR46 <=#VAR1 0;
else
if(VAR14 | VAR37)
VAR46 <=#VAR1 VAR46+1;
end
always @ (posedge VAR11)
begin
if(VAR46==1000) begin
(%VAR15) VAR20: VAR44 VAR32 ??? ", );
if(VAR14) begin
end
else if(VAR37) begin
end
end
end
always @ (posedge VAR11)
begin
if(VAR8 & VAR14) begin
VAR20: VAR44 VAR40 VAR55 with VAR12 acknowledge ", );
end
if(VAR49 & VAR37) begin
VAR20: VAR44 VAR40 VAR55 with VAR12 acknowledge ", );
end
end
endmodule
|
gpl-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/cmp/rtl/ff_jbi_sc1_2.v
| 3,300 |
module MODULE1(
VAR21, VAR15, VAR14,
VAR29, VAR28, VAR19,
VAR23, VAR2,
VAR30, VAR4,
VAR16, VAR31, VAR3, VAR20,
VAR18, VAR10, VAR5,
VAR13, VAR8, VAR1, VAR24, VAR12
);
output [31:0] VAR21;
output [31:0] VAR15;
output [6:0] VAR14;
output VAR29;
output VAR28;
output VAR19;
output VAR23;
output VAR2;
output VAR30;
input [31:0] VAR16;
input [31:0] VAR31;
input [6:0] VAR3;
input VAR20;
input VAR18;
input VAR10;
input VAR5;
input VAR13;
input VAR8;
input VAR1;
input VAR24, VAR12;
output VAR4;
wire VAR25;
VAR17 VAR26(.VAR4(VAR4), .VAR7(VAR25), .VAR22(VAR1), .VAR12(VAR12));
VAR27 #(32) VAR11 (.VAR6(VAR21[31:0]),
.din(VAR16[31:0]),
.clk(VAR1), .VAR12(1'b0), .VAR24(), .VAR4() );
VAR27 #(32) VAR32 (.VAR6(VAR15[31:0]),
.din(VAR31[31:0]),
.clk(VAR1), .VAR12(1'b0), .VAR24(), .VAR4() );
VAR27 #(13) VAR9 (.VAR6({ VAR14[6:0],
VAR29,
VAR28,
VAR19,
VAR23,
VAR2,
VAR30}),
.din({ VAR3[6:0],
VAR20,
VAR18,
VAR10,
VAR5,
VAR13,
VAR8}),
.clk(VAR1), .VAR12(1'b0), .VAR24(), .VAR4() );
endmodule
|
gpl-2.0
|
impedimentToProgress/ProbableCause
|
ddr2/cores/or1200/or1200_cfgr.v
| 9,806 |
module MODULE1(
VAR20, VAR5
);
input [31:0] VAR20; output [31:0] VAR5;
reg [31:0] VAR5;
always @(VAR20)
if (~|VAR20[31:4])
case(VAR20[3:0]) VAR106: begin
VAR5[VAR22] = VAR30;
VAR5[VAR75] = VAR92;
VAR5[VAR27] = VAR126;
VAR5[VAR102] = VAR98;
end
VAR5[VAR39] = VAR6;
VAR5[VAR87] = VAR18;
VAR5[VAR36] = VAR115;
VAR5[VAR107] = VAR93;
VAR5[VAR119] = VAR60;
VAR5[VAR54] = VAR67;
VAR5[VAR28] = VAR83;
VAR5[VAR17] = VAR43;
VAR5[VAR40] = VAR113;
VAR5[VAR90] = VAR35;
VAR5[VAR65] = VAR71;
VAR5[VAR11] = VAR42;
VAR5[VAR38] = VAR134;
VAR5[VAR53] = VAR137;
end
VAR5[VAR29] = VAR111;
VAR5[VAR74] = VAR100;
VAR5[VAR108] = VAR63;
VAR5[VAR58] = VAR44;
VAR5[VAR121] = VAR95;
VAR5[VAR56] = VAR97;
VAR5[VAR99] = VAR2;
VAR5[VAR78] = VAR26;
end
VAR5[VAR68] = VAR62;
VAR5[VAR135] = VAR14;
VAR5[VAR73] = VAR130;
VAR5[VAR15] = VAR89;
VAR5[VAR47] = VAR10;
VAR5[VAR84] = VAR59;
VAR5[VAR64] = VAR114;
VAR5[VAR49] = VAR86;
end
VAR5[VAR52] = VAR85;
VAR5[VAR4] = VAR96;
VAR5[VAR3] = VAR101;
VAR5[VAR48] = VAR24;
VAR5[VAR55] = VAR123;
VAR5[VAR21] = VAR51;
VAR5[VAR120] = VAR105;
VAR5[VAR136] = VAR70;
end
VAR5[VAR8] = VAR81;
VAR5[VAR88] = VAR9;
VAR5[VAR50] = VAR133;
VAR5[VAR104] = VAR79;
VAR5[VAR32] = VAR69;
VAR5[VAR25] = VAR13;
VAR5[VAR127] = VAR46;
VAR5[VAR12] = VAR7;
VAR5[VAR110] = VAR76;
VAR5[VAR129] = VAR128;
VAR5[VAR125] = VAR31;
end
VAR5[VAR103] = VAR33;
VAR5[VAR19] = VAR122;
VAR5[VAR91] = VAR41;
VAR5[VAR132] = VAR80;
VAR5[VAR112] = VAR77;
VAR5[VAR94] = VAR131;
VAR5[VAR23] = VAR118;
VAR5[VAR82] = VAR66;
VAR5[VAR16] = VAR109;
VAR5[VAR117] = VAR72;
VAR5[VAR61] = VAR37;
end
VAR5[VAR45] = VAR124;
VAR5[VAR57] = VAR34;
VAR5[VAR1] = VAR116;
end
default: VAR5 = 32'h00000000;
endcase
else
VAR5 = 32'h00000000;
always @(VAR20)
if (VAR20[31:4] == 28'h0)
case(VAR20[3:0])
VAR5[VAR22] = VAR30;
VAR5[VAR75] = VAR92;
VAR5[VAR27] = VAR126;
VAR5[VAR102] = VAR98;
end
VAR5[VAR39] = VAR6;
VAR5[VAR87] = VAR18;
VAR5[VAR36] = VAR115;
VAR5[VAR107] = VAR93;
VAR5[VAR119] = VAR60;
VAR5[VAR54] = VAR67;
VAR5[VAR28] = VAR83;
VAR5[VAR17] = VAR43;
VAR5[VAR40] = VAR113;
VAR5[VAR90] = VAR35;
VAR5[VAR65] = VAR71;
VAR5[VAR38] = VAR134;
VAR5[VAR53] = VAR137;
end
default: VAR5 = 32'h00000000;
endcase
else
VAR5 = 32'h00000000;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkdlybuf4s18/sky130_fd_sc_lp__clkdlybuf4s18.behavioral.pp.v
| 1,866 |
module MODULE1 (
VAR8 ,
VAR5 ,
VAR10,
VAR4,
VAR12 ,
VAR2
);
output VAR8 ;
input VAR5 ;
input VAR10;
input VAR4;
input VAR12 ;
input VAR2 ;
wire VAR6 ;
wire VAR11;
buf VAR1 (VAR6 , VAR5 );
VAR9 VAR7 (VAR11, VAR6, VAR10, VAR4);
buf VAR3 (VAR8 , VAR11 );
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Convert_Data_Type_block1.v
| 1,134 |
module MODULE1
(
VAR3,
VAR2
);
input signed [35:0] VAR3; output signed [17:0] VAR2;
wire signed [17:0] VAR1;
assign VAR1 = VAR3[35:18];
assign VAR2 = VAR1;
endmodule
|
gpl-3.0
|
danidim13/labo-digitales
|
Experimento4Final/Experimento4/Sprite_Controller.v
| 1,133 |
module MODULE1 # ( parameter VAR5= 32, parameter VAR6=32) (
input wire [9:0] VAR2,
input wire[9:0] VAR11,
input wire VAR9,
input wire VAR7,
input wire [9:0] VAR4,
input wire [9:0] VAR10,
input wire [2:0] VAR8,
input wire [2:0] VAR1 ,
output reg [2:0] VAR3
);
always @ (*)
begin
if(VAR2 <= VAR5 + VAR4 && VAR11 <= VAR6 + VAR10
&& VAR2 >= VAR4 && VAR11 >= VAR10 && VAR7 == 1 && VAR9 == 1 )
begin
VAR3 <= VAR8;
end
else
begin
VAR3 <= VAR1;
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dfbbp/sky130_fd_sc_ls__dfbbp.behavioral.v
| 2,671 |
module MODULE1 (
VAR3 ,
VAR24 ,
VAR19 ,
VAR20 ,
VAR6 ,
VAR16
);
output VAR3 ;
output VAR24 ;
input VAR19 ;
input VAR20 ;
input VAR6 ;
input VAR16;
supply1 VAR23;
supply0 VAR14;
supply1 VAR15 ;
supply0 VAR28 ;
wire VAR5 ;
wire VAR8 ;
wire VAR13 ;
wire VAR21 ;
wire VAR10;
wire VAR4 ;
reg VAR22 ;
wire VAR1 ;
wire VAR17 ;
wire VAR2 ;
wire VAR9 ;
wire VAR25 ;
not VAR11 (VAR5 , VAR10 );
not VAR12 (VAR8 , VAR4 );
VAR18 VAR27 (VAR13 , VAR8, VAR5, VAR21, VAR1, VAR22, VAR23, VAR14);
assign VAR17 = ( VAR23 === 1'b1 );
assign VAR2 = ( VAR17 && ( VAR10 === 1'b1 ) );
assign VAR9 = ( VAR17 && ( VAR4 === 1'b1 ) );
assign VAR25 = ( VAR2 & VAR9 );
buf VAR26 (VAR3 , VAR13 );
not VAR7 (VAR24 , VAR13 );
endmodule
|
apache-2.0
|
tloinuy/opencpi-opencv
|
opencpi/hdl/prims/ocpi/xilinx_v6_pcie_wrapper.v
| 15,249 |
module MODULE1
(
VAR13, VAR68, VAR27, VAR30,
VAR99, VAR96, VAR41, VAR91,
VAR64, VAR92, VAR80, VAR18, VAR67,
VAR23, VAR59, VAR3, VAR14, VAR19,
VAR60, VAR101, VAR28, VAR50, VAR24,
VAR85, VAR84, VAR10,
VAR22, VAR78,
VAR5, VAR1,
VAR31, VAR87, VAR36,
VAR17, VAR32, VAR46, VAR55,
VAR71, VAR12, VAR20,
VAR15, VAR25, VAR38,
VAR2, VAR90,
VAR73, VAR74, VAR75,
VAR34, VAR51, VAR29, VAR94,
VAR69, VAR63, VAR83, VAR44,
VAR47,
VAR93, VAR49, VAR45, VAR58,
VAR26, VAR79, VAR35, VAR97, VAR33,
VAR77, VAR6, VAR53, VAR72, VAR62,
VAR57, VAR52,
VAR70, VAR48,
VAR54, VAR82, VAR61, VAR100,
VAR65, VAR76, VAR39, VAR56,
VAR95, VAR88, VAR42,
VAR7, VAR103, VAR104,
VAR21, VAR86, VAR8,
VAR37, VAR43, VAR98,
VAR4, VAR9, VAR16, VAR81
);
parameter VAR11 = "VAR66";
input [3:0] VAR81; input [31:0] VAR16; input [63:0] VAR9; input [9:0] VAR4; input VAR98; input VAR43; input VAR37; input VAR8; input VAR86; input VAR21; input VAR104; input [47:0] VAR103; input VAR7; input VAR42; input [7:0] VAR88; input VAR95; input VAR56; input VAR39; input VAR76; input VAR65; input VAR100; input [3:0] VAR61; input [3:0] VAR82; input VAR54; input [1:0] VAR48; input VAR70; input [1:0] VAR52; input VAR57; input VAR62; input VAR72; input [2:0] VAR53; input VAR6; input VAR77; input VAR33; input [63:0] VAR97; input VAR35; input VAR79; input VAR26; input VAR58; input VAR45; input VAR49; input VAR93;
output [7:0] VAR47; output [15:0] VAR44; output [15:0] VAR83; output [15:0] VAR63; output [4:0] VAR69; output [31:0] VAR94; output [15:0] VAR29; output VAR51; output [2:0] VAR34; output [7:0] VAR75; output [2:0] VAR74; output VAR73; output VAR90; output VAR2; output VAR38; output [15:0] VAR25; output [15:0] VAR15; output [2:0] VAR20; output VAR12; output VAR71; output [1:0] VAR55; output VAR46; output [15:0] VAR32; output VAR17; output [3:0] VAR87; output [3:0] VAR36; output [2:0] VAR31; output [1:0] VAR1; output VAR5; output VAR78; output VAR22; output [5:0] VAR10; output VAR84; output VAR85; output [1:0] VAR24; output VAR28; output VAR50; output [11:0] VAR101; output [7:0] VAR60; output [11:0] VAR19; output [7:0] VAR14; output [11:0] VAR3; output [7:0] VAR59; output VAR23; output [6:0] VAR67; output [63:0] VAR18; output VAR80; output VAR92; output VAR64; output VAR91; output VAR41; output VAR96; output VAR99; output [5:0] VAR30; output VAR27; output VAR68; output VAR13;
VAR40 #(
.VAR11 ( VAR11 )
)
VAR89
(
.VAR87 (VAR87),
.VAR36 (VAR36),
.VAR28 (VAR28),
.VAR102 (VAR50) , .VAR64 (VAR64),
.VAR23 (VAR23),
.VAR30 (VAR30),
.VAR27 (VAR27),
.VAR13 (VAR13),
.VAR68 (VAR68),
.VAR18 (VAR18),
.VAR91 (VAR91),
.VAR41 (VAR41),
.VAR80 (VAR80),
.VAR99 (VAR99),
.VAR96 (VAR96),
.VAR92 (VAR92),
.VAR67 (VAR67),
.VAR101 (VAR101),
.VAR60 (VAR60),
.VAR19 (VAR19),
.VAR14 (VAR14),
.VAR3 (VAR3),
.VAR59 (VAR59),
.VAR94 (VAR94),
.VAR46 (VAR46),
.VAR51 (VAR51),
.VAR38 (VAR38),
.VAR75 (VAR75),
.VAR74 (VAR74),
.VAR73 (VAR73),
.VAR90 (VAR90),
.VAR2 (VAR2),
.VAR17 (VAR17),
.VAR47 (VAR47),
.VAR69 (VAR69),
.VAR34 (VAR34),
.VAR32 (VAR32),
.VAR44 (VAR44),
.VAR29 (VAR29),
.VAR83 (VAR83),
.VAR15 (VAR15),
.VAR25 (VAR25),
.VAR63 (VAR63),
.VAR20 (VAR20),
.VAR12 (VAR12),
.VAR71 (VAR71),
.VAR55 (VAR55),
.VAR31 (VAR31),
.VAR1 (VAR1),
.VAR5 (VAR5),
.VAR78 (VAR78),
.VAR22 (VAR22),
.VAR10 (VAR10),
.VAR84 (VAR84),
.VAR85 (VAR85),
.VAR24 (VAR24),
.VAR82 (VAR82),
.VAR61 (VAR61),
.VAR97 (VAR97),
.VAR26 (VAR26),
.VAR58 (VAR58),
.VAR35 (VAR35),
.VAR49 (VAR49),
.VAR45 (VAR45),
.VAR79 (VAR79),
.VAR33 (VAR33),
.VAR93 (VAR93),
.VAR6 (VAR6),
.VAR77 (VAR77),
.VAR53 (VAR53),
.VAR16 (VAR16),
.VAR81 (VAR81),
.VAR4 (VAR4),
.VAR100 (VAR100),
.VAR39 (VAR39),
.VAR98 (VAR98),
.VAR7 (VAR7),
.VAR86 (VAR86),
.VAR37 (VAR37),
.VAR43 (VAR43),
.VAR8 (VAR8),
.VAR104 (VAR104),
.VAR21 (VAR21),
.VAR103 (VAR103),
.VAR95 (VAR95),
.VAR42 (VAR42),
.VAR88 (VAR88),
.VAR65 (VAR65),
.VAR76 (VAR76),
.VAR56 (VAR56),
.VAR9 (VAR9),
.VAR54 (VAR54),
.VAR48 (VAR48),
.VAR70 (VAR70),
.VAR52 (VAR52),
.VAR57 (VAR57),
.VAR62 (VAR62),
.VAR72 (VAR72));
endmodule
|
gpl-2.0
|
cr88192/bgbtech_bjx1core
|
srvcore/ArithAlu.v
| 2,546 |
module MODULE1(
clk,
VAR22,
VAR4,
VAR11,
VAR6,
VAR14,
VAR9
);
input clk;
input[3:0] VAR22;
input[63:0] VAR4;
input[63:0] VAR11;
output[63:0] VAR6;
input[3:0] VAR14;
output[3:0] VAR9;
parameter[3:0] VAR19 = 4'h00;
parameter[3:0] VAR8 = 4'h01;
parameter[3:0] VAR7 = 4'h02;
parameter[3:0] VAR5 = 4'h03;
parameter[3:0] VAR1 = 4'h04;
parameter[3:0] VAR15 = 4'h05;
parameter[3:0] VAR23 = 4'h06;
parameter[3:0] VAR20 = 4'h07;
parameter[3:0] VAR16 = 4'h08;
parameter[3:0] VAR3 = 4'h09;
parameter[3:0] VAR18 = 4'h0A;
parameter[3:0] VAR21 = 4'h0B;
parameter[3:0] VAR12 = 4'h0C;
parameter[3:0] VAR17 = 4'h0D;
parameter[3:0] VAR25 = 4'h0E;
parameter[3:0] VAR10 = 4'h0F;
reg[63:0] VAR24;
reg[5:0] VAR13;
reg[3:0] VAR2;
assign VAR6=VAR24;
assign VAR9=VAR2;
always @ (VAR22) begin
case(VAR22)
VAR8: begin
VAR24 = VAR4+VAR11;
VAR2=VAR14;
end
VAR7: begin
VAR24 = VAR4-VAR11;
VAR2=VAR14;
end
VAR5: begin
VAR24 = VAR4*VAR11;
VAR2=VAR14;
end
VAR1: begin
VAR24 = VAR4&VAR11;
VAR2=VAR14;
end
VAR15: begin
VAR24 = VAR4|VAR11;
VAR2=VAR14;
end
VAR23: begin
VAR24 = VAR4^VAR11;
VAR2=VAR14;
end
VAR20: begin
VAR13 = VAR11[5:0];
VAR24 = VAR4<<VAR13;
VAR2=VAR14;
end
VAR16: begin
VAR13 = VAR11[5:0];
VAR24 = VAR4>>VAR13;
VAR2=VAR14;
end
VAR3: begin
VAR13 = VAR11[5:0];
VAR24 = VAR4>>>VAR13;
VAR2=VAR14;
end
VAR18: begin
if(VAR14[0])
VAR24 = VAR4+VAR11+1;
end
else
VAR24 = VAR4+VAR11;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=VAR24[31]^VAR4[31];
VAR2[1]=VAR24[63]^VAR4[63];
end
VAR21: begin
VAR24 = VAR4;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=(VAR4[31:0]==VAR11[31:0]);
VAR2[1]=(VAR4[63:0]==VAR11[63:0]);
end
VAR12: begin
VAR24 = VAR4;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=(VAR4[31:0]>VAR11[31:0]);
VAR2[1]=(VAR4[63:0]>VAR11[63:0]);
end
VAR17: begin
VAR24 = VAR4;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=(VAR4[31:0]>=VAR11[31:0]);
VAR2[1]=(VAR4[63:0]>=VAR11[63:0]);
end
VAR25: begin
VAR24 = VAR4;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=(VAR4[31:0]>VAR11[31:0]);
VAR2[1]=(VAR4[63:0]>VAR11[63:0]);
end
VAR10: begin
VAR24 = VAR4;
VAR2[3:2]=VAR14[3:2];
VAR2[0]=(VAR4[31:0]>=VAR11[31:0]);
VAR2[1]=(VAR4[63:0]>=VAR11[63:0]);
end
default: begin
VAR24 = 64'h0000000000000000;
VAR2=VAR14;
end
endcase
end
endmodule
|
mit
|
maltanar/fpga-tidbits
|
src/main/resources/verilog/Q_srl.v
| 11,718 |
module MODULE1 (VAR12, reset, VAR5, VAR8, VAR2, VAR13, VAR18, VAR16, VAR3);
parameter VAR24 = 16; parameter VAR4 = 16;
: (((VAR24-1)>>0)==0) ? 0 \
: (((VAR24-1)>>1)==0) ? 1 \
: (((VAR24-1)>>2)==0) ? 2 \
: (((VAR24-1)>>3)==0) ? 3 \
: (((VAR24-1)>>4)==0) ? 4 \
: (((VAR24-1)>>5)==0) ? 5 \
: (((VAR24-1)>>6)==0) ? 6 \
: (((VAR24-1)>>7)==0) ? 7 \
: 8)
parameter VAR6 =
( (((VAR24)) ==0) ? 0 : (((VAR24-1)>>0)==0) ? 0 : (((VAR24-1)>>1)==0) ? 1 : (((VAR24-1)>>2)==0) ? 2 : (((VAR24-1)>>3)==0) ? 3 : (((VAR24-1)>>4)==0) ? 4 : (((VAR24-1)>>5)==0) ? 5 : (((VAR24-1)>>6)==0) ? 6 : (((VAR24-1)>>7)==0) ? 7 : 8) ;
input VAR12;
input reset;
input [VAR4-1:0] VAR5; input VAR8; output VAR2;
output [VAR4-1:0] VAR13; output VAR18; input VAR16;
output [VAR6:0] VAR3;
reg [VAR6-1:0] addr, addr, VAR14; reg VAR15; reg [VAR4-1:0] VAR1 [VAR24-2:0]; reg VAR7; reg [VAR4-1:0] VAR22, VAR22 ;
parameter VAR10 = 2'd0; parameter VAR21 = 2'd1; parameter VAR17 = 2'd2;
reg [1:0] state, state;
wire VAR11; reg VAR11; wire VAR9; wire VAR19; reg VAR19 ;
wire VAR20; reg VAR20 ;
assign VAR11 = (state==VAR17) && (addr==VAR24-2);
assign VAR9 = (addr==0); assign VAR19 = (state!=VAR10); assign VAR20 = VAR11; assign VAR13 = VAR22; assign VAR18 = VAR19; assign VAR2 = VAR20;
assign VAR3 = (state==VAR17 ? addr+2 : (state==VAR21 ? 1 : 0));
always @(posedge VAR12) begin if (reset) begin
state <= VAR10;
addr <= 0;
VAR11 <= 0;
VAR19 <= 0;
VAR20 <= 1;
end
else begin
state <= state;
addr <= addr;
VAR11 <= VAR11;
VAR19 <= VAR19;
VAR20 <= VAR20;
end
end
always @(posedge VAR12) begin if (reset) begin
VAR22 <= 0;
end
else begin
if (VAR7) begin
VAR22 <= VAR22;
end
end
end
always @(posedge VAR12) begin if (VAR15) begin
for (VAR14=VAR24-2; VAR14>0; VAR14=VAR14-1) begin
VAR1[VAR14] <= VAR1[VAR14-1];
end
VAR1[0] <= VAR5;
end
end
always @* begin VAR22 <= 'VAR23;
VAR7 <= 1'VAR23;
VAR15 <= 1'VAR23;
addr <= 'VAR23;
state <= 2'VAR23;
case (state)
VAR10: begin if (VAR8) begin VAR22 <= VAR5;
VAR7 <= 1;
VAR15 <= 1'VAR23;
addr <= 0;
state <= VAR21;
end
else begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 1'VAR23;
addr <= 0;
state <= VAR10;
end
end
VAR21: begin if (VAR8 && VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 1;
addr <= 0;
state <= VAR17;
end
else if (VAR8 && !VAR16) begin VAR22 <= VAR5;
VAR7 <= 1;
VAR15 <= 1;
addr <= 0;
state <= VAR21;
end
else if (!VAR8 && VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 1'VAR23;
addr <= 0;
state <= VAR21;
end
else if (!VAR8 && !VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 1'VAR23;
addr <= 0;
state <= VAR10;
end
end
VAR17: begin if (VAR11 || (VAR24==2)) begin
if (VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 0;
addr <= addr;
state <= VAR17;
end
else begin VAR22 <= VAR1[addr];
VAR7 <= 1;
VAR15 <= 0;
addr <= VAR9 ? 0 : addr-1;
state <= VAR9 ? VAR21 : VAR17;
end
end
else begin if (VAR8 && VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 1;
addr <= addr+1;
state <= VAR17;
end
else if (VAR8 && !VAR16) begin VAR22 <= VAR1[addr];
VAR7 <= 1;
VAR15 <= 1;
addr <= addr;
state <= VAR17;
end
else if (!VAR8 && VAR16) begin VAR22 <= 'VAR23;
VAR7 <= 0;
VAR15 <= 0;
addr <= addr;
state <= VAR17;
end
else if (!VAR8 && !VAR16) begin VAR22 <= VAR1[addr];
VAR7 <= 1;
VAR15 <= 0;
addr <= VAR9 ? 0 : addr-1;
state <= VAR9 ? VAR21 : VAR17;
end
end end
default: begin
VAR22 <= 'VAR23;
VAR7 <= 1'VAR23;
VAR15 <= 1'VAR23;
addr <= 'VAR23;
state <= 2'VAR23;
end
endcase end
endmodule
|
bsd-2-clause
|
jeffkub/n64-cart-reader
|
old/fpga/soc_system/soc_system/synthesis/soc_system.v
| 50,063 |
module MODULE1 (
input wire [15:0] VAR243, output wire [15:0] VAR370, output wire VAR38, output wire VAR152, output wire VAR174, output wire VAR18, output wire VAR52, output wire VAR9, output wire VAR47, input wire VAR247, output wire VAR128, output wire VAR355, output wire VAR135, output wire VAR119, output wire VAR338, input wire VAR322, inout wire VAR147, output wire VAR26, input wire VAR290, output wire VAR95, input wire VAR351, input wire VAR255, input wire VAR37, input wire VAR324, inout wire VAR121, inout wire VAR241, inout wire VAR357, output wire VAR143, inout wire VAR171, inout wire VAR269, inout wire VAR240, inout wire VAR335, inout wire VAR100, inout wire VAR40, inout wire VAR153, inout wire VAR333, inout wire VAR167, inout wire VAR332, input wire VAR149, output wire VAR263, input wire VAR209, input wire VAR20, output wire VAR246, output wire VAR266, input wire VAR69, output wire VAR376, input wire VAR372, output wire VAR197, inout wire VAR127, inout wire VAR258, inout wire VAR85, inout wire VAR3, inout wire VAR294, inout wire VAR270, inout wire VAR59, inout wire VAR175, inout wire VAR350, inout wire VAR346, output wire [14:0] VAR74, output wire [2:0] VAR218, output wire VAR42, output wire VAR200, output wire VAR312, output wire VAR256, output wire VAR126, output wire VAR271, output wire VAR254, output wire VAR173, inout wire [31:0] VAR377, inout wire [3:0] VAR217, inout wire [3:0] VAR253, output wire VAR341, output wire [3:0] VAR363, input wire VAR318, input wire VAR224 );
wire VAR313; wire VAR124; wire VAR375; wire [1:0] VAR192; wire [3:0] VAR162; wire [3:0] VAR278; wire VAR125; wire [11:0] VAR260; wire VAR36; wire [3:0] VAR156; wire [11:0] VAR245; wire [3:0] VAR379; wire VAR250; wire [29:0] VAR146; wire [2:0] VAR48; wire [2:0] VAR237; wire [31:0] VAR326; wire VAR8; wire [3:0] VAR319; wire [11:0] VAR170; wire [1:0] VAR15; wire [1:0] VAR133; wire [29:0] VAR302; wire [1:0] VAR289; wire VAR327; wire [31:0] VAR287; wire VAR306; wire [1:0] VAR276; wire [2:0] VAR88; wire VAR30; wire VAR54; wire VAR138; wire [1:0] VAR86; wire [11:0] VAR252; wire [11:0] VAR191; wire VAR320; wire [2:0] VAR206; wire VAR356; wire VAR78; wire [31:0] VAR282; wire VAR118; wire [31:0] VAR134; wire VAR199; wire [3:0] VAR137; wire VAR235; wire VAR165; wire [31:0] VAR348; wire [31:0] VAR193; wire [3:0] VAR257; wire VAR340; wire VAR61; wire [31:0] VAR265; wire VAR210; wire VAR31; wire VAR71;
VAR219 #(
.VAR212 (1),
.VAR222 (1)
) VAR248 (
.VAR365 (VAR375), .VAR106 (VAR124), .VAR32 (VAR313), .VAR64 (VAR74), .VAR262 (VAR218), .VAR131 (VAR42), .VAR285 (VAR200), .VAR117 (VAR312), .VAR141 (VAR256), .VAR158 (VAR126), .VAR46 (VAR271), .VAR50 (VAR254), .VAR190 (VAR173), .VAR371 (VAR377), .VAR297 (VAR217), .VAR242 (VAR253), .VAR380 (VAR341), .VAR177 (VAR363), .VAR187 (VAR318), .VAR299 (VAR128), .VAR196 (VAR355), .VAR76 (VAR135), .VAR310 (VAR119), .VAR249 (VAR338), .VAR178 (VAR322), .VAR1 (VAR147), .VAR79 (VAR26), .VAR181 (VAR290), .VAR261 (VAR95), .VAR140 (VAR351), .VAR92 (VAR255), .VAR49 (VAR37), .VAR4 (VAR324), .VAR307 (VAR121), .VAR98 (VAR241), .VAR185 (VAR357), .VAR368 (VAR143), .VAR334 (VAR171), .VAR68 (VAR269), .VAR22 (VAR240), .VAR159 (VAR335), .VAR87 (VAR100), .VAR57 (VAR40), .VAR223 (VAR153), .VAR5 (VAR333), .VAR154 (VAR167), .VAR268 (VAR332), .VAR172 (VAR149), .VAR205 (VAR263), .VAR353 (VAR209), .VAR201 (VAR20), .VAR295 (VAR246), .VAR80 (VAR266), .VAR231 (VAR69), .VAR23 (VAR376), .VAR155 (VAR372), .VAR325 (VAR197), .VAR120 (VAR127), .VAR215 (VAR258), .VAR108 (VAR85), .VAR75 (VAR3), .VAR35 (VAR294), .VAR275 (VAR270), .VAR136 (VAR59), .VAR168 (VAR175), .VAR229 (VAR350), .VAR123 (VAR346), .VAR130 (VAR71), .VAR142 (VAR247), .VAR300 (VAR252), .VAR369 (VAR302), .VAR361 (VAR156), .VAR10 (VAR206), .VAR296 (VAR192), .VAR198 (VAR133), .VAR214 (VAR319), .VAR122 (VAR237), .VAR70 (VAR356), .VAR304 (VAR306), .VAR21 (VAR245), .VAR41 (VAR326), .VAR160 (VAR278), .VAR203 (VAR138), .VAR150 (VAR250), .VAR267 (VAR125), .VAR109 (VAR191), .VAR51 (VAR289), .VAR288 (VAR320), .VAR189 (VAR30), .VAR6 (VAR170), .VAR67 (VAR146), .VAR336 (VAR162), .VAR382 (VAR88), .VAR2 (VAR276), .VAR182 (VAR15), .VAR81 (VAR379), .VAR157 (VAR48), .VAR279 (VAR8), .VAR204 (VAR327), .VAR115 (VAR260), .VAR169 (VAR287), .VAR309 (VAR86), .VAR378 (VAR54), .VAR53 (VAR78), .VAR72 (VAR36), .VAR277 (VAR247), .VAR360 (), .VAR55 (), .VAR58 (), .VAR97 (), .VAR251 (), .VAR164 (), .VAR236 (), .VAR44 (), .VAR82 (), .VAR344 (), .VAR195 (), .VAR132 (), .VAR45 (), .VAR303 (), .VAR329 (), .VAR238 (), .VAR77 (), .VAR39 (), .VAR293 (), .VAR103 (), .VAR352 (), .VAR305 (), .VAR184 (), .VAR317 (), .VAR176 (), .VAR228 (), .VAR105 (), .VAR232 (), .VAR90 (), .VAR34 (), .VAR316 (), .VAR284 (), .VAR91 (), .VAR25 (), .VAR259 (), .VAR298 (), .VAR151 (), .VAR202 () );
VAR234 #(
.VAR94 (0),
.VAR148 (50000),
.VAR7 (2)
) VAR347 (
.VAR247 (VAR247), .VAR66 (~VAR224), .VAR264 (VAR134), .VAR233 (VAR282), .VAR129 (VAR199), .VAR362 (VAR165), .VAR345 (VAR348), .VAR101 (VAR118), .VAR13 (VAR235), .VAR272 (VAR137), .VAR211 () );
VAR179 VAR359 (
.clk (VAR247), .reset (VAR210), .address (VAR257), .read (VAR340), .VAR99 (VAR193), .write (VAR61), .VAR180 (VAR265), .VAR65 (VAR313), .VAR29 (VAR375), .VAR337 (VAR124), .VAR292 (VAR9), .VAR104 (VAR47), .VAR323 (VAR243), .VAR373 (VAR370), .VAR280 (VAR38), .VAR281 (VAR152), .VAR315 (VAR174), .VAR139 (VAR18), .VAR183 (VAR52) );
VAR28 VAR226 (
.VAR252 (VAR252), .VAR302 (VAR302), .VAR156 (VAR156), .VAR206 (VAR206), .VAR192 (VAR192), .VAR133 (VAR133), .VAR319 (VAR319), .VAR237 (VAR237), .VAR356 (VAR356), .VAR306 (VAR306), .VAR245 (VAR245), .VAR326 (VAR326), .VAR278 (VAR278), .VAR138 (VAR138), .VAR250 (VAR250), .VAR125 (VAR125), .VAR191 (VAR191), .VAR289 (VAR289), .VAR320 (VAR320), .VAR30 (VAR30), .VAR170 (VAR170), .VAR146 (VAR146), .VAR162 (VAR162), .VAR88 (VAR88), .VAR276 (VAR276), .VAR15 (VAR15), .VAR379 (VAR379), .VAR48 (VAR48), .VAR8 (VAR8), .VAR327 (VAR327), .VAR260 (VAR260), .VAR287 (VAR287), .VAR86 (VAR86), .VAR54 (VAR54), .VAR78 (VAR78), .VAR36 (VAR36), .VAR328 (VAR247), .VAR364 (VAR31), .VAR308 (VAR210), .VAR188 (VAR210), .VAR134 (VAR134), .VAR118 (VAR118), .VAR137 (VAR137), .VAR199 (VAR199), .VAR282 (VAR282), .VAR235 (VAR235), .VAR165 (VAR165), .VAR348 (VAR348), .VAR24 (VAR257), .VAR286 (VAR61), .VAR358 (VAR340), .VAR186 (VAR193), .VAR220 (VAR265) );
VAR62 #(
.VAR354 (1),
.VAR342 ("VAR330"),
.VAR161 (2),
.VAR343 (0),
.VAR349 (1),
.VAR291 (3),
.VAR111 (1),
.VAR63 (0),
.VAR114 (0),
.VAR33 (0),
.VAR43 (0),
.VAR213 (0),
.VAR331 (0),
.VAR273 (0),
.VAR112 (0),
.VAR163 (0),
.VAR207 (0),
.VAR93 (0),
.VAR221 (0),
.VAR56 (0),
.VAR283 (0),
.VAR84 (0),
.VAR17 (0),
.VAR366 (0)
) VAR230 (
.VAR225 (~VAR224), .clk (VAR247), .VAR60 (VAR210), .VAR274 (), .VAR116 (1'b0), .VAR367 (1'b0), .VAR12 (1'b0), .VAR216 (1'b0), .VAR89 (1'b0), .VAR107 (1'b0), .VAR374 (1'b0), .VAR381 (1'b0), .VAR301 (1'b0), .VAR311 (1'b0), .VAR14 (1'b0), .VAR96 (1'b0), .VAR27 (1'b0), .VAR227 (1'b0), .VAR339 (1'b0), .VAR145 (1'b0), .VAR110 (1'b0), .VAR144 (1'b0), .VAR314 (1'b0), .VAR83 (1'b0), .VAR208 (1'b0), .VAR11 (1'b0), .VAR16 (1'b0), .VAR321 (1'b0), .VAR239 (1'b0), .VAR73 (1'b0), .VAR102 (1'b0), .VAR166 (1'b0), .VAR194 (1'b0), .VAR244 (1'b0), .VAR19 (1'b0) );
VAR62 #(
.VAR354 (1),
.VAR342 ("VAR330"),
.VAR161 (2),
.VAR343 (0),
.VAR349 (1),
.VAR291 (3),
.VAR111 (1),
.VAR63 (0),
.VAR114 (0),
.VAR33 (0),
.VAR43 (0),
.VAR213 (0),
.VAR331 (0),
.VAR273 (0),
.VAR112 (0),
.VAR163 (0),
.VAR207 (0),
.VAR93 (0),
.VAR221 (0),
.VAR56 (0),
.VAR283 (0),
.VAR84 (0),
.VAR17 (0),
.VAR366 (0)
) VAR113 (
.VAR225 (~VAR71), .clk (VAR247), .VAR60 (VAR31), .VAR274 (), .VAR116 (1'b0), .VAR367 (1'b0), .VAR12 (1'b0), .VAR216 (1'b0), .VAR89 (1'b0), .VAR107 (1'b0), .VAR374 (1'b0), .VAR381 (1'b0), .VAR301 (1'b0), .VAR311 (1'b0), .VAR14 (1'b0), .VAR96 (1'b0), .VAR27 (1'b0), .VAR227 (1'b0), .VAR339 (1'b0), .VAR145 (1'b0), .VAR110 (1'b0), .VAR144 (1'b0), .VAR314 (1'b0), .VAR83 (1'b0), .VAR208 (1'b0), .VAR11 (1'b0), .VAR16 (1'b0), .VAR321 (1'b0), .VAR239 (1'b0), .VAR73 (1'b0), .VAR102 (1'b0), .VAR166 (1'b0), .VAR194 (1'b0), .VAR244 (1'b0), .VAR19 (1'b0) );
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/or2/sky130_fd_sc_ls__or2.pp.symbol.v
| 1,257 |
module MODULE1 (
input VAR3 ,
input VAR2 ,
output VAR1 ,
input VAR7 ,
input VAR4,
input VAR5,
input VAR6
);
endmodule
|
apache-2.0
|
aj-michael/Digital-Systems
|
Pong/Phase4/SheetReader.v
| 1,227 |
module MODULE1(VAR6, VAR5, VAR1, VAR11, VAR9, VAR8, VAR2, VAR4);
input VAR2, VAR4, VAR6;
output VAR5;
parameter VAR7=4;
input [VAR7-1:0] VAR11;
parameter VAR3=5;
input [VAR3-1:0] VAR1;
output reg [VAR3-1:0] VAR9;
input VAR8;
reg VAR10;
assign VAR5 = ~VAR10;
always@(posedge VAR2 or posedge VAR4)
if (VAR4==1) begin VAR10<=0;end
else if(VAR6==1) begin VAR10<=1;end else if(VAR11==0) begin VAR10<=0;end else begin VAR10<=VAR10;end
always@(posedge VAR2 or posedge VAR4)
if(VAR4==1) begin VAR9<=0;end
else if (VAR10==0) begin VAR9<=VAR1;end
else if (VAR8==1 && VAR11!=0)
begin VAR9<=VAR9+1'b1; end
else begin VAR9<=VAR9;end
endmodule
|
mit
|
curtiszimmerman/orp
|
hardware/mselSoC/src/systems/geophyte/rtl/verilog/wb_rom/rtl/verilog/dp_rom.v
| 1,502 |
module MODULE1 #(
parameter VAR3 = 5,
parameter VAR10 = ""
) (
input VAR11,
input VAR8,
input [VAR3 - 1:0] VAR7,
output reg [31:0] VAR5,
input VAR6,
input VAR4,
input [VAR3 - 1:0] VAR1,
output reg [31:0] VAR9
);
reg [31:0] VAR2[2**VAR3 - 1:0];
begin
begin
|
apache-2.0
|
chris-wood/yield
|
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v
| 10,447 |
module MODULE1 #
(
parameter integer VAR32 = 1,
parameter integer VAR11 = 32,
parameter integer VAR26 = 1,
parameter integer VAR19 = 1,
parameter integer VAR17 = 0,
parameter integer VAR25 = 2'b11,
parameter integer VAR8 = 0
)
(
input wire VAR29,
input wire VAR30,
input wire [(VAR32-1):0] VAR38,
input wire VAR34,
output wire VAR14,
input wire VAR28,
input wire VAR24,
output wire VAR18,
output wire [(VAR32-1):0] VAR35,
output wire [1:0] VAR2,
output wire [VAR26-1:0] VAR1,
output wire VAR16,
input wire VAR44,
input wire [(VAR32-1):0] VAR48,
input wire [((VAR17 == 1) ? 4 : 8)-1:0] VAR10,
input wire VAR49,
output wire VAR45,
output wire [(VAR32-1):0] VAR36,
output wire [(VAR11-1):0] VAR31,
output wire [1:0] VAR22,
output wire [VAR19-1:0] VAR5,
output wire VAR12,
output wire VAR4,
input wire VAR27
);
reg VAR6;
reg VAR15;
reg VAR9;
reg VAR37;
reg VAR33;
localparam VAR41 = 2'b00;
localparam VAR13 = 2'b01;
localparam VAR51 = 2'b10;
localparam VAR23 = 2'b00;
localparam VAR39 = 2'b01;
localparam VAR3 = 2'b10;
localparam integer VAR52 = 0;
localparam integer VAR40 = 1;
localparam integer VAR53 = 2;
assign VAR2 = VAR25;
assign VAR22 = VAR25;
assign VAR31 = {VAR11{1'b0}};
assign VAR1 = {VAR26{1'b0}};
assign VAR5 = {VAR19{1'b0}};
assign VAR14 = VAR6;
assign VAR18 = VAR15;
assign VAR16 = VAR9;
assign VAR45 = VAR37;
assign VAR4 = VAR33;
generate
if (VAR17 == VAR53) begin : VAR47
reg VAR54;
assign VAR12 = 1'b1;
assign VAR35 = 0;
assign VAR36 = 0;
always @(posedge VAR29) begin
if (~VAR30) begin
VAR6 <= 1'b0;
VAR15 <= 1'b0;
VAR9 <= 1'b0;
end else begin
if (VAR9) begin
if (VAR44) begin
VAR9 <= 1'b0;
VAR6 <= 1'b1;
end
end else if (VAR24 & VAR15) begin
VAR15 <= 1'b0;
VAR9 <= 1'b1;
end else if (VAR34 & VAR6) begin
VAR6 <= 1'b0;
VAR15 <= 1'b1;
end else begin
VAR6 <= 1'b1;
end
end
end
always @(posedge VAR29) begin
if (~VAR30) begin
VAR37 <= 1'b0;
VAR33 <= 1'b0;
VAR54 <= 1'b0;
end else begin
if (VAR33) begin
if (VAR27) begin
VAR33 <= 1'b0;
VAR37 <= 1'b1;
end
end else if (VAR54) begin
VAR54 <= 1'b0;
VAR33 <= 1'b1;
end else if (VAR49 & VAR37) begin
VAR37 <= 1'b0;
VAR54 <= 1'b1;
end else begin
VAR37 <= 1'b1;
end
end
end
end else begin : VAR46
reg VAR42;
reg [(VAR32-1):0] VAR21;
reg [(VAR32-1):0] VAR7;
reg [((VAR17 == 1) ? 4 : 8)-1:0] VAR20;
reg [1:0] VAR50;
reg [1:0] VAR43;
assign VAR12 = VAR42;
assign VAR35 = VAR8 ? 0 : VAR21;
assign VAR36 = VAR8 ? 0 : VAR7;
always @(posedge VAR29) begin
if (~VAR30) begin
VAR50 <= VAR41;
VAR6 <= 1'b0;
VAR15 <= 1'b0;
VAR9 <= 1'b0;
VAR21 <= 0;
end else begin
case (VAR50)
VAR41:
begin
if (VAR34 & VAR6) begin
VAR6 <= 1'b0;
if (VAR8 == 0) VAR21 <= VAR38;
VAR15 <= 1'b1;
VAR50 <= VAR13;
end else begin
VAR6 <= 1'b1;
end
end
VAR13:
begin
if (VAR24 & VAR28) begin
VAR15 <= 1'b0;
VAR9 <= 1'b1;
VAR50 <= VAR51;
end
end
VAR51:
begin
if (VAR44) begin
VAR9 <= 1'b0;
VAR6 <= 1'b1;
VAR50 <= VAR41;
end
end
endcase
end
end
always @(posedge VAR29) begin
if (~VAR30) begin
VAR43 <= VAR23;
VAR37 <= 1'b0;
VAR33 <= 1'b0;
VAR42 <= 1'b0;
VAR7 <= 0;
VAR20 <= 0;
end else begin
case (VAR43)
VAR23:
begin
if (VAR49 & VAR37) begin
VAR37 <= 1'b0;
if (VAR8 == 0) VAR7 <= VAR48;
VAR20 <= VAR10;
VAR42 <= (VAR10 == 0);
VAR43 <= VAR39;
end else begin
VAR37 <= 1'b1;
end
end
VAR39:
begin
VAR33 <= 1'b1;
VAR43 <= VAR3;
end
VAR3:
begin
if (VAR27) begin
if (VAR20 == 0) begin
VAR33 <= 1'b0;
VAR42 <= 1'b0;
VAR37 <= 1'b1;
VAR43 <= VAR23;
end else begin
if (VAR20 == 1) begin
VAR42 <= 1'b1;
end
VAR20 <= VAR20 - 1;
end
end
end
endcase
end
end
end
endgenerate
endmodule
|
mit
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/decoder_axi_modules/decoder_axi_m_v1_0_M00_AXI.v
| 23,442 |
module MODULE1 #
(
parameter integer VAR70 = 128,
parameter integer VAR36 = 1,
parameter integer VAR30 = 32,
parameter integer VAR18 = 32,
parameter integer VAR2 = 0,
parameter integer VAR7 = 0,
parameter integer VAR73 = 0,
parameter integer VAR82 = 0,
parameter integer VAR84 = 0
)
(
output wire VAR8,
output wire VAR34,
output wire VAR6,
output reg VAR23,
input wire VAR72,
input wire VAR1,
input wire [VAR30-1:0] VAR26,
input wire [VAR30-1:0] VAR15,
input wire [31:0] VAR66,
input wire VAR65,
input wire VAR4,
output wire [VAR36-1 : 0] VAR83,
output wire [VAR30-1 : 0] VAR48,
output wire [7 : 0] VAR21,
output wire [2 : 0] VAR62,
output wire [1 : 0] VAR12,
output wire VAR51,
output wire [3 : 0] VAR13,
output wire [2 : 0] VAR47,
output wire [3 : 0] VAR63,
output wire [VAR2-1 : 0] VAR58,
output wire VAR5,
input wire VAR60,
output wire [VAR18-1 : 0] VAR55,
output wire [VAR18/8-1 : 0] VAR75,
output wire VAR42,
output wire [VAR73-1 : 0] VAR50,
output wire VAR64,
input wire VAR10,
input wire [VAR36-1 : 0] VAR85,
input wire [1 : 0] VAR67,
input wire [VAR84-1 : 0] VAR68,
input wire VAR78,
output wire VAR41,
output wire [VAR36-1 : 0] VAR53,
output wire [VAR30-1 : 0] VAR61,
output wire [7 : 0] VAR76,
output wire [2 : 0] VAR11,
output wire [1 : 0] VAR38,
output wire VAR49,
output wire [3 : 0] VAR56,
output wire [2 : 0] VAR46,
output wire [3 : 0] VAR40,
output wire [VAR7-1 : 0] VAR59,
output wire VAR29,
input wire VAR20,
input wire [VAR36-1 : 0] VAR33,
input wire [VAR18-1 : 0] VAR27,
input wire [1 : 0] VAR22,
input wire VAR71,
input wire [VAR82-1 : 0] VAR44,
input wire VAR14,
output wire VAR54
);
function integer VAR77 (input integer VAR39);
begin
for(VAR77=0; VAR39>0; VAR77=VAR77+1)
VAR39 = VAR39 >> 1;
end
endfunction
localparam integer VAR32 = VAR77(VAR70-1);
localparam integer VAR3 = 12;
localparam integer VAR17 = VAR3-VAR77((VAR70*VAR18/8)-1);
reg [VAR30-1 : 0] VAR24;
reg VAR19;
reg VAR37;
reg VAR28;
reg VAR9;
reg [VAR30-1 : 0] VAR57;
reg VAR69;
reg VAR80;
reg [VAR32 : 0] VAR25;
reg [VAR30-1 : 0] VAR35;
reg [VAR30-1 : 0] VAR74;
always @ (posedge VAR65) begin
if (VAR4 == 0) begin
VAR35 = 0;
VAR74 = 0;
end else begin
if (VAR1) begin
VAR35 <= VAR15;
end
if (VAR72) begin
VAR74 <= VAR26;
end
end
end
reg VAR81;
reg VAR79;
wire VAR52;
wire VAR16;
wire VAR45;
wire VAR43;
assign VAR45 = VAR1 && ~VAR81;
assign VAR43 = VAR72 && ~VAR79;
assign VAR83 = 'b0;
assign VAR48 = VAR35;
assign VAR21 = VAR70 - 1;
assign VAR62 = VAR77((VAR18/8)-1);
assign VAR12 = 2'b01;
assign VAR51 = 1'b0;
assign VAR13 = 4'b0010;
assign VAR47 = 3'h0;
assign VAR63 = 4'h0;
assign VAR58 = 'b1;
assign VAR5 = VAR19;
assign VAR55 = VAR66;
assign VAR75 = {(VAR18/8){1'b1}};
assign VAR42 = VAR37;
assign VAR50 = 'b0;
assign VAR64 = VAR28;
assign VAR41 = VAR9;
assign VAR53 = 'b0;
assign VAR61 = VAR74;
assign VAR76 = VAR70-1;
assign VAR11 = VAR77((VAR18/8)-1);
assign VAR38 = 2'b01;
assign VAR49 = 1'b0;
assign VAR56 = 4'b0010;
assign VAR46 = 3'h0;
assign VAR40 = 4'h0;
assign VAR59 = 'b1;
assign VAR29 = VAR69;
assign VAR54 = VAR80;
assign VAR31 = VAR70 * VAR18/8;
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR19 <= 1'b0;
end
else if (~VAR19 && VAR45)
begin
VAR19 <= 1'b1;
end
else if (VAR60 && VAR19)
begin
VAR19 <= 1'b0;
end
else
VAR19 <= VAR19;
end
assign VAR6 = VAR10 & VAR28;
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR28 <= 1'b0;
end
else if (~VAR28 && VAR45)
begin
VAR28 <= 1'b1;
end
else if (VAR6 && VAR37)
VAR28 <= 1'b0;
end
else
VAR28 <= VAR28;
end
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR37 <= 1'b0;
end
else if (((VAR25 == VAR70-2 && VAR70 >= 2) && VAR6) || (VAR70 == 1 ))
begin
VAR37 <= 1'b1;
end
else if (VAR6)
VAR37 <= 1'b0;
end
else if (VAR37 && VAR70 == 1)
VAR37 <= 1'b0;
else
VAR37 <= VAR37;
end
always @(posedge VAR65)
begin
if (VAR4 == 0 || VAR45 == 1'b1)
begin
VAR25 <= 0;
end
else if (VAR6 && (VAR25 != VAR70-1))
begin
VAR25 <= VAR25 + 1;
end
else
VAR25 <= VAR25;
end
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR9 <= 1'b0;
end
else if (VAR78 && ~VAR9)
begin
VAR9 <= 1'b1;
end
else if (VAR9)
begin
VAR9 <= 1'b0;
end
else
VAR9 <= VAR9;
end
assign VAR52 = VAR9 & VAR78 & VAR67[1];
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR69 <= 1'b0;
end
else if (~VAR69 && VAR43)
begin
VAR69 <= 1'b1;
end
else if (VAR20 && VAR69)
begin
VAR69 <= 1'b0;
end
else
VAR69 <= VAR69;
end
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR80 <= 1'b0;
end
else if (VAR14)
begin
if (VAR71 && VAR80)
begin
VAR80 <= 1'b0;
end
else
begin
VAR80 <= 1'b1;
end
end
end
assign VAR16 = VAR80 & VAR14 & VAR22[1];
always @(posedge VAR65)
begin
if (VAR4 == 0)
begin
VAR23 <= 1'b0;
end
else if (VAR52 || VAR16)
begin
VAR23 <= 1'b1;
end
else
VAR23 <= VAR23;
end
always @(posedge VAR65)
begin
if (VAR4 == 0)
VAR81 <= 1'b0;
end
else if (VAR45)
VAR81 <= 1'b1;
else if (VAR78 && VAR9)
VAR81 <= 0;
end
always @(posedge VAR65)
begin
if (VAR4 == 0)
VAR79 <= 1'b0;
end
else if (VAR43)
VAR79 <= 1'b1;
else if (VAR14 && VAR80 && VAR71)
VAR79 <= 0;
end
assign VAR8 = VAR79;
assign VAR34 = VAR81;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdfstp/sky130_fd_sc_hd__sdfstp.symbol.v
| 1,498 |
module MODULE1 (
input VAR3 ,
output VAR7 ,
input VAR10,
input VAR1 ,
input VAR5 ,
input VAR4
);
supply1 VAR8;
supply0 VAR6;
supply1 VAR2 ;
supply0 VAR9 ;
endmodule
|
apache-2.0
|
rkrajnc/minimig-mist
|
rtl/minimig/paula.v
| 9,950 |
module MODULE1
(
input clk, input VAR6,
input VAR50,
input VAR8, input reset, input [8:1] VAR70, input [15:0] VAR29, output [15:0] VAR12, output VAR24, input VAR63, input VAR33, input VAR65, input VAR77, input VAR88, input VAR11, input VAR64, input VAR58, output [2:0] VAR53, output [3:0] VAR18, output [3:0] VAR1, output VAR87, output VAR19, input VAR38, input VAR47, input [3:0] sel, input VAR75, input VAR17, output VAR46, output VAR26, output ready, output VAR36, output VAR28, output VAR86, input VAR49, input VAR78, output VAR62, input VAR31, output VAR27, output VAR15, output [14:0]VAR4, output [14:0]VAR79, input [1:0] VAR34, input VAR13, input VAR82, input VAR35, input VAR9, output [2:0] VAR59, output [15:0] VAR69, input [15:0] VAR76, output VAR56, output VAR40, output VAR81, output VAR25, output [7:0]VAR52,
output [13:0]VAR21,
output VAR20,
output VAR41
);
parameter VAR84 = 9'h096;
parameter VAR22 = 9'h09e;
parameter VAR71 = 9'h010;
reg [4:0] VAR43; reg VAR42; reg [14:0] VAR67; wire [15:0] VAR10; wire [15:0] VAR57; wire [15:0] VAR39; wire [15:0] VAR80; wire VAR32; wire VAR48; wire VAR23; wire VAR45; wire VAR44; wire [3:0] VAR5; wire [3:0] VAR85; wire [3:0] VAR61; wire VAR60;
assign VAR12 = VAR10 | VAR57 | VAR39 | VAR80;
always @(posedge clk) begin
if (VAR6) begin
if (reset) begin
VAR42 <= 0;
VAR43 <= 5'd0;
end else if (VAR70[8:1]==VAR84[8:1]) begin
if (VAR29[15])
{VAR42,VAR43[4:0]} <= {VAR42,VAR43[4:0]} | {VAR29[9],VAR29[4:0]};
end
else
{VAR42,VAR43[4:0]} <= {VAR42,VAR43[4:0]} & (~{VAR29[9],VAR29[4:0]});
end
end
end
assign VAR60 = VAR43[4] & VAR42;
assign VAR61[3] = VAR43[3] & VAR42;
assign VAR61[2] = VAR43[2] & VAR42;
assign VAR61[1] = VAR43[1] & VAR42;
assign VAR61[0] = VAR43[0] & VAR42;
always @(posedge clk) begin
if (VAR6) begin
if (reset)
VAR67 <= 15'd0;
end
else if (VAR70[8:1]==VAR22[8:1])
begin
if (VAR29[15])
VAR67[14:0] <= VAR67[14:0] | VAR29[14:0];
end
else
VAR67[14:0] <= VAR67[14:0] & (~VAR29[14:0]);
end
end
end
assign VAR80[15:0] = (VAR70[8:1]==VAR71[8:1]) ? {1'b0,VAR67[14:0]} : 16'h0000;
VAR37 VAR66
(
.clk(clk),
.VAR6 (VAR6),
.reset(reset),
.VAR72(VAR70),
.VAR16(VAR29),
.VAR68(VAR10),
.VAR2(VAR67[11]),
.VAR32(VAR32),
.VAR48(VAR48),
.VAR23(VAR23),
.VAR63(VAR63),
.VAR24(VAR24)
);
VAR73 VAR3
(
.clk(clk),
.VAR6 (VAR6),
.reset(reset),
.VAR70(VAR70),
.VAR29(VAR29),
.VAR12(VAR57),
.VAR48(VAR48),
.VAR23(VAR23),
.VAR88(VAR88),
.VAR11(VAR11),
.VAR64(VAR64),
.VAR58(VAR58),
.VAR45(VAR45),
.VAR44(VAR44),
.VAR5(VAR5),
.VAR85(VAR85),
.VAR32(VAR32),
.VAR53(VAR53)
);
VAR14 VAR83
(
.clk(clk),
.VAR6 (VAR6),
.VAR50 (VAR50),
.reset(reset),
.VAR33(VAR33),
.VAR65(VAR65),
.enable(VAR60),
.VAR70(VAR70),
.VAR29(VAR29),
.VAR12(VAR39),
.VAR54(VAR87),
.VAR51(VAR19),
.VAR38(VAR38),
.VAR47(VAR47),
.sel(sel),
.VAR75(VAR75),
.VAR17(VAR17),
.VAR46(VAR46),
.VAR26(VAR26),
.ready(ready),
.VAR36(VAR36),
.VAR28(VAR28),
.VAR45(VAR45),
.VAR44(VAR44),
.VAR55(VAR67[10]),
.VAR49(VAR49),
.VAR78(VAR78),
.VAR62(VAR62),
.VAR31(VAR31),
.VAR86(VAR86),
.VAR34(VAR34),
.VAR13(VAR13),
.VAR82(VAR82),
.VAR35(VAR35),
.VAR9(VAR9),
.VAR59(VAR59),
.VAR69(VAR69),
.VAR76(VAR76),
.VAR56(VAR56),
.VAR40(VAR40),
.VAR81(VAR81),
.VAR25(VAR25),
.VAR52(VAR52),
.VAR21(VAR21),
.VAR20 (VAR20),
.VAR41 (VAR41)
);
VAR7 VAR30
(
.clk(clk),
.VAR6 (VAR6),
.VAR8(VAR8),
.rst(reset),
.VAR77(VAR77),
.VAR70(VAR70),
.VAR29(VAR29),
.VAR74(VAR61[3:0]),
.VAR5(VAR5[3:0]),
.VAR85(VAR85),
.VAR54(VAR18),
.VAR51(VAR1),
.VAR27(VAR27),
.VAR15(VAR15),
.VAR4(VAR4),
.VAR79(VAR79)
);
endmodule
|
gpl-3.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v
| 1,132 |
module MODULE1 #(parameter VAR12( VAR2 )
,parameter VAR12(VAR5 )
,parameter VAR6 = { (VAR2) {1'b0} }
)
(input VAR10
, input [VAR2-1:0] VAR9
, input [VAR5-1:0][1:0] VAR14
, output [VAR2-1:0] VAR1
);
genvar VAR3;
logic [VAR5:0][VAR2-1:0] VAR7, VAR13;
assign VAR7[VAR5] = VAR6;
for (VAR3 = 0; VAR3 < VAR5; VAR3=VAR3+1)
begin: VAR11
VAR4
begin
unique case (VAR14[VAR3])
2'b01:
VAR13[VAR3] = VAR7[VAR3+1];
2'b10:
VAR13[VAR3] = VAR9;
default:
VAR13[VAR3] = VAR7[VAR3];
endcase
end
VAR8 @(posedge VAR10)
VAR7[VAR3] <= VAR13[VAR3];
end
assign VAR1 = VAR7[0];
endmodule
|
bsd-3-clause
|
ShepardSiegel/ocpi
|
libsrc/hdl/bsv/bram_patch/BRAM1BE_alt.v
| 3,910 |
module MODULE1(VAR7,
VAR5,
VAR12,
VAR1,
VAR10,
VAR9
);
parameter VAR4 = 0;
parameter VAR8 = 1;
parameter VAR2 = 1;
parameter VAR15 = 1;
parameter VAR11 = 1;
parameter VAR14 = 1;
input VAR7;
input VAR5;
input [VAR11-1:0] VAR12;
input [VAR8-1:0] VAR1;
input [VAR2-1:0] VAR10;
output [VAR2-1:0] VAR9;
reg [VAR2-1:0] VAR13[0:VAR14-1];
reg [VAR8-1:0] VAR6;
reg [VAR2-1:0] VAR17;
reg [VAR2-1:0] VAR16;
wire [VAR2-1:0] VAR3;
assign VAR3 = VAR13[VAR1] ;
|
lgpl-3.0
|
cafe-alpha/wasca
|
v12/fpga_firmware/wasca/synthesis/submodules/altera_reset_controller.v
| 12,023 |
module MODULE1
parameter VAR23 = 6,
parameter VAR77 = 0,
parameter VAR33 = 0,
parameter VAR61 = 0,
parameter VAR28 = 0,
parameter VAR64 = 0,
parameter VAR37 = 0,
parameter VAR30 = 0,
parameter VAR19 = 0,
parameter VAR70 = 0,
parameter VAR29 = 0,
parameter VAR27 = 0,
parameter VAR4 = 0,
parameter VAR39 = 0,
parameter VAR47 = 0,
parameter VAR49 = 0,
parameter VAR31 = 0,
parameter VAR5 = "VAR52",
parameter VAR71 = 2,
parameter VAR48 = 0,
parameter VAR14 = 3,
parameter VAR20 = 11,
parameter VAR55 = 4,
parameter VAR7 = 0
)
(
input VAR9,
input VAR45,
input VAR53,
input VAR12,
input VAR80,
input VAR65,
input VAR62,
input VAR74,
input VAR3,
input VAR10,
input VAR42,
input VAR44,
input VAR57,
input VAR75,
input VAR72,
input VAR36,
input VAR24,
input VAR26,
input VAR11,
input VAR38,
input VAR67,
input VAR56,
input VAR54,
input VAR16,
input VAR34,
input VAR58,
input VAR6,
input VAR18,
input VAR78,
input VAR46,
input VAR43,
input VAR60,
input clk,
output reg VAR79,
output reg VAR40
);
localparam VAR63 = (VAR5 == "VAR52");
localparam VAR35 = 3;
localparam VAR76 = VAR35 + VAR14;
localparam VAR13 = VAR14 > VAR55 ? VAR14 : VAR55;
localparam VAR8 = (VAR35 > VAR13) ?
VAR20 + 1 :
(
(VAR20 > VAR13)?
VAR20 + (VAR13 - VAR35 + 1) + 1 :
VAR20 + VAR55 + VAR14 - VAR35 + 2
);
localparam VAR68 = VAR55 + 1;
wire VAR25;
wire VAR32;
wire VAR21;
wire VAR22;
reg [VAR76: 0] VAR1;
reg [VAR8-1: 0] VAR66;
reg VAR17;
reg VAR15;
assign VAR25 = (
VAR9 |
VAR45 |
VAR53 |
VAR12 |
VAR80 |
VAR65 |
VAR62 |
VAR74 |
VAR3 |
VAR10 |
VAR42 |
VAR44 |
VAR57 |
VAR75 |
VAR72 |
VAR36
);
assign VAR32 = (
( (VAR77 == 1) ? VAR24 : 1'b0) |
( (VAR33 == 1) ? VAR26 : 1'b0) |
( (VAR61 == 1) ? VAR11 : 1'b0) |
( (VAR28 == 1) ? VAR38 : 1'b0) |
( (VAR64 == 1) ? VAR67 : 1'b0) |
( (VAR37 == 1) ? VAR56 : 1'b0) |
( (VAR30 == 1) ? VAR54 : 1'b0) |
( (VAR19 == 1) ? VAR16 : 1'b0) |
( (VAR70 == 1) ? VAR34 : 1'b0) |
( (VAR29 == 1) ? VAR58 : 1'b0) |
( (VAR27 == 1) ? VAR6 : 1'b0) |
( (VAR4 == 1) ? VAR18 : 1'b0) |
( (VAR39 == 1) ? VAR78 : 1'b0) |
( (VAR47 == 1) ? VAR46 : 1'b0) |
( (VAR49 == 1) ? VAR43 : 1'b0) |
( (VAR31 == 1) ? VAR60 : 1'b0)
);
generate if (VAR5 == "none" && (VAR48==0)) begin
assign VAR21 = VAR25;
assign VAR22 = VAR32;
end else begin
VAR69
.VAR2 (VAR71),
.VAR63(VAR48? 1'b1 : VAR63)
)
VAR41
(
.clk (clk),
.VAR73 (VAR25),
.VAR79 (VAR21)
);
VAR69
.VAR2 (VAR71),
.VAR63(0)
)
VAR50
(
.clk (clk),
.VAR73 (VAR32),
.VAR79 (VAR22)
);
end
endgenerate
generate if ( ( (VAR48 == 0) && (VAR7==0) )|
( (VAR7 == 1) && (VAR5 != "VAR52") ) ) begin
always @* begin
VAR79 = VAR21;
VAR40 = VAR22;
end
end else if ( (VAR48 == 0) && (VAR7==1) ) begin
wire VAR51;
VAR69
.VAR2 (VAR71+1),
.VAR63(0)
)
VAR59
(
.clk (clk),
.VAR73 (VAR21),
.VAR79 (VAR51)
);
always @* begin
VAR79 = VAR51;
VAR40 = VAR22;
end
end
else begin
begin
begin
begin
begin
begin
end
begin
begin
|
gpl-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/util_axis_fifo/address_sync.v
| 3,981 |
module MODULE1 (
input clk,
input VAR14,
input VAR4,
output reg VAR13,
output reg [VAR2-1:0] VAR15,
output reg [VAR2-1:0] VAR3,
output [VAR2:0] VAR1,
output reg VAR9,
input VAR5,
output reg VAR8,
output reg [VAR2-1:0] VAR7,
output [VAR2:0] VAR11
);
parameter VAR2 = 4;
reg [VAR2:0] VAR12 = 2**VAR2;
reg [VAR2:0] VAR10 = 'h00;
reg [VAR2:0] VAR6;
assign VAR11 = VAR12;
assign VAR1 = VAR10;
wire read = VAR4 & VAR13;
wire write = VAR9 & VAR5;
always @
begin
if (read & ~write)
VAR6 <= VAR10 - 1'b1;
end
else if (~read & write)
VAR6 <= VAR10 + 1'b1;
else
VAR6 <= VAR10;
end
always @(posedge clk)
begin
if (VAR14 == 1'b0) begin
VAR13 <= 1'b0;
VAR9 <= 1'b0;
VAR10 <= 'h00;
VAR12 <= 2**VAR2;
VAR8 <= 'h00;
end else begin
VAR10 <= VAR6;
VAR12 <= 2**VAR2 - VAR6;
VAR13 <= VAR6 != 0;
VAR9 <= VAR6 != 2**VAR2;
VAR8 <= VAR6 == 0;
end
end
endmodule
|
gpl-3.0
|
UCR-CS179-SUMMER2014/NES_FPGA
|
source/etc/hardware/VGA/vga640x480.v
| 2,393 |
module MODULE1(
VAR10,
VAR14,
VAR3,
VAR4,
VAR5,
VAR7,
VAR6
);
input VAR10; input VAR14;
output VAR3; output VAR4;
output [9:0] VAR5; output [9:0] VAR7;
output VAR6;
localparam VAR2 = 800 , VAR8 = 521 , VAR1 = 144 , VAR13 = 784 ,
VAR11 = 31 , VAR16 = 511 ;
reg [9:0] VAR9, VAR12; reg VAR15;
assign VAR5 = VAR9;
assign VAR7 = VAR12;
assign VAR3 = (VAR9 < 128) ? 1'b0 : 1'b1; assign VAR4 = (VAR12 < 2) ? 1'b0 : 1'b1; assign VAR6 = (((VAR9 < VAR13) && (VAR9 >= VAR1)) && ((VAR12 < VAR16) && (VAR12 >= VAR11))) ? 1 : 0;
always @ (posedge VAR10)
begin
if(VAR14 == 1'b1)
VAR9 <= 10'b0000000000;
end
else if(VAR10 == 1'b1)
begin
if(VAR9 < (VAR2 - 1'b1) )
begin
VAR9 <= VAR9 + 1'b1;
VAR15 <= 1'b0; end
else
begin
VAR9 <= 10'b0000000000; VAR15 <= 1'b1; end
end
end
always @ (posedge VAR10)
begin
if(VAR14 == 1'b1)
VAR12 <= 10'b0000000000;
end
else if(VAR10 == 1'b1 && VAR15 == 1'b1)
begin
if( VAR12 < (VAR8 - 1'b1) )
begin
VAR12 <= VAR12 + 1'b1; end
else
begin
VAR12 <= 10'b0000000000;
end
end
end
endmodule
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/8-way/src/riffa/offset_to_mask.v
| 3,738 |
module MODULE1
parameter VAR7 = 4)
(
input VAR6,
input [VAR9(VAR7)-1:0] VAR2,
output [VAR7-1:0] VAR5
);
reg [7:0] VAR4,VAR8;
wire [3:0] VAR1;
assign VAR1 = {VAR6,{{(3-VAR9(VAR7)){1'b0}},VAR2}};
assign VAR5 = (VAR3)? VAR8[7 -: VAR7]: VAR4[VAR7-1:0];
always @(*) begin
VAR4 = 0;
VAR8 = 0;
casex(VAR1)
default: begin
VAR4 = 8'b11111111;
VAR8 = 8'b11111111;
end
4'b1000: begin
VAR4 = 8'b00000001;
VAR8 = 8'b11111111;
end
4'b1001: begin
VAR4 = 8'b00000011;
VAR8 = 8'b01111111;
end
4'b1010: begin
VAR4 = 8'b00000111;
VAR8 = 8'b00111111;
end
4'b1011: begin
VAR4 = 8'b00001111;
VAR8 = 8'b00011111;
end
4'b1100: begin
VAR4 = 8'b00011111;
VAR8 = 8'b00001111;
end
4'b1101: begin
VAR4 = 8'b00111111;
VAR8 = 8'b00000111;
end
4'b1110: begin
VAR4 = 8'b01111111;
VAR8 = 8'b00000011;
end
4'b1111: begin
VAR4 = 8'b11111111;
VAR8 = 8'b00000001;
end
endcase
end
endmodule
|
mit
|
fbalakirev/red-pitaya-notes
|
cores/axis_timer_v1_0/axis_timer.v
| 1,408 |
module MODULE1 #
(
parameter integer VAR13 = 64
)
(
input wire VAR3,
input wire VAR7,
input wire VAR6,
input wire [VAR13-1:0] VAR8,
output wire VAR9,
output wire [VAR13-1:0] VAR5,
output wire VAR10,
input wire VAR4
);
reg [VAR13-1:0] VAR11, VAR12;
reg VAR2, VAR1;
wire VAR14;
always @(posedge VAR3)
begin
if(~VAR7)
begin
VAR11 <= {(VAR13){1'b0}};
VAR2 <= 1'b0;
end
else
begin
VAR11 <= VAR12;
VAR2 <= VAR1;
end
end
assign VAR14 = VAR6 & (VAR11 < VAR8);
always @*
begin
VAR12 = VAR11;
VAR1 = VAR2;
if(~VAR2 & VAR14 & VAR4)
begin
VAR1 = 1'b1;
end
if(VAR2 & VAR14 & VAR4)
begin
VAR12 = VAR11 + 1'b1;
end
if(VAR2 & ~VAR14 & VAR4)
begin
VAR1 = 1'b0;
end
end
assign VAR9 = VAR2;
assign VAR5 = VAR11;
assign VAR10 = 1'b1;
endmodule
|
mit
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/iface/ip/Read_Master/MM_to_ST_Adapter.v
| 13,852 |
module MODULE1 (
clk,
reset,
VAR41,
VAR20,
address,
VAR32,
VAR11,
VAR22,
VAR15,
VAR26,
VAR42,
VAR6,
VAR4,
VAR35
);
parameter VAR2 = 32; parameter VAR17 = 32;
parameter VAR34 = 32;
parameter VAR43 = 2; parameter VAR21 = 5;
parameter VAR7 = 2; parameter VAR27 = 1; parameter VAR5 = 1; parameter VAR13 = 0;
input clk;
input reset;
input [VAR17-1:0] VAR41;
input [VAR17-1:0] VAR20;
input [VAR34-1:0] address;
input [VAR21-1:0] VAR32;
input VAR11;
input [VAR2-1:0] VAR22;
input VAR15;
output wire [VAR2-1:0] VAR26;
output wire VAR42;
output wire [VAR7-1:0] VAR6;
output wire VAR4;
output wire VAR35;
reg [VAR2-1:0] VAR14;
reg VAR18;
wire [VAR2-1:0] VAR38; wire VAR9; reg VAR29;
wire [VAR2-1:0] VAR8; wire [VAR2-1:0] VAR31;
reg [VAR2-1:0] VAR19; wire [VAR2-1:0] VAR24; wire [VAR2-1:0] VAR30 [0:((VAR2/8)-1)]; wire [VAR2-1:0] VAR28 [0:((VAR2/8)-1)]; wire VAR16;
reg VAR37;
wire VAR39;
reg VAR12;
reg VAR10;
wire VAR1;
wire VAR33;
reg [VAR43-1:0] VAR23;
reg [VAR7-1:0] VAR36; reg VAR3;
generate
if (VAR5 == 1) begin
assign VAR38 = VAR14;
assign VAR9 = VAR18;
end
else
begin
assign VAR38 = VAR22; assign VAR9 = VAR15;
end
endgenerate
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR14 <= 0;
end
else
begin
if (VAR15 == 1)
begin
VAR14 <= VAR22;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR18 <= 0;
VAR29 <= 0;
end
else
begin
VAR18 <= VAR15;
VAR29 <= VAR9; end
end
always @ (posedge clk or posedge reset)
begin
if (reset == 1)
begin
VAR19 <= 0;
end
else
begin
if (VAR9 == 1)
begin
VAR19 <= VAR31;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR12 <= 0;
end
else
begin
if (VAR11 == 1)
begin
VAR12 <= 0;
end
else if (VAR9 == 1)
begin
VAR12 <= 1;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR10 <= 0;
end
else
begin
if (VAR11 == 1)
begin
VAR10 <= 0;
end
else if ((VAR12 == 1) & (VAR9 == 1))
begin
VAR10 <= 1;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR23 <= 0;
end
else if (VAR11 == 1)
begin
VAR23 <= address[VAR43-1:0];
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR36 <= 0;
end
else if (VAR11 == 1)
begin
VAR36 <= ((VAR2/8) - VAR41[VAR7-1:0]) & {VAR7{1'b1}}; end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR37 <= 0;
end
else if (VAR11 == 1)
begin
VAR37 <= VAR16; end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR3 <= 0;
end
else if (VAR11 == 1)
begin
VAR3 <= (VAR41 <= (VAR2/8));
end
end
generate
genvar VAR40;
for(VAR40 = 0; VAR40 < (VAR2/8); VAR40 = VAR40 + 1)
begin: VAR25
assign VAR30[VAR40] = VAR38 << (8 * ((VAR2/8) - VAR40));
assign VAR28[VAR40] = VAR38 >> (8 * VAR40);
end
endgenerate
assign VAR8 = VAR30[VAR23]; assign VAR31 = VAR28[VAR23]; assign VAR24 = (VAR8 | VAR19);
assign VAR1 = (VAR9 == 1) & (VAR12 == 0);
assign VAR33 = ((VAR12 == 1) & (VAR9 == 1)) & (VAR10 == 0);
assign VAR16 = (((VAR2/8) - VAR41[VAR7-1:0]) & {VAR7{1'b1}}) >= address[VAR43-1:0];
assign VAR39 = (VAR32 == 0) & (VAR20 == 0) &
( ((VAR37 == 0) & (VAR9 == 1)) | ((VAR37 == 1) & (VAR29 == 1) & (VAR9 == 0)) );
generate
if (VAR27 == 1)
begin
if (VAR5 == 1)
begin
assign VAR4 = (VAR33 == 1) | ((VAR3 == 1) & (VAR39 == 1));
assign VAR35 = VAR39;
assign VAR6 = (VAR35 == 1)? VAR36 : 0; end
else
begin
assign VAR4 = VAR1;
assign VAR35 = (VAR20 == 0) & (VAR32 == 1) & (VAR9 == 1); if (VAR13 == 1)
begin
assign VAR6 = 0; end
else
begin
assign VAR6 = (VAR35 == 1)? VAR36 : 0; end
end
end
else
begin
assign VAR35 = 0;
assign VAR4 = 0;
assign VAR6 = 0;
end
if (VAR5 == 1)
begin
assign VAR26 = VAR24;
assign VAR42 = (VAR12 == 1) & ((VAR9 == 1) | (VAR39 == 1)); end
else
begin assign VAR26 = VAR38; assign VAR42 = VAR9; end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a32o/sky130_fd_sc_hdll__a32o_1.v
| 2,485 |
module MODULE2 (
VAR3 ,
VAR6 ,
VAR8 ,
VAR1 ,
VAR7 ,
VAR2 ,
VAR5,
VAR4,
VAR12 ,
VAR9
);
output VAR3 ;
input VAR6 ;
input VAR8 ;
input VAR1 ;
input VAR7 ;
input VAR2 ;
input VAR5;
input VAR4;
input VAR12 ;
input VAR9 ;
VAR10 VAR11 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR3 ,
VAR6,
VAR8,
VAR1,
VAR7,
VAR2
);
output VAR3 ;
input VAR6;
input VAR8;
input VAR1;
input VAR7;
input VAR2;
supply1 VAR5;
supply0 VAR4;
supply1 VAR12 ;
supply0 VAR9 ;
VAR10 VAR11 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
qmn/riscv-invicta
|
hardware/src/data_memory.v
| 3,504 |
module MODULE1 (
input [31:0] VAR11,
input [31:0] VAR2,
input [31:0] addr,
output [31:0] VAR10,
output reg [31:0] VAR12,
output reg [3:0] VAR5,
input [31:0] VAR9,
output reg [31:0] VAR7
);
wire [2:0] VAR3 = VAR11[9:7];
wire [6:0] VAR6 = VAR11[6:0];
assign VAR10 = {addr[31:2], 2'b0};
reg [31:0] VAR1;
always @ begin
case (addr[1:0])
2'b00: VAR12 = VAR1;
2'b01: VAR12 = VAR1 << 8;
2'b10: VAR12 = VAR1 << 16;
2'b11: VAR12 = VAR1 << 24;
default: VAR12 = 32'b0;
endcase
end
always @ begin
case (VAR3)
2'b00: VAR8 = {24'b0, VAR9[7:0]};
2'b01: VAR8 = {24'b0, VAR9[15:8]};
2'b10: VAR8 = {24'b0, VAR9[23:16]};
2'b11: VAR8 = {24'b0, VAR9[31:24]};
default: VAR8 = 32'b0;
endcase
2'b00: VAR8 = {16'b0, VAR9[15:0]};
2'b10: VAR8 = {16'b0, VAR9[31:16]};
default: VAR8 = 32'b0;
endcase
default: VAR8 = 32'b0;
endcase
end
wire [31:0] VAR4 = VAR8;
always @ (*) begin
case (VAR3)
default: VAR7 = 32'b0;
endcase
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o2111a/sky130_fd_sc_ls__o2111a.blackbox.v
| 1,394 |
module MODULE1 (
VAR6 ,
VAR4,
VAR7,
VAR2,
VAR1,
VAR10
);
output VAR6 ;
input VAR4;
input VAR7;
input VAR2;
input VAR1;
input VAR10;
supply1 VAR5;
supply0 VAR3;
supply1 VAR9 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
Digilent/vivado-library
|
ip/video_scaler/hdl/verilog/Resize_opr_linearbkb.v
| 1,581 |
module MODULE1 (VAR9, VAR3, VAR2, VAR8, VAR1, VAR14, VAR5, clk);
parameter VAR10 = 8;
parameter VAR12 = 13;
parameter VAR17 = 4097;
input[VAR12-1:0] VAR9;
input VAR3;
output reg[VAR10-1:0] VAR2;
input[VAR12-1:0] VAR8;
input VAR1;
input[VAR10-1:0] VAR14;
input VAR5;
input clk;
reg [VAR10-1:0] VAR16[0:VAR17-1];
always @(posedge clk)
begin
if (VAR3)
begin
VAR2 <= VAR16[VAR9];
end
end
always @(posedge clk)
begin
if (VAR1)
begin
if (VAR5)
begin
VAR16[VAR8] <= VAR14;
end
end
end
endmodule
module MODULE2(
reset,
clk,
VAR6,
VAR3,
VAR2,
VAR13,
VAR1,
VAR5,
VAR14);
parameter VAR4 = 32'd8;
parameter VAR7 = 32'd4097;
parameter VAR15 = 32'd13;
input reset;
input clk;
input[VAR15 - 1:0] VAR6;
input VAR3;
output[VAR4 - 1:0] VAR2;
input[VAR15 - 1:0] VAR13;
input VAR1;
input VAR5;
input[VAR4 - 1:0] VAR14;
MODULE1 VAR11(
.clk( clk ),
.VAR9( VAR6 ),
.VAR3( VAR3 ),
.VAR2( VAR2 ),
.VAR8( VAR13 ),
.VAR1( VAR1 ),
.VAR5( VAR5 ),
.VAR14( VAR14 ));
endmodule
|
mit
|
lneuhaus/pyrpl
|
pyrpl/fpga/rtl/red_pitaya_pid_block.v
| 12,335 |
module MODULE1 #(
parameter VAR43 = 12 ,
parameter VAR21 = 32 , parameter VAR54 = 10 ,
parameter VAR51 = 24 ,
parameter VAR56 = 0 ,
parameter VAR35 = 4 ,
parameter VAR27 = 5,
parameter VAR20 = 10,
parameter VAR10 = 1
)
(
input VAR40 , input VAR32 , input VAR36 , input signed [ 14-1: 0] VAR53 , output signed [ 14-1: 0] VAR13 , input signed [ 14-1: 0] VAR38 , output signed [ 14-1: 0] VAR16 ,
input [ 16-1: 0] addr,
input VAR26,
input VAR14,
output reg ack,
output reg [ 32-1: 0] VAR46,
input [ 32-1: 0] VAR45
);
reg signed [ 14-1: 0] VAR28; reg signed [ 16-1: 0] VAR39; reg VAR3;
reg [ 3-1: 0] VAR44; reg VAR31; wire VAR33;
assign VAR52 = VAR44[0] & !VAR36;
wire VAR60;
assign VAR4 = VAR44[1] & !VAR36;
wire VAR19;
assign VAR30 = VAR44[2] & !VAR36;
reg [ VAR51-1: 0] VAR41; reg [ VAR51-1: 0] VAR49; reg [ VAR51-1: 0] VAR12; reg [ 32-1: 0] VAR15; reg signed [ 14-1:0] VAR24;
reg signed [ 14-1:0] VAR57;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR28 <= 14'd0;
VAR39 <= 14'd0;
VAR44 <= {3{1'b1}}; VAR31 <= 1'b0; VAR41 <= {VAR51{1'b0}};
VAR49 <= {VAR51{1'b0}};
VAR12 <= {VAR51{1'b0}};
VAR15 <= 32'd0;
VAR3 <= 1'b0;
VAR57 <= {1'b1,{14-1{1'b0}}};
VAR24 <= {1'b0,{14-1{1'b1}}};
end
else begin
if (VAR26) begin
if (addr==16'h100) VAR39 <= VAR45[16-1:0];
if (addr==16'h104) VAR28 <= VAR45[14-1:0];
if (addr==16'h108) VAR41 <= VAR45[VAR51-1:0];
if (addr==16'h10C) VAR49 <= VAR45[VAR51-1:0];
if (addr==16'h110) VAR12 <= VAR45[VAR51-1:0];
if (addr==16'h120) VAR15 <= VAR45;
if (addr==16'h124) VAR57 <= VAR45;
if (addr==16'h128) VAR24 <= VAR45;
if (addr==16'h12C) {VAR31,VAR44} <= VAR45[4-1:0];
end
if (addr==16'h100 && VAR26)
VAR3 <= 1'b1;
end
else
VAR3 <= 1'b0;
casez (addr)
16'h100 : begin ack <= VAR26|VAR14; VAR46 <= VAR9; end
16'h104 : begin ack <= VAR26|VAR14; VAR46 <= {{32-14{1'b0}},VAR28}; end
16'h108 : begin ack <= VAR26|VAR14; VAR46 <= {{32-VAR51{1'b0}},VAR41}; end
16'h10C : begin ack <= VAR26|VAR14; VAR46 <= {{32-VAR51{1'b0}},VAR49}; end
16'h110 : begin ack <= VAR26|VAR14; VAR46 <= {{32-VAR51{1'b0}},VAR12}; end
16'h120 : begin ack <= VAR26|VAR14; VAR46 <= VAR15; end
16'h124 : begin ack <= VAR26|VAR14; VAR46 <= {{32-14{1'b0}},VAR57}; end
16'h128 : begin ack <= VAR26|VAR14; VAR46 <= {{32-14{1'b0}},VAR24}; end
16'h12C : begin ack <= VAR26|VAR14; VAR46 <= {{32-4{1'b0}},VAR31,VAR44}; end
16'h200 : begin ack <= VAR26|VAR14; VAR46 <= VAR43; end
16'h204 : begin ack <= VAR26|VAR14; VAR46 <= VAR21; end
16'h208 : begin ack <= VAR26|VAR14; VAR46 <= VAR54; end
16'h20C : begin ack <= VAR26|VAR14; VAR46 <= VAR51; end
16'h220 : begin ack <= VAR26|VAR14; VAR46 <= VAR35; end
16'h224 : begin ack <= VAR26|VAR14; VAR46 <= VAR27; end
16'h228 : begin ack <= VAR26|VAR14; VAR46 <= VAR20; end
default: begin ack <= VAR26|VAR14; VAR46 <= 32'h0; end
endcase
end
end
wire signed [14-1:0] VAR11;
VAR29 #(
.VAR8(VAR35),
.VAR18(VAR27),
.VAR23(14),
.VAR58(VAR20)
)
VAR34
(
.VAR40(VAR40),
.VAR32(VAR32),
.VAR15(VAR15),
.VAR53(VAR53),
.VAR13(VAR11)
);
reg signed [ 15-1: 0] VAR17 ;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR17 <= 15'h0 ;
end
else begin
if (VAR31 == 1'b1)
VAR17 <= (VAR11) - (VAR38) ;
end
else
VAR17 <= (VAR11) - (VAR28) ;
end
end
assign VAR16 = VAR11;
reg signed [15+VAR51-VAR43-1: 0] VAR7 ;
wire signed [15+VAR51-1: 0] VAR50 ;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR7 <= {15+VAR51-VAR43{1'b0}};
end
else begin
VAR7 <= VAR50[15+VAR51-1:VAR43] ;
end
end
assign VAR50 = (VAR4==1'b1) ? ({15+VAR51{1'b0}}) : (VAR17) * (VAR41);
localparam VAR1 = VAR21+16; reg signed [16+VAR51-1: 0] VAR42 ;
wire signed [VAR1 : 0] VAR37 ;
reg signed [VAR1-1: 0] VAR22 ;
wire signed [VAR1-VAR21-1: 0] VAR9 ;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR42 <= {15+VAR51{1'b0}};
VAR22 <= {VAR1{1'b0}};
end
else begin
VAR42 <= (VAR17) * (VAR49) ;
if (VAR3)
VAR22 <= { {VAR1-16-VAR21{VAR39[16-1]}},VAR39[16-1:0],{VAR21{1'b0}}};
end
else if (VAR37[VAR1+1-1:VAR1+1-2] == 2'b01) VAR22 <= {1'b0,{VAR1-1{1'b1}}};
end
else if (VAR37[VAR1+1-1:VAR1+1-2] == 2'b10) VAR22 <= {1'b1,{VAR1-1{1'b0}}};
else
VAR22 <= VAR37[VAR1-1:0]; end
end
assign VAR37 = (VAR52==1'b1) ? (VAR22) : (VAR42) + (VAR22);
assign VAR9 = (VAR22[VAR1-1:VAR21]) ;
wire signed [ 39-1: 0] VAR48 ;
reg signed [39-VAR54-1: 0] VAR5 ;
reg signed [39-VAR54-1: 0] VAR25 ;
reg signed [39-VAR54 : 0] VAR55 ;
generate
if (VAR56 == 1) begin
wire [15+VAR51-1: 0] VAR48;
reg [15+VAR51-VAR54-1: 0] VAR5;
reg [15+VAR51-VAR54-1: 0] VAR25;
reg [15+VAR51-VAR54 : 0] VAR55;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR5 <= {15+VAR51-VAR54{1'b0}};
VAR25 <= {15+VAR51-VAR54{1'b0}};
VAR55 <= {15+VAR51-VAR54+1{1'b0}};
end
else begin
VAR5 <= VAR48[15+VAR51-1:VAR54] ;
VAR25 <= VAR5;
VAR55 <= (VAR5) - (VAR25); end
end
assign VAR48 = (VAR30==1'b1) ? ({15+VAR51-1{1'b0}}) : (VAR17) * (VAR12);
end
else begin
wire [15+VAR51-VAR54:0] VAR55;
assign VAR55 = {15+VAR51-VAR54+1{1'b0}};
end
endgenerate
localparam VAR2 = 28;
wire signed [ VAR2-1: 0] VAR47;
reg signed [ 14-1: 0] VAR59;
always @(posedge VAR40) begin
if (VAR32 == 1'b0) begin
VAR59 <= 14'b0;
end
else begin
if ({VAR47[VAR2-1],|VAR47[VAR2-2:13]} == 2'b01) VAR59 <= 14'h1FFF;
end
else if ({VAR47[VAR2-1],&VAR47[VAR2-2:13]} == 2'b10) VAR59 <= 14'h2000;
end
else
VAR59 <= VAR47[14-1:0];
end
end
assign VAR47 = (VAR7) + (VAR9) + (VAR55);
generate
if (VAR10 == 0)
assign VAR13 = VAR59;
else begin
reg signed [ 14-1:0] VAR6;
always @(posedge VAR40) begin
if (VAR59 >= VAR24)
VAR6 <= VAR24;
end
else if (VAR59 <= VAR57)
VAR6 <= VAR57;
end
else
VAR6 <= VAR59;
end
assign VAR13 = VAR6;
end
endgenerate
endmodule
|
mit
|
freecores/verilog_fixed_point_math_library
|
Tes_add.v
| 1,650 |
module MODULE1;
reg [31:0] VAR5;
reg [31:0] VAR11;
wire [31:0] VAR8;
VAR6 #(19,32) VAR7 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR8(VAR8)
);
wire [30:0] VAR4;
wire [30:0] VAR10;
wire [30:0] VAR3;
wire VAR2;
wire VAR9;
wire VAR1;
assign VAR10 = VAR5[30:0];
assign VAR3 = VAR11[30:0];
assign VAR4 = VAR8[30:0];
assign VAR2 = VAR5[31];
assign VAR9 = VAR11[31];
assign VAR1 = VAR8[31];
|
lgpl-2.1
|
alexforencich/xfcp
|
lib/eth/example/ADM_PCIE_9V3/fpga_10g/rtl/fpga.v
| 25,205 |
module MODULE1 (
input wire VAR248,
input wire VAR281,
output wire [1:0] VAR377,
output wire VAR200,
output wire [1:0] VAR115,
input wire [1:0] VAR390,
output wire VAR130,
output wire VAR169,
input wire VAR162,
input wire VAR133,
output wire VAR113,
output wire VAR273,
input wire VAR120,
input wire VAR24,
output wire VAR365,
output wire VAR91,
input wire VAR241,
input wire VAR209,
output wire VAR399,
output wire VAR70,
input wire VAR198,
input wire VAR103,
input wire VAR257,
input wire VAR61,
input wire VAR159,
output wire VAR378,
output wire VAR19,
output wire VAR286,
input wire VAR337,
input wire VAR199,
output wire VAR298,
output wire VAR73,
input wire VAR387,
input wire VAR102,
output wire VAR408,
output wire VAR12,
input wire VAR340,
input wire VAR105,
output wire VAR157,
output wire VAR385,
input wire VAR83,
input wire VAR111,
input wire VAR195,
input wire VAR207,
input wire VAR238,
output wire VAR394,
output wire VAR25,
input wire VAR182
);
wire VAR46;
wire VAR77;
wire VAR45;
wire VAR64;
wire VAR129;
wire VAR110;
wire VAR407 = 1'b0;
wire VAR250;
wire VAR288;
VAR301 #(
.VAR123("VAR75"),
.VAR299("VAR75")
)
VAR386 (
.VAR217 (VAR46),
.VAR76 (VAR248),
.VAR246 (VAR281)
);
VAR231 #(
.VAR269("VAR421"),
.VAR336(8),
.VAR225(0.5),
.VAR84(0),
.VAR395(1),
.VAR251(0.5),
.VAR121(0),
.VAR384(1),
.VAR219(0.5),
.VAR271(0),
.VAR335(1),
.VAR53(0.5),
.VAR369(0),
.VAR212(1),
.VAR414(0.5),
.VAR50(0),
.VAR363(1),
.VAR342(0.5),
.VAR22(0),
.VAR245(1),
.VAR29(0.5),
.VAR325(0),
.VAR165(10),
.VAR26(0),
.VAR181(3),
.VAR310(0.010),
.VAR247(3.333),
.VAR359("VAR75"),
.VAR367("VAR75")
)
VAR142 (
.VAR330(VAR46),
.VAR272(VAR288),
.VAR244(VAR407),
.VAR97(1'b0),
.VAR252(VAR77),
.VAR392(),
.VAR278(),
.VAR93(),
.VAR268(),
.VAR234(),
.VAR334(),
.VAR132(),
.VAR309(),
.VAR215(),
.VAR188(),
.VAR150(VAR288),
.VAR397(),
.VAR326(VAR250)
);
VAR112
VAR1 (
.VAR76(VAR77),
.VAR217(VAR45)
);
VAR275 #(
.VAR285(4)
)
VAR232 (
.clk(VAR45),
.rst(~VAR250),
.out(VAR64)
);
wire [1:0] VAR380;
VAR389 #(
.VAR228(2),
.VAR285(4),
.VAR202(125000)
)
VAR155 (
.clk(VAR45),
.rst(VAR64),
.in({VAR390}),
.out({VAR380})
);
assign VAR378 = 1'b0;
wire VAR410;
wire VAR260;
wire [63:0] VAR415;
wire [7:0] VAR289;
wire VAR283;
wire VAR263;
wire [63:0] VAR57;
wire [7:0] VAR314;
wire VAR264;
wire VAR197;
wire [63:0] VAR418;
wire [7:0] VAR23;
wire VAR15;
wire VAR62;
wire [63:0] VAR236;
wire [7:0] VAR69;
wire VAR371;
wire VAR68;
wire [63:0] VAR106;
wire [7:0] VAR41;
wire VAR161;
wire VAR356;
wire [63:0] VAR242;
wire [7:0] VAR276;
wire VAR59;
wire VAR205;
wire [63:0] VAR71;
wire [7:0] VAR237;
wire VAR43;
wire VAR101;
wire [63:0] VAR388;
wire [7:0] VAR352;
assign VAR394 = 1'b0;
wire VAR138;
wire VAR308;
wire [63:0] VAR324;
wire [7:0] VAR411;
wire VAR329;
wire VAR396;
wire [63:0] VAR233;
wire [7:0] VAR40;
wire VAR404;
wire VAR92;
wire [63:0] VAR409;
wire [7:0] VAR249;
wire VAR87;
wire VAR270;
wire [63:0] VAR339;
wire [7:0] VAR58;
wire VAR192;
wire VAR347;
wire [63:0] VAR116;
wire [7:0] VAR190;
wire VAR223;
wire VAR306;
wire [63:0] VAR373;
wire [7:0] VAR332;
wire VAR108;
wire VAR375;
wire [63:0] VAR379;
wire [7:0] VAR258;
wire VAR94;
wire VAR67;
wire [63:0] VAR174;
wire [7:0] VAR398;
assign VAR25 = 1'b1;
wire VAR179;
wire VAR6;
wire VAR65;
wire VAR280;
wire VAR128;
wire VAR196;
wire VAR354;
wire VAR9;
wire VAR30;
wire VAR208;
wire [7:0] VAR353;
wire VAR125;
wire [7:0] VAR360;
wire [7:0] VAR253;
wire VAR5;
wire VAR100;
wire [7:0] VAR366;
wire [7:0] VAR376;
wire [7:0] VAR413;
wire [7:0] VAR382;
wire VAR171 = ~((&VAR366) & (&VAR376));
wire VAR191 = ~&VAR382;
reg VAR235 = 1'b0;
reg [7:0] VAR44 = 1'b0;
VAR358 VAR114 (
.VAR76 (VAR257),
.VAR246 (VAR61),
.VAR141 (1'b0),
.VAR217 (VAR30),
.VAR131 ()
);
VAR358 VAR158 (
.VAR76 (VAR195),
.VAR246 (VAR207),
.VAR141 (1'b0),
.VAR217 (VAR208),
.VAR131 ()
);
VAR351 VAR361 (
.VAR416 (1'b1),
.VAR168 (1'b0),
.VAR146 (VAR171),
.VAR338 (1'b0),
.VAR98 (3'd0),
.VAR76 (VAR353[0]),
.VAR217 (VAR125)
);
assign VAR129 = VAR125;
always @(posedge VAR125, posedge VAR171) begin
if (VAR171) begin
VAR235 <= 1'b0;
end else begin
VAR235 <= 1'b1;
end
end
generate
genvar VAR173;
for (VAR173 = 0; VAR173 < 8; VAR173 = VAR173 + 1) begin
VAR351 VAR137 (
.VAR416 (1'b1),
.VAR168 (1'b0),
.VAR146 (VAR191),
.VAR338 (1'b0),
.VAR98 (3'd0),
.VAR76 (VAR360[VAR173]),
.VAR217 (VAR253[VAR173])
);
always @(posedge VAR253[VAR173], posedge VAR191) begin
if (VAR191) begin
VAR44[VAR173] <= 1'b0;
end else begin
VAR44[VAR173] <= 1'b1;
end
end
end
endgenerate
VAR275 #(
.VAR285(4)
)
VAR156 (
.clk(VAR129),
.rst(~VAR5),
.out(VAR110)
);
wire [5:0] VAR136;
wire [63:0] VAR122;
wire VAR151;
wire [5:0] VAR274;
wire [1:0] VAR183;
wire [63:0] VAR63;
wire [1:0] VAR322;
wire [5:0] VAR85;
wire [63:0] VAR140;
wire VAR148;
wire [5:0] VAR38;
wire [1:0] VAR400;
wire [63:0] VAR328;
wire [1:0] VAR368;
wire [5:0] VAR305;
wire [63:0] VAR266;
wire VAR316;
wire [5:0] VAR80;
wire [1:0] VAR109;
wire [63:0] VAR2;
wire [1:0] VAR295;
wire [5:0] VAR346;
wire [63:0] VAR284;
wire VAR31;
wire [5:0] VAR20;
wire [1:0] VAR313;
wire [63:0] VAR66;
wire [1:0] VAR149;
wire [5:0] VAR239;
wire [63:0] VAR383;
wire VAR8;
wire [5:0] VAR312;
wire [1:0] VAR401;
wire [63:0] VAR265;
wire [1:0] VAR362;
wire [5:0] VAR88;
wire [63:0] VAR303;
wire VAR227;
wire [5:0] VAR164;
wire [1:0] VAR39;
wire [63:0] VAR370;
wire [1:0] VAR78;
wire [5:0] VAR211;
wire [63:0] VAR290;
wire VAR17;
wire [5:0] VAR304;
wire [1:0] VAR72;
wire [63:0] VAR302;
wire [1:0] VAR89;
wire [5:0] VAR391;
wire [63:0] VAR323;
wire VAR27;
wire [5:0] VAR10;
wire [1:0] VAR48;
wire [63:0] VAR318;
wire [1:0] VAR320;
VAR393
VAR210 (
.VAR243(&VAR235),
.VAR153(&VAR44),
.VAR267(VAR45),
.VAR56(VAR64),
.VAR307(1'b0),
.VAR319(1'b0),
.VAR124(1'b0),
.VAR96(1'b0),
.VAR357(),
.VAR229(VAR5),
.VAR261(VAR100),
.VAR194({VAR30, VAR208}),
.VAR406(),
.VAR18(),
.VAR90({VAR103, VAR209, VAR24, VAR133, VAR111, VAR105, VAR102, VAR199}),
.VAR343({VAR198, VAR241, VAR120, VAR162, VAR83, VAR340, VAR387, VAR337}),
.VAR79(VAR253),
.VAR21(VAR253),
.VAR321({VAR284, VAR266, VAR140, VAR122, VAR323, VAR290, VAR303, VAR383}),
.VAR317({VAR346, VAR305, VAR85, VAR136, VAR391, VAR211, VAR88, VAR239}),
.VAR345({8{1'b0}}),
.VAR282({8{VAR125}}),
.VAR185({8{VAR125}}),
.VAR37(),
.VAR118({VAR70, VAR91, VAR273, VAR169, VAR385, VAR12, VAR73, VAR286}),
.VAR28({VAR399, VAR365, VAR113, VAR130, VAR157, VAR408, VAR298, VAR19}),
.VAR311({VAR31, VAR316, VAR148, VAR151, VAR27, VAR17, VAR227, VAR8}),
.VAR47({VAR66, VAR2, VAR328, VAR63, VAR318, VAR302, VAR370, VAR265}),
.VAR277({VAR149, VAR295, VAR368, VAR322, VAR320, VAR89, VAR78, VAR362}),
.VAR16({VAR20, VAR80, VAR38, VAR274, VAR10, VAR304, VAR164, VAR312}),
.VAR178({VAR313, VAR109, VAR400, VAR183, VAR48, VAR72, VAR39, VAR401}),
.VAR176(VAR360),
.VAR206(VAR382),
.VAR221(VAR413),
.VAR42(),
.VAR279(VAR353),
.VAR177(VAR376),
.VAR333(VAR366)
);
assign VAR410 = VAR129;
assign VAR260 = VAR110;
assign VAR283 = VAR253[4];
VAR275 #(
.VAR285(4)
)
VAR33 (
.clk(VAR283),
.rst(~VAR100),
.out(VAR263)
);
VAR213 #(
.VAR81(1)
)
VAR374 (
.VAR134(VAR410),
.VAR49(VAR260),
.VAR107(VAR283),
.VAR296(VAR263),
.VAR189(VAR415),
.VAR293(VAR289),
.VAR35(VAR57),
.VAR144(VAR314),
.VAR127(VAR122),
.VAR60(VAR136),
.VAR104(VAR63),
.VAR220(VAR274),
.VAR364(VAR151),
.VAR13(VAR179),
.VAR4()
);
assign VAR264 = VAR129;
assign VAR197 = VAR110;
assign VAR15 = VAR253[5];
VAR275 #(
.VAR285(4)
)
VAR86 (
.clk(VAR15),
.rst(~VAR100),
.out(VAR62)
);
VAR213 #(
.VAR81(1)
)
VAR255 (
.VAR134(VAR264),
.VAR49(VAR197),
.VAR107(VAR15),
.VAR296(VAR62),
.VAR189(VAR418),
.VAR293(VAR23),
.VAR35(VAR236),
.VAR144(VAR69),
.VAR127(VAR140),
.VAR60(VAR85),
.VAR104(VAR328),
.VAR220(VAR38),
.VAR364(VAR148),
.VAR13(VAR6),
.VAR4()
);
assign VAR371 = VAR129;
assign VAR68 = VAR110;
assign VAR161 = VAR253[6];
VAR275 #(
.VAR285(4)
)
VAR193 (
.clk(VAR161),
.rst(~VAR100),
.out(VAR356)
);
VAR213 #(
.VAR81(1)
)
VAR172 (
.VAR134(VAR371),
.VAR49(VAR68),
.VAR107(VAR161),
.VAR296(VAR356),
.VAR189(VAR106),
.VAR293(VAR41),
.VAR35(VAR242),
.VAR144(VAR276),
.VAR127(VAR266),
.VAR60(VAR305),
.VAR104(VAR2),
.VAR220(VAR80),
.VAR364(VAR316),
.VAR13(VAR65),
.VAR4()
);
assign VAR59 = VAR129;
assign VAR205 = VAR110;
assign VAR43 = VAR253[7];
VAR275 #(
.VAR285(4)
)
VAR403 (
.clk(VAR43),
.rst(~VAR100),
.out(VAR101)
);
VAR213 #(
.VAR81(1)
)
VAR254 (
.VAR134(VAR59),
.VAR49(VAR205),
.VAR107(VAR43),
.VAR296(VAR101),
.VAR189(VAR71),
.VAR293(VAR237),
.VAR35(VAR388),
.VAR144(VAR352),
.VAR127(VAR284),
.VAR60(VAR346),
.VAR104(VAR66),
.VAR220(VAR20),
.VAR364(VAR31),
.VAR13(VAR280),
.VAR4()
);
assign VAR138 = VAR129;
assign VAR308 = VAR110;
assign VAR329 = VAR253[0];
VAR275 #(
.VAR285(4)
)
VAR256 (
.clk(VAR329),
.rst(~VAR100),
.out(VAR396)
);
VAR213 #(
.VAR81(1)
)
VAR160 (
.VAR134(VAR138),
.VAR49(VAR308),
.VAR107(VAR329),
.VAR296(VAR396),
.VAR189(VAR324),
.VAR293(VAR411),
.VAR35(VAR233),
.VAR144(VAR40),
.VAR127(VAR383),
.VAR60(VAR239),
.VAR104(VAR265),
.VAR220(VAR312),
.VAR364(VAR8),
.VAR13(VAR128),
.VAR4()
);
assign VAR404 = VAR129;
assign VAR92 = VAR110;
assign VAR87 = VAR253[1];
VAR275 #(
.VAR285(4)
)
VAR412 (
.clk(VAR87),
.rst(~VAR100),
.out(VAR270)
);
VAR213 #(
.VAR81(1)
)
VAR145 (
.VAR134(VAR404),
.VAR49(VAR92),
.VAR107(VAR87),
.VAR296(VAR270),
.VAR189(VAR409),
.VAR293(VAR249),
.VAR35(VAR339),
.VAR144(VAR58),
.VAR127(VAR303),
.VAR60(VAR88),
.VAR104(VAR370),
.VAR220(VAR164),
.VAR364(VAR227),
.VAR13(VAR196),
.VAR4()
);
assign VAR192 = VAR129;
assign VAR347 = VAR110;
assign VAR223 = VAR253[2];
VAR275 #(
.VAR285(4)
)
VAR166 (
.clk(VAR223),
.rst(~VAR100),
.out(VAR306)
);
VAR213 #(
.VAR81(1)
)
VAR184 (
.VAR134(VAR192),
.VAR49(VAR347),
.VAR107(VAR223),
.VAR296(VAR306),
.VAR189(VAR116),
.VAR293(VAR190),
.VAR35(VAR373),
.VAR144(VAR332),
.VAR127(VAR290),
.VAR60(VAR211),
.VAR104(VAR302),
.VAR220(VAR304),
.VAR364(VAR17),
.VAR13(VAR354),
.VAR4()
);
assign VAR108 = VAR129;
assign VAR375 = VAR110;
assign VAR94 = VAR253[3];
VAR275 #(
.VAR285(4)
)
VAR204 (
.clk(VAR94),
.rst(~VAR100),
.out(VAR67)
);
VAR213 #(
.VAR81(1)
)
VAR14 (
.VAR134(VAR108),
.VAR49(VAR375),
.VAR107(VAR94),
.VAR296(VAR67),
.VAR189(VAR379),
.VAR293(VAR258),
.VAR35(VAR174),
.VAR144(VAR398),
.VAR127(VAR323),
.VAR60(VAR391),
.VAR104(VAR318),
.VAR220(VAR10),
.VAR364(VAR27),
.VAR13(VAR9),
.VAR4()
);
assign VAR115 = {1'b0, VAR179};
VAR381
VAR350 (
.clk(VAR129),
.rst(VAR110),
.VAR377(VAR377),
.VAR200(VAR200),
.VAR390(VAR380),
.VAR230(VAR410),
.VAR143(VAR260),
.VAR135(VAR415),
.VAR51(VAR289),
.VAR154(VAR283),
.VAR216(VAR263),
.VAR36(VAR57),
.VAR344(VAR314),
.VAR32(VAR264),
.VAR423(VAR197),
.VAR355(VAR418),
.VAR139(VAR23),
.VAR119(VAR15),
.VAR292(VAR62),
.VAR163(VAR236),
.VAR175(VAR69),
.VAR187(VAR371),
.VAR3(VAR68),
.VAR422(VAR106),
.VAR291(VAR41),
.VAR203(VAR161),
.VAR117(VAR356),
.VAR74(VAR242),
.VAR417(VAR276),
.VAR170(VAR59),
.VAR11(VAR205),
.VAR419(VAR71),
.VAR349(VAR237),
.VAR7(VAR43),
.VAR224(VAR101),
.VAR99(VAR388),
.VAR152(VAR352),
.VAR186(VAR138),
.VAR372(VAR308),
.VAR34(VAR324),
.VAR214(VAR411),
.VAR331(VAR329),
.VAR297(VAR396),
.VAR167(VAR233),
.VAR348(VAR40),
.VAR218(VAR404),
.VAR82(VAR92),
.VAR126(VAR409),
.VAR226(VAR249),
.VAR300(VAR87),
.VAR327(VAR270),
.VAR201(VAR339),
.VAR240(VAR58),
.VAR55(VAR192),
.VAR315(VAR347),
.VAR341(VAR116),
.VAR402(VAR190),
.VAR294(VAR223),
.VAR52(VAR306),
.VAR180(VAR373),
.VAR420(VAR332),
.VAR259(VAR108),
.VAR54(VAR375),
.VAR222(VAR379),
.VAR147(VAR258),
.VAR95(VAR94),
.VAR262(VAR67),
.VAR287(VAR174),
.VAR405(VAR398)
);
endmodule
|
mit
|
hpeng2/ECE492_Group4_Project
|
ECE_492_Project_new/Video_System/synthesis/submodules/Video_System_Pixel_Scaler.v
| 8,591 |
module MODULE1 (
clk,
reset,
VAR15,
VAR11,
VAR3,
VAR20,
VAR32,
VAR22,
VAR14,
VAR25,
VAR5,
VAR31,
VAR34,
VAR33
);
parameter VAR1 = 29; parameter VAR23 = 1;
parameter VAR26 = 8; parameter VAR12 = 7; parameter VAR24 = 320;
parameter VAR21 = 4'b0000;
parameter VAR17 = 4'b0000;
parameter VAR10 = 8; parameter VAR16 = 320; parameter VAR8 = 0;
parameter VAR18 = 0;
input clk;
input reset;
input [VAR1: 0] VAR15;
input VAR11;
input VAR3;
input [VAR23: 0] VAR20;
input VAR32;
input VAR22;
output VAR14;
output [VAR1: 0] VAR25;
output VAR5;
output VAR31;
output [VAR23: 0] VAR34;
output VAR33;
wire [VAR1: 0] VAR35;
wire VAR6;
wire VAR4;
wire VAR13;
wire VAR29;
assign VAR34 = 'h0;
VAR2 VAR28 (
.clk (clk),
.reset (reset),
.VAR15 (VAR15),
.VAR11 (VAR11),
.VAR3 (VAR3),
.VAR32 (VAR32),
.VAR22 (VAR29),
.VAR14 (VAR14),
.VAR25 (VAR35),
.VAR5 (VAR6),
.VAR31 (VAR4),
.VAR33 (VAR13)
);
VAR28.VAR1 = VAR1,
VAR28.VAR27 = VAR10,
VAR28.VAR9 = VAR16,
VAR28.VAR19 = VAR8;
VAR7 VAR30 (
.clk (clk),
.reset (reset),
.VAR15 (VAR35),
.VAR11 (VAR6),
.VAR3 (VAR4),
.VAR32 (VAR13),
.VAR22 (VAR22),
.VAR14 (VAR29),
.VAR25 (VAR25),
.VAR5 (VAR5),
.VAR31 (VAR31),
.VAR33 (VAR33)
);
VAR30.VAR1 = VAR1,
VAR30.VAR19 = VAR18;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfsbp/sky130_fd_sc_ls__sdfsbp.pp.blackbox.v
| 1,471 |
module MODULE1 (
VAR2 ,
VAR4 ,
VAR3 ,
VAR5 ,
VAR1 ,
VAR6 ,
VAR10,
VAR11 ,
VAR7 ,
VAR8 ,
VAR9
);
output VAR2 ;
output VAR4 ;
input VAR3 ;
input VAR5 ;
input VAR1 ;
input VAR6 ;
input VAR10;
input VAR11 ;
input VAR7 ;
input VAR8 ;
input VAR9 ;
endmodule
|
apache-2.0
|
which0326/ca_project2
|
code/Control.v
| 1,681 |
module MODULE1(
VAR4,
VAR2,
VAR1,
VAR3
);
input [5:0] VAR4;
output reg VAR2,VAR1;
output reg [7:0] VAR3;
always@(*) begin VAR2 = 0;
VAR1 = 0;
if(VAR4 == 6'b000000)begin
VAR3[0] = 1;
VAR3[1] = 0;
VAR3[2] = 0;
VAR3[3] = 0;
VAR3[4] = 0;
VAR3[6:5] = 2'b10;
VAR3[7] = 1;
end
if(VAR4 == 6'b001000)begin VAR3[0] = 1;
VAR3[1] = 0;
VAR3[2] = 0;
VAR3[3] = 0;
VAR3[4] = 1;
VAR3[6:5] = 2'b00;
VAR3[7] = 0;
end
if(VAR4 == 6'b101011)begin VAR3[0] = 0;
VAR3[1] = 0; VAR3[2] = 0;
VAR3[3] = 1;
VAR3[4] = 1;
VAR3[6:5] = 2'b00;
VAR3[7] = 0; end
if(VAR4 == 6'b100011)begin VAR3[0] = 1;
VAR3[1] = 1;
VAR3[2] = 1;
VAR3[3] = 0;
VAR3[4] = 1;
VAR3[6:5] = 2'b00;
VAR3[7] = 0;
end
if(VAR4 == 6'b000010)begin VAR1 = 1;
VAR3[0] = 0;
VAR3[1] = 0; VAR3[2] = 0;
VAR3[3] = 0;
VAR3[4] = 0;
VAR3[6:5] = 2'b00;
VAR3[7] = 0; end
if(VAR4 == 6'b000100)begin VAR2 = 1;
VAR3[0] = 0;
VAR3[1] = 0; VAR3[2] = 0;
VAR3[3] = 0;
VAR3[4] = 0;
VAR3[6:5] = 2'b01;
VAR3[7] = 0; end
end
endmodule
|
cc0-1.0
|
Tao-J/nexys3MIPSSoC
|
BTN_Anti_jitter.v
| 1,237 |
module MODULE1(
input wire clk,
input wire [4:0]VAR1,
input wire [7:0]VAR4,
output reg [4:0]VAR2,
output reg [7:0]VAR3
);
reg [31:0] counter;
always @(posedge clk)begin
if (counter > 0)begin
if (counter < 100000) counter <= counter + 1;
end
else begin
counter <= 32'b0;
VAR2 <= VAR1;
VAR3 <= VAR4;
end
end else
if (VAR1 >0 || VAR4 > 0)
counter <= counter + 1;
end
endmodule
|
gpl-3.0
|
Blunk-electronic/M-1
|
HW/ise/executor_mini/src/scan_clock_timer.v
| 10,459 |
module MODULE1 (
clk, VAR10, reset, delay, VAR12, VAR11, VAR8, VAR5, VAR19 );
input clk; input VAR10;
input reset;
input [VAR7-1:0] delay; input VAR12;
output reg VAR11;
input VAR8;
input VAR5;
wire [3:0] VAR6;
assign VAR6 = delay[7:4];
wire [3:0] VAR20;
assign VAR20 = delay[3:0];
reg [VAR2-1:0] VAR16;
reg [VAR18-1:0] VAR9; reg [VAR18-1:0] VAR13;
output reg [VAR3-1:0] VAR19;
always @(posedge clk or negedge VAR10) begin
if (~VAR10)
begin
VAR16 <= #VAR14 VAR2'b0;
VAR9 <= #VAR14 VAR18'b0;
VAR13 <= #VAR14 VAR18'b0;
VAR11 <= #VAR14 0;
VAR19 <= #VAR14 VAR4;
end
else
begin
if (reset)
begin
VAR16 <= #VAR14 VAR2'b0;
VAR9 <= #VAR14 VAR18'b0;
VAR13 <= #VAR14 VAR18'b0;
VAR11 <= #VAR14 0;
VAR19 <= #VAR14 VAR4;
end
else
begin
case (VAR19) VAR4:
begin
VAR11 <= #VAR14 0; if (VAR12) begin
VAR16 <= #VAR14 VAR2'h2;
VAR13 <= #VAR14 VAR18'd2;
case (VAR20) 4'h0 : VAR13 <= #VAR14 VAR18'd10**0;
4'h1 : VAR13 <= #VAR14 VAR18'd10**1 - 3;
4'h2 : VAR13 <= #VAR14 VAR18'd10**2;
4'h3 : VAR13 <= #VAR14 VAR18'd10**3;
4'h4 : VAR13 <= #VAR14 VAR18'd10**4;
4'h5 : VAR13 <= #VAR14 VAR18'd10**5;
4'h6 : VAR13 <= #VAR14 VAR18'd10**6;
4'h7 : VAR13 <= #VAR14 VAR18'd10**7;
default : VAR13 <= #VAR14 VAR18'd10**7;
endcase
case (VAR20) 4'h0 : VAR16 <= #VAR14 VAR6 - 2;
default : VAR16 <= #VAR14 VAR6;
endcase
VAR19 <= #VAR14 VAR17; end
end
VAR17:
begin
if (VAR13 > 1)
begin
if (VAR16 > 0)
begin
VAR9 <= #VAR14 VAR13;
VAR19 <= #VAR14 VAR15; end
else
if (VAR8)
begin
VAR19 <= #VAR14 VAR1; end
else
begin
VAR11 <= #VAR14 1;
VAR19 <= #VAR14 VAR4; end
end
else
begin
if (VAR16 > 1) begin
VAR16 <= #VAR14 VAR16 - 1;
end
else
if (VAR8)
begin
VAR19 <= #VAR14 VAR1; end
else
begin
VAR11 <= #VAR14 1;
VAR19 <= #VAR14 VAR4; end
end
end
VAR15: begin
if (VAR9 > 0)
begin
VAR9 <= #VAR14 VAR9 - 1;
end
else
begin
VAR16 <= #VAR14 VAR16 - 1;
VAR19 <= #VAR14 VAR17;
end
end
VAR1: begin
if (VAR5)
begin
VAR11 <= #VAR14 1;
VAR19 <= #VAR14 VAR4;
end
end
endcase
end
end
end
endmodule
|
gpl-2.0
|
cliffordwolf/picorv32
|
picosoc/picosoc.v
| 6,891 |
module MODULE2 (
input clk,
input VAR18,
output VAR31,
input VAR36,
output [ 3:0] VAR28,
output [31:0] VAR79,
output [31:0] VAR42,
input [31:0] VAR64,
input VAR53,
input VAR2,
input VAR6,
output VAR67,
input VAR66,
output VAR82,
output VAR24,
output VAR17,
output VAR47,
output VAR25,
output VAR71,
output VAR65,
output VAR1,
output VAR37,
output VAR16,
input VAR13,
input VAR77,
input VAR73,
input VAR20
);
parameter [0:0] VAR29 = 1;
parameter [0:0] VAR46 = 1;
parameter [0:0] VAR21 = 1;
parameter [0:0] VAR38 = 0;
parameter [0:0] VAR63 = 1;
parameter [0:0] VAR87 = 1;
parameter [0:0] VAR55 = 0;
parameter integer VAR8 = 256;
parameter [31:0] VAR80 = (4*VAR8); parameter [31:0] VAR43 = 32'VAR85 00100000; parameter [31:0] VAR15 = 32'VAR85 00000000;
reg [31:0] irq;
wire VAR44 = 0;
wire VAR26 = 0;
always @* begin
irq = 0;
irq[3] = VAR44;
irq[4] = VAR26;
irq[5] = VAR53;
irq[6] = VAR2;
irq[7] = VAR6;
end
wire VAR23;
wire VAR33;
wire VAR81;
wire [31:0] VAR78;
wire [31:0] VAR30;
wire [3:0] VAR10;
wire [31:0] VAR62;
wire VAR48;
wire [31:0] VAR45;
reg VAR57;
wire [31:0] VAR49;
assign VAR31 = VAR23 && (VAR78[31:24] > 8'VAR85 01);
assign VAR28 = VAR10;
assign VAR79 = VAR78;
assign VAR42 = VAR30;
wire VAR86 = VAR23 && (VAR78 == 32'VAR85 02000000);
wire [31:0] VAR22;
wire VAR12 = VAR23 && (VAR78 == 32'VAR85 02000004);
wire [31:0] VAR70;
wire VAR9 = VAR23 && (VAR78 == 32'VAR85 02000008);
wire [31:0] VAR59;
wire VAR41;
assign VAR81 = (VAR31 && VAR36) || VAR48 || VAR57 || VAR86 ||
VAR12 || (VAR9 && !VAR41);
assign VAR62 = (VAR31 && VAR36) ? VAR64 : VAR48 ? VAR45 : VAR57 ? VAR49 :
VAR86 ? VAR22 : VAR12 ? VAR70 :
VAR9 ? VAR59 : 32'VAR85 00000000;
VAR56 #(
.VAR80(VAR80),
.VAR43(VAR43),
.VAR15(VAR15),
.VAR29(VAR29),
.VAR74(VAR63),
.VAR87(VAR87),
.VAR46(VAR46),
.VAR21(VAR21),
.VAR38(VAR38),
.VAR75(1),
.VAR55(VAR55)
) VAR35 (
.clk (clk ),
.VAR18 (VAR18 ),
.VAR23 (VAR23 ),
.VAR33 (VAR33 ),
.VAR81 (VAR81 ),
.VAR78 (VAR78 ),
.VAR30 (VAR30 ),
.VAR10 (VAR10 ),
.VAR62 (VAR62 ),
.irq (irq )
);
VAR39 VAR39 (
.clk (clk),
.VAR18 (VAR18),
.valid (VAR23 && VAR78 >= 4*VAR8 && VAR78 < 32'VAR85 02000000),
.ready (VAR48),
.addr (VAR78[23:0]),
.VAR54 (VAR45),
.VAR82 (VAR82 ),
.VAR24 (VAR24 ),
.VAR17 (VAR17),
.VAR47 (VAR47),
.VAR25 (VAR25),
.VAR71 (VAR71),
.VAR65 (VAR65),
.VAR1 (VAR1),
.VAR37 (VAR37),
.VAR16 (VAR16),
.VAR13 (VAR13),
.VAR77 (VAR77),
.VAR73 (VAR73),
.VAR20 (VAR20),
.VAR4(VAR86 ? VAR10 : 4'VAR40 0000),
.VAR7(VAR30),
.VAR60(VAR22)
);
VAR61 VAR61 (
.clk (clk ),
.VAR18 (VAR18 ),
.VAR67 (VAR67 ),
.VAR66 (VAR66 ),
.VAR50 (VAR12 ? VAR10 : 4'VAR40 0000),
.VAR5 (VAR30),
.VAR3 (VAR70),
.VAR83 (VAR9 ? VAR10[0] : 1'VAR40 0),
.VAR27 (VAR9 && !VAR10),
.VAR76 (VAR30),
.VAR52 (VAR59),
.VAR51(VAR41)
);
always @(posedge clk)
VAR57 <= VAR23 && !VAR81 && VAR78 < 4*VAR8;
.VAR19(VAR8)
) memory (
.clk(clk),
.VAR34((VAR23 && !VAR81 && VAR78 < 4*VAR8) ? VAR10 : 4'b0),
.addr(VAR78[23:2]),
.VAR69(VAR30),
.VAR54(VAR49)
);
endmodule
module MODULE3 (
input clk, VAR34,
input [5:0] VAR11,
input [5:0] VAR84,
input [5:0] VAR72,
input [31:0] VAR69,
output [31:0] VAR68,
output [31:0] VAR32
);
reg [31:0] VAR14 [0:31];
always @(posedge clk)
if (VAR34) VAR14[VAR11[4:0]] <= VAR69;
assign VAR68 = VAR14[VAR84[4:0]];
assign VAR32 = VAR14[VAR72[4:0]];
endmodule
module MODULE1 #(
parameter integer VAR19 = 256
) (
input clk,
input [3:0] VAR34,
input [21:0] addr,
input [31:0] VAR69,
output reg [31:0] VAR54
);
reg [31:0] VAR58 [0:VAR19-1];
always @(posedge clk) begin
VAR54 <= VAR58[addr];
if (VAR34[0]) VAR58[addr][ 7: 0] <= VAR69[ 7: 0];
if (VAR34[1]) VAR58[addr][15: 8] <= VAR69[15: 8];
if (VAR34[2]) VAR58[addr][23:16] <= VAR69[23:16];
if (VAR34[3]) VAR58[addr][31:24] <= VAR69[31:24];
end
endmodule
|
isc
|
shailcoolboy/Warp-Trinity
|
PlatformSupport/Deprecated/pcores/clock_board_config_v1_03_a/hdl/verilog/clock_board_config.v
| 27,243 |
module MODULE1 (
VAR40,
VAR38,
VAR8,
VAR45,
VAR9,
VAR5,
VAR25,
VAR32,
VAR53,
VAR12,
VAR47
);
parameter VAR41 = 120000000;
parameter VAR13 = 16'h1Aff;
parameter VAR34 = 16'h1Aff;
parameter VAR48 = 16'h01ff; parameter VAR52 = 16'h1eff; parameter VAR63 = 16'h1eff; parameter VAR14 = 16'h01ff;
parameter VAR37 = 16'h02ff; parameter VAR24 = 16'h08ff; parameter VAR6 = 16'h08ff; parameter VAR33 = 16'h02ff;
input VAR40;
input VAR38;
output VAR8; reg VAR8 = 1'b1;
output VAR45; reg VAR45 = 1'b1;
output VAR9; reg VAR9 = 1'b1;
output VAR5; reg VAR5 = 1'b1;
output VAR25; reg VAR25 = 1'b1;
output VAR32; reg VAR32 = 1'b1;
output VAR53; reg VAR53 = 1'b1;
output VAR12; reg VAR12 = 1'b1;
output VAR47;
parameter VAR72 = 2500000;
parameter VAR19 = ((VAR41 + VAR72 - 1) / VAR72);
parameter VAR61 = (VAR19 < 2) ? 2 : VAR19;
parameter VAR18 = VAR61;
reg [3:0] VAR60 = 4'b0000; reg [7:0] VAR70 = 8'b00000000; reg VAR54 = 1'b0; reg VAR7 = 1'b0; reg VAR76 = 1'b0;
always @ (posedge VAR40)
begin
VAR60 [3:0] <= {1'b1,VAR60 [3:1]};
if (~VAR60 [0])
begin
VAR70 [7:0] <= 8'b00000000;
VAR54 <= 1'b0;
VAR7 <= 1'b0;
VAR76 <= 1'b0;
end
else
begin
if (~VAR54) VAR70 [7:0] <= VAR70 [7:0] + 1;
end
else VAR70 [7:0] <= 8'b00000000;
VAR54 <= (VAR70 [7:0] == ((VAR18 + 0) - 2));
VAR7 <= (VAR70 [7:0] == 0 );
VAR76 <= (VAR70 [7:0] == ((VAR18 + 1) / 2));
end
end
reg [3:0] VAR22 = 4'b1111;
reg [2:0] VAR65 = 3'b111;
always @ (posedge VAR40 or posedge VAR38)
begin
if (VAR38) VAR22 [3] <= 1'b1;
end
else VAR22 [3] <= 1'b0;
end
always @ (posedge VAR40 or posedge VAR22 [3])
begin
if (VAR22 [3]) VAR22 [2:0] <= 3'b111;
end
else VAR22 [2:0] <= {1'b0,VAR22 [2:1]};
end
always @ (posedge VAR40)
begin
VAR65 [2:0] <= {VAR22 [0],VAR65 [2:1]};
end
reg [9:0] VAR74 = 10'b0000000000;
reg VAR35 = 1'b1;
reg VAR17 = 1'b0;
reg VAR31 = 1'b0;
reg VAR16 = 1'b0;
reg VAR42 = 1'b1;
always @ (posedge VAR40)
begin
VAR42 <= VAR35;
end
always @ (posedge VAR40)
begin
if (~VAR76)
begin
VAR74 [9:0] <= VAR74 [9:0];
VAR35 <= VAR35;
end
else
begin
if (VAR35)
begin
VAR74 [9:0] <= 10'b0000000000;
VAR35 <= ~VAR17;
end
else
begin
VAR74 [9:0] <= VAR74 [9:0] + 1;
VAR35 <= (VAR74 [9:0] == 10'b1111111111);
end
end
VAR17 <= ~VAR17 & VAR35 & (VAR65 [1:0] == 2'b01)
| VAR17 & VAR35 & ~VAR76;
VAR31 <= ~VAR35 & VAR7;
VAR16 <= ~VAR35 & VAR76;
end
wire VAR2;
wire [63:0] VAR64;
wire [63:0] VAR26;
wire [63:0] VAR27;
wire [63:0] VAR71;
assign VAR2 = VAR31;
assign VAR64 [63:0] = {VAR26 [0],VAR26 [63:1]};
assign VAR27 [63:0] = {VAR71 [0],VAR71 [63:1]};
reg VAR47 = 1'b1;
always @(posedge VAR40)
begin
if(VAR35 & ~VAR42)
VAR47 <= 1'b0;
end
else if(VAR17)
VAR47 <= 1'b1;
end
genvar VAR44;
generate
for (VAR44 = 0 ; VAR44 < 64 ; VAR44 = VAR44 + 1)
begin : VAR4
VAR49 VAR73 (
.VAR67 (VAR26 [VAR44]),
.VAR15 (1'b1 ),
.VAR23 (1'b1 ),
.VAR50 (1'b1 ),
.VAR51 (1'b1 ),
.VAR39 (VAR2 ),
.VAR56 (VAR40 ),
.VAR21 (VAR64 [VAR44])
);
VAR49 VAR58 (
.VAR67 (VAR71 [VAR44]),
.VAR15 (1'b1 ),
.VAR23 (1'b1 ),
.VAR50 (1'b1 ),
.VAR51 (1'b1 ),
.VAR39 (VAR2 ),
.VAR56 (VAR40 ),
.VAR21 (VAR27 [VAR44])
);
end
endgenerate
reg VAR75 = 1'b0;
reg VAR57 = 1'b0;
reg VAR28 = 1'b0;
reg VAR55 = 1'b0;
reg VAR11 = 1'b0;
reg VAR36 = 1'b0;
reg VAR62 = 1'b0;
reg VAR29 = 1'b0;
always @ (posedge VAR40)
begin
if (~VAR7)
begin
VAR75 <= 1'b0;
VAR57 <= 1'b0;
VAR28 <= 1'b0;
VAR55 <= 1'b0;
VAR11 <= 1'b0;
VAR36 <= 1'b0;
VAR62 <= 1'b0;
VAR29 <= 1'b0;
end
else
begin
if (VAR35)
begin
VAR75 <= 1'b0;
VAR57 <= 1'b1;
VAR28 <= 1'b0;
VAR55 <= 1'b1;
VAR11 <= 1'b0;
VAR36 <= 1'b1;
VAR62 <= 1'b0;
VAR29 <= 1'b1;
end
else
begin
VAR75 <= VAR43;
VAR57 <= VAR10;
VAR28 <= VAR3;
VAR55 <= VAR1;
VAR11 <= VAR30;
VAR36 <= VAR66;
VAR62 <= VAR68;
VAR29 <= VAR69;
end
end
end
always @ (posedge VAR40)
begin
if (VAR2) VAR8 <= VAR26 [0];
end
else VAR8 <= VAR8;
VAR45 <= VAR45 & ~VAR75
| ~VAR45 & VAR57;
VAR9 <= VAR9 & ~VAR28
| ~VAR9 & VAR55;
VAR5 <= VAR5 & ~VAR31
| ~VAR5 & VAR16;
if (VAR2) VAR25 <= VAR71 [0];
else VAR25 <= VAR25;
VAR32 <= VAR32 & ~VAR11
| ~VAR32 & VAR36;
VAR53 <= VAR53 & ~VAR62
| ~VAR53 & VAR29;
VAR12 <= VAR12 & ~VAR31
| ~VAR12 & VAR16;
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/or3/sky130_fd_sc_ls__or3.blackbox.v
| 1,248 |
module MODULE1 (
VAR2,
VAR8,
VAR1,
VAR3
);
output VAR2;
input VAR8;
input VAR1;
input VAR3;
supply1 VAR7;
supply0 VAR6;
supply1 VAR4 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
ffu/DSA-3.2.2
|
gr-gpio/src/fpga/lib/tx_chain_dig.v
| 1,523 |
module MODULE1
(input VAR3,
input reset,
input enable,
input wire [15:0] VAR5,
input wire [15:0] VAR7,
output wire [15:0] VAR2,
output wire [15:0] VAR1,
output wire VAR4,
output wire VAR6
);
assign VAR2 = (enable)?{VAR5[15:1],1'b0}:VAR5;
assign VAR1 = (enable)?{VAR7[15:1],1'b0}:VAR7;
assign VAR4 = (enable)?VAR5[0]:1'b0;
assign VAR6 = (enable)?VAR7[0]:1'b0;
endmodule
|
gpl-3.0
|
keith-epidev/VHDL-lib
|
top/lab_4/part_1/ip/fir/fir_stub.v
| 1,399 |
module MODULE1(VAR6, VAR5, VAR1, VAR3, VAR2, VAR4)
;
input VAR6;
input VAR5;
output VAR1;
input [15:0]VAR3;
output VAR2;
output [39:0]VAR4;
endmodule
|
gpl-2.0
|
MartinMosbeck/NoCMonitor
|
buildCONNECT4x4/mkRouterOutputArbitersStatic.v
| 11,922 |
module MODULE1(VAR14,
VAR5,
VAR6,
VAR16,
VAR3,
VAR2,
VAR13,
VAR8,
VAR15,
VAR7,
VAR11,
VAR12,
VAR17,
VAR1,
VAR4,
VAR9,
VAR10);
input VAR14;
input VAR5;
input [4 : 0] VAR6;
output [4 : 0] VAR16;
input VAR3;
input [4 : 0] VAR2;
output [4 : 0] VAR13;
input VAR8;
input [4 : 0] VAR15;
output [4 : 0] VAR7;
input VAR11;
input [4 : 0] VAR12;
output [4 : 0] VAR17;
input VAR1;
input [4 : 0] VAR4;
output [4 : 0] VAR9;
input VAR10;
wire [4 : 0] VAR16,
VAR13,
VAR7,
VAR17,
VAR9;
assign VAR16 =
{ VAR6[4],
!VAR6[4] &&
VAR6[3],
!VAR6[4] &&
!VAR6[3] &&
VAR6[2],
!VAR6[4] &&
!VAR6[3] &&
!VAR6[2] &&
VAR6[1],
!VAR6[4] &&
!VAR6[3] &&
!VAR6[2] &&
!VAR6[1] &&
VAR6[0] } ;
assign VAR13 =
{ !VAR2[0] &&
VAR2[4],
!VAR2[0] &&
!VAR2[4] &&
VAR2[3],
!VAR2[0] &&
!VAR2[4] &&
!VAR2[3] &&
VAR2[2],
!VAR2[0] &&
!VAR2[4] &&
!VAR2[3] &&
!VAR2[2] &&
VAR2[1],
VAR2[0] } ;
assign VAR7 =
{ !VAR15[1] &&
!VAR15[0] &&
VAR15[4],
!VAR15[1] &&
!VAR15[0] &&
!VAR15[4] &&
VAR15[3],
!VAR15[1] &&
!VAR15[0] &&
!VAR15[4] &&
!VAR15[3] &&
VAR15[2],
VAR15[1],
!VAR15[1] &&
VAR15[0] } ;
assign VAR17 =
{ !VAR12[2] &&
!VAR12[1] &&
!VAR12[0] &&
VAR12[4],
!VAR12[2] &&
!VAR12[1] &&
!VAR12[0] &&
!VAR12[4] &&
VAR12[3],
VAR12[2],
!VAR12[2] &&
VAR12[1],
!VAR12[2] &&
!VAR12[1] &&
VAR12[0] } ;
assign VAR9 =
{ !VAR4[3] &&
!VAR4[2] &&
!VAR4[1] &&
!VAR4[0] &&
VAR4[4],
VAR4[3],
!VAR4[3] &&
VAR4[2],
!VAR4[3] &&
!VAR4[2] &&
VAR4[1],
!VAR4[3] &&
!VAR4[2] &&
!VAR4[1] &&
VAR4[0] } ;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and3b/sky130_fd_sc_hs__and3b_2.v
| 2,091 |
module MODULE2 (
VAR3 ,
VAR4 ,
VAR1 ,
VAR2 ,
VAR8,
VAR7
);
output VAR3 ;
input VAR4 ;
input VAR1 ;
input VAR2 ;
input VAR8;
input VAR7;
VAR5 VAR6 (
.VAR3(VAR3),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR3 ,
VAR4,
VAR1 ,
VAR2
);
output VAR3 ;
input VAR4;
input VAR1 ;
input VAR2 ;
supply1 VAR8;
supply0 VAR7;
VAR5 VAR6 (
.VAR3(VAR3),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
ASIC_FLOW/ASIC_fpaddsub_arch2/integracion_fisica/front_end/db/SINGLE/Add_Subt_syn.v
| 19,474 |
module MODULE2 ( VAR268, VAR82, VAR312, VAR332, VAR136 );
input [26:0] VAR268;
input [26:0] VAR82;
output [26:0] VAR332;
input VAR312;
output VAR136;
wire VAR253, VAR135, VAR237, VAR251, VAR168, VAR65, VAR24, VAR245, VAR138, VAR19, VAR124, VAR258, VAR311, VAR186, VAR156, VAR296,
VAR187, VAR120, VAR16, VAR130, VAR297, VAR178, VAR217, VAR79, VAR306, VAR248, VAR300, VAR335, VAR293, VAR122,
VAR270, VAR45, VAR326, VAR233, VAR351, VAR14, VAR117, VAR310, VAR197, VAR35, VAR139, VAR64, VAR154, VAR275,
VAR50, VAR340, VAR52, VAR169, VAR92, VAR89, VAR323, VAR21, VAR279, VAR159, VAR184, VAR281, VAR242, VAR200,
VAR98, VAR260, VAR164, VAR103, VAR257, VAR77, VAR46, VAR123, VAR191, VAR107, VAR206;
VAR108 VAR165 ( .VAR268(VAR268[25]), .VAR82(VAR82[25]), .VAR62(VAR124), .VAR136(VAR332[26]), .VAR346(VAR332[25]) );
VAR157 VAR267 ( .VAR137(VAR258), .VAR82(VAR268[24]), .VAR268(VAR82[24]), .VAR346(VAR332[24]), .VAR136(VAR124) );
VAR41 VAR239 ( .VAR268(VAR82[23]), .VAR82(VAR268[23]), .VAR312(VAR311), .VAR328(VAR258), .VAR346(VAR332[23]) );
VAR157 VAR161 ( .VAR137(VAR186), .VAR82(VAR268[22]), .VAR268(VAR82[22]), .VAR346(VAR332[22]), .VAR136(VAR311) );
VAR41 VAR183 ( .VAR268(VAR82[21]), .VAR82(VAR268[21]), .VAR312(VAR156), .VAR328(VAR186), .VAR346(VAR332[21]) );
VAR157 VAR1 ( .VAR137(VAR296), .VAR82(VAR268[20]), .VAR268(VAR82[20]), .VAR346(VAR332[20]), .VAR136(VAR156) );
VAR41 VAR71 ( .VAR268(VAR82[19]), .VAR82(VAR268[19]), .VAR312(VAR187), .VAR328(VAR296), .VAR346(VAR332[19]) );
VAR157 VAR101 ( .VAR137(VAR120), .VAR82(VAR268[18]), .VAR268(VAR82[18]), .VAR346(VAR332[18]), .VAR136(VAR187) );
VAR41 VAR75 ( .VAR268(VAR82[17]), .VAR82(VAR268[17]), .VAR312(VAR16), .VAR328(VAR120), .VAR346(VAR332[17]) );
VAR157 VAR177 ( .VAR137(VAR130), .VAR82(VAR268[16]), .VAR268(VAR82[16]), .VAR346(VAR332[16]), .VAR136(VAR16) );
VAR41 VAR353 ( .VAR268(VAR82[15]), .VAR82(VAR268[15]), .VAR312(VAR297), .VAR328(VAR130), .VAR346(VAR332[15]) );
VAR157 VAR125 ( .VAR137(VAR178), .VAR82(VAR268[14]), .VAR268(VAR82[14]), .VAR346(VAR332[14]), .VAR136(VAR297) );
VAR41 VAR6 ( .VAR268(VAR82[13]), .VAR82(VAR268[13]), .VAR312(VAR217), .VAR328(VAR178), .VAR346(VAR332[13]) );
VAR157 VAR344 ( .VAR137(VAR79), .VAR82(VAR268[12]), .VAR268(VAR82[12]), .VAR346(VAR332[12]), .VAR136(VAR217) );
VAR41 VAR22 ( .VAR268(VAR82[11]), .VAR82(VAR268[11]), .VAR312(VAR306), .VAR328(VAR79), .VAR346(VAR332[11]) );
VAR157 VAR194 ( .VAR137(VAR248), .VAR82(VAR268[10]), .VAR268(VAR82[10]), .VAR346(VAR332[10]), .VAR136(VAR306) );
VAR303 VAR291 ( .VAR268(VAR233), .VAR82(VAR135), .VAR3(VAR332[8]) );
VAR303 VAR90 ( .VAR268(VAR50), .VAR82(VAR251), .VAR3(VAR332[6]) );
VAR303 VAR286 ( .VAR268(VAR89), .VAR82(VAR168), .VAR3(VAR332[5]) );
VAR303 VAR324 ( .VAR268(VAR257), .VAR82(VAR245), .VAR3(VAR332[2]) );
VAR303 VAR249 ( .VAR268(VAR138), .VAR82(VAR107), .VAR3(VAR332[1]) );
VAR106 VAR338 ( .VAR268(VAR268[4]), .VAR82(VAR82[4]), .VAR3(VAR21) );
VAR106 VAR350 ( .VAR268(VAR268[2]), .VAR82(VAR82[2]), .VAR3(VAR164) );
VAR106 VAR314 ( .VAR268(VAR268[6]), .VAR82(VAR82[6]), .VAR3(VAR154) );
VAR106 VAR185 ( .VAR268(VAR268[8]), .VAR82(VAR82[8]), .VAR3(VAR45) );
VAR106 VAR302 ( .VAR268(VAR268[3]), .VAR82(VAR82[3]), .VAR3(VAR200) );
VAR106 VAR337 ( .VAR268(VAR268[7]), .VAR82(VAR82[7]), .VAR3(VAR35) );
VAR106 VAR327 ( .VAR268(VAR268[5]), .VAR82(VAR82[5]), .VAR3(VAR169) );
VAR106 VAR142 ( .VAR268(VAR268[9]), .VAR82(VAR82[9]), .VAR3(VAR293) );
VAR230 VAR263 ( .VAR268(VAR351), .VAR3(VAR233) );
VAR97 VAR213 ( .VAR265(VAR159), .VAR153(VAR340), .VAR273(VAR52), .VAR3(VAR50) );
VAR230 VAR131 ( .VAR268(VAR184), .VAR3(VAR159) );
VAR230 VAR320 ( .VAR268(VAR77), .VAR3(VAR257) );
VAR97 VAR25 ( .VAR265(VAR281), .VAR153(VAR77), .VAR273(VAR242), .VAR3(VAR184) );
VAR106 VAR205 ( .VAR268(VAR164), .VAR82(VAR200), .VAR3(VAR281) );
VAR171 VAR43 ( .VAR265(VAR200), .VAR153(VAR103), .VAR273(VAR98), .VAR3(VAR242) );
VAR171 VAR305 ( .VAR265(VAR46), .VAR153(VAR107), .VAR273(VAR123), .VAR3(VAR77) );
VAR171 VAR301 ( .VAR265(VAR184), .VAR153(VAR14), .VAR273(VAR117), .VAR3(VAR351) );
VAR271 VAR316 ( .VAR268(VAR340), .VAR82(VAR310), .VAR3(VAR14) );
VAR97 VAR162 ( .VAR265(VAR310), .VAR153(VAR52), .VAR273(VAR197), .VAR3(VAR117) );
VAR106 VAR116 ( .VAR268(VAR154), .VAR82(VAR35), .VAR3(VAR310) );
VAR171 VAR132 ( .VAR265(VAR169), .VAR153(VAR279), .VAR273(VAR92), .VAR3(VAR52) );
VAR171 VAR209 ( .VAR265(VAR35), .VAR153(VAR275), .VAR273(VAR139), .VAR3(VAR197) );
VAR106 VAR51 ( .VAR268(VAR21), .VAR82(VAR169), .VAR3(VAR340) );
VAR171 VAR203 ( .VAR265(VAR233), .VAR153(VAR45), .VAR273(VAR326), .VAR3(VAR270) );
VAR171 VAR219 ( .VAR265(VAR257), .VAR153(VAR164), .VAR273(VAR103), .VAR3(VAR260) );
VAR171 VAR119 ( .VAR265(VAR50), .VAR153(VAR154), .VAR273(VAR275), .VAR3(VAR64) );
VAR97 VAR31 ( .VAR265(VAR159), .VAR153(VAR206), .VAR273(VAR323), .VAR3(VAR89) );
VAR230 VAR318 ( .VAR268(VAR279), .VAR3(VAR323) );
VAR271 VAR55 ( .VAR268(VAR206), .VAR82(VAR279), .VAR3(VAR65) );
VAR9 VAR167 ( .VAR100(VAR200), .VAR82(VAR98), .VAR3(VAR24) );
VAR9 VAR127 ( .VAR100(VAR35), .VAR82(VAR139), .VAR3(VAR237) );
VAR9 VAR115 ( .VAR100(VAR293), .VAR82(VAR122), .VAR3(VAR253) );
VAR9 VAR158 ( .VAR100(VAR46), .VAR82(VAR123), .VAR3(VAR138) );
VAR9 VAR329 ( .VAR100(VAR164), .VAR82(VAR103), .VAR3(VAR245) );
VAR9 VAR88 ( .VAR100(VAR154), .VAR82(VAR275), .VAR3(VAR251) );
VAR9 VAR72 ( .VAR100(VAR45), .VAR82(VAR326), .VAR3(VAR135) );
VAR9 VAR284 ( .VAR100(VAR169), .VAR82(VAR92), .VAR3(VAR168) );
VAR230 VAR228 ( .VAR268(VAR21), .VAR3(VAR206) );
VAR97 VAR99 ( .VAR265(VAR351), .VAR153(VAR300), .VAR273(VAR335), .VAR3(VAR248) );
VAR106 VAR307 ( .VAR268(VAR45), .VAR82(VAR293), .VAR3(VAR300) );
VAR171 VAR174 ( .VAR265(VAR293), .VAR153(VAR326), .VAR273(VAR122), .VAR3(VAR335) );
VAR271 VAR309 ( .VAR268(VAR268[4]), .VAR82(VAR82[4]), .VAR3(VAR279) );
VAR106 VAR283 ( .VAR268(VAR268[1]), .VAR82(VAR82[1]), .VAR3(VAR46) );
VAR271 VAR189 ( .VAR268(VAR268[2]), .VAR82(VAR82[2]), .VAR3(VAR103) );
VAR271 VAR134 ( .VAR268(VAR268[6]), .VAR82(VAR82[6]), .VAR3(VAR275) );
VAR271 VAR334 ( .VAR268(VAR268[8]), .VAR82(VAR82[8]), .VAR3(VAR326) );
VAR271 VAR292 ( .VAR268(VAR268[0]), .VAR82(VAR82[0]), .VAR3(VAR107) );
VAR271 VAR287 ( .VAR268(VAR268[1]), .VAR82(VAR82[1]), .VAR3(VAR123) );
VAR271 VAR69 ( .VAR268(VAR268[3]), .VAR82(VAR82[3]), .VAR3(VAR98) );
VAR271 VAR94 ( .VAR268(VAR268[5]), .VAR82(VAR82[5]), .VAR3(VAR92) );
VAR271 VAR282 ( .VAR268(VAR268[7]), .VAR82(VAR82[7]), .VAR3(VAR139) );
VAR271 VAR4 ( .VAR268(VAR268[9]), .VAR82(VAR82[9]), .VAR3(VAR122) );
VAR202 VAR235 ( .VAR268(VAR270), .VAR82(VAR253), .VAR3(VAR332[9]) );
VAR9 VAR110 ( .VAR100(VAR191), .VAR82(VAR107), .VAR3(VAR19) );
VAR106 VAR81 ( .VAR268(VAR268[0]), .VAR82(VAR82[0]), .VAR3(VAR191) );
VAR230 VAR277 ( .VAR268(VAR19), .VAR3(VAR332[0]) );
VAR202 VAR10 ( .VAR268(VAR260), .VAR82(VAR24), .VAR3(VAR332[3]) );
VAR202 VAR155 ( .VAR268(VAR159), .VAR82(VAR65), .VAR3(VAR332[4]) );
VAR202 VAR141 ( .VAR268(VAR64), .VAR82(VAR237), .VAR3(VAR332[7]) );
endmodule
module MODULE6 ( VAR268, VAR82, VAR312, VAR39, VAR136 );
input [26:0] VAR268;
input [26:0] VAR82;
output [26:0] VAR39;
input VAR312;
output VAR136;
wire VAR253, VAR135, VAR237, VAR251, VAR168, VAR65, VAR24, VAR245, VAR138, VAR19, VAR223, VAR124, VAR258, VAR311, VAR186, VAR156,
VAR296, VAR187, VAR120, VAR16, VAR130, VAR297, VAR178, VAR217, VAR79, VAR306, VAR248, VAR300, VAR335, VAR293,
VAR122, VAR270, VAR45, VAR326, VAR233, VAR351, VAR14, VAR117, VAR310, VAR197, VAR35, VAR139, VAR64, VAR154,
VAR275, VAR50, VAR340, VAR52, VAR169, VAR92, VAR256, VAR323, VAR21, VAR279, VAR159, VAR184, VAR281, VAR242,
VAR200, VAR98, VAR260, VAR164, VAR103, VAR257, VAR77, VAR46, VAR123, VAR232, VAR105, VAR195, VAR198, VAR11,
VAR215, VAR180, VAR56, VAR349, VAR60, VAR144, VAR188, VAR121, VAR288, VAR83, VAR325, VAR341, VAR231, VAR339,
VAR109, VAR227, VAR315, VAR42, VAR143, VAR321, VAR84, VAR85;
VAR108 VAR165 ( .VAR268(VAR105), .VAR82(VAR268[25]), .VAR62(VAR223), .VAR136(VAR19), .VAR346(VAR39[25]) );
VAR157 VAR267 ( .VAR137(VAR124), .VAR82(VAR195), .VAR268(VAR268[24]), .VAR346(VAR39[24]), .VAR136(VAR223) );
VAR41 VAR239 ( .VAR268(VAR268[23]), .VAR82(VAR198), .VAR312(VAR258), .VAR328(VAR124), .VAR346(VAR39[23]) );
VAR157 VAR161 ( .VAR137(VAR311), .VAR82(VAR11), .VAR268(VAR268[22]), .VAR346(VAR39[22]), .VAR136(VAR258) );
VAR41 VAR183 ( .VAR268(VAR268[21]), .VAR82(VAR215), .VAR312(VAR186), .VAR328(VAR311), .VAR346(VAR39[21]) );
VAR157 VAR1 ( .VAR137(VAR156), .VAR82(VAR180), .VAR268(VAR268[20]), .VAR346(VAR39[20]), .VAR136(VAR186) );
VAR41 VAR71 ( .VAR268(VAR268[19]), .VAR82(VAR56), .VAR312(VAR296), .VAR328(VAR156), .VAR346(VAR39[19]) );
VAR157 VAR101 ( .VAR137(VAR187), .VAR82(VAR349), .VAR268(VAR268[18]), .VAR346(VAR39[18]), .VAR136(VAR296) );
VAR41 VAR75 ( .VAR268(VAR268[17]), .VAR82(VAR60), .VAR312(VAR120), .VAR328(VAR187), .VAR346(VAR39[17]) );
VAR157 VAR177 ( .VAR137(VAR16), .VAR82(VAR144), .VAR268(VAR268[16]), .VAR346(VAR39[16]), .VAR136(VAR120) );
VAR41 VAR353 ( .VAR268(VAR268[15]), .VAR82(VAR188), .VAR312(VAR130), .VAR328(VAR16), .VAR346(VAR39[15]) );
VAR157 VAR125 ( .VAR137(VAR297), .VAR82(VAR121), .VAR268(VAR268[14]), .VAR346(VAR39[14]), .VAR136(VAR130) );
VAR41 VAR6 ( .VAR268(VAR268[13]), .VAR82(VAR288), .VAR312(VAR178), .VAR328(VAR297), .VAR346(VAR39[13]) );
VAR157 VAR344 ( .VAR137(VAR217), .VAR82(VAR83), .VAR268(VAR268[12]), .VAR346(VAR39[12]), .VAR136(VAR178) );
VAR41 VAR22 ( .VAR268(VAR268[11]), .VAR82(VAR325), .VAR312(VAR79), .VAR328(VAR217), .VAR346(VAR39[11]) );
VAR157 VAR194 ( .VAR137(VAR306), .VAR82(VAR341), .VAR268(VAR268[10]), .VAR346(VAR39[10]), .VAR136(VAR79) );
VAR303 VAR291 ( .VAR268(VAR326), .VAR82(VAR135), .VAR3(VAR39[8]) );
VAR303 VAR90 ( .VAR268(VAR275), .VAR82(VAR251), .VAR3(VAR39[6]) );
VAR303 VAR286 ( .VAR268(VAR92), .VAR82(VAR168), .VAR3(VAR39[5]) );
VAR303 VAR324 ( .VAR268(VAR103), .VAR82(VAR245), .VAR3(VAR39[2]) );
VAR303 VAR249 ( .VAR268(VAR138), .VAR82(VAR123), .VAR3(VAR39[1]) );
VAR106 VAR203 ( .VAR268(VAR42), .VAR82(VAR268[4]), .VAR3(VAR323) );
VAR106 VAR219 ( .VAR268(VAR321), .VAR82(VAR268[2]), .VAR3(VAR260) );
VAR106 VAR119 ( .VAR268(VAR227), .VAR82(VAR268[6]), .VAR3(VAR64) );
VAR106 VAR31 ( .VAR268(VAR339), .VAR82(VAR268[8]), .VAR3(VAR270) );
VAR106 VAR318 ( .VAR268(VAR143), .VAR82(VAR268[3]), .VAR3(VAR242) );
VAR106 VAR55 ( .VAR268(VAR109), .VAR82(VAR268[7]), .VAR3(VAR197) );
VAR106 VAR167 ( .VAR268(VAR315), .VAR82(VAR268[5]), .VAR3(VAR52) );
VAR106 VAR127 ( .VAR268(VAR231), .VAR82(VAR268[9]), .VAR3(VAR335) );
VAR97 VAR115 ( .VAR265(VAR279), .VAR153(VAR50), .VAR273(VAR340), .VAR3(VAR275) );
VAR230 VAR158 ( .VAR268(VAR159), .VAR3(VAR279) );
VAR230 VAR329 ( .VAR268(VAR233), .VAR3(VAR326) );
VAR230 VAR88 ( .VAR268(VAR257), .VAR3(VAR103) );
VAR97 VAR72 ( .VAR265(VAR184), .VAR153(VAR257), .VAR273(VAR281), .VAR3(VAR159) );
VAR106 VAR284 ( .VAR268(VAR260), .VAR82(VAR242), .VAR3(VAR184) );
VAR171 VAR228 ( .VAR265(VAR242), .VAR153(VAR164), .VAR273(VAR200), .VAR3(VAR281) );
VAR171 VAR99 ( .VAR265(VAR77), .VAR153(VAR123), .VAR273(VAR46), .VAR3(VAR257) );
VAR171 VAR307 ( .VAR265(VAR159), .VAR153(VAR351), .VAR273(VAR14), .VAR3(VAR233) );
VAR271 VAR174 ( .VAR268(VAR50), .VAR82(VAR117), .VAR3(VAR351) );
VAR97 VAR309 ( .VAR265(VAR117), .VAR153(VAR340), .VAR273(VAR310), .VAR3(VAR14) );
VAR106 VAR283 ( .VAR268(VAR64), .VAR82(VAR197), .VAR3(VAR117) );
VAR171 VAR189 ( .VAR265(VAR52), .VAR153(VAR21), .VAR273(VAR169), .VAR3(VAR340) );
VAR171 VAR134 ( .VAR265(VAR197), .VAR153(VAR154), .VAR273(VAR35), .VAR3(VAR310) );
VAR171 VAR334 ( .VAR265(VAR335), .VAR153(VAR45), .VAR273(VAR293), .VAR3(VAR300) );
VAR106 VAR292 ( .VAR268(VAR323), .VAR82(VAR52), .VAR3(VAR50) );
VAR171 VAR287 ( .VAR265(VAR326), .VAR153(VAR270), .VAR273(VAR45), .VAR3(VAR122) );
VAR171 VAR69 ( .VAR265(VAR103), .VAR153(VAR260), .VAR273(VAR164), .VAR3(VAR98) );
VAR171 VAR94 ( .VAR265(VAR275), .VAR153(VAR64), .VAR273(VAR154), .VAR3(VAR139) );
VAR97 VAR282 ( .VAR265(VAR279), .VAR153(VAR232), .VAR273(VAR256), .VAR3(VAR92) );
VAR230 VAR4 ( .VAR268(VAR21), .VAR3(VAR256) );
VAR271 VAR235 ( .VAR268(VAR232), .VAR82(VAR21), .VAR3(VAR65) );
VAR9 VAR110 ( .VAR100(VAR242), .VAR82(VAR200), .VAR3(VAR24) );
VAR9 VAR81 ( .VAR100(VAR197), .VAR82(VAR35), .VAR3(VAR237) );
VAR9 VAR277 ( .VAR100(VAR335), .VAR82(VAR293), .VAR3(VAR253) );
VAR9 VAR10 ( .VAR100(VAR260), .VAR82(VAR164), .VAR3(VAR245) );
VAR9 VAR155 ( .VAR100(VAR64), .VAR82(VAR154), .VAR3(VAR251) );
VAR9 VAR141 ( .VAR100(VAR270), .VAR82(VAR45), .VAR3(VAR135) );
VAR230 VAR192 ( .VAR268(VAR323), .VAR3(VAR232) );
VAR9 VAR238 ( .VAR100(VAR52), .VAR82(VAR169), .VAR3(VAR168) );
VAR9 VAR7 ( .VAR100(VAR77), .VAR82(VAR46), .VAR3(VAR138) );
VAR230 VAR190 ( .VAR268(VAR82[12]), .VAR3(VAR83) );
VAR230 VAR240 ( .VAR268(VAR82[14]), .VAR3(VAR121) );
VAR230 VAR47 ( .VAR268(VAR82[16]), .VAR3(VAR144) );
VAR230 VAR212 ( .VAR268(VAR82[18]), .VAR3(VAR349) );
VAR230 VAR15 ( .VAR268(VAR82[20]), .VAR3(VAR180) );
VAR230 VAR93 ( .VAR268(VAR82[22]), .VAR3(VAR11) );
VAR230 VAR317 ( .VAR268(VAR82[10]), .VAR3(VAR341) );
VAR97 VAR299 ( .VAR265(VAR233), .VAR153(VAR248), .VAR273(VAR300), .VAR3(VAR306) );
VAR106 VAR48 ( .VAR268(VAR270), .VAR82(VAR335), .VAR3(VAR248) );
VAR230 VAR149 ( .VAR268(VAR82[11]), .VAR3(VAR325) );
VAR230 VAR150 ( .VAR268(VAR82[13]), .VAR3(VAR288) );
VAR230 VAR221 ( .VAR268(VAR82[15]), .VAR3(VAR188) );
VAR230 VAR216 ( .VAR268(VAR82[17]), .VAR3(VAR60) );
VAR230 VAR2 ( .VAR268(VAR82[19]), .VAR3(VAR56) );
VAR230 VAR12 ( .VAR268(VAR82[21]), .VAR3(VAR215) );
VAR230 VAR333 ( .VAR268(VAR82[23]), .VAR3(VAR198) );
VAR271 VAR193 ( .VAR268(VAR42), .VAR82(VAR268[4]), .VAR3(VAR21) );
VAR230 VAR280 ( .VAR268(VAR82[24]), .VAR3(VAR195) );
VAR106 VAR179 ( .VAR268(VAR84), .VAR82(VAR268[1]), .VAR3(VAR77) );
VAR230 VAR146 ( .VAR268(VAR82[25]), .VAR3(VAR105) );
VAR271 VAR345 ( .VAR268(VAR321), .VAR82(VAR268[2]), .VAR3(VAR164) );
VAR271 VAR319 ( .VAR268(VAR227), .VAR82(VAR268[6]), .VAR3(VAR154) );
VAR271 VAR261 ( .VAR268(VAR339), .VAR82(VAR268[8]), .VAR3(VAR45) );
VAR271 VAR160 ( .VAR268(VAR84), .VAR82(VAR268[1]), .VAR3(VAR46) );
VAR271 VAR259 ( .VAR268(VAR143), .VAR82(VAR268[3]), .VAR3(VAR200) );
VAR271 VAR252 ( .VAR268(VAR315), .VAR82(VAR268[5]), .VAR3(VAR169) );
VAR271 VAR91 ( .VAR268(VAR109), .VAR82(VAR268[7]), .VAR3(VAR35) );
VAR271 VAR218 ( .VAR268(VAR231), .VAR82(VAR268[9]), .VAR3(VAR293) );
VAR106 VAR175 ( .VAR268(VAR85), .VAR82(VAR268[0]), .VAR3(VAR123) );
VAR230 VAR208 ( .VAR268(VAR19), .VAR3(VAR39[26]) );
VAR230 VAR118 ( .VAR268(VAR82[1]), .VAR3(VAR84) );
VAR230 VAR224 ( .VAR268(VAR82[3]), .VAR3(VAR143) );
VAR230 VAR246 ( .VAR268(VAR82[7]), .VAR3(VAR109) );
VAR230 VAR145 ( .VAR268(VAR82[5]), .VAR3(VAR315) );
VAR230 VAR86 ( .VAR268(VAR82[4]), .VAR3(VAR42) );
VAR230 VAR70 ( .VAR268(VAR82[9]), .VAR3(VAR231) );
VAR230 VAR322 ( .VAR268(VAR82[2]), .VAR3(VAR321) );
VAR230 VAR80 ( .VAR268(VAR82[6]), .VAR3(VAR227) );
VAR230 VAR95 ( .VAR268(VAR82[8]), .VAR3(VAR339) );
VAR230 VAR295 ( .VAR268(VAR82[0]), .VAR3(VAR85) );
VAR202 VAR348 ( .VAR268(VAR122), .VAR82(VAR253), .VAR3(VAR39[9]) );
VAR202 VAR243 ( .VAR268(VAR85), .VAR82(VAR268[0]), .VAR3(VAR39[0]) );
VAR202 VAR331 ( .VAR268(VAR98), .VAR82(VAR24), .VAR3(VAR39[3]) );
VAR202 VAR173 ( .VAR268(VAR279), .VAR82(VAR65), .VAR3(VAR39[4]) );
VAR202 VAR49 ( .VAR268(VAR139), .VAR82(VAR237), .VAR3(VAR39[7]) );
endmodule
module MODULE3 ( VAR66, VAR222, VAR26, VAR29 );
input [25:0] VAR222;
input [25:0] VAR26;
output [26:0] VAR29;
input VAR66;
wire VAR313, VAR234, VAR32, VAR133, VAR262, VAR61, VAR18, VAR104, VAR147, VAR96, VAR330, VAR172, VAR5, VAR13, VAR36,
VAR112, VAR247, VAR68, VAR151, VAR214, VAR294, VAR241, VAR57, VAR352, VAR78, VAR128, VAR207, VAR182, VAR163,
VAR278, VAR113, VAR226, VAR181, VAR44, VAR201, VAR170, VAR129, VAR28, VAR199, VAR74, VAR254, VAR308, VAR140,
VAR196, VAR269, VAR298, VAR126, VAR272, VAR289, VAR255, VAR38, VAR244, VAR166, VAR27, VAR253, VAR135;
MODULE2 MODULE1 ( .VAR268({1'b0, VAR222}), .VAR82({1'b0,
VAR26}), .VAR312(1'b0), .VAR332({VAR27, VAR166, VAR244, VAR38, VAR255, VAR289, VAR272, VAR126, VAR298,
VAR269, VAR196, VAR140, VAR308, VAR254, VAR74, VAR199, VAR28, VAR129, VAR170, VAR201, VAR44, VAR181, VAR226,
VAR113, VAR278, VAR163, VAR182}) );
MODULE6 MODULE2 ( .VAR268({1'b0, VAR222}), .VAR82({1'b0,
VAR26}), .VAR312(1'b0), .VAR39({VAR207, VAR128, VAR78, VAR352, VAR57, VAR241, VAR294, VAR214,
VAR151, VAR68, VAR247, VAR112, VAR36, VAR13, VAR5, VAR172, VAR330, VAR96, VAR147, VAR104, VAR18, VAR61, VAR262,
VAR133, VAR32, VAR234, VAR313}) );
VAR8 VAR267 ( .VAR268(VAR253), .VAR3(VAR135) );
VAR290 VAR239 ( .VAR268(VAR27), .VAR82(VAR207), .VAR220(VAR66), .VAR3(VAR29[26]) );
VAR290 VAR161 ( .VAR268(VAR38), .VAR82(VAR352), .VAR220(VAR66), .VAR3(VAR29[23]) );
VAR290 VAR183 ( .VAR268(VAR244), .VAR82(VAR78), .VAR220(VAR66), .VAR3(VAR29[24]) );
VAR290 VAR1 ( .VAR268(VAR166), .VAR82(VAR128), .VAR220(VAR66), .VAR3(VAR29[25]) );
VAR290 VAR71 ( .VAR268(VAR298), .VAR82(VAR151), .VAR220(VAR253), .VAR3(VAR29[18]) );
VAR290 VAR101 ( .VAR268(VAR126), .VAR82(VAR214), .VAR220(VAR253), .VAR3(VAR29[19]) );
VAR290 VAR75 ( .VAR268(VAR272), .VAR82(VAR294), .VAR220(VAR66), .VAR3(VAR29[20]) );
VAR290 VAR177 ( .VAR268(VAR289), .VAR82(VAR241), .VAR220(VAR66), .VAR3(VAR29[21]) );
VAR290 VAR353 ( .VAR268(VAR255), .VAR82(VAR57), .VAR220(VAR66), .VAR3(VAR29[22]) );
VAR290 VAR125 ( .VAR268(VAR308), .VAR82(VAR36), .VAR220(VAR66), .VAR3(VAR29[14]) );
VAR290 VAR6 ( .VAR268(VAR140), .VAR82(VAR112), .VAR220(VAR66), .VAR3(VAR29[15]) );
VAR290 VAR344 ( .VAR268(VAR196), .VAR82(VAR247), .VAR220(VAR253), .VAR3(VAR29[16]) );
VAR290 VAR22 ( .VAR268(VAR269), .VAR82(VAR68), .VAR220(VAR253), .VAR3(VAR29[17]) );
VAR290 VAR194 ( .VAR268(VAR44), .VAR82(VAR18), .VAR220(VAR135), .VAR3(VAR29[6]) );
VAR290 VAR37 ( .VAR268(VAR129), .VAR82(VAR96), .VAR220(VAR135), .VAR3(VAR29[9]) );
VAR290 VAR54 ( .VAR268(VAR28), .VAR82(VAR330), .VAR220(VAR253), .VAR3(VAR29[10]) );
VAR290 VAR34 ( .VAR268(VAR199), .VAR82(VAR172), .VAR220(VAR253), .VAR3(VAR29[11]) );
VAR290 VAR276 ( .VAR268(VAR74), .VAR82(VAR5), .VAR220(VAR253), .VAR3(VAR29[12]) );
VAR290 VAR211 ( .VAR268(VAR254), .VAR82(VAR13), .VAR220(VAR253), .VAR3(VAR29[13]) );
VAR290 VAR274 ( .VAR268(VAR182), .VAR82(VAR313), .VAR220(VAR135), .VAR3(VAR29[0]) );
VAR290 VAR210 ( .VAR268(VAR163), .VAR82(VAR234), .VAR220(VAR135), .VAR3(VAR29[1]) );
VAR290 VAR176 ( .VAR268(VAR278), .VAR82(VAR32), .VAR220(VAR135), .VAR3(VAR29[2]) );
VAR290 VAR291 ( .VAR268(VAR113), .VAR82(VAR133), .VAR220(VAR135), .VAR3(VAR29[3]) );
VAR290 VAR23 ( .VAR268(VAR226), .VAR82(VAR262), .VAR220(VAR135), .VAR3(VAR29[4]) );
VAR290 VAR342 ( .VAR268(VAR181), .VAR82(VAR61), .VAR220(VAR135), .VAR3(VAR29[5]) );
VAR290 VAR250 ( .VAR268(VAR201), .VAR82(VAR104), .VAR220(VAR135), .VAR3(VAR29[7]) );
VAR290 VAR336 ( .VAR268(VAR170), .VAR82(VAR147), .VAR220(VAR135), .VAR3(VAR29[8]) );
VAR8 VAR148 ( .VAR268(VAR66), .VAR3(VAR253) );
endmodule
module MODULE4 ( clk, rst, VAR236, VAR67, VAR102 );
input [25:0] VAR67;
output [25:0] VAR102;
input clk, rst, VAR236;
wire VAR253, VAR135, VAR237, VAR251, VAR168, VAR65, VAR24, VAR245, VAR138, VAR19, VAR223, VAR124, VAR258, VAR311, VAR186, VAR156,
VAR296, VAR187, VAR248, VAR300, VAR335, VAR293, VAR122, VAR270, VAR45, VAR326, VAR233, VAR351, VAR14, VAR117,
VAR310, VAR197, VAR35, VAR139, VAR64, VAR154, VAR275, VAR50, VAR340, VAR52, VAR169, VAR92, VAR89, VAR323,
VAR120, VAR16, VAR130, VAR297, VAR178, VAR217, VAR242;
VAR229 \VAR343[25] ( .VAR67(VAR323), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[25]) );
VAR229 \VAR343[24] ( .VAR67(VAR89), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[24]) );
VAR229 \VAR343[23] ( .VAR67(VAR92), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[23]) );
VAR229 \VAR343[22] ( .VAR67(VAR169), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[22]) );
VAR229 \VAR343[21] ( .VAR67(VAR52), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[21]) );
VAR229 \VAR343[20] ( .VAR67(VAR340), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[20]) );
VAR229 \VAR343[19] ( .VAR67(VAR50), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[19]) );
VAR229 \VAR343[18] ( .VAR67(VAR275), .VAR53(clk), .VAR264(VAR242), .VAR102(VAR102[18]) );
VAR229 \VAR343[17] ( .VAR67(VAR154), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[17]), .VAR17(VAR187) );
VAR229 \VAR343[16] ( .VAR67(VAR64), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[16]), .VAR17(VAR296) );
VAR229 \VAR343[15] ( .VAR67(VAR139), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[15]), .VAR17(VAR156) );
VAR229 \VAR343[14] ( .VAR67(VAR35), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[14]), .VAR17(VAR186) );
VAR229 \VAR343[13] ( .VAR67(VAR197), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[13]), .VAR17(VAR311) );
VAR229 \VAR343[12] ( .VAR67(VAR310), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[12]), .VAR17(VAR258) );
VAR229 \VAR343[11] ( .VAR67(VAR117), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[11]), .VAR17(VAR124) );
VAR229 \VAR343[10] ( .VAR67(VAR14), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[10]), .VAR17(VAR223) );
VAR229 \VAR343[9] ( .VAR67(VAR351), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[9]), .VAR17(VAR19) );
VAR229 \VAR343[8] ( .VAR67(VAR233), .VAR53(clk), .VAR264(VAR120), .VAR102(VAR102[8]), .VAR17(VAR138) );
VAR229 \VAR343[7] ( .VAR67(VAR326), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[7]), .VAR17(VAR245) );
VAR229 \VAR343[6] ( .VAR67(VAR45), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[6]), .VAR17(VAR24) );
VAR229 \VAR343[5] ( .VAR67(VAR270), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[5]), .VAR17(VAR65) );
VAR229 \VAR343[4] ( .VAR67(VAR122), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[4]), .VAR17(VAR168) );
VAR229 \VAR343[3] ( .VAR67(VAR293), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[3]), .VAR17(VAR251) );
VAR229 \VAR343[2] ( .VAR67(VAR335), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[2]), .VAR17(VAR237) );
VAR229 \VAR343[1] ( .VAR67(VAR300), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[1]), .VAR17(VAR135) );
VAR229 \VAR343[0] ( .VAR67(VAR248), .VAR53(clk), .VAR264(VAR16), .VAR102(VAR102[0]), .VAR17(VAR253) );
VAR8 VAR165 ( .VAR268(VAR130), .VAR3(VAR178) );
VAR8 VAR267 ( .VAR268(VAR130), .VAR3(VAR217) );
VAR8 VAR239 ( .VAR268(VAR242), .VAR3(VAR120) );
VAR8 VAR161 ( .VAR268(VAR242), .VAR3(VAR16) );
VAR290 VAR183 ( .VAR268(VAR102[23]), .VAR82(VAR67[23]), .VAR220(VAR217), .VAR3(VAR92) );
VAR290 VAR1 ( .VAR268(VAR102[24]), .VAR82(VAR67[24]), .VAR220(VAR297), .VAR3(VAR89) );
VAR290 VAR71 ( .VAR268(VAR102[25]), .VAR82(VAR67[25]), .VAR220(VAR297), .VAR3(VAR323) );
VAR290 VAR101 ( .VAR268(VAR102[18]), .VAR82(VAR67[18]), .VAR220(VAR217), .VAR3(VAR275) );
VAR290 VAR75 ( .VAR268(VAR102[19]), .VAR82(VAR67[19]), .VAR220(VAR297), .VAR3(VAR50) );
VAR290 VAR177 ( .VAR268(VAR102[20]), .VAR82(VAR67[20]), .VAR220(VAR297), .VAR3(VAR340) );
VAR290 VAR353 ( .VAR268(VAR102[21]), .VAR82(VAR67[21]), .VAR220(VAR297), .VAR3(VAR52) );
VAR290 VAR125 ( .VAR268(VAR102[22]), .VAR82(VAR67[22]), .VAR220(VAR297), .VAR3(VAR169) );
VAR33 VAR6 ( .VAR273(VAR186), .VAR73(VAR178), .VAR63(VAR67[14]), .VAR304(VAR236), .VAR3(VAR35) );
VAR33 VAR344 ( .VAR273(VAR156), .VAR73(VAR178), .VAR63(VAR67[15]), .VAR304(VAR236), .VAR3(VAR139) );
VAR33 VAR22 ( .VAR273(VAR296), .VAR73(VAR178), .VAR63(VAR67[16]), .VAR304(VAR130), .VAR3(VAR64) );
VAR33 VAR194 ( .VAR273(VAR187), .VAR73(VAR178), .VAR63(VAR67[17]), .VAR304(VAR130), .VAR3(VAR154) );
VAR33 VAR37 ( .VAR273(VAR24), .VAR73(VAR217), .VAR63(VAR67[6]), .VAR304(VAR297), .VAR3(VAR45) );
VAR33 VAR54 ( .VAR273(VAR19), .VAR73(VAR217), .VAR63(VAR67[9]), .VAR304(VAR130), .VAR3(VAR351) );
VAR33 VAR34 ( .VAR273(VAR223), .VAR73(VAR178), .VAR63(VAR67[10]), .VAR304(VAR236), .VAR3(VAR14) );
VAR33 VAR276 ( .VAR273(VAR124), .VAR73(VAR178), .VAR63(VAR67[11]), .VAR304(VAR236), .VAR3(VAR117) );
VAR33 VAR211 ( .VAR273(VAR258), .VAR73(VAR178), .VAR63(VAR67[12]), .VAR304(VAR236), .VAR3(VAR310) );
VAR33 VAR274 ( .VAR273(VAR311), .VAR73(VAR178), .VAR63(VAR67[13]), .VAR304(VAR236), .VAR3(VAR197) );
VAR33 VAR210 ( .VAR273(VAR253), .VAR73(VAR178), .VAR63(VAR130), .VAR304(VAR67[0]), .VAR3(VAR248) );
VAR33 VAR176 ( .VAR273(VAR135), .VAR73(VAR217), .VAR63(VAR67[1]), .VAR304(VAR130), .VAR3(VAR300) );
VAR33 VAR291 ( .VAR273(VAR237), .VAR73(VAR217), .VAR63(VAR67[2]), .VAR304(VAR130), .VAR3(VAR335) );
VAR33 VAR23 ( .VAR273(VAR251), .VAR73(VAR217), .VAR63(VAR67[3]), .VAR304(VAR236), .VAR3(VAR293) );
VAR33 VAR342 ( .VAR273(VAR168), .VAR73(VAR217), .VAR63(VAR67[4]), .VAR304(VAR130), .VAR3(VAR122) );
VAR33 VAR250 ( .VAR273(VAR65), .VAR73(VAR217), .VAR63(VAR67[5]), .VAR304(VAR297), .VAR3(VAR270) );
VAR33 VAR336 ( .VAR273(VAR245), .VAR73(VAR217), .VAR63(VAR67[7]), .VAR304(VAR297), .VAR3(VAR326) );
VAR33 VAR148 ( .VAR273(VAR138), .VAR73(VAR178), .VAR63(VAR67[8]), .VAR304(VAR297), .VAR3(VAR233) );
VAR8 VAR76 ( .VAR268(VAR236), .VAR3(VAR130) );
VAR8 VAR58 ( .VAR268(VAR236), .VAR3(VAR297) );
VAR230 VAR152 ( .VAR268(rst), .VAR3(VAR242) );
endmodule
module MODULE5 ( clk, rst, VAR236, VAR67, VAR102 );
input [0:0] VAR67;
output [0:0] VAR102;
input clk, rst, VAR236;
wire VAR237, VAR135;
VAR229 \VAR343[0] ( .VAR67(VAR237), .VAR53(clk), .VAR264(VAR135), .VAR102(VAR102[0]) );
VAR290 VAR165 ( .VAR268(VAR102[0]), .VAR82(VAR67[0]), .VAR220(VAR236), .VAR3(VAR237) );
VAR230 VAR267 ( .VAR268(rst), .VAR3(VAR135) );
endmodule
module MODULE1 ( clk, rst, VAR87, VAR285, VAR40, VAR30,
VAR225, VAR114 );
input [25:0] VAR40;
input [25:0] VAR30;
output [25:0] VAR225;
input clk, rst, VAR87, VAR285;
output VAR114;
wire [26:0] VAR266;
MODULE3 VAR111 ( .VAR66(VAR285), .VAR222(VAR40),
.VAR26(VAR30), .VAR29(VAR266) );
MODULE4 VAR20 ( .clk(clk), .rst(rst), .VAR236(VAR87), .VAR67(
VAR266[25:0]), .VAR102(VAR225) );
MODULE5 VAR204 ( .clk(clk), .rst(rst), .VAR236(VAR87),
.VAR67(VAR266[26]), .VAR102(VAR114) );
endmodule
|
gpl-3.0
|
sergev/vak-opensource
|
hardware/s3esk-openrisc/or1200/or1200_spram_1024x32_bw.v
| 12,985 |
module MODULE1(
VAR14, VAR25, VAR24,
clk, rst, VAR38, VAR76, VAR68, addr, VAR50, VAR27
);
input VAR14;
input [VAR35 - 1:0] VAR24; output VAR25;
input clk; input rst; input VAR38; input [3:0] VAR76; input VAR68; input [9:0] addr; input [31:0] VAR50; output [31:0] VAR27;
assign VAR25 = VAR14;
VAR31 VAR37(
VAR28 VAR37(
VAR31 VAR37(
.VAR14(VAR14),
.VAR25(VAR25),
.VAR24(VAR24),
.VAR61(clk),
.VAR62(~VAR38),
.VAR23(~VAR76),
.VAR15(addr),
.VAR5(VAR50),
.VAR60(~VAR68),
.VAR58(VAR27)
);
VAR49 VAR49(
.VAR19(~VAR76),
.VAR9(),
.VAR1(~VAR68),
.VAR51(),
.VAR18(),
.VAR72(addr),
.VAR36(addr),
.VAR50(VAR50),
.VAR27(VAR27)
);
VAR45 VAR45(
.clk(clk),
.VAR33(addr),
.VAR75(VAR50),
.VAR76(VAR76),
.VAR68(VAR68),
.VAR26(VAR38),
.VAR6(VAR27)
);
wire VAR4;
wire VAR22;
wire VAR57;
wire VAR17;
wire VAR7;
wire VAR42;
wire VAR21;
wire VAR43;
assign VAR4 = VAR14;
assign VAR22 = VAR7;
assign VAR57 = VAR42;
assign VAR17 = VAR21;
assign VAR25 = VAR43;
VAR77 VAR54(
VAR47 VAR54(
VAR77 VAR54(
.VAR14(VAR4),
.VAR25(VAR7),
.VAR24(VAR24),
.VAR70(clk),
.VAR66(addr),
.VAR8(VAR50[7:0]),
.VAR23(~VAR76[0]),
.VAR62(~VAR38),
.VAR60(~VAR68),
.VAR52(VAR27[7:0])
);
VAR77 VAR53(
VAR47 VAR53(
VAR77 VAR53(
.VAR14(VAR22),
.VAR25(VAR42),
.VAR24(VAR24),
.VAR70(clk),
.VAR66(addr),
.VAR8(VAR50[15:8]),
.VAR23(~VAR76[1]),
.VAR62(~VAR38),
.VAR60(~VAR68),
.VAR52(VAR27[15:8])
);
VAR77 VAR2(
VAR47 VAR2(
VAR77 VAR2(
.VAR14(VAR57),
.VAR25(VAR21),
.VAR24(VAR24),
.VAR70(clk),
.VAR66(addr),
.VAR8(VAR50[23:16]),
.VAR23(~VAR76[2]),
.VAR62(~VAR38),
.VAR60(~VAR68),
.VAR52(VAR27[23:16])
);
VAR77 VAR71(
VAR47 VAR71(
VAR77 VAR71(
.VAR14(VAR17),
.VAR25(VAR43),
.VAR24(VAR24),
.VAR70(clk),
.VAR66(addr),
.VAR8(VAR50[31:24]),
.VAR23(~VAR76[3]),
.VAR62(~VAR38),
.VAR60(~VAR68),
.VAR52(VAR27[31:24])
);
VAR63 VAR40(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[3:0]),
.VAR12(VAR38),
.VAR48(VAR76[0]),
.VAR74(VAR27[3:0])
);
VAR63 VAR41(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[7:4]),
.VAR12(VAR38),
.VAR48(VAR76[0]),
.VAR74(VAR27[7:4])
);
VAR63 VAR55(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[11:8]),
.VAR12(VAR38),
.VAR48(VAR76[1]),
.VAR74(VAR27[11:8])
);
VAR63 VAR67(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[15:12]),
.VAR12(VAR38),
.VAR48(VAR76[1]),
.VAR74(VAR27[15:12])
);
VAR63 VAR10(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[19:16]),
.VAR12(VAR38),
.VAR48(VAR76[2]),
.VAR74(VAR27[19:16])
);
VAR63 VAR13(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[23:20]),
.VAR12(VAR38),
.VAR48(VAR76[2]),
.VAR74(VAR27[23:20])
);
VAR63 VAR11(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[27:24]),
.VAR12(VAR38),
.VAR48(VAR76[3]),
.VAR74(VAR27[27:24])
);
VAR63 VAR29(
.VAR61(clk),
.VAR59(rst),
.VAR65(addr),
.VAR8(VAR50[31:28]),
.VAR12(VAR38),
.VAR48(VAR76[3]),
.VAR74(VAR27[31:28])
);
VAR56 VAR16(
.VAR61(clk),
.VAR30(rst),
.VAR65({1'b0,addr}),
.VAR8(VAR50[7:0]),
.VAR3(1'b0),
.VAR12(VAR38),
.VAR48(VAR76[0]),
.VAR74(VAR27[7:0]),
.VAR34()
);
VAR56 VAR46(
.VAR61(clk),
.VAR30(rst),
.VAR65({1'b0,addr}),
.VAR8(VAR50[15:8]),
.VAR3(1'b0),
.VAR12(VAR38),
.VAR48(VAR76[1]),
.VAR74(VAR27[15:8]),
.VAR34()
);
VAR56 VAR44(
.VAR61(clk),
.VAR30(rst),
.VAR65({1'b0,addr}),
.VAR8(VAR50[23:16]),
.VAR3(1'b0),
.VAR12(VAR38),
.VAR48(VAR76[2]),
.VAR74(VAR27[23:16]),
.VAR34()
);
VAR56 VAR39(
.VAR61(clk),
.VAR30(rst),
.VAR65({1'b0,addr}),
.VAR8(VAR50[31:24]),
.VAR3(1'b0),
.VAR12(VAR38),
.VAR48(VAR76[3]),
.VAR74(VAR27[31:24]),
.VAR34()
);
reg [7:0] VAR69 [1023:0]; reg [7:0] VAR32 [1023:0]; reg [7:0] VAR64 [1023:0]; reg [7:0] VAR73 [1023:0]; reg [9:0] VAR20;
assign VAR27 = (VAR68) ? {VAR73[VAR20], VAR64[VAR20], VAR32[VAR20], VAR69[VAR20]} : {32{1'b0}};
always @(posedge clk or posedge rst)
if (rst)
VAR20 <= 10'h000;
else if (VAR38)
VAR20 <= addr;
always @(posedge clk)
if (VAR38 && VAR76[0])
VAR69[addr] <= VAR50[7:0];
always @(posedge clk)
if (VAR38 && VAR76[1])
VAR32[addr] <= VAR50[15:8];
always @(posedge clk)
if (VAR38 && VAR76[2])
VAR64[addr] <= VAR50[23:16];
always @(posedge clk)
if (VAR38 && VAR76[3])
VAR73[addr] <= VAR50[31:24];
endmodule
|
apache-2.0
|
eecsninja/duinocube-core
|
common/registers.v
| 5,184 |
module MODULE1(reset, clk, en, VAR13, VAR17, VAR11, VAR3);
parameter VAR15=16; parameter VAR4=16; parameter VAR27=VAR7;
input clk; input reset; input en; input [1:0] VAR13;
input [VAR4-1:0] VAR17; output [VAR4-1:0] VAR11;
input [VAR4-1:0] VAR3;
wire VAR18 = VAR13[0];
wire VAR9 = VAR13[1];
genvar VAR1;
generate
if (VAR27 == VAR7) begin
for (VAR1 = 0; VAR1 < VAR4; VAR1 = VAR1 + 1) begin: VAR22
if (VAR1 < VAR15) begin
VAR10 #(1) VAR20(.clk(clk),
.reset(reset),
.en(en & ((VAR1 < 8) ? VAR18 : VAR9)),
.VAR17(VAR17[VAR1]),
.VAR11(VAR11[VAR1]));
end else begin
assign VAR11[VAR1] = 1'b0;
end
end
end else begin assign VAR11 = VAR3;
end
endgenerate
endmodule
module MODULE2(clk, reset, en, rd, wr, VAR13, addr, VAR2, VAR8,
VAR26, VAR14);
parameter VAR6=16;
parameter VAR12=16;
parameter VAR21=(1 << VAR6);
parameter VAR24=1;
input clk; input reset; input en; input rd; input wr; input [1:0] VAR13; input [VAR6-1:0] addr; input [VAR12-1:0] VAR2; output reg [VAR12-1:0] VAR8;
input [VAR12 * VAR21 - 1 : 0] VAR26;
output [VAR12 * VAR21 - 1 : 0] VAR14;
function integer VAR5;
input [31:0] address;
begin
case (address)
default: VAR5 = VAR16;
endcase
end
endfunction
function integer VAR19;
input [31:0] address;
begin
case (address)
default: VAR19 = VAR16;
endcase
end
endfunction
wire [VAR12-1:0] VAR25 [VAR21 - 1:0];
genvar VAR1;
generate
for (VAR1 = 0; VAR1 < VAR21; VAR1 = VAR1 + 1) begin: VAR23
MODULE1 #(.VAR15(VAR12),
.VAR27(VAR24 ? VAR5(VAR1) : VAR19(VAR1)))
register(.clk(~wr),
.en(en & ~rd & (VAR1 == addr)),
.reset(reset),
.VAR13(VAR13),
.VAR17(VAR2),
.VAR11(VAR25[VAR1]),
.VAR3(VAR26[VAR12 * (VAR1 + 1) - 1 :
VAR12 * VAR1]));
assign VAR14[VAR12 * (VAR1 + 1) - 1 : VAR12 * VAR1] = VAR25[VAR1];
end
endgenerate
always @ (posedge clk)
if (en & rd)
VAR8 <= VAR25[addr];
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a32o/sky130_fd_sc_hdll__a32o.behavioral.v
| 1,684 |
module MODULE1 (
VAR11 ,
VAR12,
VAR6,
VAR17,
VAR1,
VAR14
);
output VAR11 ;
input VAR12;
input VAR6;
input VAR17;
input VAR1;
input VAR14;
supply1 VAR4;
supply0 VAR13;
supply1 VAR2 ;
supply0 VAR8 ;
wire VAR15 ;
wire VAR5 ;
wire VAR16;
and VAR9 (VAR15 , VAR17, VAR12, VAR6 );
and VAR7 (VAR5 , VAR1, VAR14 );
or VAR10 (VAR16, VAR5, VAR15);
buf VAR3 (VAR11 , VAR16 );
endmodule
|
apache-2.0
|
ptracton/vscale_soc
|
rtl/wb_riscv_soc_top.v
| 5,578 |
module MODULE1 (
VAR4,
VAR101, VAR85, VAR57
) ;
parameter VAR51 = "";
input VAR101;
input VAR85;
input VAR57;
output VAR4;
wire VAR25;
wire VAR19;
wire VAR72 = VAR25;
wire VAR39 = VAR19;
VAR54 VAR60(
.VAR89(VAR25),
.VAR111(VAR19),
.VAR101(VAR101),
.VAR85(VAR85)
) ;
wire [23:0] VAR55 = 'b0;
VAR66 VAR13(
.clk(VAR25),
.rst(VAR19),
.VAR11(VAR55),
.VAR100(VAR108),
.VAR65(VAR64),
.VAR81(VAR84),
.VAR96(VAR22),
.VAR6 (VAR30),
.VAR18(VAR113),
.VAR112(VAR41),
.VAR114(VAR74),
.VAR23(VAR36),
.VAR102(VAR33),
.VAR68(VAR16),
.VAR2(VAR99),
.VAR1(VAR71),
.VAR43(VAR104),
.VAR42(VAR31),
.VAR8(VAR7),
.VAR115 (VAR52),
.VAR29(VAR63),
.VAR87(VAR106),
.VAR62(VAR75),
.VAR91(VAR73),
.VAR90(VAR77),
.VAR5(VAR59),
.VAR86(VAR9)
);
assign VAR107 = 0;
VAR70 #(.VAR40(1024)) VAR117(
.VAR25(VAR25),
.VAR19(VAR19),
.VAR44(VAR28),
.VAR95(VAR94),
.VAR3(VAR119),
.VAR61(VAR17),
.VAR78(VAR110),
.VAR49(VAR15),
.VAR37(VAR56),
.VAR98(VAR24),
.VAR12(VAR21),
.VAR14(VAR50),
.VAR69(VAR46)
);
assign VAR67 = 0;
VAR70 #( .VAR40(4096)) VAR53(
.VAR25(VAR25),
.VAR19(VAR19),
.VAR44(VAR26),
.VAR95(VAR20),
.VAR3(VAR122),
.VAR61(VAR34),
.VAR78(VAR82),
.VAR49(VAR97),
.VAR37(VAR121),
.VAR98(VAR27),
.VAR12(VAR58),
.VAR14(VAR103),
.VAR69(VAR32)
);
assign VAR80 = 0;
assign VAR120 = 0;
VAR109 VAR45(
.clk(VAR25),
.VAR19(VAR19),
.VAR61(VAR47),
.VAR98(VAR118),
.VAR37(VAR83),
.VAR12(VAR116),
.VAR44(VAR76),
.VAR105(),
.VAR95(VAR35),
.VAR69(VAR92),
.VAR48(VAR35[7:0]),
.VAR38(),
.VAR93(),
.VAR3(VAR88),
.VAR79(),
.VAR10() );
endmodule
|
mit
|
archlabo/Frix
|
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/controller/mig_7series_v2_0_arb_select.v
| 26,779 |
module MODULE1 #
(
parameter VAR96 = 100,
parameter VAR85 = "VAR97",
parameter VAR40 = "1T",
parameter VAR101 = 11,
parameter VAR125 = 3,
parameter VAR88 = "8",
parameter VAR7 = 4,
parameter VAR102 = 5,
parameter VAR84 = 5,
parameter VAR136 = 31,
parameter VAR118 = 8,
parameter VAR100 = "VAR6",
parameter VAR60 = "VAR97",
parameter VAR113 = "VAR97",
parameter VAR73 = 4,
parameter VAR167 = 2,
parameter VAR56 = 1,
parameter VAR53 = "VAR21",
parameter VAR91 = 2,
parameter VAR80 = 1,
parameter VAR122 = 15,
parameter VAR50 = 2,
parameter VAR59 = 63,
parameter VAR26 = 16,
parameter VAR70 = "40",
parameter VAR89 = "120",
parameter VAR112 = 8'b00000101,
parameter VAR13 = 8'b00001010
)
(
output wire VAR123,
output wire [VAR50-1:0] VAR140,
output wire [VAR125-1:0] VAR158,
output wire [VAR26-1:0] VAR18,
output wire VAR144,
output wire VAR36,
output wire VAR20,
output wire [VAR26-1:0] VAR74,
output wire [VAR118-1:0] VAR62,
output wire [VAR118-1:0] VAR15,
output wire [VAR167-1:0] VAR147,
output wire [VAR167-1:0] VAR165,
output wire [VAR167-1:0] VAR10,
output wire [VAR167*VAR26-1:0] VAR156,
output wire [VAR167*VAR125-1:0] VAR95,
output wire [VAR7*VAR56*VAR167-1:0] VAR55,
output wire [1:0] VAR104,
output wire [VAR167-1:0] VAR30,
output wire [3:0] VAR105,
output wire [3:0] VAR92,
output [2:0] VAR115,
output wire [5:0] VAR106,
output wire [5:0] VAR98,
output wire [5:0] VAR142,
output wire [1:0] VAR65,
output wire [VAR50-1:0] VAR135,
input clk,
input rst,
input VAR143,
input [VAR122:0] VAR168,
input [VAR101:0] VAR151,
input [VAR73-1:0] VAR31,
input [VAR73-1:0] VAR5,
input [VAR73-1:0] VAR28,
input [VAR73-1:0] VAR51,
input [VAR73-1:0] VAR127,
input [VAR59:0] VAR2,
input [VAR73-1:0] VAR22,
input VAR66,
input VAR134,
input VAR17,
input VAR39,
input [VAR50-1:0] VAR44,
input [VAR73-1:0] VAR14,
input [VAR73-1:0] VAR48,
input [VAR73-1:0] VAR83,
input [VAR59:0] VAR126,
input [VAR59:0] VAR116,
input [VAR136:0] VAR54,
input [VAR73-1:0] VAR103,
input [VAR73-1:0] VAR52,
input [6*VAR80-1:0] VAR128,
input [6*VAR80-1:0] VAR35,
input [6*VAR80-1:0] VAR141,
input [5:0] VAR152,
input [VAR73-1:0] VAR148,
input VAR42,
input [7:0] VAR49,
input [7:0] VAR139,
input VAR107,
input VAR155,
input VAR58,
input VAR8,
input VAR161,
input VAR46,
input VAR160,
input VAR61,
input VAR166,
input VAR110,
input VAR64,
input VAR23,
input VAR90
);
localparam VAR76 = VAR50 + VAR125 + VAR26 + 1 + 1 + 1;
reg VAR130;
reg VAR163 = 1'b0;
reg [VAR76-1:0] VAR9 = {VAR76 {1'b0}};
reg [VAR76-1:0] VAR78 = {VAR76 {1'b0}};
reg [5:0] VAR77;
reg [5:0] VAR47;
reg [5:0] VAR119;
assign VAR105[0] = (VAR17 || VAR39) & VAR66;
assign VAR105[2] = 1'b0;
reg VAR57;
reg VAR114;
generate
if(VAR53 == "VAR21")begin
always @(posedge clk)
begin
if (rst)
VAR57 = 1'b1;
end
else
VAR57 = VAR114;
end
always @(*)
begin
VAR114 = 1'b1;
if (VAR17 & VAR66)
VAR114 = 1'b0;
end
else if (VAR57==1'b0)
begin
if (VAR39 & VAR66)
VAR114 = 1'b1;
end
else
VAR114 = 1'b0;
end
end
end
endgenerate
assign VAR92 = 4'b0;
assign VAR115[0] = VAR166;
assign VAR115[1] = VAR85 == "VAR86" ?
VAR166 && VAR163 :
VAR166 && VAR130;
assign VAR115[2] = ~VAR166;
always @(VAR128 or VAR35 or VAR141) begin
VAR77 = VAR128[5:0];
VAR47 = VAR35[5:0];
VAR119 = VAR141[5:0];
end
generate
if(VAR85 == "VAR86") begin : VAR108
assign VAR106 = ~VAR166 ?
6'b0 :
VAR163 ?
VAR77 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
assign VAR98 = ~VAR166 ?
6'b0 :
VAR163 ?
VAR47 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
assign VAR142 = ~VAR166 ?
6'b0 :
VAR163 ?
VAR119 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
end
else begin : VAR79
assign VAR106 = ~VAR166 ?
6'b0 :
VAR130 ?
VAR77 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
assign VAR98 = ~VAR166 ?
6'b0 :
VAR130 ?
VAR47 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
assign VAR142 = ~VAR166 ?
6'b0 :
VAR130 ?
VAR119 + VAR152 :
VAR167 == 2 ?
VAR84 - 2 + VAR152 :
VAR84 + 2 + VAR152;
end
endgenerate
assign VAR65 = VAR152[1:0];
integer VAR109;
reg [VAR76-1:0] VAR34;
generate
begin : VAR133
wire [VAR76-1:0] VAR27 =
{VAR44, VAR78[15+:(VAR125+VAR26-11)],
1'b0, VAR78[3+:10], (VAR134 ? 3'b110 : VAR39 ? 3'b111 : 3'b001)
};
always @(VAR51 or VAR66 or VAR27
or VAR151 or VAR5 or VAR168 or VAR31
or VAR2 or VAR78 or VAR22 or rst)
begin
VAR34 = rst
? {VAR50{1'b0}}
: VAR66
? VAR27
: VAR78;
for (VAR109=0; VAR109<VAR73; VAR109=VAR109+1)
if (VAR51[VAR109])
VAR34 = {VAR168[(VAR50*VAR109)+:VAR50],
VAR151[(VAR125*VAR109)+:VAR125],
VAR2[(VAR26*VAR109)+:VAR26],
VAR31[VAR109],
VAR5[VAR109],
VAR22[VAR109]};
end
if (VAR40 == "2T" && VAR167 == 2)
end endgenerate
reg [VAR76-1:0] VAR159;
generate
if((VAR167 == 4) && (VAR40 != "2T")) begin : VAR81
reg [VAR76-1:0] VAR68 = {VAR76 {1'b0}};
always @(VAR127 or VAR151 or VAR5 or VAR168 or VAR31
or VAR2 or VAR68 or VAR22 or rst)
begin
VAR159 = rst
? {VAR50{1'b0}}
: VAR68;
for (VAR109=0; VAR109<VAR73; VAR109=VAR109+1)
if (VAR127[VAR109])
VAR159 = {VAR168[(VAR50*VAR109)+:VAR50],
VAR151[(VAR125*VAR109)+:VAR125],
VAR2[(VAR26*VAR109)+:VAR26],
VAR31[VAR109],
VAR5[VAR109],
VAR22[VAR109]};
end
end endgenerate
reg [VAR76-1:0] VAR93;
generate
begin : VAR72
reg VAR82;
reg VAR121;
reg VAR131;
reg VAR145;
reg VAR150;
reg VAR120;
reg [VAR26-1:0] VAR25;
reg [VAR26-1:0] VAR38;
reg [VAR118-1:0] VAR162;
reg [VAR118-1:0] VAR149;
always @(VAR116 or VAR9 or VAR149
or VAR121 or VAR145 or VAR38
or VAR120 or VAR103 or VAR83 or VAR151
or VAR54 or VAR14
or VAR168 or VAR126 or VAR48 or VAR28
or rst or VAR163)
begin
VAR82 = ~rst && VAR121;
VAR93 = {(rst ? {VAR50{1'b0}}
: VAR9[(VAR76-1)-:VAR50]),
((rst && VAR113 != "VAR97")
? {VAR76-3-VAR50{1'b0}}
: VAR9[3+:(VAR76-3-VAR50)]),
(rst ? 3'b0 : VAR9[2:0])};
VAR131 = VAR145;
VAR150 = rst ? 1'b0 : VAR120;
VAR25 = VAR38;
VAR130 = VAR163;
VAR162 = VAR149;
for (VAR109=0; VAR109<VAR73; VAR109=VAR109+1)
if (VAR103[VAR109]) begin
VAR82 = VAR14[VAR109];
VAR93 = {VAR168[(VAR50*VAR109)+:VAR50],
VAR151[(VAR125*VAR109)+:VAR125],
VAR116[(VAR26*VAR109)+:VAR26],
1'b1,
1'b0,
VAR83[VAR109]};
VAR131 = VAR28[VAR109] && VAR83[VAR109];
VAR150 = VAR48[VAR109];
VAR25 = VAR126[(VAR26*VAR109)+:VAR26];
VAR130 = VAR83[VAR109];
VAR162 =
VAR54[(VAR118*VAR109)+:VAR118];
end
end
if (VAR60 == "VAR97") begin : VAR99
assign VAR15 = VAR162;
end
else begin : VAR111
reg [VAR118-1:0] VAR124;
reg [VAR118-1:0] VAR19;
always @(VAR19 or VAR52
or VAR54) begin
VAR124 = VAR19;
for (VAR109=0; VAR109<VAR73; VAR109=VAR109+1)
if (VAR52[VAR109])
VAR124 =
VAR54[(VAR118*VAR109)+:VAR118];
end
always @(posedge clk) VAR19 <=
assign VAR15 = VAR124;
end
always @(posedge clk) VAR149 <=
if (VAR113 != "VAR97" || VAR85 == "VAR86") begin
end
if(VAR85 == "VAR86") begin
assign VAR123 = VAR121;
assign VAR140 = VAR9[3+VAR26+VAR125+:VAR50];
assign VAR158 = VAR9[3+VAR26+:VAR125];
assign VAR18 = VAR9[3+:VAR26];
assign VAR144 = VAR145;
assign VAR36 = VAR163;
assign VAR20 = VAR120;
assign VAR74 = VAR38;
assign VAR62 = VAR149;
end
else begin
assign VAR123 = VAR82;
assign VAR140 = VAR93[3+VAR26+VAR125+:VAR50];
assign VAR158 = VAR93[3+VAR26+:VAR125];
assign VAR18 = VAR93[3+:VAR26];
assign VAR144 = VAR131;
assign VAR36 = VAR130;
assign VAR20 = VAR150;
assign VAR74 = VAR25;
assign VAR62 = VAR162;
end
end endgenerate
reg [VAR76-1:0] VAR94 = {VAR76{1'b1}};
reg VAR154;
always @(VAR107 or VAR155 or VAR34 or VAR78 or VAR93 or VAR9 or VAR114 or VAR57 ) begin
VAR94 = {VAR76{1'b1}};
if (VAR107) VAR94 = VAR34;
if (VAR107 && VAR85 == "VAR86" && VAR167 == 2) VAR94 = VAR78;
if (VAR155) VAR94 = VAR93;
if (VAR155 && VAR85 == "VAR86") VAR94 = VAR9;
if (VAR107) VAR154 = VAR114;
end
else VAR154 = VAR57 ;
end
reg [VAR76-1:0] VAR3 = {VAR76{1'b1}};
generate
if ((VAR167 == 2) || (VAR167 == 4))
always @(VAR58 or VAR8 or VAR34 or VAR93 or VAR159) begin
VAR3 = {VAR76{1'b1}};
if (VAR58) VAR3 = VAR34;
if (VAR8) VAR3 = VAR93;
end
endgenerate
reg [VAR76-1:0] VAR87 = {VAR76{1'b1}};
reg [VAR76-1:0] VAR32 = {VAR76{1'b1}};
generate
if (VAR167 == 4)
always @(VAR161 or VAR46 or VAR160 or VAR61 or VAR34 or VAR93 or VAR159) begin
VAR87 = {VAR76{1'b1}};
VAR32 = {VAR76{1'b1}};
if (VAR161) VAR87 = VAR34;
if (VAR46) VAR87 = VAR93;
if (VAR160) VAR87 = VAR159;
if (VAR61) VAR32 = VAR93;
end
endgenerate
wire [VAR50-1:0] VAR71;
assign {VAR71, VAR95[VAR125-1:0], VAR156[VAR26-1:0], VAR147[0], VAR165[0], VAR10[0]} = VAR94;
wire [VAR50-1:0] VAR153;
assign {VAR153, VAR95[2*VAR125-1:VAR125], VAR156[2*VAR26-1:VAR26], VAR147[1], VAR165[1], VAR10[1]} = VAR3;
wire [VAR50-1:0] VAR146;
wire [VAR50-1:0] VAR1;
generate
if(VAR167 == 4) begin
assign {VAR146, VAR95[3*VAR125-1:2*VAR125], VAR156[3*VAR26-1:2*VAR26], VAR147[2], VAR165[2], VAR10[2]} = VAR87;
assign {VAR1, VAR95[4*VAR125-1:3*VAR125], VAR156[4*VAR26-1:3*VAR26], VAR147[3], VAR165[3], VAR10[3]} =
VAR32;
end
endgenerate
generate
if(VAR53 == "VAR21")begin
assign VAR30[0] = VAR154;
assign VAR30[1] = VAR114;
if(VAR167 == 4) begin
assign VAR30[2] = VAR114;
assign VAR30[3] = VAR114;
end
end
endgenerate
localparam VAR75 = {VAR56{1'b1}};
wire [(VAR7*VAR56)-1:0] VAR138 =
{{VAR7{1'b0}},VAR75};
assign VAR55[VAR7*VAR56 -1 :0 ] =
{(~(VAR138 << (VAR56*VAR71)) | {VAR7*VAR56{~VAR110}})};
assign VAR55[2*VAR7*VAR56 -1 : VAR7*VAR56 ] =
{(~(VAR138 << (VAR56*VAR153)) | {VAR7*VAR56{~VAR64}})};
generate
if(VAR167 == 4) begin
assign VAR55[3*VAR7*VAR56 -1 :2*VAR7*VAR56 ] =
{(~(VAR138 << (VAR56*VAR146)) | {VAR7*VAR56{~VAR23}})};
assign VAR55[4*VAR7*VAR56 -1 :3*VAR7*VAR56 ] =
{(~(VAR138 << (VAR56*VAR1)) | {VAR7*VAR56{~VAR90}})};
end
endgenerate
reg [VAR50-1:0] VAR132;
reg [VAR50-1:0] VAR67;
always @(VAR148
or VAR67 or VAR42 or VAR168 or rst) begin
if (rst) VAR132 = {VAR50{1'b0}};
end
else begin
VAR132 = VAR67;
if (VAR42)
for (VAR109=0; VAR109<VAR73; VAR109=VAR109+1)
if (VAR148[VAR109]) VAR132 = VAR168[(VAR50*VAR109)+:VAR50];
end
end
assign VAR135 = VAR132;
wire [VAR7-1:0] VAR157 = VAR138 << VAR140;
wire VAR43 = (VAR91 == 1) ? |(VAR157 & VAR49)
: (VAR49[2] & VAR49[0]) ?
|(VAR157[VAR7-1:0] & {VAR49[2],
VAR49[0]}) : (VAR49[0])?
VAR157[0] : 1'b0;
wire VAR12 = VAR85 == "VAR86" ?
VAR43 && VAR163 :
VAR43 && VAR130;
wire VAR117 = VAR85 == "VAR86" ?
VAR43 && ~VAR163 :
VAR43 && ~VAR130;
reg [1:0] VAR45 = 2'b0;
reg[1:0] VAR129;
always @(VAR49) begin
VAR129 = 2'b0;
for (VAR109=0; VAR109<8; VAR109=VAR109+1)
if (~VAR129[1])
if (VAR49[VAR109] == 1'b1) VAR129 =
VAR129 + 2'b1;
end
wire VAR164 = (VAR100 == "VAR6") ? ~VAR12 : VAR117;
assign VAR105[1] = VAR164 & VAR166;
generate
if (VAR91 > 1) begin : VAR37
wire VAR63 = (VAR139[3] & VAR139[1])?
|({VAR157[VAR129+1],
VAR157[VAR129]}) :
(VAR139[1]) ? VAR157[VAR129] :1'b0;
wire VAR4 = VAR85 == "VAR86" ?
VAR63 && VAR163 :
VAR63 && VAR130;
wire VAR41 = VAR85 == "VAR86" ?
VAR63 && ~VAR163 :
VAR63 && ~VAR130;
wire VAR29 = (VAR100 == "VAR6") ? ~VAR4 : VAR41;
assign VAR105[3] = VAR29 & VAR166;
end else begin
assign VAR105[3] = 1'b0;
end endgenerate
generate
if(VAR53 == "VAR21")begin
reg[1:0] VAR16 ;
reg[1:0] VAR69 ;
reg[1:0] VAR33 ;
always@(posedge clk) begin
end
if((VAR167 == 4) && (VAR91 > 1 )) begin:VAR24
assign VAR104[0] = VAR105[1] | VAR16[0] | VAR69[0];
assign VAR104[1] = VAR105[3] | VAR16[1] | VAR69[1];
end else if(VAR167 == 4) begin:VAR137
assign VAR104[0] = VAR105[1] | VAR16[0] ;
assign VAR104[1] = VAR105[3] | VAR16[1] ;
end else if(VAR167 == 2) begin:VAR11
assign VAR104[0] = VAR105[1] | VAR16[0] | VAR69[0] | VAR33[0] ;
assign VAR104[1] = VAR105[3] | VAR16[1] | VAR69[1] | VAR33[1] ;
end
end
endgenerate
endmodule
|
bsd-2-clause
|
tugrulyatagan/RISC-processor
|
xilinx_processor/hazard.v
| 1,802 |
module MODULE1(
input [2:0] VAR13,
input [2:0] VAR18,
input [2:0] VAR17,
input [2:0] VAR10,
input [2:0] VAR6,
input VAR4,
input VAR3,
input [2:0] VAR12,
input VAR7,
input [2:0] VAR5,
input VAR11,
output reg VAR14,
output reg VAR2,
output reg VAR8,
output reg VAR9,
output reg [1:0] VAR15,
output reg [1:0] VAR1
);
always @ begin
if ((VAR6 == VAR13) || (VAR6 == VAR18))
VAR16 <= 1;
end
else
VAR16 <= 0;
VAR14 <= VAR16 && VAR4;
VAR2 <= VAR16 && VAR4;
VAR9 <= VAR16 && VAR4;
VAR8 <= VAR11;
end
endmodule
|
gpl-2.0
|
efabless/openlane
|
designs/jpeg_encoder/src/dctub.v
| 4,690 |
module MODULE1(clk, VAR2, VAR22, VAR9, VAR8, VAR10,
VAR4, VAR24, VAR20, VAR7, VAR25, VAR14, VAR23, VAR18);
parameter VAR3 = 16;
parameter VAR5 = 8;
parameter [2:0] VAR6 = 3'h0;
input clk;
input VAR2;
input VAR22; input [2:0] VAR9, VAR8;
input [VAR5:1] VAR10; output [11:0] VAR4, VAR24, VAR20, VAR7, VAR25, VAR14, VAR23, VAR18;
VAR16 #(VAR3, VAR5, VAR6, 3'h0)
VAR21 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR4)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h1)
VAR11 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR24)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h2)
VAR15 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR20)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h3)
VAR19 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR7)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h4)
VAR13 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR25)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h5)
VAR1 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR14)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h6)
VAR12 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR23)
);
VAR16 #(VAR3, VAR5, VAR6, 3'h7)
VAR17 (
.clk(clk),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.dout(VAR18)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_gpio_ovtv2/sky130_fd_io__top_gpio_ovtv2.pp.symbol.v
| 2,930 |
module MODULE1 (
input VAR37 ,
output VAR39 ,
input VAR32 ,
output VAR27 ,
input VAR16 ,
inout VAR15 ,
inout VAR6 ,
inout VAR36 ,
inout VAR19 ,
inout VAR7 ,
inout VAR5 ,
input VAR23 ,
input VAR3 ,
input VAR40 ,
input [2:0] VAR31 ,
input VAR26 ,
input VAR33 ,
input VAR12 ,
input VAR22 ,
input VAR2,
input VAR25 ,
input VAR28 ,
input VAR9 ,
input [1:0] VAR20 ,
input VAR18 ,
input [1:0] VAR38 ,
input VAR14 ,
inout VAR35 ,
inout VAR8 ,
inout VAR29 ,
inout VAR10 ,
inout VAR30 ,
inout VAR11 ,
output VAR4 ,
input VAR24 ,
inout VAR21 ,
inout VAR34 ,
inout VAR17 ,
inout VAR1 ,
output VAR13
);
endmodule
|
apache-2.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/synth/design_1_auto_us_0.v
| 9,749 |
module MODULE1 (
VAR11,
VAR14,
VAR36,
VAR47,
VAR10,
VAR22,
VAR49,
VAR83,
VAR65,
VAR33,
VAR55,
VAR52,
VAR62,
VAR15,
VAR1,
VAR48,
VAR4,
VAR98,
VAR68,
VAR28,
VAR19,
VAR40,
VAR17,
VAR3,
VAR41,
VAR61,
VAR79,
VAR42,
VAR96,
VAR80,
VAR70,
VAR101,
VAR100,
VAR23
);
input wire VAR11;
input wire VAR14;
input wire [31 : 0] VAR36;
input wire [7 : 0] VAR47;
input wire [2 : 0] VAR10;
input wire [1 : 0] VAR22;
input wire [0 : 0] VAR49;
input wire [3 : 0] VAR83;
input wire [2 : 0] VAR65;
input wire [3 : 0] VAR33;
input wire [3 : 0] VAR55;
input wire VAR52;
output wire VAR62;
output wire [31 : 0] VAR15;
output wire [1 : 0] VAR1;
output wire VAR48;
output wire VAR4;
input wire VAR98;
output wire [31 : 0] VAR68;
output wire [7 : 0] VAR28;
output wire [2 : 0] VAR19;
output wire [1 : 0] VAR40;
output wire [0 : 0] VAR17;
output wire [3 : 0] VAR3;
output wire [2 : 0] VAR41;
output wire [3 : 0] VAR61;
output wire [3 : 0] VAR79;
output wire VAR42;
input wire VAR96;
input wire [63 : 0] VAR80;
input wire [1 : 0] VAR70;
input wire VAR101;
input wire VAR100;
output wire VAR23;
VAR5 #(
.VAR30("VAR94"),
.VAR93(0),
.VAR59(1),
.VAR102(0),
.VAR26(32),
.VAR66(32),
.VAR84(64),
.VAR35(0),
.VAR60(1),
.VAR63(0),
.VAR39(1),
.VAR53(2),
.VAR81(0),
.VAR44(16),
.VAR13(1),
.VAR43(3)
) VAR97 (
.VAR11(VAR11),
.VAR14(VAR14),
.VAR21(1'VAR74),
.VAR12(32'VAR37),
.VAR8(8'VAR87),
.VAR16(3'VAR74),
.VAR6(2'VAR31),
.VAR67(1'VAR74),
.VAR69(4'VAR74),
.VAR72(3'VAR74),
.VAR29(4'VAR74),
.VAR20(4'VAR74),
.VAR91(1'VAR74),
.VAR51(),
.VAR95(32'VAR37),
.VAR56(4'VAR46),
.VAR75(1'VAR31),
.VAR85(1'VAR74),
.VAR99(),
.VAR58(),
.VAR54(),
.VAR82(),
.VAR25(1'VAR74),
.VAR7(1'VAR74),
.VAR36(VAR36),
.VAR47(VAR47),
.VAR10(VAR10),
.VAR22(VAR22),
.VAR49(VAR49),
.VAR83(VAR83),
.VAR65(VAR65),
.VAR33(VAR33),
.VAR55(VAR55),
.VAR52(VAR52),
.VAR62(VAR62),
.VAR2(),
.VAR15(VAR15),
.VAR1(VAR1),
.VAR48(VAR48),
.VAR4(VAR4),
.VAR98(VAR98),
.VAR9(1'VAR74),
.VAR86(1'VAR74),
.VAR71(),
.VAR89(),
.VAR92(),
.VAR18(),
.VAR38(),
.VAR77(),
.VAR64(),
.VAR34(),
.VAR73(),
.VAR27(),
.VAR78(1'VAR74),
.VAR32(),
.VAR24(),
.VAR88(),
.VAR57(),
.VAR76(1'VAR74),
.VAR90(2'VAR74),
.VAR50(1'VAR74),
.VAR45(),
.VAR68(VAR68),
.VAR28(VAR28),
.VAR19(VAR19),
.VAR40(VAR40),
.VAR17(VAR17),
.VAR3(VAR3),
.VAR41(VAR41),
.VAR61(VAR61),
.VAR79(VAR79),
.VAR42(VAR42),
.VAR96(VAR96),
.VAR80(VAR80),
.VAR70(VAR70),
.VAR101(VAR101),
.VAR100(VAR100),
.VAR23(VAR23)
);
endmodule
|
gpl-3.0
|
AnAtomInTheUniverse/578_project_col_panic
|
final_verilog/src/clib/c_shift_reg.v
| 2,686 |
module MODULE1
(clk, reset, VAR14, VAR2, VAR12);
parameter VAR6 = 32;
parameter VAR15 = 2;
parameter VAR10 = VAR5;
input clk;
input reset;
input VAR14;
input [0:VAR6-1] VAR2;
output [0:VAR6-1] VAR12;
wire [0:VAR6-1] VAR12;
genvar VAR13;
wire [0:(VAR15+1)*VAR6-1] VAR7;
assign VAR7[0:VAR6-1] = VAR2;
generate
for(VAR13 = 0; VAR13 < VAR15; VAR13 = VAR13 + 1)
begin:VAR1
wire [0:VAR6-1] VAR8, VAR9;
assign VAR8 = VAR7[VAR13*VAR6:(VAR13+1)*VAR6-1];
VAR4
.VAR10(VAR10))
VAR9
(.clk(clk),
.reset(reset),
.VAR14(VAR14),
.VAR3(VAR8),
.VAR11(VAR9));
assign VAR7[(VAR13+1)*VAR6:(VAR13+2)*VAR6-1] = VAR9;
end
endgenerate
assign VAR12 = VAR7[VAR15*VAR6:(VAR15+1)*VAR6-1];
endmodule
|
gpl-2.0
|
andrewandrepowell/axiplasma
|
hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/user_design/rtl/phy/mig_7series_v4_0_ddr_phy_ocd_samp.v
| 12,567 |
module MODULE1 #
(parameter VAR38 = 4,
parameter VAR18 = 2,
parameter VAR45 = 95,
parameter VAR62 = 100,
parameter VAR63 = "VAR44")
(
VAR34, VAR50, VAR49, VAR65,
VAR26, clk, rst, VAR40,
VAR15, VAR23, VAR46, VAR24,
VAR55
);
function integer VAR17 (input integer VAR14); begin
VAR14 = VAR14 - 1;
for (VAR17=1; VAR14>1; VAR17=VAR17+1)
VAR14 = VAR14 >> 1;
end
endfunction
localparam VAR41 = 1;
localparam VAR31 = VAR38 == 2 ? 157 * 2 : 157;
localparam VAR57 = VAR38 == 2 ? 2 : 1;
localparam VAR1 = VAR38 == 2 ? 9 : 8;
localparam VAR2 = VAR63 == "VAR44" ? 50 : 1;
localparam VAR30 = VAR17(VAR18 > VAR2
? VAR18 : VAR2) + 1;
localparam integer VAR43 = VAR18 * VAR45 * 0.01;
localparam integer VAR25 = VAR43/2;
localparam integer VAR56 = VAR2 * VAR45 * 0.01;
localparam integer VAR4 = VAR56/2;
input VAR26;
wire [VAR30-1:0] VAR9 = VAR26
? VAR2[VAR30-1:0]
: VAR18[VAR30-1:0];
localparam [1:0] VAR42 = 2'b11,
VAR51 = 2'b00,
VAR32 = 2'b10,
VAR12 = 2'b01;
input clk;
input rst;
input VAR40;
input VAR15;
input [1:0] VAR23;
input VAR46;
input VAR24;
reg VAR35, VAR58;
output VAR34;
assign VAR34 = VAR58;
input VAR55;
wire VAR21 = VAR58 && VAR55;
reg [1:0] VAR33, VAR19;
reg VAR47, VAR28;
output VAR50;
assign VAR50 = VAR28;
reg [VAR1-1:0] VAR10, VAR60;
reg [VAR30-1:0] VAR16, VAR37;
reg [2:0] VAR61, VAR54;
output [2:0] VAR49;
assign VAR49 = VAR54;
reg [VAR30-1:0] VAR8, VAR27, VAR36, VAR20;
wire [VAR30-1:0] VAR7 = (VAR26
? VAR56[VAR30-1:0]
: VAR43[VAR30-1:0]);
wire [VAR30-1:0] VAR6 = (VAR26
? VAR4[VAR30-1:0]
: VAR25[VAR30-1:0]);
wire VAR64 = VAR27 >= VAR7;
wire VAR13 = VAR27 <= VAR6;
wire VAR5 = VAR20 >= VAR7;
wire VAR53 = VAR20 <= VAR6;
reg [1:0] VAR22, VAR48;
always @ begin
VAR33 = VAR19;
VAR10 = VAR60;
VAR47 = 1'b0;
VAR36 = VAR20;
VAR61 = VAR54;
VAR35 = VAR58;
VAR16 = VAR37;
VAR11 = VAR3;
VAR8 = VAR27;
if (rst == 1'b1) begin
VAR11 = 1'd0;
end else
case (VAR3)
1'd0:begin
VAR33 = VAR42;
VAR10 = VAR59;
VAR36 = 'b0;
VAR8 = 'b0;
VAR61 = 3'b0;
VAR16 = VAR26 ? VAR2[VAR30-1:0]
: VAR18[VAR30-1:0];
if (VAR24) begin
VAR35 = 1'b0;
VAR11 = 1'd1;
VAR47 = 1'b1;
end
end
1'd1:begin
if (VAR46) begin
case (VAR19)
VAR42 : if (~&VAR23) VAR33 = VAR23;
VAR12, VAR32 : if (~(VAR19 == VAR23 || &VAR23)) VAR33 = VAR51;
VAR51 : ;
endcase
if (~VAR39) VAR10 = VAR60 - VAR41[VAR1-1:0];
end
else begin
VAR10 = VAR59;
if (VAR52 != VAR54) VAR61 = VAR54 + 3'h1;
end
else begin
VAR61 = 3'h0;
if (VAR33 == VAR12) VAR8 = VAR27 + VAR41[VAR30-1:0];
if (VAR33 == VAR32) VAR36 = VAR20 + VAR41[VAR30-1:0];
VAR33 = VAR42;
if (~VAR29) VAR16 = VAR37 - VAR41[VAR30-1:0];
end
else VAR35 = 1'b1;
end
end
if (VAR35) VAR11 = 1'd0;
else VAR47 = ~VAR26 && VAR39;
end
end
endcase end
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/dram_k7_mig11/mig_7series_v1_1/user_design/rtl/phy/phy_top.v
| 39,905 |
module MODULE1 #
(
parameter VAR222 = 100, parameter VAR256 = "0", parameter VAR121 = 3, parameter VAR94 = "8", parameter VAR122 = "VAR140", parameter VAR166 = 1, parameter VAR258 = 5,
parameter VAR167 = 12, parameter VAR79 = 1, parameter VAR36 = 1, parameter VAR74 = 5,
parameter VAR164 = 8, parameter VAR16 = 64, parameter VAR2 = 3, parameter VAR180 = 8, parameter VAR260 = "VAR4",
parameter VAR156 = 8, parameter VAR7 = "VAR64",
parameter VAR151 = 4'hc,
parameter VAR291 = 4'hf,
parameter VAR182 = 4'hf,
parameter VAR69 = 4'hf,
parameter VAR179 = 4'hf,
parameter VAR43 = 4'b1111,
parameter VAR181 = 4'b0000,
parameter VAR31 = 4'b0000,
parameter VAR51 = 4'b0000,
parameter VAR206 = 4'b0000,
parameter VAR115 = 48'h000000000000,
parameter VAR154 = 48'h000000000000,
parameter VAR205 = 48'h000000000000,
parameter VAR101
= 144'h000000000000000000000000000000000000,
parameter VAR288
= 192'h000000000000000000000000000000000000000000000000,
parameter VAR162 = 36'h000000000,
parameter VAR80 = 12'h000,
parameter VAR244 = 8'h00,
parameter VAR212 = 120'h000000000000000000000000000000,
parameter VAR225 = 12'h000,
parameter VAR289 = 12'h000,
parameter VAR246 = 12'h000,
parameter VAR42
= 144'h000000000000000000000000000000000000,
parameter VAR238 = 96'h000000000000000000000000,
parameter VAR175 = 96'h000000000000000000000000,
parameter VAR200 = 96'h000000000000000000000000,
parameter VAR92 = 96'h000000000000000000000000,
parameter VAR65 = 96'h000000000000000000000000,
parameter VAR46 = 96'h000000000000000000000000,
parameter VAR191 = 96'h000000000000000000000000,
parameter VAR251 = 96'h000000000000000000000000,
parameter VAR44 = 96'h000000000000000000000000,
parameter VAR220 = 96'h000000000000000000000000,
parameter VAR49 = 96'h000000000000000000000000,
parameter VAR150 = 96'h000000000000000000000000,
parameter VAR90 = 96'h000000000000000000000000,
parameter VAR78 = 96'h000000000000000000000000,
parameter VAR82 = 96'h000000000000000000000000,
parameter VAR240 = 96'h000000000000000000000000,
parameter VAR217 = 96'h000000000000000000000000,
parameter VAR169 = 96'h000000000000000000000000,
parameter VAR133 = 108'h000000000000000000000000000,
parameter VAR95 = 108'h000000000000000000000000000,
parameter VAR104 = 2, parameter VAR176 = 1, parameter VAR254 = "VAR201",
parameter VAR34 = "VAR273",
parameter VAR113 = "VAR193", parameter VAR274 = "VAR111", parameter VAR165 = "VAR193", parameter VAR268 = "60", parameter VAR86 = "120", parameter VAR22 = 2500, parameter VAR139 = 110000, parameter VAR163 = "VAR207", parameter VAR135 = "VAR193", parameter VAR38 = "VAR193", parameter VAR120 = 4,
parameter VAR128 = 16, parameter [7:0] VAR72 = 8'b00000000,
parameter VAR188 = 16'h0000, parameter VAR102 = 12'h000, parameter VAR284 = 3'h0, parameter VAR195 = "VAR193",
parameter VAR137 = "VAR109", parameter VAR208 = "VAR266", parameter VAR267 = 200.0, parameter VAR66 = 1, parameter VAR227 = 1, parameter VAR138 = 0 )
(
input clk, input VAR287, input VAR243, input VAR233, input VAR52, input VAR85, input VAR55,
input VAR152,
input VAR103,
input VAR223,
input VAR170,
input [VAR2-1:0] VAR241,
input rst,
input [7:0] VAR187,
input [7:0] VAR204,
input [VAR104-1:0] VAR239,
input [VAR104-1:0] VAR20,
input [VAR104-1:0] VAR262,
input [VAR104*VAR128-1:0] VAR9,
input [VAR104*VAR121-1:0] VAR280,
input [VAR79*VAR176*VAR104-1:0] VAR110,
input VAR185,
input [3:0] VAR174,
input [3:0] VAR247,
input VAR269,
input VAR60,
input [2:0] VAR235,
input [5:0] VAR290,
input [1:0] VAR48,
input VAR213,
input [2*VAR104*VAR16-1:0] VAR61,
input [2*VAR104*(VAR16/8)-1:0] VAR23,
output [VAR128-1:0] VAR134,
output [VAR121-1:0] VAR153,
output VAR59,
output [VAR166-1:0] VAR143,
output [VAR166-1:0] VAR35,
output [VAR36-1:0] VAR178,
output [VAR79*VAR176-1:0] VAR160,
output [VAR164-1:0] VAR47,
output [VAR120-1:0] VAR255,
output VAR96,
output VAR272,
output VAR93,
output VAR184,
inout [VAR16-1:0] VAR67,
inout [VAR180-1:0] VAR249,
inout [VAR180-1:0] VAR202,
output [255:0] VAR224,
output [5*VAR180-1:0] VAR116,
output [5*VAR180-1:0] VAR270,
output [255:0] VAR242,
output [15:0] VAR277,
output [VAR180-1:0] VAR257,
output [4*VAR16-1:0] VAR259,
output [1:0] VAR117,
output [1:0] VAR171,
output [1:0] VAR286,
output [4:0] VAR12,
output VAR282,
output VAR147,
output VAR234,
output VAR211,
output VAR106,
output [6*VAR120-1:0] VAR218,
output VAR30,
output [2*VAR104*VAR16-1:0] VAR281
);
localparam VAR41 = 1 + (|VAR72 ? 1 : 0);
localparam VAR155 = VAR22 * VAR104;
localparam VAR13
= ((VAR195 == "VAR19") ||
(VAR195 == "VAR292")) ?
"VAR109" : VAR137;
localparam VAR75
= (VAR195 == "VAR19") ? "VAR71" :
((VAR195 == "VAR292") ? "VAR83" : VAR208);
localparam VAR145
= (VAR195 == "VAR19") ? "VAR193" : VAR135;
localparam VAR53 = (VAR206 != 0 ? 5 : (VAR51 != 0 ? 4 :
(VAR31 != 0 ? 3 :
(VAR181 != 0 ? 2 : 1))));
localparam VAR3 = VAR43[3] ? 4 : VAR43[2] ? 3 :
VAR43[1] ? 2 : VAR43[0] ? 1 :
0;
localparam VAR32 = VAR181[3] ? 4 : VAR181[2] ? 3 :
VAR181[1] ? 2 : VAR181[0] ? 1 :
0;
localparam VAR1 = VAR31[3] ? 4 : VAR31[2] ? 3 :
VAR31[1] ? 2 : VAR31[0] ? 1 :
0;
localparam VAR136 = VAR51[3] ? 4 : VAR51[2] ? 3 :
VAR51[1] ? 2 : VAR51[0] ? 1 :
0;
localparam VAR11 = VAR206[3] ? 4 : VAR206[2] ? 3 :
VAR206[1] ? 2 : VAR206[0] ? 1 :
0;
localparam VAR279 =
(VAR11 != 0) ? (VAR11+16) :
((VAR136 != 0) ? (VAR136 + 12) :
((VAR1 != 0) ? (VAR1 + 8) :
((VAR32 != 0) ? (VAR32 + 4) :
VAR3)));
localparam VAR271 = ((0+(!VAR151[0]) & VAR43[0]) +
(0+(!VAR151[1]) & VAR43[1]) +
(0+(!VAR151[2]) & VAR43[2]) +
(0+(!VAR151[3]) & VAR43[3])) +
((0+(!VAR291[0]) & VAR181[0]) +
(0+(!VAR291[1]) & VAR181[1]) +
(0+(!VAR291[2]) & VAR181[2]) +
(0+(!VAR291[3]) & VAR181[3])) +
((0+(!VAR182[0]) & VAR31[0]) +
(0+(!VAR182[1]) & VAR31[1]) +
(0+(!VAR182[2]) & VAR31[2]) +
(0+(!VAR182[3]) & VAR31[3])) +
((0+(!VAR69[0]) & VAR51[0]) +
(0+(!VAR69[1]) & VAR51[1]) +
(0+(!VAR69[2]) & VAR51[2]) +
(0+(!VAR69[3]) & VAR51[3])) +
((0+(!VAR179[0]) & VAR206[0]) +
(0+(!VAR179[1]) & VAR206[1]) +
(0+(!VAR179[2]) & VAR206[2]) +
(0+(!VAR179[3]) & VAR206[3]));
wire [VAR279*80-1:0] VAR98;
wire [VAR279*80-1:0] VAR215;
wire [(VAR279*12)-1:0] VAR173;
wire [(((VAR279+3)/4)*4)-1:0] VAR105;
wire [1:0] VAR87;
wire VAR5;
wire VAR186;
wire VAR265;
wire VAR21;
wire VAR210;
wire VAR203;
wire VAR63;
wire VAR89;
wire [5:0] VAR231;
wire VAR158;
wire [VAR53-1:0] VAR77;
wire VAR252;
wire VAR263;
wire VAR144;
wire VAR216;
wire VAR146;
wire VAR248;
wire VAR172;
wire VAR228;
wire [5:0] VAR264;
wire VAR29;
wire VAR28;
wire VAR88;
wire VAR132;
wire VAR24;
wire VAR230;
wire [8:0] VAR275;
wire [2*VAR104*VAR16-1:0] VAR285;
reg [VAR104-1:0] VAR84;
wire [VAR104*VAR128-1:0] VAR198;
wire [VAR104*VAR121-1:0] VAR73;
wire [VAR79*VAR176*VAR104-1:0] VAR40;
wire [VAR104-1:0] VAR197;
wire [VAR104-1:0] VAR161;
wire [VAR104-1:0] VAR177;
wire VAR261;
wire [3:0] VAR168;
wire [3:0] VAR183;
wire VAR232;
wire VAR209;
wire VAR189;
wire [2:0] VAR190;
wire [1:0] VAR14;
wire [5:0] VAR237;
wire [1:0] VAR27;
wire [VAR104*VAR128-1:0] VAR26;
wire [3:0] VAR81;
wire [3:0] VAR119;
wire [VAR104*VAR121-1:0] VAR199;
wire [2:0] VAR157;
wire VAR58;
wire [VAR79*VAR176*VAR104-1:0] VAR8;
wire VAR127;
wire [5:0] VAR91;
wire [VAR104-1:0] VAR99;
wire [VAR104-1:0] VAR108;
wire [1:0] VAR25;
wire VAR283;
wire [VAR104-1:0] VAR148;
wire [2*VAR104*VAR16-1:0] VAR68;
wire [2*VAR104*(VAR16/8)-1:0] VAR229;
wire VAR221;
wire VAR15;
wire [2*VAR104*VAR16-1:0] VAR276;
wire VAR126;
reg VAR17;
reg [2*VAR104*VAR16-1:0] VAR33;
assign VAR35 = VAR87[0];
assign VAR143 = VAR87[1];
assign VAR272 = VAR283;
assign VAR68 = (VAR15) ? VAR61 : VAR285;
assign VAR229 = (VAR15) ? VAR23 : 'b0;
assign VAR26 = (VAR15) ? VAR9 : VAR198;
assign VAR199 = (VAR15) ? VAR280 : VAR73;
assign VAR8 = (VAR15) ? VAR110 : VAR40;
assign VAR99 = (VAR15) ? VAR239 : VAR197;
assign VAR108 = (VAR15) ? VAR20 : VAR161;
assign VAR148 = (VAR15) ? VAR262 : VAR177;
assign VAR283 = (VAR15) ? VAR185 : VAR261;
assign VAR81 = (VAR15) ? VAR174 : VAR168;
assign VAR119 = (VAR15) ? VAR247 : VAR183;
assign VAR58 = (VAR15) ? VAR269 :
VAR209;
assign VAR127 = (VAR15) ? VAR60 :
VAR232;
assign VAR221 = (VAR15) ? VAR213 :
VAR189;
assign VAR157 = (VAR15) ? VAR235 : VAR190;
assign VAR91 = (VAR15) ? VAR290 :
VAR237;
assign VAR25 = (VAR15) ? VAR48 :
VAR27;
assign VAR106 = VAR15;
generate
if ((VAR260 == "VAR4") && (VAR165 == "VAR201")) begin: VAR100
if (VAR104 == 4) begin
always @(posedge clk) begin
VAR99[3], VAR148[3]});
end
always @ begin
VAR84[1] = (^{VAR26[0], VAR199[0], VAR108[0],
VAR99[0], VAR148[0]});
end
end
end else begin: VAR123
if (VAR104 == 4) begin
always @(posedge clk) begin
end
end else begin
always @(posedge clk) begin
end
end
end
endgenerate
generate
if(VAR138 == 1)begin:VAR130
always @(posedge clk)begin
end end else begin : VAR10 always @(VAR126 or VAR276)begin
VAR17 = VAR126;
VAR33 = VAR276;
end
end
endgenerate
assign VAR30 = VAR17;
assign VAR281 = VAR33;
VAR142 #
(
.VAR222 (VAR222),
.VAR22 (VAR22),
.VAR34 (VAR34),
.VAR104 (VAR104),
.VAR176 (VAR176),
.VAR121 (VAR121),
.VAR36 (VAR36),
.VAR79 (VAR79),
.VAR164 (VAR164),
.VAR16 (VAR16),
.VAR2 (VAR2),
.VAR180 (VAR180),
.VAR120 (VAR120),
.VAR165 (VAR165),
.VAR128 (VAR128),
.VAR66 (VAR66),
.VAR227 (VAR227),
.VAR113 (VAR113),
.VAR7 (VAR7),
.VAR151 (VAR151),
.VAR291 (VAR291),
.VAR182 (VAR182),
.VAR69 (VAR69),
.VAR179 (VAR179),
.VAR43 (VAR43),
.VAR181 (VAR181),
.VAR31 (VAR31),
.VAR51 (VAR51),
.VAR206 (VAR206),
.VAR115 (VAR115),
.VAR154 (VAR154),
.VAR205 (VAR205),
.VAR53 (VAR53),
.VAR279 (VAR279),
.VAR101 (VAR101),
.VAR288 (VAR288),
.VAR162 (VAR162),
.VAR80 (VAR80),
.VAR244 (VAR244),
.VAR212 (VAR212),
.VAR225 (VAR225),
.VAR289 (VAR289),
.VAR246 (VAR246),
.VAR42 (VAR42),
.VAR238 (VAR238),
.VAR175 (VAR175),
.VAR200 (VAR200),
.VAR92 (VAR92),
.VAR65 (VAR65),
.VAR46 (VAR46),
.VAR191 (VAR191),
.VAR251 (VAR251),
.VAR44 (VAR44),
.VAR220 (VAR220),
.VAR49 (VAR49),
.VAR150 (VAR150),
.VAR90 (VAR90),
.VAR78 (VAR78),
.VAR82 (VAR82),
.VAR240 (VAR240),
.VAR217 (VAR217),
.VAR169 (VAR169),
.VAR133 (VAR133),
.VAR95 (VAR95)
)
VAR192
(
.rst (rst),
.clk (clk),
.VAR243 (VAR243),
.VAR233 (VAR233),
.VAR52 (VAR52),
.VAR85 (VAR85),
.VAR159 (VAR287),
.VAR141 (VAR58),
.VAR129 (VAR221),
.VAR196 ({7'd0, VAR14, VAR91,
VAR25, 3'd0, VAR81,
5'd0, VAR157}),
.VAR37 (VAR127),
.VAR226 (VAR81),
.VAR131 (VAR119),
.VAR210 (VAR210),
.VAR186 (VAR186),
.VAR265 (VAR265),
.VAR21 (VAR21),
.VAR87 (VAR87),
.VAR5 (VAR5),
.VAR203 (VAR203),
.VAR63 (VAR63),
.VAR50 (VAR24),
.VAR114 (VAR88),
.VAR57 (VAR132),
.VAR97 (VAR28),
.VAR245 (VAR230),
.VAR112 (VAR29),
.VAR45 (VAR275),
.VAR250 (VAR89),
.VAR278 (VAR248),
.VAR214 (VAR172),
.VAR124 (VAR228),
.VAR54 (VAR264),
.VAR252 (VAR252),
.VAR263 (VAR263),
.VAR194 (VAR144),
.VAR216 (VAR216),
.VAR146 (VAR146),
.VAR15 (VAR15),
.VAR231 (VAR231),
.VAR158 (VAR158),
.VAR77 (VAR77),
.VAR26 (VAR26),
.VAR199 (VAR199),
.VAR8 (VAR8),
.VAR99 (VAR99),
.VAR108 (VAR108),
.VAR148 (VAR148),
.VAR219 (VAR84),
.VAR68 (VAR68),
.VAR229 (VAR229),
.VAR107 (VAR276),
.VAR134 (VAR134),
.VAR153 (VAR153),
.VAR59 (VAR59),
.VAR178 (VAR178),
.VAR160 (VAR160),
.VAR47 (VAR47),
.VAR255 (VAR255),
.VAR93 (VAR93),
.VAR96 (VAR96),
.VAR184 (VAR184),
.VAR67 (VAR67),
.VAR202 (VAR202),
.VAR249 (VAR249)
);
VAR76 #
(
.VAR222 (VAR222),
.VAR104 (VAR104),
.VAR155 (VAR155),
.VAR271 (VAR271),
.VAR260 (VAR260),
.VAR149 (72),
.VAR42 (VAR42),
.VAR53 (VAR53),
.VAR279 (VAR279),
.VAR72 (VAR72),
.VAR121 (VAR121),
.VAR167 (VAR167),
.VAR176 (VAR176),
.VAR16 (VAR16),
.VAR2 (VAR2),
.VAR180 (VAR180),
.VAR156 (VAR156),
.VAR128 (VAR128),
.VAR120 (VAR120),
.VAR79 (VAR79),
.VAR36 (VAR36),
.VAR163 (VAR163),
.VAR253 ("VAR193"),
.VAR188 (VAR188),
.VAR102 (VAR102),
.VAR284 (VAR284),
.VAR256 (VAR256),
.VAR94 (VAR94),
.VAR122 (VAR122),
.VAR39 (VAR258),
.VAR70 (VAR74),
.VAR139 (VAR139),
.VAR274 (VAR274),
.VAR165 (VAR165),
.VAR268 (VAR268),
.VAR86 (VAR86),
.VAR135 (VAR145),
.VAR137 (VAR13),
.VAR208 (VAR75),
.VAR38 (VAR38)
)
VAR236
(
.clk (clk),
.rst (rst),
.VAR187 (VAR187),
.VAR204 (VAR204),
.VAR125 (VAR5),
.VAR186 (VAR186),
.VAR265 (VAR265),
.VAR21 (VAR21),
.VAR62 (VAR210),
.VAR118 (),
.VAR56 (VAR203),
.VAR18 (VAR63),
.VAR231 (VAR231),
.VAR158 (VAR158),
.VAR77 (VAR77),
.VAR232 (VAR232),
.VAR209 (VAR209),
.VAR14 (VAR14),
.VAR168 (VAR168),
.VAR183 (VAR183),
.VAR190 (VAR190),
.VAR189 (VAR189),
.VAR27 (VAR27),
.VAR237 (VAR237),
.VAR261 (VAR261),
.VAR198 (VAR198),
.VAR73 (VAR73),
.VAR40 (VAR40),
.VAR197 (VAR197),
.VAR161 (VAR161),
.VAR177 (VAR177),
.VAR285 (VAR285),
.VAR252 (VAR252),
.VAR263 (VAR263),
.VAR144 (VAR144),
.VAR216 (VAR216),
.VAR6 (VAR89),
.VAR248 (VAR248),
.VAR172 (VAR172),
.VAR228 (VAR228),
.VAR264 (VAR264),
.VAR29 (VAR29),
.VAR28 (VAR28),
.VAR88 (VAR88),
.VAR132 (VAR132),
.VAR24 (VAR24),
.VAR230 (VAR230),
.VAR275 (VAR275),
.VAR281 (VAR276),
.VAR30 (VAR126),
.VAR218 (VAR218),
.VAR106 (VAR15),
.VAR211 (VAR211),
.VAR147 (VAR147),
.VAR234 (VAR234),
.VAR12 (VAR12),
.VAR282 (VAR282),
.VAR257 (VAR257),
.VAR277 (VAR277),
.VAR286 (VAR286),
.VAR117 (VAR117),
.VAR171 (VAR171),
.VAR116 (VAR116),
.VAR270 (VAR270),
.VAR103 (VAR103),
.VAR55 (VAR55),
.VAR223 (VAR223),
.VAR152 (VAR152),
.VAR241 (VAR241),
.VAR170 (VAR170),
.VAR242 (VAR242),
.VAR224 (VAR224)
);
endmodule
|
lgpl-3.0
|
hpeng2/ECE492_Group4_Project
|
ECE_492_Project_new/video_sys/synthesis/submodules/altera_up_video_scaler_shrink.v
| 9,488 |
module MODULE1 (
clk,
reset,
VAR22,
VAR12,
VAR24,
VAR25,
VAR14,
VAR17,
VAR16,
VAR13,
VAR15,
VAR8
);
parameter VAR4 = 15; parameter VAR26 = 9; parameter VAR6 = 9;
parameter VAR3 = 640;
parameter VAR11 = 4'b0101;
parameter VAR27 = 4'b0000;
input clk;
input reset;
input [VAR4: 0] VAR22;
input VAR12;
input VAR24;
input VAR25;
input VAR14;
output VAR17;
output reg [VAR4: 0] VAR16;
output reg VAR13;
output reg VAR15;
output reg VAR8;
wire VAR9;
wire VAR7;
wire VAR19;
reg VAR21;
reg [VAR4: 0] VAR1;
reg VAR20;
reg VAR23;
reg valid;
reg [VAR26: 0] VAR10;
reg [VAR6: 0] VAR2;
reg [ 3: 0] VAR5;
reg [ 3: 0] VAR18;
always @(posedge clk)
begin
if (reset)
begin
VAR16 <= 'h0;
VAR13 <= 1'b0;
VAR15 <= 1'b0;
VAR8 <= 1'b0;
end
else if (VAR19)
begin
VAR16 <= VAR1;
VAR13 <= VAR20;
VAR15 <= VAR23;
VAR8 <= valid;
end
else if (VAR14 & VAR8)
begin
VAR16 <= 'h0;
VAR13 <= 1'b0;
VAR15 <= 1'b0;
VAR8 <= 1'b0;
end
end
always @(posedge clk)
if (reset)
VAR21 <= 1'b0;
else if (VAR7)
VAR21 <= 1'b0;
else if (VAR17)
VAR21 <= VAR21 | VAR12;
always @(posedge clk)
begin
if (reset)
begin
VAR1 <= 'h0;
VAR20 <= 1'b0;
VAR23 <= 1'b0;
valid <= 1'b0;
end
else if (VAR7)
begin
VAR1 <= VAR22;
VAR20 <= VAR12 | VAR21;
VAR23 <= VAR24;
valid <= VAR25;
end
else if (VAR17)
VAR23 <= VAR23 | VAR24;
end
always @(posedge clk)
begin
if (reset)
VAR10 <= 'h0;
end
else if (VAR17)
begin
if (VAR12 | (VAR10 == (VAR3 - 1)))
VAR10 <= 'h0;
end
else
VAR10 <= VAR10 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR2 <= 'h0;
end
else if (VAR17)
begin
if (VAR12)
VAR2 <= 'h0;
end
else if (VAR10 == (VAR3 - 1))
VAR2 <= VAR2 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR5 <= 4'b0000;
end
else if (VAR17)
begin
if (VAR12)
VAR5 <= VAR11;
end
else if (VAR10 == (VAR3 - 1))
VAR5 <= VAR11;
else
VAR5 <= {VAR5[2:0], VAR5[3]};
end
end
always @(posedge clk)
begin
if (reset)
VAR18 <= 4'b0000;
end
else if (VAR17)
begin
if (VAR12)
VAR18 <= VAR27;
end
else if (VAR10 == (VAR3 - 1))
VAR18 <= {VAR18[2:0], VAR18[3]};
end
end
assign VAR17 = VAR25 & (VAR9 | ~valid | VAR19);
assign VAR9 = VAR5[0] | VAR18[0];
assign VAR7 = VAR17 & ~VAR9;
assign VAR19 = ~VAR8 & VAR25 & ~VAR9;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a31o/sky130_fd_sc_hd__a31o.pp.symbol.v
| 1,366 |
module MODULE1 (
input VAR1 ,
input VAR8 ,
input VAR6 ,
input VAR5 ,
output VAR2 ,
input VAR9 ,
input VAR3,
input VAR7,
input VAR4
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/tapvgnd/sky130_fd_sc_hd__tapvgnd.functional.pp.v
| 1,230 |
module MODULE1 (
VAR1,
VAR3,
VAR2 ,
VAR4
);
input VAR1;
input VAR3;
input VAR2 ;
input VAR4 ;
endmodule
|
apache-2.0
|
ychaim/FPGA-Litecoin-Miner
|
experimental/LX150-SLOWEIGHT-A/salsa_sloweight.v
| 8,219 |
module MODULE1 (clk, VAR63, VAR109, VAR61, VAR28, VAR46);
input clk;
input [511:0]VAR63;
input [511:0]VAR109;
output [511:0]VAR61; output [511:0]VAR28; output [9:0] VAR46;
wire [9:0] VAR94, VAR54, VAR48, VAR65, VAR9, VAR67, VAR90, VAR49;
reg [511:0]VAR104;
reg [511:0]VAR91;
reg [511:0]VAR15;
reg [511:0]VAR20;
reg [511:0]VAR73;
reg [511:0]VAR56;
reg [511:0]VAR105;
reg [511:0]VAR28;
reg [511:0]VAR38;
reg [511:0]VAR103;
reg [511:0]VAR37;
reg [511:0]VAR85;
reg [511:0]VAR99;
reg [511:0]VAR42;
reg [511:0]VAR113;
reg [511:0]VAR112;
wire [511:0]VAR1; wire [511:0]VAR44; wire [511:0]VAR3;
wire [511:0]VAR62;
wire [511:0]VAR89;
wire [511:0]VAR40;
MODULE2 MODULE5 (clk, VAR1, VAR44, VAR94);
MODULE2 MODULE8 (clk, VAR44, VAR3, VAR54);
MODULE2 MODULE7 (clk, VAR3, VAR62, VAR48);
MODULE2 MODULE3 (clk, VAR62, VAR89, VAR65);
wire [511:0]VAR64; wire [511:0]VAR16; wire [511:0]VAR75;
wire [511:0]VAR88;
wire [511:0]VAR60;
MODULE2 MODULE2 (clk, VAR64, VAR16, VAR9);
MODULE2 MODULE4 (clk, VAR16, VAR75, VAR67);
MODULE2 MODULE6 (clk, VAR75, VAR88, VAR90);
MODULE2 MODULE1 (clk, VAR88, VAR60, VAR49);
assign VAR46 = VAR113[9:0] + VAR49;
genvar VAR45;
generate
for (VAR45 = 0; VAR45 < 16; VAR45 = VAR45 + 1) begin : VAR70
assign VAR1[VAR52(VAR45)] = VAR63[VAR52(VAR45)] ^ VAR109[VAR52(VAR45)];
assign VAR40[VAR52(VAR45)] = VAR85[VAR52(VAR45)] + VAR89[VAR52(VAR45)];
assign VAR64[VAR52(VAR45)] = VAR20[VAR52(VAR45)] ^ VAR40[VAR52(VAR45)];
assign VAR61[VAR52(VAR45)] = VAR112[VAR52(VAR45)] + VAR60[VAR52(VAR45)]; end
endgenerate
always @ (posedge clk)
begin
VAR104 <= VAR109;
VAR91 <= VAR104;
VAR15 <= VAR91;
VAR20 <= VAR15;
VAR73 <= VAR40;
VAR56 <= VAR73;
VAR105 <= VAR56;
VAR28 <= VAR105;
VAR38 <= VAR1;
VAR103 <= VAR38;
VAR37 <= VAR103;
VAR85 <= VAR37;
VAR99 <= VAR64;
VAR42 <= VAR99;
VAR113 <= VAR42;
VAR112 <= VAR113;
end
endmodule
module MODULE2 (clk, VAR1, out, VAR46);
input clk;
input [511:0]VAR1;
output reg [511:0]out; output [9:0] VAR46;
wire [31:0]VAR110; wire [31:0]VAR5;
wire [31:0]VAR27;
wire [31:0]VAR97;
wire [31:0]VAR107;
wire [31:0]VAR76;
wire [31:0]VAR114;
wire [31:0]VAR100;
wire [31:0]VAR92;
wire [31:0]VAR10;
wire [31:0]VAR34;
wire [31:0]VAR8;
wire [31:0]VAR98;
wire [31:0]VAR47;
wire [31:0]VAR84;
wire [31:0]VAR22;
wire [31:0]VAR106; wire [31:0]VAR82;
wire [31:0]VAR68;
wire [31:0]VAR14;
wire [31:0]VAR77;
wire [31:0]VAR23;
wire [31:0]VAR30;
wire [31:0]VAR86;
wire [31:0]VAR78;
wire [31:0]VAR50;
wire [31:0]VAR39;
wire [31:0]VAR2;
wire [31:0]VAR32;
wire [31:0]VAR96;
wire [31:0]VAR29;
wire [31:0]VAR59;
wire [31:0]VAR4; wire [31:0]VAR43;
wire [31:0]VAR71;
wire [31:0]VAR57;
wire [31:0]VAR19;
wire [31:0]VAR66;
wire [31:0]VAR26;
wire [31:0]VAR93;
wire [31:0]VAR35;
wire [31:0]VAR80;
wire [31:0]VAR12;
wire [31:0]VAR41;
wire [31:0]VAR72;
wire [31:0]VAR111;
wire [31:0]VAR21;
wire [31:0]VAR17;
wire [31:0]VAR115; wire [31:0]VAR13;
wire [31:0]VAR95;
wire [31:0]VAR74;
wire [31:0]VAR83;
wire [31:0]VAR24;
wire [31:0]VAR31;
wire [31:0]VAR53;
wire [31:0]VAR69;
wire [31:0]VAR51;
wire [31:0]VAR81;
wire [31:0]VAR55;
wire [31:0]VAR87;
wire [31:0]VAR79;
wire [31:0]VAR58;
wire [31:0]VAR36;
assign VAR19 = VAR1[VAR52(0)] + VAR1[VAR52(12)];
assign VAR107 = VAR1[VAR52(4)] ^ { VAR19[24:0], VAR19[31:25] };
assign VAR80 = VAR1[VAR52(5)] + VAR1[VAR52(1)];
assign VAR10 = VAR1[VAR52(9)] ^ { VAR80[24:0], VAR80[31:25] };
assign VAR21 = VAR1[VAR52(10)] + VAR1[VAR52(6)];
assign VAR84 = VAR1[VAR52(14)] ^ { VAR21[24:0], VAR21[31:25] };
assign VAR57 = VAR1[VAR52(15)] + VAR1[VAR52(11)];
assign VAR97 = VAR1[VAR52(03)] ^ { VAR57[24:0], VAR57[31:25] };
assign VAR35 = VAR107 + VAR1[VAR52(0)];
assign VAR92 = VAR1[VAR52(8)] ^ { VAR35[22:0], VAR35[31:23] };
assign VAR111 = VAR10 + VAR1[VAR52(5)];
assign VAR47 = VAR1[VAR52(13)] ^ { VAR111[22:0], VAR111[31:23] };
assign VAR71 = VAR84 + VAR1[VAR52(10)];
assign VAR27 = VAR1[VAR52(2)] ^ { VAR71[22:0], VAR71[31:23] };
assign VAR93 = VAR97 + VAR1[VAR52(15)];
assign VAR100 = VAR1[VAR52(7)] ^ { VAR93[22:0], VAR93[31:23] };
assign VAR72 = VAR92 + VAR107;
assign VAR98 = VAR1[VAR52(12)] ^ { VAR72[18:0], VAR72[31:19] };
assign VAR43 = VAR47 + VAR10;
assign VAR5 = VAR1[VAR52(1)] ^ { VAR43[18:0], VAR43[31:19] };
assign VAR26 = VAR27 + VAR84;
assign VAR114 = VAR1[VAR52(6)] ^ { VAR26[18:0], VAR26[31:19] };
assign VAR41 = VAR100 + VAR97;
assign VAR8 = VAR1[VAR52(11)] ^ { VAR41[18:0], VAR41[31:19] };
assign VAR4 = VAR98 + VAR92;
assign VAR110 = VAR1[VAR52(0)] ^ { VAR4[13:0], VAR4[31:14] };
assign VAR66 = VAR5 + VAR47;
assign VAR76 = VAR1[VAR52(5)] ^ { VAR66[13:0], VAR66[31:14] };
assign VAR12 = VAR114 + VAR27;
assign VAR34 = VAR1[VAR52(10)] ^ { VAR12[13:0], VAR12[31:14] };
assign VAR17 = VAR8 + VAR100;
assign VAR22 = VAR1[VAR52(15)] ^ { VAR17[13:0], VAR17[31:14] };
assign VAR13 = VAR110 + VAR97;
assign VAR82 = VAR5 ^ { VAR13[24:0], VAR13[31:25] };
assign VAR31 = VAR76 + VAR107;
assign VAR30 = VAR114 ^ { VAR31[24:0], VAR31[31:25] };
assign VAR55 = VAR34 + VAR10;
assign VAR2 = VAR8 ^ { VAR55[24:0], VAR55[31:25] };
assign VAR87 = VAR22 + VAR84;
assign VAR32 = VAR98 ^ { VAR87[24:0], VAR87[31:25] };
assign VAR95 = VAR82 + VAR110;
assign VAR68 = VAR27 ^ { VAR95[22:0], VAR95[31:23] };
assign VAR53 = VAR30 + VAR76;
assign VAR86 = VAR100 ^ { VAR53[22:0], VAR53[31:23] };
assign VAR69 = VAR2 + VAR34;
assign VAR78 = VAR92 ^ { VAR69[22:0], VAR69[31:23] };
assign VAR79 = VAR32 + VAR22;
assign VAR96 = VAR47 ^ { VAR79[22:0], VAR79[31:23] };
assign VAR74 = VAR68 + VAR82;
assign VAR14 = VAR97 ^ { VAR74[18:0], VAR74[31:19] };
assign VAR83 = VAR86 + VAR30;
assign VAR77 = VAR107 ^ { VAR83[18:0], VAR83[31:19] };
assign VAR51 = VAR78 + VAR2;
assign VAR50 = VAR10 ^ { VAR51[18:0], VAR51[31:19] };
assign VAR58 = VAR96 + VAR32;
assign VAR29 = VAR84 ^ { VAR58[18:0], VAR58[31:19] };
assign VAR115 = VAR14 + VAR68;
assign VAR106 = VAR110 ^ { VAR115[13:0], VAR115[31:14] };
assign VAR24 = VAR77 + VAR86;
assign VAR23 = VAR76 ^ { VAR24[13:0], VAR24[31:14] };
assign VAR81 = VAR50 + VAR78;
assign VAR39 = VAR34 ^ { VAR81[13:0], VAR81[31:14] };
assign VAR36 = VAR29 + VAR96;
assign VAR59 = VAR22 ^ { VAR36[13:0], VAR36[31:14] };
wire [511:0]VAR7; assign VAR7 = { VAR59, VAR29, VAR96, VAR32, VAR2, VAR39, VAR50, VAR78, VAR86, VAR30, VAR23, VAR77, VAR14, VAR68, VAR82, VAR106 };
assign VAR46 = VAR7[9:0];
always @ (posedge clk)
out <= VAR7;
endmodule
|
gpl-3.0
|
jz0229/open-ephys-pcie
|
kc705-host-firmware/Sources/Verilog/pll_lock_lookup.v
| 5,467 |
module MODULE1(
input clk,
input [6:0] VAR1,
output reg [39:0] VAR3
);
reg [39:0] VAR2 [0:64];
wire [5:0] addr;
begin
begin
|
mit
|
sergev/vak-opensource
|
hardware/s3esk-openrisc/or1200/or1200_pic.v
| 7,507 |
module MODULE1(
clk, rst, VAR4, VAR3, VAR15, VAR18, VAR2,
VAR7, VAR20,
VAR14
);
input clk; input rst; input VAR4; input VAR3; input [31:0] VAR15; input [31:0] VAR18; output [31:0] VAR2; output VAR7; output VAR20;
input [VAR5-1:0] VAR14;
reg [VAR5-1:2] VAR6; else
wire [VAR5-1:2] VAR6; VAR8
reg [VAR5-1:0] VAR16; else
wire [VAR5-1:0] VAR16; VAR8
wire VAR19; wire VAR9; wire [VAR5-1:0] VAR17;reg [31:0] VAR2;
assign VAR19 = (VAR4 && (VAR15[VAR12] == VAR13)) ? 1'b1 : 1'b0;
assign VAR9 = (VAR4 && (VAR15[VAR12] == VAR1)) ? 1'b1 : 1'b0;
always @(posedge clk or posedge rst)
if (rst)
VAR6 <= {1'b1, {VAR5-3{1'b0}}};
else if (VAR19 && VAR3) begin
VAR6 <= VAR18[VAR5-1:2];
end
assign VAR6 = (VAR5)'b1;
always @(posedge clk or posedge rst)
if (rst)
VAR16 <= {VAR5{1'b0}};
else if (VAR9 && VAR3) begin
VAR16 <= VAR18[VAR5-1:0] | VAR17;
end else
VAR16 <= VAR16 | VAR17;
assign VAR16 = VAR14;
always @(VAR15 or VAR6 or VAR16)
case (VAR15[VAR12]) VAR10 VAR11
VAR2[VAR5-1:0] = {VAR6, 2'b0};
VAR2[31:VAR5] = {32-VAR5{1'b0}};
end
default: begin
VAR2[VAR5-1:0] = VAR16;
VAR2[31:VAR5] = {32-VAR5{1'b0}};
end
endcase
assign VAR17 = VAR14 & {VAR6, 2'b11};
assign VAR20 = |VAR17;
assign VAR7 = VAR20;
assign VAR20 = VAR14[1] | VAR14[0];
assign VAR7= VAR20;
assign VAR2[VAR5-1:0] = VAR5'b0;
assign VAR2[31:VAR5] = 32-VAR5'b0;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/a21oi/sky130_fd_sc_hvl__a21oi.blackbox.v
| 1,338 |
module MODULE1 (
VAR6 ,
VAR7,
VAR5,
VAR3
);
output VAR6 ;
input VAR7;
input VAR5;
input VAR3;
supply1 VAR2;
supply0 VAR4;
supply1 VAR1 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
fpgasystems/caribou
|
hw/src/nukv/nukv_read_old.v
| 4,659 |
module MODULE1 #(
parameter VAR3 = 128,
parameter VAR1 = 96,
parameter VAR16 = 32,
parameter VAR19 = 20
)
(
input wire clk,
input wire rst,
input wire [VAR3+VAR1+VAR16-1:0] VAR15,
input wire VAR4,
output wire VAR25,
input wire [VAR3+VAR1+VAR16-1:0] VAR10,
input wire VAR13,
output wire VAR14,
output reg [VAR3+VAR1+VAR16-1:0] VAR5,
output reg VAR9,
input wire VAR23,
output reg [31:0] VAR18,
output reg VAR11,
input wire VAR2
);
reg VAR20;
reg VAR22;
localparam [2:0]
VAR8 = 0,
VAR6 = 3,
VAR21 = 4;
reg [2:0] state;
wire[VAR16+VAR3+VAR1-1:0] VAR12;
wire VAR24;
reg VAR7;
wire[31:0] VAR17;
assign VAR12 = (VAR22==1) ? VAR15 : VAR10;
assign VAR24 = (VAR22==1) ? VAR4 : VAR13;
assign VAR25 = (VAR22==1) ? VAR7 : 0;
assign VAR14 = (VAR22==1) ? 0 : VAR7;
assign VAR17 = (VAR22==1) ? VAR15[VAR3+VAR1+VAR16-1:VAR3+VAR1] : VAR10[VAR3+VAR1+VAR16-1:VAR3+VAR1];
wire[VAR19-1:0] addr;
assign addr = VAR17[31:32 - VAR19] ^ VAR17[VAR19-1:0];
always @(posedge clk) begin
if (rst) begin
VAR22 <= 1;
VAR20 <= 0;
state <= VAR8;
VAR7 <= 0;
VAR11 <= 0;
VAR9 <= 0;
end
else begin
if (VAR2==1 && VAR11==1) begin
VAR11 <= 0;
end
if (VAR23==1 && VAR9==1) begin
VAR9 <= 0;
end
VAR7 <= 0;
case (state)
VAR8 : begin
if (VAR23==1 && VAR2==1) begin
VAR22 <= VAR20;
VAR20 <= ~VAR20;
if (VAR20==1 && VAR4==0 && VAR13==1) begin
VAR22 <= 0;
VAR20 <= 1;
end
if (VAR20==0 && VAR4==1 && VAR13==0) begin
VAR22 <= 1;
VAR20 <= 0;
end
if (VAR22==1 && VAR4==1) begin
state <= VAR6;
end
if (VAR22==0 && VAR13==1) begin
state <= VAR6;
end
end
end
VAR6: begin
if (VAR12[VAR3+VAR1-4]==1) begin
end else begin
VAR18 <= addr;
VAR11 <= 1;
VAR18[31:VAR19] <= 0;
end
state <= VAR21;
VAR5 <= VAR12;
VAR5[VAR3+VAR1+VAR16-1:VAR3+VAR1] <= addr;
VAR7 <= 1;
end
VAR21: begin
if (VAR23==1) begin
VAR9 <= 1;
state <= VAR8;
end
end
endcase
end
end
endmodule
|
gpl-3.0
|
freecores/eco32
|
fpga/src/dsk/atactrl.v
| 15,192 |
module MODULE1 (
input clk, reset,
input VAR58, VAR43,
input [19:2] VAR33,
input [31:0] VAR46,
output [31:0] VAR49,
output VAR51,
output VAR68,
inout [15:0] VAR28,
output [2:0] VAR10,
output VAR13, VAR24,
output VAR52, VAR2,
input VAR29,
input VAR12,
output VAR22,
input VAR18
);
reg VAR19, VAR47;
reg [3:0] VAR38;
wire VAR15;
wire [31:0] VAR50;
wire VAR6;
wire [11:1] VAR35;
wire [15:0] VAR3;
wire [15:0] VAR16;
wire VAR23;
wire VAR40;
reg VAR11;
VAR7 VAR37 (
.clk (clk),
.VAR45 (VAR40),
.VAR33 (VAR33 [11:2]),
.VAR46 (VAR46),
.VAR49 (VAR50),
.VAR9 (VAR6),
.VAR60 (VAR35),
.VAR8 (VAR3),
.VAR48 (VAR16)
);
assign VAR23 = VAR33 [19];
assign VAR15 = VAR58 & !VAR11;
assign VAR40 = VAR58 & VAR43 &
VAR23 & !VAR11;
wire VAR39;
wire [31:0] VAR62;
reg [31:0] VAR61;
reg [27:0] VAR66;
reg [3:0] VAR54;
wire VAR55;
reg VAR5, VAR21, VAR57;
reg VAR31, VAR14;
wire VAR65;
assign VAR65 = !VAR33[19];
assign VAR39 = 0;
assign VAR62 =
(VAR33 [3:2] == 2'b00) ? {VAR12, 25'd0,
VAR14, VAR31,
VAR57, VAR21,
VAR5, 1'b0} :
(VAR33 [3:2] == 2'b01) ? { 28'd0, VAR54 } :
(VAR33 [3:2] == 2'b10) ? { 4'd0, VAR66 } :
VAR61;
reg VAR59, VAR4;
wire VAR41;
reg [3:0] VAR42;
reg [15:0] VAR44;
wire [15:0] VAR25;
assign VAR22 = 1'b1;
VAR36 VAR26 (
.clk(clk),
.reset(reset),
.VAR58(VAR59),
.VAR43(VAR4),
.VAR33(VAR42),
.VAR46(VAR44),
.VAR49(VAR25),
.VAR51(VAR41),
.VAR28(VAR28),
.VAR10(VAR10),
.VAR13(VAR13),
.VAR24(VAR24),
.VAR52(VAR52),
.VAR2(VAR2),
.VAR18(VAR18)
);
assign VAR49 = VAR33 [19] ? VAR50 : VAR62;
assign VAR51 = VAR33 [19] ? VAR15 : VAR39;
assign VAR68 = VAR5 & VAR31;
reg [4:0] state;
reg [7:0] VAR1;
reg [2:0] VAR63;
wire VAR20;
assign VAR55 = (state == 5'd8);
assign VAR20 = VAR58 & VAR43 &
(!VAR33 [19]) & (VAR33 [3:2] == 2'b00) &
VAR46 [0];
assign VAR6 = (state == 5'd16) & (!VAR41);
assign VAR35 = {VAR63, VAR1 [7:0]};
assign VAR3 = VAR25;
always @(posedge clk) begin
if (reset) begin
VAR47 <= 1'b0;
VAR19 <= 1'b0;
VAR38 <= 4'b0;
VAR11 <= 1'b0;
VAR61 <= 32'd0;
VAR66 <= 32'd0;
VAR54 <= 4'b0000;
VAR5 <= 1'b0;
VAR21 <= 1'b0;
VAR57 <= 1'b0;
VAR31 <= 1'b0;
VAR14 <= 1'b0;
VAR59 <= 1'b0;
VAR4 <= 1'b0;
VAR42 <= 32'd0;
VAR44 <= 16'd0;
state <= 5'd0;
VAR1 <= 8'd0;
VAR63 <= 3'd0;
end else begin
if (VAR29 == VAR47) begin
if (VAR38 == 4'd0) begin
VAR19 <= VAR29;
end else begin
VAR38 <= VAR38 - 1;
end
end else begin
VAR38 <= 4'd10;
end
VAR47 <= VAR29;
if (VAR58)
VAR11 <= !VAR11;
end
else
VAR11 <= 1'b0;
if (VAR58 & VAR43 & VAR65) begin
if (VAR33 [3:2] == 2'b00) begin
VAR31 <= VAR46 [4];
if (VAR55)
VAR21 <= VAR46 [2];
VAR5 <= VAR46 [1];
end
else if (VAR33 [3:2] == 2'b01 & VAR55) begin
VAR54 <= VAR46 [3:0];
end
else if (VAR33 [3:2] == 2'b10 & VAR55) begin
VAR66 <= VAR46 [27:0];
end
end
if (!VAR41) begin
case (state)
5'd0: begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR53;
state <= 5'd1;
end
5'd1: begin
if (VAR25 [7:6] == 2'b01) begin
VAR4 <= 1'b1;
VAR42 <= VAR17;
VAR44 <= 8'b11100000;
state <= 5'd2;
end else begin
end
end
5'd2: begin
VAR4 <= 1'b1;
VAR42 <= VAR67;
VAR44 <= 16'h00ec;
state <= 5'd3;
end
5'd3: begin
if (VAR19) begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
VAR1 <= 8'd60;
state <= 5'd4;
end else begin
VAR59 <= 1'b0;
VAR4 <= 1'b0;
end
end
5'd4: begin
VAR4 <= 1'b0;
VAR42 <= VAR56;
if (VAR1 == 0) state <= 5'd5;
end
else VAR1 <= VAR1 - 1;
end
5'd5: begin
VAR4 <= 1'b0;
VAR42 <= VAR56;
VAR61 [15:0] <= VAR25;
state <= 5'd6;
end
5'd6: begin
VAR61 [31:16] <= VAR25;
state <= 5'd7;
VAR4 <= 1'b0;
VAR42 <= VAR56;
VAR1 <= 8'd193; end
5'd7: begin
if (VAR1 == 0) begin
VAR59 <= 1'b0;
VAR4 <= 1'b0;
state <= 5'd8;
VAR14 <= 1'b1;
end else begin
VAR1 <= VAR1 - 1;
end
end
5'd8: begin
if (VAR20) begin
state <= 5'd19;
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
end else begin
VAR59 <= 1'b0;
end
end
5'd19: begin
if (VAR25[7] == 0) begin
state <= 5'd9;
VAR59 <= 1'b1;
VAR4 <= 1'b1;
VAR42 <= VAR17;
VAR44 <=
{ 8'd0, 4'b1110, VAR66 [27:24] };
VAR63 <= 3'd0;
end else begin
end
end
5'd9: begin
VAR42 <= VAR27;
VAR44 <= { 8'd0, VAR66 [23:16] };
state <= 5'd10;
end
5'd10: begin
VAR42 <= VAR32;
VAR44 <= { 8'd0, VAR66 [15:8] };
state <= 5'd11;
end
5'd11: begin
VAR42 <= VAR34;
VAR44 <= { 8'd0, VAR66 [7:0] };
state <= 5'd12;
end
5'd12: begin
VAR42 <= VAR30;
VAR44 <= { 8'd0, 4'd0, VAR54 };
state <= 5'd13;
end
5'd13: begin
VAR42 <= VAR67;
VAR44 <= VAR21 ? 16'h30 : 16'h20;
state <= 5'd14;
end
5'd14: begin
if (VAR21) begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
state <= 5'd17;
VAR1 <= 8'd0;
end else begin
if (VAR19) begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
state <= 5'd15;
end else begin
VAR59 <= 1'b0;
end
end
end
5'd15: begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR56;
VAR1 <= 8'd0;
state <= 5'd16;
end
5'd16: begin
if (VAR1 == 8'd255) begin
if (VAR54 == 4'b0001) begin
VAR59 <= 1'b0;
state <= 5'd8;
VAR57 <= 1'b0;
VAR31 <= 1'b1;
end else begin
if (VAR19) begin
VAR54 <= VAR54 - 1;
VAR63 <= VAR63 + 1;
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
state <= 5'd15;
end else begin
VAR59 <= 1'b0;
end
end
end else begin
VAR1 <= VAR1 + 1;
end
end
5'd17: begin
if (VAR25[7] == 0 && VAR25[3] == 1) begin
VAR59 <= 1'b1;
VAR4 <= 1'b1;
VAR42 <= VAR56;
VAR44 <= VAR16;
VAR1 <= VAR1 + 1;
state <= 5'd18;
end else begin
end
end
5'd18: begin
if (VAR1 == 8'd0) begin
if (VAR19) begin
VAR59 <= 1'b1;
VAR4 <= 1'b0;
VAR42 <= VAR64;
if (VAR54 == 4'b0001) begin
state <= 5'd8;
VAR57 <= 1'b0;
VAR31 <= 1'b1;
end else begin
VAR54 <= VAR54 - 1;
VAR63 <= VAR63 + 1;
state <= 5'd17;
end
end else begin
VAR59 <= 1'b0;
end
end else begin
VAR44 <= VAR16;
VAR1 <= VAR1 + 1;
end
end
endcase
end else begin
end
end
end
endmodule
|
bsd-2-clause
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/up_axis_dma_rx.v
| 9,218 |
module MODULE1 (
VAR35,
VAR43,
VAR12,
VAR38,
VAR17,
VAR54,
VAR34,
VAR26,
VAR3,
VAR30,
VAR10,
VAR42,
VAR56,
VAR47,
VAR8,
VAR44,
VAR24,
VAR21,
VAR49);
parameter VAR51 = 32'h00050062;
parameter VAR31 = 0;
input VAR35;
output VAR43;
input VAR12;
output VAR38;
output VAR17;
output VAR54;
output [31:0] VAR34;
input VAR26;
input VAR3;
input VAR30;
input [31:0] VAR10;
input VAR42;
input VAR56;
input VAR47;
input VAR8;
input [13:0] VAR44;
input [31:0] VAR24;
output [31:0] VAR21;
output VAR49;
reg [31:0] VAR7 = 'd0;
reg VAR13 = 'd0;
reg VAR39 = 'd0;
reg VAR5 = 'd0;
reg [31:0] VAR37 = 'd0;
reg VAR49 = 'd0;
reg [31:0] VAR21 = 'd0;
reg VAR32 = 'd0;
reg VAR19 = 'd0;
reg VAR36 = 'd0;
reg VAR17 = 'd0;
reg VAR54 = 'd0;
reg [31:0] VAR34 = 'd0;
reg [ 5:0] VAR50 = 'd0;
reg VAR11 = 'd0;
reg VAR22 = 'd0;
reg VAR14 = 'd0;
reg VAR25 = 'd0;
reg VAR53 = 'd0;
reg VAR41 = 'd0;
reg VAR20 = 'd0;
reg VAR9 = 'd0;
reg VAR48 = 'd0;
reg VAR15 = 'd0;
reg VAR1 = 'd0;
reg VAR4 = 'd0;
reg VAR29 = 'd0;
reg VAR6 = 'd0;
wire VAR55;
wire VAR57;
wire VAR33;
wire VAR45;
assign VAR55 = (VAR44[13:8] == 6'h00) ? VAR47 : 1'b0;
assign VAR57 = VAR55 & VAR8;
assign VAR33 = ~VAR13;
always @(negedge VAR42 or posedge VAR56) begin
if (VAR42 == 0) begin
VAR7 <= 'd0;
VAR13 <= 'd0;
VAR39 <= 'd0;
VAR5 <= 'd0;
VAR37 <= 'd0;
end else begin
if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h02)) begin
VAR7 <= VAR24;
end
if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h10)) begin
VAR13 <= VAR24[0];
end
if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h20)) begin
VAR39 <= VAR24[1];
VAR5 <= VAR24[0];
end
if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h21)) begin
VAR37 <= VAR24;
end
end
end
always @(negedge VAR42 or posedge VAR56) begin
if (VAR42 == 0) begin
VAR49 <= 'd0;
VAR21 <= 'd0;
end else begin
VAR49 <= VAR55;
if (VAR55 == 1'b1) begin
case (VAR44[7:0])
8'h00: VAR21 <= VAR51;
8'h01: VAR21 <= VAR31;
8'h02: VAR21 <= VAR7;
8'h10: VAR21 <= {31'd0, VAR13};
8'h20: VAR21 <= {30'd0, VAR39, VAR5};
8'h21: VAR21 <= VAR37;
8'h22: VAR21 <= {29'd0, VAR1, VAR4, VAR6};
8'h23: VAR21 <= VAR10;
default: VAR21 <= 0;
endcase
end else begin
VAR21 <= 32'd0;
end
end
end
VAR2 #(.VAR40(1'b1)) VAR27 (
.VAR16 (1'b1),
.VAR46 (1'b0),
.VAR28 (VAR33),
.VAR52 (VAR35),
.VAR23 (VAR43));
VAR2 #(.VAR40(1'b1)) VAR18 (
.VAR16 (1'b1),
.VAR46 (1'b0),
.VAR28 (VAR33),
.VAR52 (VAR12),
.VAR23 (VAR38));
always @(posedge VAR12) begin
if (VAR38 == 1'b1) begin
VAR32 <= 'd0;
VAR19 <= 'd0;
VAR36 <= 'd0;
end else begin
VAR32 <= VAR5;
VAR19 <= VAR32;
VAR36 <= VAR19;
end
VAR17 <= VAR19 & ~VAR36;
if ((VAR19 == 1'b1) && (VAR36 == 1'b0)) begin
VAR54 <= VAR39;
VAR34 <= VAR37;
end
end
always @(posedge VAR12) begin
VAR50 <= VAR50 + 1'b1;
if (VAR50 == 6'd0) begin
VAR11 <= ~VAR11;
VAR22 <= VAR25;
VAR14 <= VAR53;
end
if (VAR50 == 6'd0) begin
VAR25 <= VAR26;
VAR53 <= VAR3;
end else begin
VAR25 <= VAR25 | VAR26;
VAR53 <= VAR53 | VAR3;
end
end
assign VAR45 = VAR20 ^ VAR9;
always @(negedge VAR42 or posedge VAR56) begin
if (VAR42 == 0) begin
VAR41 <= 'd0;
VAR20 <= 'd0;
VAR9 <= 'd0;
VAR48 <= 'd0;
VAR15 <= 'd0;
VAR1 <= 'd0;
VAR4 <= 'd0;
end else begin
VAR41 <= VAR11;
VAR20 <= VAR41;
VAR9 <= VAR20;
if (VAR45 == 1'b1) begin
VAR48 <= VAR22;
VAR15 <= VAR14;
end
if (VAR48 == 1'b1) begin
VAR1 <= 1'b1;
end else if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h22)) begin
VAR1 <= VAR1 & ~VAR24[2];
end
if (VAR15 == 1'b1) begin
VAR4 <= 1'b1;
end else if ((VAR57 == 1'b1) && (VAR44[7:0] == 8'h22)) begin
VAR4 <= VAR4 & ~VAR24[1];
end
end
end
always @(negedge VAR42 or posedge VAR56) begin
if (VAR42 == 0) begin
VAR29 <= 'd0;
VAR6 <= 'd0;
end else begin
VAR29 <= VAR30;
VAR6 <= VAR29;
end
end
endmodule
|
mit
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/rtl/vlog/core/coreahblite_addrdec.v
| 6,668 |
module MODULE1 #(
parameter [2:0]VAR27 = 0,
parameter [0:0]VAR11 = 1,
parameter [15:0]VAR8 = 0,
parameter [16:0]VAR17 = (2**17)-1
)
(
input [31:0] VAR12,
input VAR20,
output wire [16:0] VAR26,
output wire [31:0] VAR9,
output wire VAR22
);
localparam VAR13 = (VAR27 == 1) ? 31 :
(VAR27 == 2) ? 27 :
(VAR27 == 3) ? 23 :
(VAR27 == 4) ? 19 :
(VAR27 == 5) ? 15 :
(VAR27 == 6) ? 11 :
31 ;
localparam VAR25 = 16'b0000000000000001;
localparam VAR6 = 16'b0000000000000010;
localparam VAR35 = 16'b0000000000000100;
localparam VAR32 = 16'b0000000000001000;
localparam VAR36 = 16'b0000000000010000;
localparam VAR21 = 16'b0000000000100000;
localparam VAR33 = 16'b0000000001000000;
localparam VAR23 = 16'b0000000010000000;
localparam VAR10 = 16'b0000000100000000;
localparam VAR28 = 16'b0000001000000000;
localparam VAR2 = 16'b0000010000000000;
localparam VAR18 = 16'b0000100000000000;
localparam VAR5 = 16'b0001000000000000;
localparam VAR4 = 16'b0010000000000000;
localparam VAR1 = 16'b0100000000000000;
localparam VAR7 = 16'b1000000000000000;
localparam VAR37 = 16'b0000000000000000;
reg [15:0] VAR29;
reg [15:0] VAR19;
reg VAR34;
reg [31:0] VAR14;
wire [16:0] VAR38;
wire [3:0] VAR3;
wire VAR30;
wire VAR24;
generate
begin: VAR16
if (VAR27 == 0)
begin: VAR31
assign VAR30 = (VAR12[31]==1'b1);
assign VAR24 = (VAR12[30:20]==11'h000);
assign VAR3 = VAR12[19:16];
always @ ( * )
begin
VAR14[31:0] = VAR12[31:0];
VAR29[15:0] = VAR37;
if (VAR30)
begin
VAR34 = 1'b1;
if (VAR11 == 0)
begin
VAR14[31] = 1'b0;
end
else
begin
VAR14[31] = 1'b1;
end
end
else if (VAR24)
begin
case (VAR3)
4'h0:
begin
if (VAR20==1'b0)
begin
VAR29[15:0] = VAR25;
end
else
begin
VAR14[16] = 1'b1;
VAR29[15:0] = VAR6;
end
end
4'h1:
begin
if (VAR20==1'b0)
begin
VAR29[15:0] = VAR6;
end
else
begin
VAR14[16] = 1'b0;
VAR29[15:0] = VAR25;
end
end
4'h2: VAR29[15:0] = VAR35;
4'h3: VAR29[15:0] = VAR32;
4'h4: VAR29[15:0] = VAR36;
4'h5: VAR29[15:0] = VAR21;
4'h6: VAR29[15:0] = VAR33;
4'h7: VAR29[15:0] = VAR23;
4'h8: VAR29[15:0] = VAR10;
4'h9: VAR29[15:0] = VAR28;
4'hA: VAR29[15:0] = VAR2;
4'hB: VAR29[15:0] = VAR18;
4'hC: VAR29[15:0] = VAR5;
4'hD: VAR29[15:0] = VAR4;
4'hE: VAR29[15:0] = VAR1;
4'hF: VAR29[15:0] = VAR7;
endcase
end
VAR19 = VAR29;
VAR34 = VAR30;
end
assign VAR22 = VAR30==1'b0 & VAR24==1'b0;
end
else
begin: VAR15
assign VAR30 = 1'b0;
assign VAR24 = 1'b0;
assign VAR3 = VAR12[VAR13:VAR13-3];
always @ ( * )
begin
VAR14[31:0] = VAR12[31:0];
case (VAR3)
4'h0:
begin
if (VAR20 == 1'b0)
VAR29[15:0] = VAR25;
end
else
begin
VAR14[VAR13-3] = 1'b1;
VAR29[15:0] = VAR6;
end
end
4'h1:
begin
if (VAR20 == 1'b0)
VAR29[15:0] = VAR6;
end
else
begin
VAR14[VAR13-3] = 1'b0;
VAR29[15:0] = VAR25;
end
end
4'h2: VAR29[15:0] = VAR35;
4'h3: VAR29[15:0] = VAR32;
4'h4: VAR29[15:0] = VAR36;
4'h5: VAR29[15:0] = VAR21;
4'h6: VAR29[15:0] = VAR33;
4'h7: VAR29[15:0] = VAR23;
4'h8: VAR29[15:0] = VAR10;
4'h9: VAR29[15:0] = VAR28;
4'hA: VAR29[15:0] = VAR2;
4'hB: VAR29[15:0] = VAR18;
4'hC: VAR29[15:0] = VAR5;
4'hD: VAR29[15:0] = VAR4;
4'hE: VAR29[15:0] = VAR1;
4'hF: VAR29[15:0] = VAR7;
endcase
VAR19 = VAR29 & ~VAR8;
VAR34 = |(VAR29 & VAR8);
end
assign VAR22 = 1'b0;
end
assign VAR38[16:0] = {VAR34,VAR19[15:0]};
assign VAR9[31:0] = VAR14[31:0];
assign VAR26[16:0] = VAR38[16:0];
end
endgenerate
endmodule
|
gpl-3.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/system/synthesis/submodules/acl_avm_to_ic.v
| 3,732 |
module MODULE1 #(
parameter integer VAR5 = 256,
parameter integer VAR12 = 256,
parameter integer VAR23 = 6,
parameter integer VAR13 = 32,
parameter integer VAR11 = VAR5 / 8,
parameter integer VAR25 = 1,
parameter VAR8=1 )
(
input logic VAR28,
input logic VAR21,
input logic VAR17,
input logic [VAR12-1:0] VAR2,
input logic [VAR23-1:0] VAR31,
input logic [VAR13-1:0] VAR10,
input logic [VAR11-1:0] VAR14,
output logic VAR26,
output logic VAR24,
output logic [VAR12-1:0] VAR19,
output logic VAR27,
output logic VAR1,
output logic VAR29,
output logic VAR3,
output logic VAR4,
output logic [VAR12-1:0] VAR20,
output logic [VAR23-1:0] VAR15,
output logic [VAR13-VAR32(VAR5 / 8)-1:0] VAR18,
output logic [VAR11-1:0] VAR6,
output logic [VAR25-1:0] VAR16,
input logic VAR33,
input logic VAR9,
input logic VAR7,
input logic [VAR12-1:0] VAR22
);
assign VAR1 = VAR21 | VAR17;
assign VAR3 = VAR21;
assign VAR4 = VAR17;
assign VAR20 = VAR2;
assign VAR15 = VAR31;
assign VAR16 = {VAR25{1'VAR30}};
assign VAR29 = VAR28;
generate
if(VAR8==1)
begin
assign VAR18 = VAR10[VAR13-1:VAR32(VAR5 / 8)];
end
else
begin
assign VAR18 = VAR10[VAR13-VAR32(VAR5 / 8)-1:0];
end
endgenerate
assign VAR6 = VAR14;
assign VAR26 = VAR33;
assign VAR24 = VAR7;
assign VAR19 = VAR22;
assign VAR27 = VAR9;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/tapvgnd2/sky130_fd_sc_hd__tapvgnd2.behavioral.v
| 1,200 |
module MODULE1 ();
supply1 VAR3;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
mballance/wb_dma
|
rtl/wb_dma_rf.v
| 63,264 |
module MODULE1(clk, rst,
VAR57, VAR271, VAR384, VAR275, VAR323,
VAR326, VAR145,
VAR122, VAR182, VAR167, VAR96, VAR12, VAR121, VAR239, VAR74,
VAR17, VAR180, VAR220, VAR139, VAR304, VAR225, VAR66, VAR146,
VAR157, VAR299, VAR231, VAR155, VAR185, VAR98, VAR347, VAR93,
VAR104, VAR160, VAR84, VAR1, VAR196, VAR224, VAR244, VAR315,
VAR265, VAR352, VAR389, VAR37, VAR6, VAR191, VAR276, VAR44,
VAR55, VAR33, VAR281, VAR136, VAR350, VAR207, VAR382, VAR286,
VAR62, VAR252, VAR283, VAR318, VAR76, VAR306, VAR284, VAR263,
VAR227, VAR60, VAR328, VAR247, VAR266, VAR363, VAR70, VAR172,
VAR198, VAR221, VAR311, VAR322, VAR109, VAR141, VAR251, VAR86,
VAR119, VAR175, VAR81, VAR154, VAR226, VAR108, VAR279, VAR110,
VAR237, VAR36, VAR19, VAR2, VAR209, VAR27, VAR153, VAR38,
VAR10, VAR287, VAR14, VAR248, VAR332, VAR24, VAR351, VAR77,
VAR197, VAR370, VAR270, VAR357, VAR186, VAR151, VAR92, VAR95,
VAR383, VAR3, VAR296, VAR26, VAR293, VAR208, VAR330, VAR99,
VAR188, VAR103, VAR367, VAR168, VAR342, VAR267, VAR117, VAR203,
VAR356, VAR34, VAR123, VAR211, VAR148, VAR206, VAR171, VAR16,
VAR215, VAR388, VAR138, VAR28, VAR113, VAR118, VAR385, VAR291,
VAR390, VAR379, VAR80, VAR374, VAR305, VAR214, VAR90, VAR201,
VAR97, VAR128, VAR212, VAR61, VAR164, VAR41, VAR202, VAR261,
VAR230, VAR256, VAR42, VAR147, VAR195, VAR362, VAR58, VAR174,
VAR222, VAR260, VAR288, VAR69, VAR387, VAR376, VAR32, VAR85,
VAR120, VAR194, VAR282, VAR100, VAR232, VAR327, VAR112, VAR162,
VAR392, VAR386, VAR245, VAR82, VAR268, VAR341, VAR126, VAR236,
VAR345, VAR274, VAR161, VAR23, VAR56, VAR46, VAR365, VAR234,
VAR378, VAR321, VAR249, VAR50, VAR130, VAR190, VAR72, VAR213,
VAR51, VAR140, VAR218, VAR124, VAR63, VAR73, VAR127, VAR380,
VAR216, VAR79, VAR135, VAR78, VAR9, VAR338, VAR369, VAR150,
VAR183, VAR75, VAR21, VAR169, VAR158, VAR228, VAR163, VAR159,
VAR259, VAR339, VAR106, VAR65, VAR59, VAR258, VAR273, VAR255,
VAR219, VAR149, VAR308, VAR133, VAR243, VAR40, VAR47, VAR48,
VAR114, VAR125, VAR240, VAR324, VAR173, VAR250, VAR313, VAR301,
VAR71, VAR53,
VAR4, VAR300, VAR181, VAR193, VAR30, VAR290, VAR132,
VAR189, VAR312, VAR49, VAR29,
VAR375, VAR309, VAR105, VAR349, VAR277, VAR319,
VAR143
);
parameter [3:0] VAR246 = 4'h1;
parameter [3:0] VAR253 = 4'h0;
parameter [3:0] VAR52 = 4'h0;
parameter [3:0] VAR199 = 4'h0;
parameter [3:0] VAR307 = 4'h0;
parameter [3:0] VAR325 = 4'h0;
parameter [3:0] VAR88 = 4'h0;
parameter [3:0] VAR302 = 4'h0;
parameter [3:0] VAR295 = 4'h0;
parameter [3:0] VAR22 = 4'h0;
parameter [3:0] VAR107 = 4'h0;
parameter [3:0] VAR179 = 4'h0;
parameter [3:0] VAR269 = 4'h0;
parameter [3:0] VAR176 = 4'h0;
parameter [3:0] VAR346 = 4'h0;
parameter [3:0] VAR94 = 4'h0;
parameter [3:0] VAR142 = 4'h0;
parameter [3:0] VAR294 = 4'h0;
parameter [3:0] VAR354 = 4'h0;
parameter [3:0] VAR257 = 4'h0;
parameter [3:0] VAR217 = 4'h0;
parameter [3:0] VAR329 = 4'h0;
parameter [3:0] VAR115 = 4'h0;
parameter [3:0] VAR13 = 4'h0;
parameter [3:0] VAR229 = 4'h0;
parameter [3:0] VAR333 = 4'h0;
parameter [3:0] VAR101 = 4'h0;
parameter [3:0] VAR335 = 4'h0;
parameter [3:0] VAR289 = 4'h0;
parameter [3:0] VAR242 = 4'h0;
parameter [3:0] VAR359 = 4'h0;
input clk, rst;
input [7:0] VAR57;
input [31:0] VAR271;
output [31:0] VAR384;
input VAR275;
input VAR323;
output VAR326, VAR145;
output [31:0] VAR122, VAR182, VAR167, VAR96, VAR12, VAR121, VAR239, VAR74;
output [31:0] VAR17, VAR180, VAR220, VAR139, VAR304, VAR225, VAR66, VAR146;
output [31:0] VAR157, VAR299, VAR231, VAR155, VAR185, VAR98, VAR347, VAR93;
output [31:0] VAR104, VAR160, VAR84, VAR1, VAR196, VAR224, VAR244, VAR315;
output [31:0] VAR265, VAR352, VAR389, VAR37, VAR6, VAR191, VAR276, VAR44;
output [31:0] VAR55, VAR33, VAR281, VAR136, VAR350, VAR207, VAR382, VAR286;
output [31:0] VAR62, VAR252, VAR283, VAR318, VAR76, VAR306, VAR284, VAR263;
output [31:0] VAR227, VAR60, VAR328, VAR247, VAR266, VAR363, VAR70, VAR172;
output [31:0] VAR198, VAR221, VAR311, VAR322, VAR109, VAR141, VAR251, VAR86;
output [31:0] VAR119, VAR175, VAR81, VAR154, VAR226, VAR108, VAR279, VAR110;
output [31:0] VAR237, VAR36, VAR19, VAR2, VAR209, VAR27, VAR153, VAR38;
output [31:0] VAR10, VAR287, VAR14, VAR248, VAR332, VAR24, VAR351, VAR77;
output [31:0] VAR197, VAR370, VAR270, VAR357, VAR186, VAR151, VAR92, VAR95;
output [31:0] VAR383, VAR3, VAR296, VAR26, VAR293, VAR208, VAR330, VAR99;
output [31:0] VAR188, VAR103, VAR367, VAR168, VAR342, VAR267, VAR117, VAR203;
output [31:0] VAR356, VAR34, VAR123, VAR211, VAR148, VAR206, VAR171, VAR16;
output [31:0] VAR215, VAR388, VAR138, VAR28, VAR113, VAR118, VAR385, VAR291;
output [31:0] VAR390, VAR379, VAR80, VAR374, VAR305, VAR214, VAR90, VAR201;
output [31:0] VAR97, VAR128, VAR212, VAR61, VAR164, VAR41, VAR202, VAR261;
output [31:0] VAR230, VAR256, VAR42, VAR147, VAR195, VAR362, VAR58, VAR174;
output [31:0] VAR222, VAR260, VAR288, VAR69, VAR387, VAR376, VAR32, VAR85;
output [31:0] VAR120, VAR194, VAR282, VAR100, VAR232, VAR327, VAR112, VAR162;
output [31:0] VAR392, VAR386, VAR245, VAR82, VAR268, VAR341, VAR126, VAR236;
output [31:0] VAR345, VAR274, VAR161, VAR23, VAR56, VAR46, VAR365, VAR234;
output [31:0] VAR378, VAR321, VAR249, VAR50, VAR130, VAR190, VAR72, VAR213;
output [31:0] VAR51, VAR140, VAR218, VAR124, VAR63, VAR73, VAR127, VAR380;
output [31:0] VAR216, VAR79, VAR135, VAR78, VAR9, VAR338, VAR369, VAR150;
output [31:0] VAR183, VAR75, VAR21, VAR169, VAR158, VAR228, VAR163, VAR159;
output [31:0] VAR259, VAR339, VAR106, VAR65, VAR59, VAR258, VAR273, VAR255;
output [31:0] VAR219, VAR149, VAR308, VAR133, VAR243, VAR40, VAR47, VAR48;
output [31:0] VAR114, VAR125, VAR240, VAR324, VAR173, VAR250, VAR313, VAR301;
input [4:0] VAR71; input [30:0] VAR53;
output VAR181;
output VAR4;
input VAR300;
input VAR193, VAR30, VAR290, VAR132;
input [31:0] VAR189;
input [11:0] VAR312;
input [31:0] VAR49;
input [31:0] VAR29;
input VAR375, VAR309, VAR105, VAR349, VAR143;
input VAR277;
input [30:0] VAR319;
reg [31:0] VAR384;
reg VAR326, VAR145;
reg [30:0] VAR280, VAR131;
wire [31:0] VAR278, VAR353;
wire [31:0] VAR83, VAR210;
wire VAR344, VAR233;
wire [30:0] VAR177;
wire VAR340;
wire [31:0] VAR129;
reg [7:0] VAR91;
wire [30:0] VAR272;
wire [30:0] VAR187;
wire [31:0] VAR167, VAR96, VAR12, VAR121, VAR239, VAR74;
wire [31:0] VAR220, VAR139, VAR304, VAR225, VAR66, VAR146;
wire [31:0] VAR231, VAR155, VAR185, VAR98, VAR347, VAR93;
wire [31:0] VAR84, VAR1, VAR196, VAR224, VAR244, VAR315;
wire [31:0] VAR389, VAR37, VAR6, VAR191, VAR276, VAR44;
wire [31:0] VAR281, VAR136, VAR350, VAR207, VAR382, VAR286;
wire [31:0] VAR283, VAR318, VAR76, VAR306, VAR284, VAR263;
wire [31:0] VAR328, VAR247, VAR266, VAR363, VAR70, VAR172;
wire [31:0] VAR311, VAR322, VAR109, VAR141, VAR251, VAR86;
wire [31:0] VAR81, VAR154, VAR226, VAR108, VAR279, VAR110;
wire [31:0] VAR19, VAR2, VAR209, VAR27, VAR153, VAR38;
wire [31:0] VAR14, VAR248, VAR332, VAR24, VAR351, VAR77;
wire [31:0] VAR270, VAR357, VAR186, VAR151, VAR92, VAR95;
wire [31:0] VAR296, VAR26, VAR293, VAR208, VAR330, VAR99;
wire [31:0] VAR367, VAR168, VAR342, VAR267, VAR117, VAR203;
wire [31:0] VAR123, VAR211, VAR148, VAR206, VAR171, VAR16;
wire [31:0] VAR138, VAR28, VAR113, VAR118, VAR385, VAR291;
wire [31:0] VAR80, VAR374, VAR305, VAR214, VAR90, VAR201;
wire [31:0] VAR212, VAR61, VAR164, VAR41, VAR202, VAR261;
wire [31:0] VAR42, VAR147, VAR195, VAR362, VAR58, VAR174;
wire [31:0] VAR288, VAR69, VAR387, VAR376, VAR32, VAR85;
wire [31:0] VAR282, VAR100, VAR232, VAR327, VAR112, VAR162;
wire [31:0] VAR245, VAR82, VAR268, VAR341, VAR126, VAR236;
wire [31:0] VAR161, VAR23, VAR56, VAR46, VAR365, VAR234;
wire [31:0] VAR249, VAR50, VAR130, VAR190, VAR72, VAR213;
wire [31:0] VAR218, VAR124, VAR63, VAR73, VAR127, VAR380;
wire [31:0] VAR135, VAR78, VAR9, VAR338, VAR369, VAR150;
wire [31:0] VAR21, VAR169, VAR158, VAR228, VAR163, VAR159;
wire [31:0] VAR106, VAR65, VAR59, VAR258, VAR273, VAR255;
wire [31:0] VAR308, VAR133, VAR243, VAR40, VAR47, VAR48;
wire [31:0] VAR240, VAR324, VAR173, VAR250, VAR313, VAR301;
wire [31:0] VAR102, VAR111, VAR303, VAR184;
wire [31:0] VAR372, VAR152, VAR178, VAR391;
wire [31:0] VAR170, VAR144, VAR7, VAR368;
wire [31:0] VAR137, VAR45, VAR262, VAR39;
wire [31:0] VAR205, VAR317, VAR298, VAR355;
wire [31:0] VAR360, VAR223, VAR204, VAR15;
wire [31:0] VAR31, VAR165, VAR5, VAR8;
wire [31:0] VAR18, VAR336, VAR373;
assign VAR278 = {1'h0, VAR280};
assign VAR353 = {1'h0, VAR131};
assign VAR129 = {31'h0, VAR300};
assign VAR181 = |VAR272;
assign VAR4 = VAR91[0];
always @(posedge clk)
case(VAR57) 8'h0: VAR384 <= VAR129;
8'h1: VAR384 <= VAR278;
8'h2: VAR384 <= VAR353;
8'h3: VAR384 <= VAR83;
8'h4: VAR384 <= VAR210;
8'h8: VAR384 <= VAR167;
8'h9: VAR384 <= VAR96;
8'ha: VAR384 <= VAR12;
8'hb: VAR384 <= VAR239;
8'hc: VAR384 <= VAR121;
8'hd: VAR384 <= VAR74;
8'he: VAR384 <= VAR122;
8'hf: VAR384 <= VAR102;
8'h10: VAR384 <= VAR253[0] ? VAR220 : 32'h0;
8'h11: VAR384 <= VAR253[0] ? VAR139 : 32'h0;
8'h12: VAR384 <= VAR253[0] ? VAR304 : 32'h0;
8'h13: VAR384 <= VAR253[0] ? VAR66 : 32'h0;
8'h14: VAR384 <= VAR253[0] ? VAR225 : 32'h0;
8'h15: VAR384 <= VAR253[0] ? VAR146 : 32'h0;
8'h16: VAR384 <= VAR253[0] ? VAR17 : 32'h0;
8'h17: VAR384 <= VAR253[0] ? VAR111 : 32'h0;
8'h18: VAR384 <= VAR52[0] ? VAR231 : 32'h0;
8'h19: VAR384 <= VAR52[0] ? VAR155 : 32'h0;
8'h1a: VAR384 <= VAR52[0] ? VAR185 : 32'h0;
8'h1b: VAR384 <= VAR52[0] ? VAR347 : 32'h0;
8'h1c: VAR384 <= VAR52[0] ? VAR98 : 32'h0;
8'h1d: VAR384 <= VAR52[0] ? VAR93 : 32'h0;
8'h1e: VAR384 <= VAR52[0] ? VAR157 : 32'h0;
8'h1f: VAR384 <= VAR52[0] ? VAR303 : 32'h0;
8'h20: VAR384 <= VAR199[0] ? VAR84 : 32'h0;
8'h21: VAR384 <= VAR199[0] ? VAR1 : 32'h0;
8'h22: VAR384 <= VAR199[0] ? VAR196 : 32'h0;
8'h23: VAR384 <= VAR199[0] ? VAR244 : 32'h0;
8'h24: VAR384 <= VAR199[0] ? VAR224 : 32'h0;
8'h25: VAR384 <= VAR199[0] ? VAR315 : 32'h0;
8'h26: VAR384 <= VAR199[0] ? VAR104 : 32'h0;
8'h27: VAR384 <= VAR199[0] ? VAR184 : 32'h0;
8'h28: VAR384 <= VAR307[0] ? VAR389 : 32'h0;
8'h29: VAR384 <= VAR307[0] ? VAR37 : 32'h0;
8'h2a: VAR384 <= VAR307[0] ? VAR6 : 32'h0;
8'h2b: VAR384 <= VAR307[0] ? VAR276 : 32'h0;
8'h2c: VAR384 <= VAR307[0] ? VAR191 : 32'h0;
8'h2d: VAR384 <= VAR307[0] ? VAR44 : 32'h0;
8'h2e: VAR384 <= VAR307[0] ? VAR265 : 32'h0;
8'h2f: VAR384 <= VAR307[0] ? VAR372 : 32'h0;
8'h30: VAR384 <= VAR325[0] ? VAR281 : 32'h0;
8'h31: VAR384 <= VAR325[0] ? VAR136 : 32'h0;
8'h32: VAR384 <= VAR325[0] ? VAR350 : 32'h0;
8'h33: VAR384 <= VAR325[0] ? VAR382 : 32'h0;
8'h34: VAR384 <= VAR325[0] ? VAR207 : 32'h0;
8'h35: VAR384 <= VAR325[0] ? VAR286 : 32'h0;
8'h36: VAR384 <= VAR325[0] ? VAR55 : 32'h0;
8'h37: VAR384 <= VAR325[0] ? VAR152 : 32'h0;
8'h38: VAR384 <= VAR88[0] ? VAR283 : 32'h0;
8'h39: VAR384 <= VAR88[0] ? VAR318 : 32'h0;
8'h3a: VAR384 <= VAR88[0] ? VAR76 : 32'h0;
8'h3b: VAR384 <= VAR88[0] ? VAR284 : 32'h0;
8'h3c: VAR384 <= VAR88[0] ? VAR306 : 32'h0;
8'h3d: VAR384 <= VAR88[0] ? VAR263 : 32'h0;
8'h3e: VAR384 <= VAR88[0] ? VAR62 : 32'h0;
8'h3f: VAR384 <= VAR88[0] ? VAR178 : 32'h0;
8'h40: VAR384 <= VAR302[0] ? VAR328 : 32'h0;
8'h41: VAR384 <= VAR302[0] ? VAR247 : 32'h0;
8'h42: VAR384 <= VAR302[0] ? VAR266 : 32'h0;
8'h43: VAR384 <= VAR302[0] ? VAR70 : 32'h0;
8'h44: VAR384 <= VAR302[0] ? VAR363 : 32'h0;
8'h45: VAR384 <= VAR302[0] ? VAR172 : 32'h0;
8'h46: VAR384 <= VAR302[0] ? VAR227 : 32'h0;
8'h47: VAR384 <= VAR302[0] ? VAR391 : 32'h0;
8'h48: VAR384 <= VAR295[0] ? VAR311 : 32'h0;
8'h49: VAR384 <= VAR295[0] ? VAR322 : 32'h0;
8'h4a: VAR384 <= VAR295[0] ? VAR109 : 32'h0;
8'h4b: VAR384 <= VAR295[0] ? VAR251 : 32'h0;
8'h4c: VAR384 <= VAR295[0] ? VAR141 : 32'h0;
8'h4d: VAR384 <= VAR295[0] ? VAR86 : 32'h0;
8'h4e: VAR384 <= VAR295[0] ? VAR198 : 32'h0;
8'h4f: VAR384 <= VAR295[0] ? VAR170 : 32'h0;
8'h50: VAR384 <= VAR22[0] ? VAR81 : 32'h0;
8'h51: VAR384 <= VAR22[0] ? VAR154 : 32'h0;
8'h52: VAR384 <= VAR22[0] ? VAR226 : 32'h0;
8'h53: VAR384 <= VAR22[0] ? VAR279 : 32'h0;
8'h54: VAR384 <= VAR22[0] ? VAR108 : 32'h0;
8'h55: VAR384 <= VAR22[0] ? VAR110 : 32'h0;
8'h56: VAR384 <= VAR22[0] ? VAR119 : 32'h0;
8'h57: VAR384 <= VAR22[0] ? VAR144 : 32'h0;
8'h58: VAR384 <= VAR107[0] ? VAR19 : 32'h0;
8'h59: VAR384 <= VAR107[0] ? VAR2 : 32'h0;
8'h5a: VAR384 <= VAR107[0] ? VAR209 : 32'h0;
8'h5b: VAR384 <= VAR107[0] ? VAR153 : 32'h0;
8'h5c: VAR384 <= VAR107[0] ? VAR27 : 32'h0;
8'h5d: VAR384 <= VAR107[0] ? VAR38 : 32'h0;
8'h5e: VAR384 <= VAR107[0] ? VAR237 : 32'h0;
8'h5f: VAR384 <= VAR107[0] ? VAR7 : 32'h0;
8'h60: VAR384 <= VAR179[0] ? VAR14 : 32'h0;
8'h61: VAR384 <= VAR179[0] ? VAR248 : 32'h0;
8'h62: VAR384 <= VAR179[0] ? VAR332 : 32'h0;
8'h63: VAR384 <= VAR179[0] ? VAR351 : 32'h0;
8'h64: VAR384 <= VAR179[0] ? VAR24 : 32'h0;
8'h65: VAR384 <= VAR179[0] ? VAR77 : 32'h0;
8'h66: VAR384 <= VAR179[0] ? VAR10 : 32'h0;
8'h67: VAR384 <= VAR179[0] ? VAR368 : 32'h0;
8'h68: VAR384 <= VAR269[0] ? VAR270 : 32'h0;
8'h69: VAR384 <= VAR269[0] ? VAR357 : 32'h0;
8'h6a: VAR384 <= VAR269[0] ? VAR186 : 32'h0;
8'h6b: VAR384 <= VAR269[0] ? VAR92 : 32'h0;
8'h6c: VAR384 <= VAR269[0] ? VAR151 : 32'h0;
8'h6d: VAR384 <= VAR269[0] ? VAR95 : 32'h0;
8'h6e: VAR384 <= VAR269[0] ? VAR197 : 32'h0;
8'h6f: VAR384 <= VAR269[0] ? VAR137 : 32'h0;
8'h70: VAR384 <= VAR176[0] ? VAR296 : 32'h0;
8'h71: VAR384 <= VAR176[0] ? VAR26 : 32'h0;
8'h72: VAR384 <= VAR176[0] ? VAR293 : 32'h0;
8'h73: VAR384 <= VAR176[0] ? VAR330 : 32'h0;
8'h74: VAR384 <= VAR176[0] ? VAR208 : 32'h0;
8'h75: VAR384 <= VAR176[0] ? VAR99 : 32'h0;
8'h76: VAR384 <= VAR176[0] ? VAR383 : 32'h0;
8'h77: VAR384 <= VAR176[0] ? VAR45 : 32'h0;
8'h78: VAR384 <= VAR346[0] ? VAR367 : 32'h0;
8'h79: VAR384 <= VAR346[0] ? VAR168 : 32'h0;
8'h7a: VAR384 <= VAR346[0] ? VAR342 : 32'h0;
8'h7b: VAR384 <= VAR346[0] ? VAR117 : 32'h0;
8'h7c: VAR384 <= VAR346[0] ? VAR267 : 32'h0;
8'h7d: VAR384 <= VAR346[0] ? VAR203 : 32'h0;
8'h7e: VAR384 <= VAR346[0] ? VAR188 : 32'h0;
8'h7f: VAR384 <= VAR346[0] ? VAR262 : 32'h0;
8'h80: VAR384 <= VAR94[0] ? VAR123 : 32'h0;
8'h81: VAR384 <= VAR94[0] ? VAR211 : 32'h0;
8'h82: VAR384 <= VAR94[0] ? VAR148 : 32'h0;
8'h83: VAR384 <= VAR94[0] ? VAR171 : 32'h0;
8'h84: VAR384 <= VAR94[0] ? VAR206 : 32'h0;
8'h85: VAR384 <= VAR94[0] ? VAR16 : 32'h0;
8'h86: VAR384 <= VAR94[0] ? VAR356 : 32'h0;
8'h87: VAR384 <= VAR94[0] ? VAR39 : 32'h0;
8'h88: VAR384 <= VAR142[0] ? VAR138 : 32'h0;
8'h89: VAR384 <= VAR142[0] ? VAR28 : 32'h0;
8'h8a: VAR384 <= VAR142[0] ? VAR113 : 32'h0;
8'h8b: VAR384 <= VAR142[0] ? VAR385 : 32'h0;
8'h8c: VAR384 <= VAR142[0] ? VAR118 : 32'h0;
8'h8d: VAR384 <= VAR142[0] ? VAR291 : 32'h0;
8'h8e: VAR384 <= VAR142[0] ? VAR215 : 32'h0;
8'h8f: VAR384 <= VAR142[0] ? VAR205 : 32'h0;
8'h90: VAR384 <= VAR294[0] ? VAR80 : 32'h0;
8'h91: VAR384 <= VAR294[0] ? VAR374 : 32'h0;
8'h92: VAR384 <= VAR294[0] ? VAR305 : 32'h0;
8'h93: VAR384 <= VAR294[0] ? VAR90 : 32'h0;
8'h94: VAR384 <= VAR294[0] ? VAR214 : 32'h0;
8'h95: VAR384 <= VAR294[0] ? VAR201 : 32'h0;
8'h96: VAR384 <= VAR294[0] ? VAR390 : 32'h0;
8'h97: VAR384 <= VAR294[0] ? VAR317 : 32'h0;
8'h98: VAR384 <= VAR354[0] ? VAR212 : 32'h0;
8'h99: VAR384 <= VAR354[0] ? VAR61 : 32'h0;
8'h9a: VAR384 <= VAR354[0] ? VAR164 : 32'h0;
8'h9b: VAR384 <= VAR354[0] ? VAR202 : 32'h0;
8'h9c: VAR384 <= VAR354[0] ? VAR41 : 32'h0;
8'h9d: VAR384 <= VAR354[0] ? VAR261 : 32'h0;
8'h9e: VAR384 <= VAR354[0] ? VAR97 : 32'h0;
8'h9f: VAR384 <= VAR354[0] ? VAR298 : 32'h0;
8'ha0: VAR384 <= VAR257[0] ? VAR42 : 32'h0;
8'ha1: VAR384 <= VAR257[0] ? VAR147 : 32'h0;
8'ha2: VAR384 <= VAR257[0] ? VAR195 : 32'h0;
8'ha3: VAR384 <= VAR257[0] ? VAR58 : 32'h0;
8'ha4: VAR384 <= VAR257[0] ? VAR362 : 32'h0;
8'ha5: VAR384 <= VAR257[0] ? VAR174 : 32'h0;
8'ha6: VAR384 <= VAR257[0] ? VAR230 : 32'h0;
8'ha7: VAR384 <= VAR257[0] ? VAR355 : 32'h0;
8'ha8: VAR384 <= VAR217[0] ? VAR288 : 32'h0;
8'ha9: VAR384 <= VAR217[0] ? VAR69 : 32'h0;
8'haa: VAR384 <= VAR217[0] ? VAR387 : 32'h0;
8'hab: VAR384 <= VAR217[0] ? VAR32 : 32'h0;
8'hac: VAR384 <= VAR217[0] ? VAR376 : 32'h0;
8'had: VAR384 <= VAR217[0] ? VAR85 : 32'h0;
8'hae: VAR384 <= VAR217[0] ? VAR222 : 32'h0;
8'haf: VAR384 <= VAR217[0] ? VAR360 : 32'h0;
8'hb0: VAR384 <= VAR329[0] ? VAR282 : 32'h0;
8'hb1: VAR384 <= VAR329[0] ? VAR100 : 32'h0;
8'hb2: VAR384 <= VAR329[0] ? VAR232 : 32'h0;
8'hb3: VAR384 <= VAR329[0] ? VAR112 : 32'h0;
8'hb4: VAR384 <= VAR329[0] ? VAR327 : 32'h0;
8'hb5: VAR384 <= VAR329[0] ? VAR162 : 32'h0;
8'hb6: VAR384 <= VAR329[0] ? VAR120 : 32'h0;
8'hb7: VAR384 <= VAR329[0] ? VAR223 : 32'h0;
8'hb8: VAR384 <= VAR115[0] ? VAR245 : 32'h0;
8'hb9: VAR384 <= VAR115[0] ? VAR82 : 32'h0;
8'hba: VAR384 <= VAR115[0] ? VAR268 : 32'h0;
8'hbb: VAR384 <= VAR115[0] ? VAR126 : 32'h0;
8'hbc: VAR384 <= VAR115[0] ? VAR341 : 32'h0;
8'hbd: VAR384 <= VAR115[0] ? VAR236 : 32'h0;
8'hbe: VAR384 <= VAR115[0] ? VAR392 : 32'h0;
8'hbf: VAR384 <= VAR115[0] ? VAR204 : 32'h0;
8'hc0: VAR384 <= VAR13[0] ? VAR161 : 32'h0;
8'hc1: VAR384 <= VAR13[0] ? VAR23 : 32'h0;
8'hc2: VAR384 <= VAR13[0] ? VAR56 : 32'h0;
8'hc3: VAR384 <= VAR13[0] ? VAR365 : 32'h0;
8'hc4: VAR384 <= VAR13[0] ? VAR46 : 32'h0;
8'hc5: VAR384 <= VAR13[0] ? VAR234 : 32'h0;
8'hc6: VAR384 <= VAR13[0] ? VAR345 : 32'h0;
8'hc7: VAR384 <= VAR13[0] ? VAR15 : 32'h0;
8'hc8: VAR384 <= VAR229[0] ? VAR249 : 32'h0;
8'hc9: VAR384 <= VAR229[0] ? VAR50 : 32'h0;
8'hca: VAR384 <= VAR229[0] ? VAR130 : 32'h0;
8'hcb: VAR384 <= VAR229[0] ? VAR72 : 32'h0;
8'hcc: VAR384 <= VAR229[0] ? VAR190 : 32'h0;
8'hcd: VAR384 <= VAR229[0] ? VAR213 : 32'h0;
8'hce: VAR384 <= VAR229[0] ? VAR378 : 32'h0;
8'hcf: VAR384 <= VAR229[0] ? VAR31 : 32'h0;
8'hd0: VAR384 <= VAR333[0] ? VAR218 : 32'h0;
8'hd1: VAR384 <= VAR333[0] ? VAR124 : 32'h0;
8'hd2: VAR384 <= VAR333[0] ? VAR63 : 32'h0;
8'hd3: VAR384 <= VAR333[0] ? VAR127 : 32'h0;
8'hd4: VAR384 <= VAR333[0] ? VAR73 : 32'h0;
8'hd5: VAR384 <= VAR333[0] ? VAR380 : 32'h0;
8'hd6: VAR384 <= VAR333[0] ? VAR51 : 32'h0;
8'hd7: VAR384 <= VAR333[0] ? VAR165 : 32'h0;
8'hd8: VAR384 <= VAR101[0] ? VAR135 : 32'h0;
8'hd9: VAR384 <= VAR101[0] ? VAR78 : 32'h0;
8'hda: VAR384 <= VAR101[0] ? VAR9 : 32'h0;
8'hdb: VAR384 <= VAR101[0] ? VAR369 : 32'h0;
8'hdc: VAR384 <= VAR101[0] ? VAR338 : 32'h0;
8'hdd: VAR384 <= VAR101[0] ? VAR150 : 32'h0;
8'hde: VAR384 <= VAR101[0] ? VAR216 : 32'h0;
8'hdf: VAR384 <= VAR101[0] ? VAR5 : 32'h0;
8'he0: VAR384 <= VAR335[0] ? VAR21 : 32'h0;
8'he1: VAR384 <= VAR335[0] ? VAR169 : 32'h0;
8'he2: VAR384 <= VAR335[0] ? VAR158 : 32'h0;
8'he3: VAR384 <= VAR335[0] ? VAR163 : 32'h0;
8'he4: VAR384 <= VAR335[0] ? VAR228 : 32'h0;
8'he5: VAR384 <= VAR335[0] ? VAR159 : 32'h0;
8'he6: VAR384 <= VAR335[0] ? VAR183 : 32'h0;
8'he7: VAR384 <= VAR335[0] ? VAR8 : 32'h0;
8'he8: VAR384 <= VAR289[0] ? VAR106 : 32'h0;
8'he9: VAR384 <= VAR289[0] ? VAR65 : 32'h0;
8'hea: VAR384 <= VAR289[0] ? VAR59 : 32'h0;
8'heb: VAR384 <= VAR289[0] ? VAR273 : 32'h0;
8'hec: VAR384 <= VAR289[0] ? VAR258 : 32'h0;
8'hed: VAR384 <= VAR289[0] ? VAR255 : 32'h0;
8'hee: VAR384 <= VAR289[0] ? VAR259 : 32'h0;
8'hef: VAR384 <= VAR289[0] ? VAR18 : 32'h0;
8'hf0: VAR384 <= VAR242[0] ? VAR308 : 32'h0;
8'hf1: VAR384 <= VAR242[0] ? VAR133 : 32'h0;
8'hf2: VAR384 <= VAR242[0] ? VAR243 : 32'h0;
8'hf3: VAR384 <= VAR242[0] ? VAR47 : 32'h0;
8'hf4: VAR384 <= VAR242[0] ? VAR40 : 32'h0;
8'hf5: VAR384 <= VAR242[0] ? VAR48 : 32'h0;
8'hf6: VAR384 <= VAR242[0] ? VAR219 : 32'h0;
8'hf7: VAR384 <= VAR242[0] ? VAR336 : 32'h0;
8'hf8: VAR384 <= VAR359[0] ? VAR240 : 32'h0;
8'hf9: VAR384 <= VAR359[0] ? VAR324 : 32'h0;
8'hfa: VAR384 <= VAR359[0] ? VAR173 : 32'h0;
8'hfb: VAR384 <= VAR359[0] ? VAR313 : 32'h0;
8'hfc: VAR384 <= VAR359[0] ? VAR250 : 32'h0;
8'hfd: VAR384 <= VAR359[0] ? VAR301 : 32'h0;
8'hfe: VAR384 <= VAR359[0] ? VAR114 : 32'h0;
8'hff: VAR384 <= VAR359[0] ? VAR373 : 32'h0;
endcase
assign VAR340 = VAR323 & (VAR57 == 8'h0);
assign VAR344 = VAR323 & (VAR57 == 8'h1);
assign VAR233 = VAR323 & (VAR57 == 8'h2);
always @(posedge clk or negedge rst)
if(!rst) VAR91 <= 8'h0;
else
if(VAR340) VAR91 <= VAR271[7:0];
always @(posedge clk or negedge rst)
if(!rst) VAR280 <= 31'h0;
else
if(VAR344) VAR280 <= VAR271[30:0];
always @(posedge clk or negedge rst)
if(!rst) VAR131 <= 31'h0;
else
if(VAR233) VAR131 <= VAR271[30:0];
assign VAR83 = {1'b0, (VAR280 & VAR177) };
assign VAR210 = {1'b0, (VAR131 & VAR177) };
always @(posedge clk)
VAR326 <= |VAR83;
always @(posedge clk)
VAR145 <= |VAR210;
VAR361 #(0, VAR246[0], VAR246[1], VAR246[2], VAR246[3]) VAR35(
.clk( clk ),
.rst( rst ),
.VAR331( VAR122 ),
.VAR87( VAR182 ),
.VAR334( VAR167 ),
.VAR54( VAR96 ),
.VAR156( VAR12 ),
.VAR238( VAR121 ),
.VAR67( VAR239 ),
.VAR297( VAR74 ),
.VAR43( VAR102 ),
.VAR272( VAR272[0] ),
.VAR187( VAR187[0] ),
.int( VAR177[0] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[0] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[0] ),
.VAR143( VAR143 )
);
VAR361 #(1, VAR253[0], VAR253[1], VAR253[2], VAR253[3]) VAR235(
.clk( clk ),
.rst( rst ),
.VAR331( VAR17 ),
.VAR87( VAR180 ),
.VAR334( VAR220 ),
.VAR54( VAR139 ),
.VAR156( VAR304 ),
.VAR238( VAR225 ),
.VAR67( VAR66 ),
.VAR297( VAR146 ),
.VAR43( VAR111 ),
.VAR272( VAR272[1] ),
.VAR187( VAR187[1] ),
.int( VAR177[1] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[1] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[1] ),
.VAR143( VAR143 )
);
VAR361 #(2, VAR52[0], VAR52[1], VAR52[2], VAR52[3]) VAR25(
.clk( clk ),
.rst( rst ),
.VAR331( VAR157 ),
.VAR87( VAR299 ),
.VAR334( VAR231 ),
.VAR54( VAR155 ),
.VAR156( VAR185 ),
.VAR238( VAR98 ),
.VAR67( VAR347 ),
.VAR297( VAR93 ),
.VAR43( VAR303 ),
.VAR272( VAR272[2] ),
.VAR187( VAR187[2] ),
.int( VAR177[2] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[2] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[2] ),
.VAR143( VAR143 )
);
VAR361 #(3, VAR199[0], VAR199[1], VAR199[2], VAR199[3]) VAR116(
.clk( clk ),
.rst( rst ),
.VAR331( VAR104 ),
.VAR87( VAR160 ),
.VAR334( VAR84 ),
.VAR54( VAR1 ),
.VAR156( VAR196 ),
.VAR238( VAR224 ),
.VAR67( VAR244 ),
.VAR297( VAR315 ),
.VAR43( VAR184 ),
.VAR272( VAR272[3] ),
.VAR187( VAR187[3] ),
.int( VAR177[3] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[3] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[3] ),
.VAR143( VAR143 )
);
VAR361 #(4, VAR307[0], VAR307[1], VAR307[2], VAR307[3]) VAR358(
.clk( clk ),
.rst( rst ),
.VAR331( VAR265 ),
.VAR87( VAR352 ),
.VAR334( VAR389 ),
.VAR54( VAR37 ),
.VAR156( VAR6 ),
.VAR238( VAR191 ),
.VAR67( VAR276 ),
.VAR297( VAR44 ),
.VAR43( VAR372 ),
.VAR272( VAR272[4] ),
.VAR187( VAR187[4] ),
.int( VAR177[4] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[4] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[4] ),
.VAR143( VAR143 )
);
VAR361 #(5, VAR325[0], VAR325[1], VAR325[2], VAR325[3]) VAR200(
.clk( clk ),
.rst( rst ),
.VAR331( VAR55 ),
.VAR87( VAR33 ),
.VAR334( VAR281 ),
.VAR54( VAR136 ),
.VAR156( VAR350 ),
.VAR238( VAR207 ),
.VAR67( VAR382 ),
.VAR297( VAR286 ),
.VAR43( VAR152 ),
.VAR272( VAR272[5] ),
.VAR187( VAR187[5] ),
.int( VAR177[5] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[5] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[5] ),
.VAR143( VAR143 )
);
VAR361 #(6, VAR88[0], VAR88[1], VAR88[2], VAR88[3]) VAR310(
.clk( clk ),
.rst( rst ),
.VAR331( VAR62 ),
.VAR87( VAR252 ),
.VAR334( VAR283 ),
.VAR54( VAR318 ),
.VAR156( VAR76 ),
.VAR238( VAR306 ),
.VAR67( VAR284 ),
.VAR297( VAR263 ),
.VAR43( VAR178 ),
.VAR272( VAR272[6] ),
.VAR187( VAR187[6] ),
.int( VAR177[6] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[6] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[6] ),
.VAR143( VAR143 )
);
VAR361 #(7, VAR302[0], VAR302[1], VAR302[2], VAR302[3]) VAR348(
.clk( clk ),
.rst( rst ),
.VAR331( VAR227 ),
.VAR87( VAR60 ),
.VAR334( VAR328 ),
.VAR54( VAR247 ),
.VAR156( VAR266 ),
.VAR238( VAR363 ),
.VAR67( VAR70 ),
.VAR297( VAR172 ),
.VAR43( VAR391 ),
.VAR272( VAR272[7] ),
.VAR187( VAR187[7] ),
.int( VAR177[7] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[7] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[7] ),
.VAR143( VAR143 )
);
VAR361 #(8, VAR295[0], VAR295[1], VAR295[2], VAR295[3]) VAR20(
.clk( clk ),
.rst( rst ),
.VAR331( VAR198 ),
.VAR87( VAR221 ),
.VAR334( VAR311 ),
.VAR54( VAR322 ),
.VAR156( VAR109 ),
.VAR238( VAR141 ),
.VAR67( VAR251 ),
.VAR297( VAR86 ),
.VAR43( VAR170 ),
.VAR272( VAR272[8] ),
.VAR187( VAR187[8] ),
.int( VAR177[8] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[8] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[8] ),
.VAR143( VAR143 )
);
VAR361 #(9, VAR22[0], VAR22[1], VAR22[2], VAR22[3]) VAR337(
.clk( clk ),
.rst( rst ),
.VAR331( VAR119 ),
.VAR87( VAR175 ),
.VAR334( VAR81 ),
.VAR54( VAR154 ),
.VAR156( VAR226 ),
.VAR238( VAR108 ),
.VAR67( VAR279 ),
.VAR297( VAR110 ),
.VAR43( VAR144 ),
.VAR272( VAR272[9] ),
.VAR187( VAR187[9] ),
.int( VAR177[9] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[9] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[9] ),
.VAR143( VAR143 )
);
VAR361 #(10, VAR107[0], VAR107[1], VAR107[2], VAR107[3]) VAR366(
.clk( clk ),
.rst( rst ),
.VAR331( VAR237 ),
.VAR87( VAR36 ),
.VAR334( VAR19 ),
.VAR54( VAR2 ),
.VAR156( VAR209 ),
.VAR238( VAR27 ),
.VAR67( VAR153 ),
.VAR297( VAR38 ),
.VAR43( VAR7 ),
.VAR272( VAR272[10] ),
.VAR187( VAR187[10] ),
.int( VAR177[10] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[10] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[10] ),
.VAR143( VAR143 )
);
VAR361 #(11, VAR179[0], VAR179[1], VAR179[2], VAR179[3]) VAR264(
.clk( clk ),
.rst( rst ),
.VAR331( VAR10 ),
.VAR87( VAR287 ),
.VAR334( VAR14 ),
.VAR54( VAR248 ),
.VAR156( VAR332 ),
.VAR238( VAR24 ),
.VAR67( VAR351 ),
.VAR297( VAR77 ),
.VAR43( VAR368 ),
.VAR272( VAR272[11] ),
.VAR187( VAR187[11] ),
.int( VAR177[11] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[11] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[11] ),
.VAR143( VAR143 )
);
VAR361 #(12, VAR269[0], VAR269[1], VAR269[2], VAR269[3]) VAR364(
.clk( clk ),
.rst( rst ),
.VAR331( VAR197 ),
.VAR87( VAR370 ),
.VAR334( VAR270 ),
.VAR54( VAR357 ),
.VAR156( VAR186 ),
.VAR238( VAR151 ),
.VAR67( VAR92 ),
.VAR297( VAR95 ),
.VAR43( VAR137 ),
.VAR272( VAR272[12] ),
.VAR187( VAR187[12] ),
.int( VAR177[12] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[12] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[12] ),
.VAR143( VAR143 )
);
VAR361 #(13, VAR176[0], VAR176[1], VAR176[2], VAR176[3]) VAR320(
.clk( clk ),
.rst( rst ),
.VAR331( VAR383 ),
.VAR87( VAR3 ),
.VAR334( VAR296 ),
.VAR54( VAR26 ),
.VAR156( VAR293 ),
.VAR238( VAR208 ),
.VAR67( VAR330 ),
.VAR297( VAR99 ),
.VAR43( VAR45 ),
.VAR272( VAR272[13] ),
.VAR187( VAR187[13] ),
.int( VAR177[13] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[13] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[13] ),
.VAR143( VAR143 )
);
VAR361 #(14, VAR346[0], VAR346[1], VAR346[2], VAR346[3]) VAR314(
.clk( clk ),
.rst( rst ),
.VAR331( VAR188 ),
.VAR87( VAR103 ),
.VAR334( VAR367 ),
.VAR54( VAR168 ),
.VAR156( VAR342 ),
.VAR238( VAR267 ),
.VAR67( VAR117 ),
.VAR297( VAR203 ),
.VAR43( VAR262 ),
.VAR272( VAR272[14] ),
.VAR187( VAR187[14] ),
.int( VAR177[14] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[14] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[14] ),
.VAR143( VAR143 )
);
VAR361 #(15, VAR94[0], VAR94[1], VAR94[2], VAR94[3]) VAR371(
.clk( clk ),
.rst( rst ),
.VAR331( VAR356 ),
.VAR87( VAR34 ),
.VAR334( VAR123 ),
.VAR54( VAR211 ),
.VAR156( VAR148 ),
.VAR238( VAR206 ),
.VAR67( VAR171 ),
.VAR297( VAR16 ),
.VAR43( VAR39 ),
.VAR272( VAR272[15] ),
.VAR187( VAR187[15] ),
.int( VAR177[15] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[15] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[15] ),
.VAR143( VAR143 )
);
VAR361 #(16, VAR142[0], VAR142[1], VAR142[2], VAR142[3]) VAR89(
.clk( clk ),
.rst( rst ),
.VAR331( VAR215 ),
.VAR87( VAR388 ),
.VAR334( VAR138 ),
.VAR54( VAR28 ),
.VAR156( VAR113 ),
.VAR238( VAR118 ),
.VAR67( VAR385 ),
.VAR297( VAR291 ),
.VAR43( VAR205 ),
.VAR272( VAR272[16] ),
.VAR187( VAR187[16] ),
.int( VAR177[16] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[16] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[16] ),
.VAR143( VAR143 )
);
VAR361 #(17, VAR294[0], VAR294[1], VAR294[2], VAR294[3]) VAR343(
.clk( clk ),
.rst( rst ),
.VAR331( VAR390 ),
.VAR87( VAR379 ),
.VAR334( VAR80 ),
.VAR54( VAR374 ),
.VAR156( VAR305 ),
.VAR238( VAR214 ),
.VAR67( VAR90 ),
.VAR297( VAR201 ),
.VAR43( VAR317 ),
.VAR272( VAR272[17] ),
.VAR187( VAR187[17] ),
.int( VAR177[17] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[17] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[17] ),
.VAR143( VAR143 )
);
VAR361 #(18, VAR354[0], VAR354[1], VAR354[2], VAR354[3]) VAR377(
.clk( clk ),
.rst( rst ),
.VAR331( VAR97 ),
.VAR87( VAR128 ),
.VAR334( VAR212 ),
.VAR54( VAR61 ),
.VAR156( VAR164 ),
.VAR238( VAR41 ),
.VAR67( VAR202 ),
.VAR297( VAR261 ),
.VAR43( VAR298 ),
.VAR272( VAR272[18] ),
.VAR187( VAR187[18] ),
.int( VAR177[18] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[18] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[18] ),
.VAR143( VAR143 )
);
VAR361 #(19, VAR257[0], VAR257[1], VAR257[2], VAR257[3]) VAR254(
.clk( clk ),
.rst( rst ),
.VAR331( VAR230 ),
.VAR87( VAR256 ),
.VAR334( VAR42 ),
.VAR54( VAR147 ),
.VAR156( VAR195 ),
.VAR238( VAR362 ),
.VAR67( VAR58 ),
.VAR297( VAR174 ),
.VAR43( VAR355 ),
.VAR272( VAR272[19] ),
.VAR187( VAR187[19] ),
.int( VAR177[19] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[19] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[19] ),
.VAR143( VAR143 )
);
VAR361 #(20, VAR217[0], VAR217[1], VAR217[2], VAR217[3]) VAR11(
.clk( clk ),
.rst( rst ),
.VAR331( VAR222 ),
.VAR87( VAR260 ),
.VAR334( VAR288 ),
.VAR54( VAR69 ),
.VAR156( VAR387 ),
.VAR238( VAR376 ),
.VAR67( VAR32 ),
.VAR297( VAR85 ),
.VAR43( VAR360 ),
.VAR272( VAR272[20] ),
.VAR187( VAR187[20] ),
.int( VAR177[20] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[20] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[20] ),
.VAR143( VAR143 )
);
VAR361 #(21, VAR329[0], VAR329[1], VAR329[2], VAR329[3]) VAR241(
.clk( clk ),
.rst( rst ),
.VAR331( VAR120 ),
.VAR87( VAR194 ),
.VAR334( VAR282 ),
.VAR54( VAR100 ),
.VAR156( VAR232 ),
.VAR238( VAR327 ),
.VAR67( VAR112 ),
.VAR297( VAR162 ),
.VAR43( VAR223 ),
.VAR272( VAR272[21] ),
.VAR187( VAR187[21] ),
.int( VAR177[21] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[21] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[21] ),
.VAR143( VAR143 )
);
VAR361 #(22, VAR115[0], VAR115[1], VAR115[2], VAR115[3]) VAR381(
.clk( clk ),
.rst( rst ),
.VAR331( VAR392 ),
.VAR87( VAR386 ),
.VAR334( VAR245 ),
.VAR54( VAR82 ),
.VAR156( VAR268 ),
.VAR238( VAR341 ),
.VAR67( VAR126 ),
.VAR297( VAR236 ),
.VAR43( VAR204 ),
.VAR272( VAR272[22] ),
.VAR187( VAR187[22] ),
.int( VAR177[22] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[22] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[22] ),
.VAR143( VAR143 )
);
VAR361 #(23, VAR13[0], VAR13[1], VAR13[2], VAR13[3]) VAR316(
.clk( clk ),
.rst( rst ),
.VAR331( VAR345 ),
.VAR87( VAR274 ),
.VAR334( VAR161 ),
.VAR54( VAR23 ),
.VAR156( VAR56 ),
.VAR238( VAR46 ),
.VAR67( VAR365 ),
.VAR297( VAR234 ),
.VAR43( VAR15 ),
.VAR272( VAR272[23] ),
.VAR187( VAR187[23] ),
.int( VAR177[23] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[23] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[23] ),
.VAR143( VAR143 )
);
VAR361 #(24, VAR229[0], VAR229[1], VAR229[2], VAR229[3]) VAR285(
.clk( clk ),
.rst( rst ),
.VAR331( VAR378 ),
.VAR87( VAR321 ),
.VAR334( VAR249 ),
.VAR54( VAR50 ),
.VAR156( VAR130 ),
.VAR238( VAR190 ),
.VAR67( VAR72 ),
.VAR297( VAR213 ),
.VAR43( VAR31 ),
.VAR272( VAR272[24] ),
.VAR187( VAR187[24] ),
.int( VAR177[24] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[24] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[24] ),
.VAR143( VAR143 )
);
VAR361 #(25, VAR333[0], VAR333[1], VAR333[2], VAR333[3]) VAR134(
.clk( clk ),
.rst( rst ),
.VAR331( VAR51 ),
.VAR87( VAR140 ),
.VAR334( VAR218 ),
.VAR54( VAR124 ),
.VAR156( VAR63 ),
.VAR238( VAR73 ),
.VAR67( VAR127 ),
.VAR297( VAR380 ),
.VAR43( VAR165 ),
.VAR272( VAR272[25] ),
.VAR187( VAR187[25] ),
.int( VAR177[25] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[25] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[25] ),
.VAR143( VAR143 )
);
VAR361 #(26, VAR101[0], VAR101[1], VAR101[2], VAR101[3]) VAR64(
.clk( clk ),
.rst( rst ),
.VAR331( VAR216 ),
.VAR87( VAR79 ),
.VAR334( VAR135 ),
.VAR54( VAR78 ),
.VAR156( VAR9 ),
.VAR238( VAR338 ),
.VAR67( VAR369 ),
.VAR297( VAR150 ),
.VAR43( VAR5 ),
.VAR272( VAR272[26] ),
.VAR187( VAR187[26] ),
.int( VAR177[26] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[26] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[26] ),
.VAR143( VAR143 )
);
VAR361 #(27, VAR335[0], VAR335[1], VAR335[2], VAR335[3]) VAR68(
.clk( clk ),
.rst( rst ),
.VAR331( VAR183 ),
.VAR87( VAR75 ),
.VAR334( VAR21 ),
.VAR54( VAR169 ),
.VAR156( VAR158 ),
.VAR238( VAR228 ),
.VAR67( VAR163 ),
.VAR297( VAR159 ),
.VAR43( VAR8 ),
.VAR272( VAR272[27] ),
.VAR187( VAR187[27] ),
.int( VAR177[27] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[27] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[27] ),
.VAR143( VAR143 )
);
VAR361 #(28, VAR289[0], VAR289[1], VAR289[2], VAR289[3]) VAR166(
.clk( clk ),
.rst( rst ),
.VAR331( VAR259 ),
.VAR87( VAR339 ),
.VAR334( VAR106 ),
.VAR54( VAR65 ),
.VAR156( VAR59 ),
.VAR238( VAR258 ),
.VAR67( VAR273 ),
.VAR297( VAR255 ),
.VAR43( VAR18 ),
.VAR272( VAR272[28] ),
.VAR187( VAR187[28] ),
.int( VAR177[28] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[28] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[28] ),
.VAR143( VAR143 )
);
VAR361 #(29, VAR242[0], VAR242[1], VAR242[2], VAR242[3]) VAR292(
.clk( clk ),
.rst( rst ),
.VAR331( VAR219 ),
.VAR87( VAR149 ),
.VAR334( VAR308 ),
.VAR54( VAR133 ),
.VAR156( VAR243 ),
.VAR238( VAR40 ),
.VAR67( VAR47 ),
.VAR297( VAR48 ),
.VAR43( VAR336 ),
.VAR272( VAR272[29] ),
.VAR187( VAR187[29] ),
.int( VAR177[29] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[29] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[29] ),
.VAR143( VAR143 )
);
VAR361 #(30, VAR359[0], VAR359[1], VAR359[2], VAR359[3]) VAR192(
.clk( clk ),
.rst( rst ),
.VAR331( VAR114 ),
.VAR87( VAR125 ),
.VAR334( VAR240 ),
.VAR54( VAR324 ),
.VAR156( VAR173 ),
.VAR238( VAR250 ),
.VAR67( VAR313 ),
.VAR297( VAR301 ),
.VAR43( VAR373 ),
.VAR272( VAR272[30] ),
.VAR187( VAR187[30] ),
.int( VAR177[30] ),
.VAR271( VAR271 ),
.VAR57( VAR57 ),
.VAR323( VAR323 ),
.VAR275( VAR275 ),
.VAR71( VAR71 ),
.VAR53( VAR53[30] ),
.VAR193( VAR193 ),
.VAR30( VAR30 ),
.VAR290( VAR290 ),
.VAR132( VAR132 ),
.VAR189( VAR189 ),
.VAR312( VAR312 ),
.VAR49( VAR49 ),
.VAR29( VAR29 ),
.VAR375( VAR375 ),
.VAR309( VAR309 ),
.VAR105( VAR105 ),
.VAR349( VAR349 ),
.VAR277(VAR277 ),
.VAR319( VAR319[30] ),
.VAR143( VAR143 )
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/bufz/gf180mcu_fd_sc_mcu9t5v0__bufz_2.behavioral.pp.v
| 1,236 |
module MODULE1( VAR4, VAR5, VAR8, VAR1, VAR6 );
input VAR4, VAR5;
inout VAR1, VAR6;
output VAR8;
VAR2 VAR3(.VAR4(VAR4),.VAR5(VAR5),.VAR8(VAR8),.VAR1(VAR1),.VAR6(VAR6));
VAR2 VAR7(.VAR4(VAR4),.VAR5(VAR5),.VAR8(VAR8),.VAR1(VAR1),.VAR6(VAR6));
|
apache-2.0
|
JorisBolsens/PYNQ
|
Pynq-Z1/vivado/ip/arduino_io_switch_1.0/src/arduino_switch_digital_bit.v
| 3,242 |
module MODULE1(
input [3:0] VAR16,
input VAR18,
output reg VAR5,
output reg VAR4,
output VAR25,
input VAR20,
input VAR14,
output VAR15,
input VAR6,
input VAR24,
output VAR12,
input VAR9,
input VAR26,
output VAR10,
input VAR22,
input VAR7,
output VAR17,
input VAR2,
input VAR3,
output VAR8,
input VAR1,
input VAR11,
output VAR19, input VAR21, input VAR23
);
reg [6:0] VAR13;
assign {VAR17,VAR10,VAR12,VAR15,VAR19,VAR8,VAR25} = VAR13;
always @(VAR16, VAR20, VAR1, VAR21, VAR6, VAR9, VAR22, VAR2)
case (VAR16)
4'h0: VAR5 = VAR20;
4'h1: VAR5 = 1'b0; 4'h2: VAR5 = VAR1;
4'h3: VAR5 = VAR21;
4'h4: VAR5 = VAR6;
4'h5: VAR5 = VAR9;
4'h6: VAR5 = VAR22;
4'h7: VAR5 = VAR2;
default: VAR5 = VAR20;
endcase
always @(VAR16, VAR18)
begin
VAR13 = {7{1'b0}};
case (VAR16)
4'h0: VAR13[0] = VAR18;
4'h1: VAR13[1] = VAR18;
4'h4: VAR13[3] = VAR18;
4'h5: VAR13[4] = VAR18;
4'h6: VAR13[5] = VAR18;
4'h7: VAR13[6] = VAR18;
4'hb: VAR13[2] = VAR18;
default: VAR13[0] = VAR18;
endcase
end
always @(VAR16, VAR14, VAR11, VAR23, VAR24, VAR26, VAR7, VAR3)
case (VAR16)
4'h0: VAR4 = VAR14;
4'h1: VAR4 = 1'b1; 4'h2: VAR4 = VAR11;
4'h3: VAR4 = VAR23;
4'h4: VAR4 = VAR24;
4'h5: VAR4 = VAR26;
4'h6: VAR4 = VAR7;
4'h7: VAR4 = VAR3;
default: VAR4 = VAR14;
endcase
endmodule
|
bsd-3-clause
|
aospan/NetUP_Dual_Universal_CI-fpga
|
ip_compiler_for_pci_express-library/altpcie_pll_phy5_62p5.v
| 18,319 |
module MODULE1 (
VAR22,
VAR49,
VAR21,
VAR97,
VAR14,
VAR98);
input VAR22;
input VAR49;
output VAR21;
output VAR97;
output VAR14;
output VAR98;
tri0 VAR22;
wire [5:0] VAR66;
wire VAR77;
wire [0:0] VAR93 = 1'h0;
wire [2:2] VAR36 = VAR66[2:2];
wire [1:1] VAR102 = VAR66[1:1];
wire [0:0] VAR11 = VAR66[0:0];
wire VAR21 = VAR11;
wire VAR97 = VAR102;
wire VAR14 = VAR36;
wire VAR98 = VAR77;
wire VAR31 = VAR49;
wire [1:0] VAR100 = {VAR93, VAR31};
VAR50 VAR101 (
.VAR9 (VAR100),
.VAR22 (VAR22),
.clk (VAR66),
.VAR98 (VAR77),
.VAR15 (),
.VAR48 (),
.VAR79 ({6{1'b1}}),
.VAR30 (),
.VAR96 (1'b0),
.VAR13 (1'b0),
.VAR103 (),
.VAR53 (),
.VAR3 (),
.VAR43 ({4{1'b1}}),
.VAR17 (1'b1),
.VAR34 (),
.VAR91 (),
.VAR114 (),
.VAR20 (),
.VAR25 (1'b1),
.VAR108 ({4{1'b1}}),
.VAR54 (),
.VAR111 (1'b1),
.VAR58 (1'b1),
.VAR16 (1'b1),
.VAR8 (1'b0),
.VAR52 (1'b0),
.VAR112 (1'b1),
.VAR94 (1'b0),
.VAR74 (),
.VAR40 (),
.VAR41 (1'b0),
.VAR92 (1'b0),
.VAR85 (),
.VAR26 (),
.VAR38 (),
.VAR19 ());
VAR101.VAR27 = 1,
VAR101.VAR75 = 50,
VAR101.VAR32 = 1,
VAR101.VAR83 = "1875",
VAR101.VAR87 = 1,
VAR101.VAR76 = 50,
VAR101.VAR28 = 2,
VAR101.VAR42 = "-125",
VAR101.VAR4 = 2,
VAR101.VAR106 = 50,
VAR101.VAR37 = 1,
VAR101.VAR29 = "1875",
VAR101.VAR80 = "VAR70",
VAR101.VAR88 = 8000,
VAR101.VAR90 = "VAR104 VAR45",
VAR101.VAR81 = 5,
VAR101.VAR10 = "VAR50",
VAR101.VAR33 = "VAR23",
VAR101.VAR71 = "VAR115",
VAR101.VAR60 = "VAR62",
VAR101.VAR68 = "VAR115",
VAR101.VAR95 = "VAR115",
VAR101.VAR6 = "VAR115",
VAR101.VAR69 = "VAR115",
VAR101.VAR65 = "VAR115",
VAR101.VAR47 = "VAR115",
VAR101.VAR64 = "VAR62",
VAR101.VAR63 = "VAR115",
VAR101.VAR61 = "VAR62",
VAR101.VAR82 = "VAR115",
VAR101.VAR116 = "VAR115",
VAR101.VAR78 = "VAR115",
VAR101.VAR67 = "VAR115",
VAR101.VAR110 = "VAR115",
VAR101.VAR51 = "VAR115",
VAR101.VAR107 = "VAR115",
VAR101.VAR24 = "VAR115",
VAR101.VAR12 = "VAR115",
VAR101.VAR2 = "VAR115",
VAR101.VAR46 = "VAR115",
VAR101.VAR73 = "VAR115",
VAR101.VAR55 = "VAR115",
VAR101.VAR39 = "VAR115",
VAR101.VAR18 = "VAR62",
VAR101.VAR44 = "VAR62",
VAR101.VAR72 = "VAR62",
VAR101.VAR56 = "VAR115",
VAR101.VAR99 = "VAR115",
VAR101.VAR7 = "VAR115",
VAR101.VAR1 = "VAR115",
VAR101.VAR5 = "VAR115",
VAR101.VAR89 = "VAR115",
VAR101.VAR109 = "VAR115",
VAR101.VAR113 = "VAR115",
VAR101.VAR35 = "VAR115",
VAR101.VAR57 = "VAR115",
VAR101.VAR105 = "VAR115",
VAR101.VAR86 = "VAR115",
VAR101.VAR84 = "VAR115",
VAR101.VAR59 = 1;
endmodule
|
gpl-3.0
|
markusC64/1541ultimate2
|
fpga/nios_dut/nios_dut/synthesis/submodules/nios_dut_mm_interconnect_0_avalon_st_adapter_012.v
| 6,185 |
module MODULE1 #(
parameter VAR4 = 130,
parameter VAR21 = 0,
parameter VAR3 = 130,
parameter VAR13 = 0,
parameter VAR16 = 0,
parameter VAR11 = 0,
parameter VAR9 = 1,
parameter VAR7 = 1,
parameter VAR24 = 0,
parameter VAR14 = 130,
parameter VAR20 = 0,
parameter VAR6 = 1,
parameter VAR18 = 0,
parameter VAR22 = 1,
parameter VAR1 = 1,
parameter VAR17 = 0
) (
input wire VAR5, input wire VAR8, input wire [129:0] VAR23, input wire VAR2, output wire VAR15, output wire [129:0] VAR19, output wire VAR12, input wire VAR10, output wire [0:0] VAR25 );
generate
if (VAR4 != 130)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/einvn/sky130_fd_sc_hvl__einvn.pp.blackbox.v
| 1,293 |
module MODULE1 (
VAR3 ,
VAR6 ,
VAR7,
VAR1,
VAR2,
VAR5 ,
VAR4
);
output VAR3 ;
input VAR6 ;
input VAR7;
input VAR1;
input VAR2;
input VAR5 ;
input VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nor4/sky130_fd_sc_hd__nor4.behavioral.v
| 1,425 |
module MODULE1 (
VAR6,
VAR8,
VAR3,
VAR11,
VAR1
);
output VAR6;
input VAR8;
input VAR3;
input VAR11;
input VAR1;
supply1 VAR5;
supply0 VAR9;
supply1 VAR2 ;
supply0 VAR7 ;
wire VAR12;
nor VAR10 (VAR12, VAR8, VAR3, VAR11, VAR1 );
buf VAR4 (VAR6 , VAR12 );
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_hdmi_rx/axi_hdmi_rx_es.v
| 5,944 |
module MODULE1 (
VAR20,
VAR17,
VAR12,
VAR28,
VAR19);
parameter VAR27 = 32;
localparam VAR16 = VAR27/8;
input VAR20;
input [(VAR27-1):0] VAR17;
output VAR12;
output VAR28;
output [(VAR27-1):0] VAR19;
reg [(VAR27-1):0] VAR7 = 'd0;
reg VAR13 = 'd0;
reg VAR2 = 'd0;
reg [(VAR27-1):0] VAR24 = 'd0;
reg VAR1 = 'd0;
reg VAR23 = 'd0;
reg [(VAR27-1):0] VAR14 = 'd0;
reg VAR18 = 'd0;
reg VAR11 = 'd0;
reg [(VAR27-1):0] VAR22 = 'd0;
reg VAR15 = 'd0;
reg VAR6 = 'd0;
reg [(VAR27-1):0] VAR19 = 'd0;
reg VAR28 = 'd0;
reg VAR12 = 'd0;
reg [ 1:0] VAR8 = 'd0;
reg VAR21 = 'd0;
reg VAR3 = 'd0;
wire [(VAR27-1):0] VAR5;
wire [(VAR27-1):0] VAR4;
wire [(VAR27-1):0] VAR25;
wire [(VAR27-1):0] VAR10;
wire [(VAR27-1):0] VAR26;
wire [(VAR27-1):0] VAR9;
assign VAR5 = {VAR16{8'hff}};
assign VAR4 = {VAR16{8'h00}};
assign VAR25 = {VAR16{8'hb6}};
assign VAR10 = {VAR16{8'h9d}};
assign VAR26 = {VAR16{8'hab}};
assign VAR9 = {VAR16{8'h80}};
always @(posedge VAR20) begin
VAR7 <= VAR17;
VAR13 <= VAR21;
VAR2 <= VAR3;
VAR24 <= VAR7;
VAR1 <= VAR13;
VAR23 <= VAR2;
VAR14 <= VAR24;
VAR18 <= VAR1;
VAR11 <= VAR23;
VAR22 <= VAR14;
VAR15 <= VAR18;
VAR6 <= VAR11;
VAR19 <= VAR22;
VAR28 <= VAR21 & VAR15;
VAR12 <= VAR3 & VAR6;
end
always @(posedge VAR20) begin
if ((VAR17 == VAR5) || (VAR17 == VAR4)) begin
VAR8 <= VAR8 + 1'b1;
end else begin
VAR8 <= 'd0;
end
if (VAR8 == 3'b11) begin
if ((VAR17 == VAR25) || (VAR17 == VAR10)) begin
VAR21 <= 1'b0;
end else if ((VAR17 == VAR26) || (VAR17 == VAR9)) begin
VAR21 <= 1'b1;
end
if (VAR17 == VAR25) begin
VAR3 <= 1'b0;
end else if (VAR17 == VAR10) begin
VAR3 <= 1'b1;
end
end
end
endmodule
|
gpl-3.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/impl/verilog/FIFO_image_filter_img_1_cols_V.v
| 2,987 |
module MODULE2 (
clk,
VAR20,
VAR13,
VAR25,
VAR23);
parameter VAR2 = 32'd12;
parameter VAR6 = 32'd2;
parameter VAR8 = 32'd3;
input clk;
input [VAR2-1:0] VAR20;
input VAR13;
input [VAR6-1:0] VAR25;
output [VAR2-1:0] VAR23;
reg[VAR2-1:0] VAR18 [0:VAR8-1];
integer VAR17;
always @ (posedge clk)
begin
if (VAR13)
begin
for (VAR17=0;VAR17<VAR8-1;VAR17=VAR17+1)
VAR18[VAR17+1] <= VAR18[VAR17];
VAR18[0] <= VAR20;
end
end
assign VAR23 = VAR18[VAR25];
endmodule
module MODULE1 (
clk,
reset,
VAR10,
VAR19,
VAR3,
VAR11,
VAR24,
VAR14,
VAR16,
VAR5);
parameter VAR21 = "VAR4";
parameter VAR2 = 32'd12;
parameter VAR6 = 32'd2;
parameter VAR8 = 32'd3;
input clk;
input reset;
output VAR10;
input VAR19;
input VAR3;
output[VAR2 - 1:0] VAR11;
output VAR24;
input VAR14;
input VAR16;
input[VAR2 - 1:0] VAR5;
wire[VAR6 - 1:0] VAR12 ;
wire[VAR2 - 1:0] VAR22, VAR9;
reg[VAR6:0] VAR15 = {(VAR6+1){1'b1}};
reg VAR27 = 0, VAR1 = 1;
assign VAR10 = VAR27;
assign VAR24 = VAR1;
assign VAR22 = VAR5;
assign VAR11 = VAR9;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR15 <= ~{VAR6+1{1'b0}};
VAR27 <= 1'b0;
VAR1 <= 1'b1;
end
else begin
if (((VAR3 & VAR19) == 1 & VAR27 == 1) &&
((VAR16 & VAR14) == 0 | VAR1 == 0))
begin
VAR15 <= VAR15 -1;
if (VAR15 == 0)
VAR27 <= 1'b0;
VAR1 <= 1'b1;
end
else if (((VAR3 & VAR19) == 0 | VAR27 == 0) &&
((VAR16 & VAR14) == 1 & VAR1 == 1))
begin
VAR15 <= VAR15 +1;
VAR27 <= 1'b1;
if (VAR15 == VAR8-2)
VAR1 <= 1'b0;
end
end
end
assign VAR12 = VAR15[VAR6] == 1'b0 ? VAR15[VAR6-1:0]:{VAR6{1'b0}};
assign VAR7 = (VAR16 & VAR14) & VAR1;
MODULE2
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8))
VAR26 (
.clk(clk),
.VAR20(VAR22),
.VAR13(VAR7),
.VAR25(VAR12),
.VAR23(VAR9));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor3b/sky130_fd_sc_ls__nor3b.pp.blackbox.v
| 1,348 |
module MODULE1 (
VAR6 ,
VAR8 ,
VAR2 ,
VAR3 ,
VAR1,
VAR7,
VAR5 ,
VAR4
);
output VAR6 ;
input VAR8 ;
input VAR2 ;
input VAR3 ;
input VAR1;
input VAR7;
input VAR5 ;
input VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfxtp/sky130_fd_sc_ls__sdfxtp.behavioral.pp.v
| 2,370 |
module MODULE1 (
VAR24 ,
VAR2 ,
VAR6 ,
VAR8 ,
VAR1 ,
VAR15,
VAR18,
VAR9 ,
VAR16
);
output VAR24 ;
input VAR2 ;
input VAR6 ;
input VAR8 ;
input VAR1 ;
input VAR15;
input VAR18;
input VAR9 ;
input VAR16 ;
wire VAR4 ;
wire VAR10 ;
reg VAR23 ;
wire VAR5 ;
wire VAR11;
wire VAR22;
wire VAR25;
wire VAR14 ;
wire VAR21 ;
wire VAR7 ;
wire VAR17 ;
VAR20 VAR19 (VAR10, VAR5, VAR11, VAR22 );
VAR12 VAR3 (VAR4 , VAR10, VAR25, VAR23, VAR15, VAR18);
assign VAR14 = ( VAR15 === 1'b1 );
assign VAR21 = ( ( VAR22 === 1'b0 ) && VAR14 );
assign VAR7 = ( ( VAR22 === 1'b1 ) && VAR14 );
assign VAR17 = ( ( VAR5 !== VAR11 ) && VAR14 );
buf VAR13 (VAR24 , VAR4 );
endmodule
|
apache-2.0
|
alexforencich/verilog-i2c
|
rtl/i2c_slave.v
| 16,537 |
module MODULE1 #(
parameter VAR52 = 4
)
(
input wire clk,
input wire rst,
input wire VAR20,
input wire [7:0] VAR49,
input wire VAR62,
output wire VAR21,
input wire VAR45,
output wire [7:0] VAR42,
output wire VAR74,
input wire VAR27,
output wire VAR54,
input wire VAR39,
output wire VAR22,
output wire VAR7,
input wire VAR59,
output wire VAR47,
output wire VAR32,
output wire VAR37,
output wire [6:0] VAR14,
output wire VAR58,
output wire VAR36,
input wire enable,
input wire [6:0] VAR70,
input wire [6:0] VAR40
);
localparam [4:0]
VAR65 = 4'd0,
VAR15 = 4'd1,
VAR25 = 4'd2,
VAR31 = 4'd3,
VAR63 = 4'd4,
VAR6 = 4'd5,
VAR57 = 4'd6,
VAR68 = 4'd7;
reg [4:0] VAR2 = VAR65, VAR43;
reg [6:0] VAR11 = 7'd0, VAR55;
reg [7:0] VAR53 = 8'd0, VAR61;
reg VAR10 = 1'b0, VAR60;
reg VAR69 = 1'b0, VAR34;
reg VAR8 = 1'b0, VAR9;
reg VAR73 = 1'b0, VAR24;
reg [3:0] VAR12 = 4'd0, VAR50;
reg VAR46 = 1'b0, VAR56;
reg [7:0] VAR72 = 8'd0, VAR4;
reg VAR3 = 1'b0, VAR71;
reg VAR38 = 1'b0, VAR41;
reg [VAR52-1:0] VAR48 = {VAR52{1'b1}};
reg [VAR52-1:0] VAR51 = {VAR52{1'b1}};
reg VAR17 = 1'b1;
reg VAR30 = 1'b1;
reg VAR29 = 1'b1, VAR5;
reg VAR44 = 1'b1, VAR33;
reg VAR35 = 1'b1;
reg VAR23 = 1'b1;
reg VAR16 = 1'b0;
reg VAR1 = 1'b0;
reg VAR67 = 1'b0, VAR66;
assign VAR14 = VAR11;
assign VAR21 = VAR46;
assign VAR42 = VAR72;
assign VAR74 = VAR3;
assign VAR54 = VAR38;
assign VAR22 = VAR29;
assign VAR7 = VAR29;
assign VAR47 = VAR44;
assign VAR32 = VAR44;
assign VAR37 = VAR16;
assign VAR36 = VAR1;
assign VAR58 = VAR67;
assign VAR19 = VAR17 && !VAR35;
assign VAR18 = !VAR17 && VAR35;
assign VAR28 = VAR30 && !VAR23;
assign VAR64 = !VAR30 && VAR23;
assign VAR26 = VAR64 && VAR17;
assign VAR13 = VAR28 && VAR17;
always @* begin
VAR43 = VAR65;
VAR55 = VAR11;
VAR61 = VAR53;
VAR60 = VAR10;
VAR34 = VAR69;
VAR9 = VAR8;
VAR24 = VAR73;
VAR50 = VAR12;
VAR56 = 1'b0;
VAR4 = VAR72;
VAR71 = VAR3 && !VAR27;
VAR41 = VAR38;
VAR5 = VAR29;
VAR33 = VAR44;
VAR66 = VAR67;
if (VAR26) begin
VAR60 = 1'b0;
VAR34 = 1'b0;
VAR50 = 4'd7;
VAR41 = 1'b1;
VAR71 = VAR69;
VAR66 = 1'b0;
VAR43 = VAR15;
end else if (VAR20 || VAR13) begin
VAR60 = 1'b0;
VAR34 = 1'b0;
VAR41 = 1'b1;
VAR71 = VAR69;
VAR66 = 1'b0;
VAR43 = VAR65;
end else begin
case (VAR2)
VAR65: begin
VAR60 = 1'b0;
VAR34 = 1'b0;
VAR66 = 1'b0;
VAR43 = VAR65;
end
VAR15: begin
if (VAR19) begin
if (VAR12 > 0) begin
VAR50 = VAR12-1;
VAR61 = {VAR53[6:0], VAR30};
VAR43 = VAR15;
end else begin
if (enable && (VAR70 & VAR40) == (VAR53[6:0] & VAR40)) begin
VAR55 = VAR53[6:0];
VAR24 = VAR30;
VAR66 = 1'b1;
VAR43 = VAR25;
end else begin
VAR43 = VAR65;
end
end
end else begin
VAR43 = VAR15;
end
end
VAR25: begin
if (VAR18) begin
VAR33 = 1'b0;
VAR50 = 4'd7;
if (VAR73) begin
VAR56 = 1'b1;
VAR60 = 1'b0;
VAR43 = VAR6;
end else begin
VAR43 = VAR31;
end
end else begin
VAR43 = VAR25;
end
end
VAR31: begin
if (VAR18 || !VAR29) begin
VAR33 = 1'b1;
if (VAR74 && !VAR27) begin
VAR5 = 1'b0;
VAR43 = VAR31;
end else begin
VAR5 = 1'b1;
if (VAR10) begin
VAR4 = VAR53;
VAR41 = 1'b0;
end
VAR60 = 1'b0;
VAR34 = VAR10;
VAR43 = VAR63;
end
end else begin
VAR43 = VAR31;
end
end
VAR63: begin
if (VAR19) begin
VAR61 = {VAR53[6:0], VAR30};
if (VAR12 > 0) begin
VAR50 = VAR12-1;
VAR43 = VAR63;
end else begin
VAR71 = VAR69;
VAR34 = 1'b0;
VAR60 = 1'b1;
VAR43 = VAR25;
end
end else begin
VAR43 = VAR63;
end
end
VAR6: begin
if (VAR21 && VAR62) begin
VAR56 = 1'b0;
VAR61 = VAR49;
VAR60 = 1'b1;
end else begin
VAR56 = !VAR10;
end
if (VAR18 || !VAR29) begin
if (!VAR10) begin
VAR5 = 1'b0;
VAR43 = VAR6;
end else begin
VAR5 = 1'b1;
{VAR33, VAR61} = {VAR53, 1'b0};
if (VAR12 > 0) begin
VAR50 = VAR12-1;
VAR43 = VAR6;
end else begin
VAR43 = VAR57;
end
end
end else begin
VAR43 = VAR6;
end
end
VAR57: begin
if (VAR18) begin
VAR33 = 1'b1;
VAR43 = VAR68;
end else begin
VAR43 = VAR57;
end
end
VAR68: begin
if (VAR19) begin
if (VAR30) begin
VAR43 = VAR65;
end else begin
VAR50 = 4'd7;
VAR56 = 1'b1;
VAR60 = 1'b0;
VAR43 = VAR6;
end
end else begin
VAR43 = VAR68;
end
end
endcase
end
end
always @(posedge clk) begin
VAR2 <= VAR43;
VAR11 <= VAR55;
VAR53 <= VAR61;
VAR10 <= VAR60;
VAR69 <= VAR34;
VAR8 <= VAR9;
VAR73 <= VAR24;
VAR12 <= VAR50;
VAR46 <= VAR56;
VAR72 <= VAR4;
VAR3 <= VAR71;
VAR38 <= VAR41;
VAR48 <= (VAR48 << 1) | VAR39;
VAR51 <= (VAR51 << 1) | VAR59;
if (VAR48 == {VAR52{1'b1}}) begin
VAR17 <= 1'b1;
end else if (VAR48 == {VAR52{1'b0}}) begin
VAR17 <= 1'b0;
end
if (VAR51 == {VAR52{1'b1}}) begin
VAR30 <= 1'b1;
end else if (VAR51 == {VAR52{1'b0}}) begin
VAR30 <= 1'b0;
end
VAR29 <= VAR5;
VAR44 <= VAR33;
VAR35 <= VAR17;
VAR23 <= VAR30;
VAR16 <= !(VAR2 == VAR65);
if (VAR26) begin
VAR1 <= 1'b1;
end else if (VAR13) begin
VAR1 <= 1'b0;
end else begin
VAR1 <= VAR1;
end
VAR67 <= VAR66;
if (rst) begin
VAR2 <= VAR65;
VAR46 <= 1'b0;
VAR3 <= 1'b0;
VAR29 <= 1'b1;
VAR44 <= 1'b1;
VAR16 <= 1'b0;
VAR1 <= 1'b0;
VAR67 <= 1'b0;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand2/sky130_fd_sc_hdll__nand2_6.v
| 2,113 |
module MODULE2 (
VAR4 ,
VAR7 ,
VAR5 ,
VAR9,
VAR8,
VAR3 ,
VAR1
);
output VAR4 ;
input VAR7 ;
input VAR5 ;
input VAR9;
input VAR8;
input VAR3 ;
input VAR1 ;
VAR6 VAR2 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR4,
VAR7,
VAR5
);
output VAR4;
input VAR7;
input VAR5;
supply1 VAR9;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR1 ;
VAR6 VAR2 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
YosysHQ/yosys
|
techlibs/intel/cycloneive/cells_map.v
| 3,437 |
module \VAR21 (input VAR1, output VAR14);
VAR6 VAR7 (.VAR22(VAR14), .VAR24(VAR1), .VAR2(1'b0));
endmodule
module \VAR12 (input VAR1, output VAR14);
VAR13 VAR7 (.VAR22(VAR14), .VAR24(VAR1), .VAR23(1'b1));
endmodule
module MODULE3 (VAR19, VAR5);
parameter VAR16 = 0;
parameter VAR8 = 0;
input [VAR16-1:0] VAR19;
output VAR5;
generate
if (VAR16 == 1) begin
assign VAR5 = ~VAR19[0]; end else
if (VAR16 == 2) begin
VAR18 #(.VAR15({4{VAR8}}),
.VAR4("VAR11")) VAR7 (.VAR20(VAR5),
.VAR9(VAR19[0]),
.VAR17(VAR19[1]),
.VAR11(1'b1),
.VAR10(1'b1));
end else
if(VAR16 == 3) begin
VAR18 #(.VAR15({2{VAR8}}),
.VAR4("VAR11")) VAR7 (.VAR20(VAR5),
.VAR9(VAR19[0]),
.VAR17(VAR19[1]),
.VAR11(VAR19[2]),
.VAR10(1'b1));
end else
if(VAR16 == 4) begin
VAR18 #(.VAR15(VAR8),
.VAR4("VAR11")) VAR7 (.VAR20(VAR5),
.VAR9(VAR19[0]),
.VAR17(VAR19[1]),
.VAR11(VAR19[2]),
.VAR10(VAR19[3]));
end else
wire VAR3 = 1;
endgenerate
endmodule
|
isc
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/rtl/vlog/core/coreahblite_slavestage.v
| 8,306 |
module MODULE1
(
input VAR46,
input VAR4,
input VAR37,
input VAR33,
output reg VAR23,
output reg [31:0] VAR44,
output reg [2:0] VAR29,
output wire VAR26,
output reg VAR30,
output reg [31:0] VAR15,
output wire VAR10,
output reg VAR20,
input [3:0] VAR2,
input [3:0] VAR42,
input [3:0] VAR21,
output reg [3:0] VAR47,
output reg [3:0] VAR36,
output reg [3:0] VAR41,
input [31:0] VAR49,
input VAR43,
input [2:0] VAR5,
input VAR12,
input VAR6,
input [31:0] VAR7,
input VAR3,
input [2:0] VAR24,
input VAR31,
input VAR25,
input [31:0] VAR28,
input VAR32,
input [2:0] VAR35,
input VAR52,
input VAR40,
input [31:0] VAR9,
input VAR45,
input [2:0] VAR16,
input VAR18,
input VAR17,
input [31:0] VAR50,
input [31:0] VAR22,
input [31:0] VAR34,
input [31:0] VAR13
);
localparam VAR51 = 1'b0;
localparam VAR39 = 4'b0000;
wire [3:0] VAR1;
reg [3:0] VAR14;
reg VAR19;
wire VAR8;
reg VAR38;
always @ ( posedge VAR46 or negedge VAR4 )
begin
if ( !VAR4 )
VAR14 <= VAR39;
end
else
if ( VAR10 )
VAR14 <= VAR1;
end
VAR27 VAR11
(
.VAR46(VAR46),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR48(VAR10),
.VAR43(VAR43),
.VAR3(VAR3),
.VAR32(VAR32),
.VAR45(VAR45),
.VAR54(VAR1)
);
always @ ( * )
begin
casez ( VAR1 )
4'VAR53???1:
begin
VAR23 = 1'b1;
VAR38 = VAR12;
VAR29 = VAR5;
VAR30 = VAR6;
VAR44 = VAR49;
VAR20 = VAR43;
VAR19 = VAR21[0];
end
4'VAR53??1?:
begin
VAR23 = 1'b1;
VAR38 = VAR31;
VAR29 = VAR24;
VAR30 = VAR25;
VAR44 = VAR7;
VAR20 = VAR3;
VAR19 = VAR21[1];
end
4'VAR53?1??:
begin
VAR23 = 1'b1;
VAR38 = VAR52;
VAR29 = VAR35;
VAR30 = VAR40;
VAR44 = VAR28;
VAR20 = VAR32;
VAR19 = VAR21[1];
end
4'b1???:
begin
VAR23 = 1'b1;
VAR38 = VAR18;
VAR29 = VAR16;
VAR30 = VAR17;
VAR44 = VAR9;
VAR20 = VAR45;
VAR19 = VAR21[1];
end
default:
begin
VAR23 = 1'b0;
VAR38 = VAR51;
VAR29 = 2'b00;
VAR30 = 1'b0;
VAR44 = 32'h0;
VAR20 = 1'b0;
VAR19 = 1'b1;
end
endcase
end
assign VAR8 = |(VAR1 & VAR42);
assign VAR26 = VAR38 && (VAR19 || VAR8);
assign VAR10 = VAR37;
always @ ( * )
begin
casez ( VAR14 )
4'VAR53???1:
begin
VAR15 = VAR50;
end
4'VAR53??1?:
begin
VAR15 = VAR22;
end
4'VAR53?1??:
begin
VAR15 = VAR34;
end
4'b1???:
begin
VAR15 = VAR13;
end
default:
begin
VAR15 = 32'h0;
end
endcase
end
always @ ( * )
begin
VAR41 = 2'b00;
casez ( VAR14 )
4'VAR53???1:
begin
VAR41[0] = VAR33;
end
4'VAR53??1?:
begin
VAR41[1] = VAR33;
end
4'VAR53?1??:
begin
VAR41[2] = VAR33;
end
4'b1???:
begin
VAR41[3] = VAR33;
end
default:
begin
VAR41 = 2'b00;
end
endcase
end
always @ ( * )
begin
if ( VAR2[0] && !VAR1[0] )
VAR47[0] = 1'b0;
end
else
if ( VAR2[0] && VAR1[0] )
VAR47[0] = VAR37;
else
VAR47[0] = 1'b1;
end
always @ ( * )
begin
if ( VAR2[1] && !VAR1[1] )
VAR47[1] = 1'b0;
end
else
if ( VAR2[1] && VAR1[1] )
VAR47[1] = VAR37;
else
VAR47[1] = 1'b1;
end
always @ ( * )
begin
if ( VAR2[2] && !VAR1[2] )
VAR47[2] = 1'b0;
end
else
if ( VAR2[2] && VAR1[2] )
VAR47[2] = VAR37;
else
VAR47[2] = 1'b1;
end
always @ ( * )
begin
if ( VAR2[3] && !VAR1[3] )
VAR47[3] = 1'b0;
end
else
if ( VAR2[3] && VAR1[3] )
VAR47[3] = VAR37;
else
VAR47[3] = 1'b1;
end
always @ ( * )
begin
if ( VAR42[0] && !VAR14[0] )
VAR36[0] = 1'b0;
end
else
if ( VAR42[0] && VAR14[0] )
VAR36[0] = VAR37;
else
VAR36[0] = 1'b1;
end
always @ ( * )
begin
if ( VAR42[1] && !VAR14[1] )
VAR36[1] = 1'b0;
end
else
if ( VAR42[1] && VAR14[1] )
VAR36[1] = VAR37;
else
VAR36[1] = 1'b1;
end
always @ ( * )
begin
if ( VAR42[2] && !VAR14[2] )
VAR36[2] = 1'b0;
end
else
if ( VAR42[2] && VAR14[2] )
VAR36[2] = VAR37;
else
VAR36[2] = 1'b1;
end
always @ ( * )
begin
if ( VAR42[3] && !VAR14[3] )
VAR36[3] = 1'b0;
end
else
if ( VAR42[3] && VAR14[3] )
VAR36[3] = VAR37;
else
VAR36[3] = 1'b1;
end
endmodule
|
gpl-3.0
|
ShepardSiegel/ocpi
|
rtl/mkWsiAdapter32B4B.v
| 31,059 |
module MODULE1(VAR190,
VAR21,
VAR89,
VAR77,
VAR18,
VAR90,
VAR144,
VAR179,
VAR16,
VAR95,
VAR103,
VAR93,
VAR53,
VAR59,
VAR191,
VAR25,
VAR114,
VAR42,
VAR28,
VAR86,
VAR158,
VAR88);
input VAR190;
input VAR21;
input [2 : 0] VAR89;
input VAR77;
input VAR18;
input [11 : 0] VAR90;
input [255 : 0] VAR144;
input [31 : 0] VAR179;
input [7 : 0] VAR16;
output VAR95;
output VAR103;
input VAR93;
output [2 : 0] VAR53;
output VAR59;
output VAR191;
output [11 : 0] VAR25;
output [31 : 0] VAR114;
output [3 : 0] VAR42;
output [7 : 0] VAR28;
input VAR86;
output VAR158;
input VAR88;
wire [31 : 0] VAR114;
wire [11 : 0] VAR25;
wire [7 : 0] VAR28;
wire [3 : 0] VAR42;
wire [2 : 0] VAR53;
wire VAR191,
VAR59,
VAR158,
VAR103,
VAR95;
wire [312 : 0] VAR32;
wire [255 : 0] VAR175;
wire [95 : 0] VAR152, VAR11;
wire [60 : 0] VAR6;
wire [31 : 0] VAR165;
wire [11 : 0] VAR122;
wire [7 : 0] VAR94;
wire [2 : 0] VAR160;
wire VAR156,
VAR26,
VAR73,
VAR68,
VAR105,
VAR123,
VAR170,
VAR52,
VAR63,
VAR39,
VAR173,
VAR181,
VAR13,
VAR81,
VAR45,
VAR183,
VAR155,
VAR174,
VAR196,
VAR118,
VAR2,
VAR102,
VAR189,
VAR109,
VAR134,
VAR112,
VAR185,
VAR106,
VAR72;
reg VAR27;
wire VAR24, VAR65;
reg VAR169;
wire VAR146, VAR195;
reg [2 : 0] pos;
wire [2 : 0] VAR171;
wire VAR60;
reg [312 : 0] VAR149;
wire [312 : 0] VAR7;
wire VAR82;
reg [1 : 0] VAR132;
wire [1 : 0] VAR84;
wire VAR178;
reg VAR62;
wire VAR140, VAR128;
reg [31 : 0] VAR97;
wire [31 : 0] VAR48;
wire VAR129;
reg VAR78;
wire VAR116, VAR154;
reg VAR168;
wire VAR150, VAR66;
reg [31 : 0] VAR15;
wire [31 : 0] VAR19;
wire VAR71;
reg VAR56;
wire VAR192, VAR76;
reg [1 : 0] VAR180;
wire [1 : 0] VAR85;
wire VAR99;
reg [60 : 0] VAR61;
reg [60 : 0] VAR17;
wire VAR142;
reg [60 : 0] VAR91;
reg [60 : 0] VAR40;
wire VAR37;
reg VAR125;
wire VAR1, VAR12;
reg [7 : 0] VAR92;
wire [7 : 0] VAR188;
wire VAR120;
reg [31 : 0] VAR8;
wire [31 : 0] VAR43;
wire VAR136;
reg VAR167;
wire VAR113, VAR67;
reg [1 : 0] VAR197;
wire [1 : 0] VAR5;
wire VAR14;
reg VAR108;
wire VAR176, VAR107;
reg [31 : 0] VAR101;
wire [31 : 0] VAR9;
wire VAR166;
reg VAR69;
wire VAR177, VAR138;
reg [11 : 0] VAR200;
wire [11 : 0] VAR143;
wire VAR199;
reg VAR124;
wire VAR157, VAR127;
reg [31 : 0] VAR187;
wire [31 : 0] VAR29;
wire VAR80;
reg VAR153;
wire VAR41, VAR3;
reg [1 : 0] VAR20;
wire [1 : 0] VAR159;
wire VAR110;
reg VAR30;
wire VAR79, VAR121;
reg [7 : 0] VAR115;
wire [7 : 0] VAR55;
wire VAR137;
reg [31 : 0] VAR83;
wire [31 : 0] VAR75;
wire VAR35;
reg VAR46;
wire VAR64, VAR23;
reg [11 : 0] VAR44;
wire [11 : 0] VAR10;
wire VAR31;
wire [312 : 0] VAR193, VAR161;
wire VAR133,
VAR186,
VAR54,
VAR111,
VAR51;
wire VAR194,
VAR130,
VAR184,
VAR36,
VAR33,
VAR4;
wire [60 : 0] VAR148,
VAR47,
VAR22;
wire [1 : 0] VAR139,
VAR145;
wire VAR164,
VAR182,
VAR74,
VAR70,
VAR96;
reg [31 : 0] VAR100;
reg [3 : 0] VAR87;
wire [11 : 0] VAR126;
wire VAR162, VAR131;
assign VAR95 =
!VAR118 || VAR196 ;
assign VAR103 = !VAR69 && VAR124 ;
assign VAR53 = VAR125 ? 3'd0 : VAR61[60:58] ;
assign VAR59 = !VAR125 && VAR61[57] ;
assign VAR191 = !VAR125 && VAR61[56] ;
assign VAR25 =
VAR125 ? 12'd0 : VAR61[55:44] ;
assign VAR114 = VAR61[43:12] ;
assign VAR42 = VAR61[11:8] ;
assign VAR28 = VAR125 ? 8'd0 : VAR61[7:0] ;
assign VAR158 = !VAR78 && VAR168 ;
VAR119 #(.VAR172(32'd313),
.VAR34(32'd3),
.VAR104(32'd1),
.VAR147(32'd1)) VAR135(.VAR141(VAR21),
.VAR190(VAR190),
.VAR57(VAR193),
.VAR117(VAR111),
.VAR58(VAR186),
.VAR98(VAR133),
.VAR38(VAR161),
.VAR198(VAR51),
.VAR163(VAR54));
assign VAR184 =
VAR180 != 2'd0 && !VAR125 ;
assign VAR36 =
VAR123 && VAR123 &&
!VAR184 ;
assign VAR130 =
VAR184 && !VAR123 ;
assign VAR194 =
VAR123 && VAR184 &&
VAR123 ;
assign VAR33 =
VAR51 && VAR124 && VAR153 &&
VAR32[312:310] == 3'd1 ;
assign VAR4 =
VAR33 ||
VAR96 ;
assign VAR164 =
VAR194 && VAR131 ;
assign VAR182 =
VAR36 && VAR180 == 2'd0 ;
assign VAR74 =
VAR194 && VAR162 ;
assign VAR70 =
VAR36 && VAR180 == 2'd1 ;
assign VAR96 =
VAR54 && VAR27 ;
assign VAR139 = VAR180 - 2'd1 ;
assign VAR145 = VAR180 + 2'd1 ;
assign VAR148 =
(VAR180 == 2'd1) ?
VAR47 :
VAR91 ;
assign VAR47 =
{ 3'd1,
VAR169 && pos == 3'd7,
VAR149[308],
VAR126,
VAR100,
VAR87,
VAR149[7:0] } ;
assign VAR22 =
(VAR180 == 2'd2) ?
VAR47 :
61'h00000AAAAAAAAA00 ;
assign VAR32 =
{ VAR89,
VAR77,
VAR18,
VAR90,
VAR144,
VAR179,
VAR16 } ;
assign VAR2 = 1'd1 ;
assign VAR63 = 1'd1 ;
assign VAR39 = 1'd1 ;
assign VAR173 = 1'd1 ;
assign VAR181 = VAR93 ;
assign VAR196 = VAR20 > 2'd1 ;
assign VAR118 =
VAR30 && VAR124 && VAR153 ;
assign VAR6 = VAR47 ;
assign VAR170 = VAR123 ;
assign VAR156 = 1'd1 ;
assign VAR26 = 1'd1 ;
assign VAR73 = 1'd1 ;
assign VAR68 = VAR88 ;
assign VAR160 = VAR89 ;
assign VAR134 = 1'd1 ;
assign VAR122 = VAR90 ;
assign VAR102 = 1'd1 ;
assign VAR175 = VAR144 ;
assign VAR185 = 1'd1 ;
assign VAR165 = VAR179 ;
assign VAR109 = 1'd1 ;
assign VAR94 = VAR16 ;
assign VAR106 = 1'd1 ;
assign VAR174 = VAR33 ;
assign VAR155 =
VAR96 ;
assign VAR183 = 1'b0 ;
assign VAR45 = VAR33 ;
assign VAR81 =
VAR96 ;
assign VAR13 = 1'b0 ;
assign VAR123 =
VAR180 != 2'd2 && !VAR27 ;
assign VAR105 = VAR184 ;
assign VAR52 = VAR86 ;
assign VAR72 = VAR77 ;
assign VAR189 = VAR18 ;
assign VAR112 = 1'd1 ;
assign VAR11 =
{ VAR187, VAR101, VAR83 } ;
assign VAR152 =
{ VAR15, VAR97, VAR8 } ;
assign VAR24 = VAR123 && pos == 3'd7 ;
assign VAR65 =
VAR123 ||
VAR96 ;
assign VAR146 = VAR161[309] ;
assign VAR195 = VAR96 ;
assign VAR171 = pos + 3'd1 ;
assign VAR60 = VAR123 ;
assign VAR7 = VAR161 ;
assign VAR82 = VAR96 ;
assign VAR84 =
(VAR132 == 2'd0) ?
(VAR61[56] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR178 =
VAR184 &&
VAR61[60:58] == 3'd1 &&
(VAR132 == 2'd0 ||
(VAR132 == 2'd1 || VAR132 == 2'd2) &&
VAR61[57]) ;
assign VAR140 = 1'b0 ;
assign VAR128 = 1'b0 ;
assign VAR48 = VAR97 + 32'd1 ;
assign VAR129 =
VAR184 &&
VAR61[60:58] == 3'd1 &&
VAR132 == 2'd2 &&
VAR61[57] ;
assign VAR116 = 1'd0 ;
assign VAR154 = VAR78 ;
assign VAR150 = 1'b1 ;
assign VAR66 = 1'd1 ;
assign VAR19 = VAR15 + 32'd1 ;
assign VAR71 =
VAR184 &&
VAR61[60:58] == 3'd1 &&
VAR132 == 2'd1 &&
VAR61[57] ;
assign VAR192 = VAR88 ;
assign VAR76 = 1'd1 ;
assign VAR85 =
VAR130 ?
VAR139 :
VAR145 ;
assign VAR99 =
VAR130 ||
VAR36 ;
always@(VAR164 or
VAR148 or
VAR182 or
VAR47 or
VAR130 or VAR91)
begin
case (1'b1) VAR164:
VAR17 = VAR148;
VAR182:
VAR17 = VAR47;
VAR130:
VAR17 = VAR91;
default: VAR17 =
61'h0AAAAAAAAAAAAAAA ;
endcase
end
assign VAR142 =
VAR194 && VAR131 ||
VAR36 &&
VAR180 == 2'd0 ||
VAR130 ;
always@(VAR74 or
VAR22 or
VAR70 or
VAR47 or
VAR130)
begin
case (1'b1) VAR74:
VAR40 = VAR22;
VAR70:
VAR40 = VAR47;
VAR130:
VAR40 = 61'h00000AAAAAAAAA00;
default: VAR40 =
61'h0AAAAAAAAAAAAAAA ;
endcase
end
assign VAR37 =
VAR194 && VAR162 ||
VAR36 &&
VAR180 == 2'd1 ||
VAR130 ;
assign VAR1 = VAR86 ;
assign VAR12 = 1'd1 ;
assign VAR188 =
{ VAR78,
!VAR56,
!VAR168,
VAR62,
VAR132 != 2'd0,
VAR125,
1'd0,
VAR167 } ;
assign VAR120 = 1'd1 ;
assign VAR43 = VAR8 + 32'd1 ;
assign VAR136 =
VAR168 && VAR56 && VAR125 ;
assign VAR113 = 1'd1 ;
assign VAR67 =
VAR184 &&
VAR61[60:58] == 3'd1 ;
assign VAR5 =
(VAR197 == 2'd0) ?
(VAR32[308] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR14 =
VAR33 &&
(VAR197 == 2'd0 ||
(VAR197 == 2'd1 || VAR197 == 2'd2) &&
VAR32[309]) ;
assign VAR176 = 1'b0 ;
assign VAR107 = 1'b0 ;
assign VAR9 = VAR101 + 32'd1 ;
assign VAR166 =
VAR33 && VAR197 == 2'd2 &&
VAR32[309] ;
assign VAR177 = 1'd0 ;
assign VAR138 = VAR69 ;
assign VAR143 = VAR44 ;
assign VAR199 =
VAR33 && VAR32[309] ;
assign VAR157 = 1'b1 ;
assign VAR127 = 1'd1 ;
assign VAR29 = VAR187 + 32'd1 ;
assign VAR80 =
VAR33 && VAR197 == 2'd1 &&
VAR32[309] ;
assign VAR41 = VAR93 ;
assign VAR3 = 1'd1 ;
assign VAR159 =
VAR33 ?
VAR20 + 2'd1 :
VAR20 - 2'd1 ;
assign VAR110 =
VAR33 !=
VAR96 ;
assign VAR79 = VAR4 ;
assign VAR121 =
VAR96 ||
VAR33 ||
VAR4 ;
assign VAR55 =
{ VAR69,
!VAR153,
!VAR124,
VAR108,
VAR197 != 2'd0,
!VAR118 || VAR196,
1'd0,
VAR46 } ;
assign VAR137 = 1'd1 ;
assign VAR75 = VAR83 + 32'd1 ;
assign VAR35 =
VAR124 && VAR153 &&
(!VAR118 || VAR196) ;
assign VAR64 = 1'd1 ;
assign VAR23 = VAR33 ;
assign VAR10 =
VAR32[309] ? 12'd1 : VAR44 + 12'd1 ;
assign VAR31 = VAR33 ;
assign VAR193 = VAR32 ;
assign VAR111 = VAR33 ;
assign VAR186 = VAR96 ;
assign VAR133 = 1'b0 ;
assign VAR162 =
VAR180 != 2'd2 ||
VAR139 == 2'd1 ;
assign VAR131 =
VAR180 != 2'd1 ||
VAR139 == 2'd0 ;
assign VAR126 = { VAR149[304:296], 3'd0 } ;
always@(pos or VAR149)
begin
case (pos)
3'd0: VAR100 = VAR149[71:40];
3'd1: VAR100 = VAR149[103:72];
3'd2: VAR100 = VAR149[135:104];
3'd3: VAR100 = VAR149[167:136];
3'd4: VAR100 = VAR149[199:168];
3'd5: VAR100 = VAR149[231:200];
3'd6: VAR100 = VAR149[263:232];
3'd7: VAR100 = VAR149[295:264];
endcase
end
always@(pos or VAR149)
begin
case (pos)
3'd0: VAR87 = VAR149[11:8];
3'd1: VAR87 = VAR149[15:12];
3'd2: VAR87 = VAR149[19:16];
3'd3: VAR87 = VAR149[23:20];
3'd4: VAR87 = VAR149[27:24];
3'd5: VAR87 = VAR149[31:28];
3'd6: VAR87 = VAR149[35:32];
3'd7: VAR87 = VAR149[39:36];
endcase
end
always@(posedge VAR190)
begin
if (VAR21 == VAR50)
begin
VAR27 <= VAR151 1'd1;
VAR169 <= VAR151 1'd0;
pos <= VAR151 3'd0;
VAR132 <= VAR151 2'd0;
VAR62 <= VAR151 1'd0;
VAR97 <= VAR151 32'd0;
VAR168 <= VAR151 1'd0;
VAR15 <= VAR151 32'd0;
VAR56 <= VAR151 1'd0;
VAR180 <= VAR151 2'd0;
VAR61 <= VAR151 61'h00000AAAAAAAAA00;
VAR91 <= VAR151 61'h00000AAAAAAAAA00;
VAR125 <= VAR151 1'd1;
VAR8 <= VAR151 32'd0;
VAR167 <= VAR151 1'd0;
VAR197 <= VAR151 2'd0;
VAR108 <= VAR151 1'd0;
VAR101 <= VAR151 32'd0;
VAR124 <= VAR151 1'd0;
VAR187 <= VAR151 32'd0;
VAR153 <= VAR151 1'd0;
VAR20 <= VAR151 2'd0;
VAR30 <= VAR151 1'd1;
VAR83 <= VAR151 32'd0;
VAR46 <= VAR151 1'd0;
VAR44 <= VAR151 12'd1;
end
else
begin
if (VAR65) VAR27 <= VAR151 VAR24;
if (VAR195) VAR169 <= VAR151 VAR146;
if (VAR60) pos <= VAR151 VAR171;
if (VAR178)
VAR132 <= VAR151 VAR84;
if (VAR128)
VAR62 <= VAR151 VAR140;
if (VAR129)
VAR97 <= VAR151 VAR48;
if (VAR66)
VAR168 <= VAR151 VAR150;
if (VAR71)
VAR15 <= VAR151 VAR19;
if (VAR76)
VAR56 <= VAR151 VAR192;
if (VAR99)
VAR180 <= VAR151
VAR85;
if (VAR142)
VAR61 <= VAR151 VAR17;
if (VAR37)
VAR91 <= VAR151 VAR40;
if (VAR12)
VAR125 <= VAR151 VAR1;
if (VAR136)
VAR8 <= VAR151 VAR43;
if (VAR67)
VAR167 <= VAR151 VAR113;
if (VAR14)
VAR197 <= VAR151 VAR5;
if (VAR107)
VAR108 <= VAR151 VAR176;
if (VAR166)
VAR101 <= VAR151 VAR9;
if (VAR127)
VAR124 <= VAR151 VAR157;
if (VAR80)
VAR187 <= VAR151 VAR29;
if (VAR3)
VAR153 <= VAR151 VAR41;
if (VAR110)
VAR20 <= VAR151
VAR159;
if (VAR121)
VAR30 <= VAR151
VAR79;
if (VAR35)
VAR83 <= VAR151 VAR75;
if (VAR23)
VAR46 <= VAR151 VAR64;
if (VAR31)
VAR44 <= VAR151 VAR10;
end
if (VAR82) VAR149 <= VAR151 VAR7;
if (VAR120)
VAR92 <= VAR151 VAR188;
if (VAR199)
VAR200 <= VAR151 VAR143;
if (VAR137)
VAR115 <= VAR151 VAR55;
end
always@(posedge VAR190 or VAR49 VAR21)
if (VAR21 == VAR50)
begin
VAR78 <= VAR151 1'd1;
VAR69 <= VAR151 1'd1;
end
else
begin
if (VAR154)
VAR78 <= VAR151
VAR116;
if (VAR138)
VAR69 <= VAR151
VAR177;
end
begin
VAR27 = 1'h0;
VAR169 = 1'h0;
pos = 3'h2;
VAR149 =
313'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA;
VAR132 = 2'h2;
VAR62 = 1'h0;
VAR97 = 32'hAAAAAAAA;
VAR78 = 1'h0;
VAR168 = 1'h0;
VAR15 = 32'hAAAAAAAA;
VAR56 = 1'h0;
VAR180 = 2'h2;
VAR61 = 61'h0AAAAAAAAAAAAAAA;
VAR91 = 61'h0AAAAAAAAAAAAAAA;
VAR125 = 1'h0;
VAR92 = 8'hAA;
VAR8 = 32'hAAAAAAAA;
VAR167 = 1'h0;
VAR197 = 2'h2;
VAR108 = 1'h0;
VAR101 = 32'hAAAAAAAA;
VAR69 = 1'h0;
VAR200 = 12'hAAA;
VAR124 = 1'h0;
VAR187 = 32'hAAAAAAAA;
VAR153 = 1'h0;
VAR20 = 2'h2;
VAR30 = 1'h0;
VAR115 = 8'hAA;
VAR83 = 32'hAAAAAAAA;
VAR46 = 1'h0;
VAR44 = 12'hAAA;
end
|
lgpl-3.0
|
rbesenczi/real-time-traffic-analyzer
|
src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/synth/design_1_xbar_0.v
| 14,571 |
module MODULE1 (
VAR84,
VAR44,
VAR10,
VAR55,
VAR27,
VAR38,
VAR5,
VAR72,
VAR57,
VAR85,
VAR48,
VAR8,
VAR88,
VAR101,
VAR11,
VAR78,
VAR28,
VAR15,
VAR125,
VAR64,
VAR46,
VAR87,
VAR14,
VAR121,
VAR98,
VAR29,
VAR60,
VAR24,
VAR119,
VAR34,
VAR81,
VAR40,
VAR47,
VAR59,
VAR42,
VAR82,
VAR17,
VAR131,
VAR21,
VAR23
);
input wire VAR84;
input wire VAR44;
input wire [31 : 0] VAR10;
input wire [2 : 0] VAR55;
input wire [0 : 0] VAR27;
output wire [0 : 0] VAR38;
input wire [31 : 0] VAR5;
input wire [3 : 0] VAR72;
input wire [0 : 0] VAR57;
output wire [0 : 0] VAR85;
output wire [1 : 0] VAR48;
output wire [0 : 0] VAR8;
input wire [0 : 0] VAR88;
input wire [31 : 0] VAR101;
input wire [2 : 0] VAR11;
input wire [0 : 0] VAR78;
output wire [0 : 0] VAR28;
output wire [31 : 0] VAR15;
output wire [1 : 0] VAR125;
output wire [0 : 0] VAR64;
input wire [0 : 0] VAR46;
output wire [95 : 0] VAR87;
output wire [8 : 0] VAR14;
output wire [2 : 0] VAR121;
input wire [2 : 0] VAR98;
output wire [95 : 0] VAR29;
output wire [11 : 0] VAR60;
output wire [2 : 0] VAR24;
input wire [2 : 0] VAR119;
input wire [5 : 0] VAR34;
input wire [2 : 0] VAR81;
output wire [2 : 0] VAR40;
output wire [95 : 0] VAR47;
output wire [8 : 0] VAR59;
output wire [2 : 0] VAR42;
input wire [2 : 0] VAR82;
input wire [95 : 0] VAR17;
input wire [5 : 0] VAR131;
input wire [2 : 0] VAR21;
output wire [2 : 0] VAR23;
VAR77 #(
.VAR1("VAR6"),
.VAR74(1),
.VAR31(3),
.VAR13(1),
.VAR18(32),
.VAR61(32),
.VAR37(2),
.VAR132(1),
.VAR7(192'VAR113),
.VAR94(96'VAR126),
.VAR127(32'VAR110),
.VAR67(32'VAR110),
.VAR95(0),
.VAR118(1),
.VAR112(1),
.VAR52(1),
.VAR53(1),
.VAR96(1),
.VAR19(96'VAR104),
.VAR114(96'VAR104),
.VAR30(1),
.VAR25(32'VAR39),
.VAR76(32'VAR39),
.VAR22(32'VAR39),
.VAR120(96'VAR104),
.VAR36(96'VAR104),
.VAR115(32'VAR110),
.VAR128(96'VAR68),
.VAR91(0)
) VAR66 (
.VAR84(VAR84),
.VAR44(VAR44),
.VAR107(1'VAR122),
.VAR10(VAR10),
.VAR129(8'VAR4),
.VAR3(3'VAR122),
.VAR106(2'VAR122),
.VAR62(1'VAR122),
.VAR12(4'VAR122),
.VAR55(VAR55),
.VAR123(4'VAR122),
.VAR116(1'VAR122),
.VAR27(VAR27),
.VAR38(VAR38),
.VAR50(1'VAR122),
.VAR5(VAR5),
.VAR72(VAR72),
.VAR108(1'VAR105),
.VAR43(1'VAR122),
.VAR57(VAR57),
.VAR85(VAR85),
.VAR109(),
.VAR48(VAR48),
.VAR71(),
.VAR8(VAR8),
.VAR88(VAR88),
.VAR58(1'VAR122),
.VAR101(VAR101),
.VAR65(8'VAR4),
.VAR117(3'VAR122),
.VAR26(2'VAR122),
.VAR80(1'VAR122),
.VAR75(4'VAR122),
.VAR11(VAR11),
.VAR69(4'VAR122),
.VAR16(1'VAR122),
.VAR78(VAR78),
.VAR28(VAR28),
.VAR20(),
.VAR15(VAR15),
.VAR125(VAR125),
.VAR124(),
.VAR83(),
.VAR64(VAR64),
.VAR46(VAR46),
.VAR49(),
.VAR87(VAR87),
.VAR89(),
.VAR45(),
.VAR103(),
.VAR92(),
.VAR9(),
.VAR14(VAR14),
.VAR33(),
.VAR97(),
.VAR51(),
.VAR121(VAR121),
.VAR98(VAR98),
.VAR100(),
.VAR29(VAR29),
.VAR60(VAR60),
.VAR54(),
.VAR73(),
.VAR24(VAR24),
.VAR119(VAR119),
.VAR102(3'VAR122),
.VAR34(VAR34),
.VAR130(3'VAR122),
.VAR81(VAR81),
.VAR40(VAR40),
.VAR86(),
.VAR47(VAR47),
.VAR56(),
.VAR41(),
.VAR90(),
.VAR32(),
.VAR35(),
.VAR59(VAR59),
.VAR99(),
.VAR79(),
.VAR111(),
.VAR42(VAR42),
.VAR82(VAR82),
.VAR63(3'VAR122),
.VAR17(VAR17),
.VAR131(VAR131),
.VAR70(3'VAR2),
.VAR93(3'VAR122),
.VAR21(VAR21),
.VAR23(VAR23)
);
endmodule
|
gpl-3.0
|
htogarcia/Microcontrolador-Calculadora
|
VGA Mouse/num_5.v
| 1,113 |
module MODULE1(
input [2:0] VAR7,
output reg [4:0] VAR1
);
parameter [4:0] VAR6 = 5'b11111; parameter [4:0] VAR2 = 5'b00001; parameter [4:0] VAR5 = 5'b01111; parameter [4:0] VAR4 = 5'b10000; parameter [4:0] VAR3 = 5'b10001; parameter [4:0] VAR8 = 5'b01110;
always @ *
begin
case (VAR7)
3'b000:
VAR1 = VAR6;
3'b001:
VAR1 = VAR2;
3'b010:
VAR1 = VAR5;
3'b011:
VAR1 = VAR4;
3'b100:
VAR1 = VAR3;
3'b101:
VAR1 = VAR8;
default:
VAR1 = 5'b0;
endcase
end
endmodule
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.