repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfxtp/sky130_fd_sc_hdll__sdfxtp.functional.pp.v
| 1,967 |
module MODULE1 (
VAR7 ,
VAR3 ,
VAR17 ,
VAR15 ,
VAR13 ,
VAR9,
VAR5,
VAR6 ,
VAR16
);
output VAR7 ;
input VAR3 ;
input VAR17 ;
input VAR15 ;
input VAR13 ;
input VAR9;
input VAR5;
input VAR6 ;
input VAR16 ;
wire VAR14 ;
wire VAR11;
VAR12 VAR1 (VAR11, VAR17, VAR15, VAR13 );
VAR8 VAR4 VAR2 (VAR14 , VAR11, VAR3, , VAR9, VAR5);
buf VAR10 (VAR7 , VAR14 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a211o/sky130_fd_sc_hdll__a211o_4.v
| 2,364 |
module MODULE1 (
VAR4 ,
VAR6 ,
VAR5 ,
VAR1 ,
VAR3 ,
VAR9,
VAR7,
VAR10 ,
VAR2
);
output VAR4 ;
input VAR6 ;
input VAR5 ;
input VAR1 ;
input VAR3 ;
input VAR9;
input VAR7;
input VAR10 ;
input VAR2 ;
VAR8 VAR11 (
.VAR4(VAR4),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR4 ,
VAR6,
VAR5,
VAR1,
VAR3
);
output VAR4 ;
input VAR6;
input VAR5;
input VAR1;
input VAR3;
supply1 VAR9;
supply0 VAR7;
supply1 VAR10 ;
supply0 VAR2 ;
VAR8 VAR11 (
.VAR4(VAR4),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/cpci/pci_userapp.v
| 10,489 |
module MODULE1 (
input VAR36,
input VAR45,
input VAR65,
input VAR93,
input VAR64,
input [31:0] VAR51,
inout [31:0] VAR2,
input VAR39,
input VAR84,
output reg VAR78,
output reg VAR1,
input VAR17,
input [7:0] VAR92,
output reg VAR30,
output reg VAR28,
output reg VAR69,
input VAR29,
input VAR80,
input VAR34,
input [3:0] VAR11,
input [15:0] VAR83,
output VAR27,
output VAR26,
output VAR9,
output VAR12,
output reg VAR16,
input VAR5,
input VAR22,
output [3:0] VAR37,
input VAR60,
output VAR3,
input VAR61,
input VAR81,
input VAR59,
input VAR25,
input VAR79,
input VAR82,
input VAR77,
input VAR68,
output VAR91,
input VAR46,
input VAR21,
output VAR94,
input [39:0] VAR24,
output [31:0] VAR38,
input [255:0] VAR63,
input VAR55,
input VAR66,
output reg VAR54, output reg VAR89,
output reg VAR19, output reg VAR50,
output [VAR7-1:0] VAR43, output [VAR90-1:0] VAR57, output VAR33, output [VAR42-1:0] VAR44,
output VAR32, output VAR8,
input [VAR90-1:0] VAR73, input [VAR90-1:0] VAR87,
input VAR23, input VAR48,
input VAR10, input VAR41, input VAR70,
input VAR14,
input VAR62,
input [VAR90-1:0] VAR72, input [VAR42-1:0] VAR86,
output VAR76, output VAR52,
input VAR53,
input VAR56,
output VAR74, output VAR35, output VAR31 );
reg VAR18, VAR15;
reg VAR13, VAR49;
reg VAR88;
always @(posedge VAR66)
begin
VAR88 <= VAR89;
end
always @(posedge VAR66, posedge VAR55)
begin
if (VAR55)
begin
VAR54 <= 1'b0;
VAR89 <= 1'b0;
VAR19 <= 1'b0;
VAR50 <= 1'b0;
end
else
begin
VAR54 <= VAR18;
VAR89 <= VAR15;
VAR19 <= VAR13;
VAR50 <= VAR49;
end
end
always @*
begin
VAR18 = VAR54;
VAR13 = VAR19;
VAR15 = VAR89;
VAR49 = VAR50;
if (VAR92[0] && VAR51[VAR67-1:22] == 'h0)
begin
VAR18 = 1;
VAR13 = VAR29;
end
else if (!VAR77)
begin
VAR18 = 0;
VAR13 = 0;
end
if (VAR92[0] && VAR51[26:22] != 5'b0)
begin
VAR15 = 1;
VAR49 = VAR29;
end
else if (!VAR77)
begin
VAR15 = 0;
VAR49 = 0;
end
end
assign VAR43 = VAR51;
assign VAR57 = VAR2;
assign VAR33 = VAR34;
assign VAR44 = ~VAR11;
assign VAR32 = VAR24[36];
assign VAR8 = VAR24[39] | VAR24[38];
wire [VAR90 - 1:0] VAR75;
assign VAR40 = (VAR54 && !VAR19 && VAR10) || (VAR89 && !VAR50 && VAR41) || VAR70;
assign VAR75 = (VAR54 & VAR25) ? VAR73 : ((VAR89 & VAR25) ? VAR87 : VAR72);
assign VAR2 = VAR40 ? VAR75 : 'VAR20;
assign VAR76 = VAR22;
assign VAR52 = VAR5;
assign VAR74 = VAR60;
assign VAR35 = !VAR25;
assign VAR31 = VAR61;
reg VAR85;
reg VAR4;
always @(posedge VAR66 or posedge VAR55)
begin : VAR6
if (VAR55) VAR69 = 1'b1;
end
else VAR69 = 1'b0;
end
always @(posedge VAR66 or posedge VAR55)
begin
if (VAR55) begin
VAR28 <= 1'b0;
VAR30 <= 1'b0;
end
else begin
VAR28 <= VAR85;
VAR30 <= VAR4;
end
end
always @*
begin
VAR85 = VAR28;
VAR4 = VAR30;
if (VAR55 || VAR24[33]) begin
VAR85 = 1'b0;
VAR4 = 1'b0;
end
else if (VAR18 && !VAR54 ||
VAR15 && !VAR89 && (VAR49 || VAR48) ||
VAR89 && !VAR88) begin
VAR85 = VAR18 | (VAR15 & (!VAR23 | VAR48));
VAR4 = 1'b1;
end
end
always @(posedge VAR66 or posedge VAR55)
begin : VAR58
if (VAR55) VAR1 = 1'b0;
end
else VAR1 = 1'b1;
end
always @(posedge VAR66 or posedge VAR55)
begin : VAR47
if (VAR55) VAR78 = 1'b0;
end
else VAR78 = 1'b1;
end
assign VAR27 = VAR62;
assign VAR26 = 0;
assign VAR9 = VAR56;
assign VAR12 = VAR53;
assign VAR37 = VAR86;
always @(posedge VAR66 or posedge VAR55)
begin : VAR71
if (VAR55) VAR16 = 1'b0;
end
else VAR16 = 1'b1;
end
assign VAR91 = ~VAR14;
assign VAR3 = 0;
assign VAR94 = 1'b0;
assign VAR38 = 'h0;
endmodule
|
mit
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/fme/fme_interpolator_8x8.v
| 47,168 |
module MODULE1 (
clk ,
VAR292 ,
VAR191 ,
VAR325 ,
VAR236 ,
VAR439 ,
VAR333 ,
VAR412 ,
VAR220 ,
VAR390 ,
VAR360 ,
VAR187 ,
VAR363 ,
VAR219 ,
VAR320 ,
VAR432 ,
VAR340 ,
VAR388 ,
VAR317 ,
VAR129 ,
VAR69 ,
VAR367 ,
VAR288 ,
VAR201 ,
VAR96 ,
VAR440 ,
VAR377 ,
VAR103 ,
VAR420 ,
VAR209 ,
VAR223 ,
VAR429 ,
VAR339 ,
VAR373 ,
VAR267 ,
VAR57 ,
VAR80 ,
VAR276 ,
VAR150 ,
VAR13 ,
VAR428 ,
VAR298 ,
VAR281 ,
VAR50 ,
VAR346 ,
VAR51 ,
VAR144 ,
VAR266 ,
VAR296 ,
VAR295 ,
VAR115 ,
VAR5
);
input [1-1:0] clk ; input [1-1:0] VAR292 ; input [1-1:0] VAR191 ; input [1-1:0] VAR325 ; input signed [VAR178-1:0] VAR236 ; input signed [VAR178-1:0] VAR439 ; input [2-1:0] VAR333 ; input [2-1:0] VAR412 ; input [6-1:0] VAR220 ; output signed [VAR178-1:0] VAR390 ; output signed [VAR178-1:0] VAR360 ; output [6-1:0] VAR187 ; output [1-1:0] VAR363 ; output [1-1:0] VAR219 ; output [1-1:0] VAR320 ; output [1-1:0] VAR432 ; input [1-1:0] VAR340 ; input [VAR54-1:0] VAR388 ; input [VAR54-1:0] VAR317 ; input [VAR54-1:0] VAR129 ; input [VAR54-1:0] VAR69 ; input [VAR54-1:0] VAR367 ; input [VAR54-1:0] VAR288 ; input [VAR54-1:0] VAR201 ; input [VAR54-1:0] VAR96 ; input [VAR54-1:0] VAR440 ; input [VAR54-1:0] VAR377 ; input [VAR54-1:0] VAR103 ; input [VAR54-1:0] VAR420 ; input [VAR54-1:0] VAR209 ; input [VAR54-1:0] VAR223 ; input [VAR54-1:0] VAR429 ; input [VAR54-1:0] VAR339 ; output [1-1:0] VAR373 ;
output [1-1:0] VAR267 ; output [1-1:0] VAR57 ; output [1-1:0] VAR80 ; output [1-1:0] VAR276 ; output [1-1:0] VAR150 ; output [1-1:0] VAR13 ; output [1-1:0] VAR428 ; output [1-1:0] VAR298 ; output [1-1:0] VAR281 ; output [VAR54*8-1:0] VAR50 ; output [VAR54*8-1:0] VAR346 ; output [VAR54*8-1:0] VAR51 ; output [VAR54*8-1:0] VAR144 ; output [VAR54*8-1:0] VAR266 ; output [VAR54*8-1:0] VAR296 ; output [VAR54*8-1:0] VAR295 ; output [VAR54*8-1:0] VAR115 ; output [VAR54*8-1:0] VAR5 ;
reg [3: 0] VAR100; reg [3: 0] VAR416; reg [3: 0] VAR381;
reg signed [VAR178-1: 0] VAR314; reg signed [VAR178-1: 0] VAR160;
reg [2-1 : 0] VAR329;
reg [2-1 : 0] VAR11;
reg [6-1: 0] VAR151;
reg VAR393;
reg signed [VAR178-1: 0] VAR250; reg signed [VAR178-1: 0] VAR37;
reg [2-1 : 0] VAR343;
reg [2-1 : 0] VAR335;
reg [6-1: 0] VAR299;
reg VAR18;
reg VAR167; reg VAR242;
reg VAR196;
reg VAR263;
reg VAR149;
reg VAR62;
reg VAR142;
reg VAR124;
wire [1: 0] VAR376;
wire [1: 0] VAR1;
wire [1-1: 0] VAR94;
wire [VAR178-1: 0] VAR382;
wire [VAR178-1: 0] VAR189;
wire [6-1: 0] VAR138 ;
wire VAR384, VAR246;
wire [8*VAR54-1:0] h00,h01,h02,h10,h11,h12;
wire [8*VAR54-1:0] VAR229,VAR237,VAR61,VAR21,VAR423,VAR424,VAR415,VAR10;
wire [8*VAR54-1:0] VAR291,VAR7,VAR108,VAR226,VAR140,VAR348,VAR399;
reg [1-1:0] VAR372 ; reg [1-1:0] VAR41 ; reg [1-1:0] VAR26 ; reg [1-1:0] VAR152 ; reg [1-1:0] VAR268 ; reg [1-1:0] VAR71 ; reg [1-1:0] VAR95 ; reg [1-1:0] VAR283 ; reg [1-1:0] VAR25 ; reg [VAR54*8-1:0] VAR139 ; reg [VAR54*8-1:0] VAR352 ; reg [VAR54*8-1:0] VAR422 ; reg [VAR54*8-1:0] VAR435 ; reg [VAR54*8-1:0] VAR42 ; reg [VAR54*8-1:0] VAR121 ; reg [VAR54*8-1:0] VAR28 ; reg [VAR54*8-1:0] VAR118 ; reg [VAR54*8-1:0] VAR123 ;
reg [VAR54*8-1:0] VAR50 ; reg [VAR54*8-1:0] VAR346 ; reg [VAR54*8-1:0] VAR51 ; reg [VAR54*8-1:0] VAR144 ; reg [VAR54*8-1:0] VAR266 ; reg [VAR54*8-1:0] VAR296 ; reg [VAR54*8-1:0] VAR295 ; reg [VAR54*8-1:0] VAR115 ; reg [VAR54*8-1:0] VAR5 ;
reg [2*VAR54-1 :0] VAR398, VAR47, VAR89;
reg [2*VAR54-1 :0] VAR287, VAR113, VAR311;
reg [2*VAR54-1 :0] VAR305, VAR259, VAR355;
reg [2*VAR54-1 :0] VAR301, VAR349, VAR227;
reg [2*VAR54-1 :0] VAR215, VAR182, VAR216;
reg [2*VAR54-1 :0] VAR406, VAR55, VAR243;
reg [2*VAR54-1 :0] VAR188, VAR270, VAR86;
reg [2*VAR54-1 :0] VAR203, VAR127, VAR56;
reg [2*VAR54-1 :0] VAR48, VAR322, VAR197;
wire [2*VAR54-1 :0] VAR398, VAR47, VAR89;
wire [2*VAR54-1 :0] VAR287, VAR113, VAR311;
wire [2*VAR54-1 :0] VAR305, VAR259, VAR355;
wire [2*VAR54-1 :0] VAR301, VAR349, VAR227;
wire [2*VAR54-1 :0] VAR215, VAR182, VAR216;
wire [2*VAR54-1 :0] VAR406, VAR55, VAR243;
wire [2*VAR54-1 :0] VAR188, VAR270, VAR86;
wire [2*VAR54-1 :0] VAR203, VAR127, VAR56;
wire [2*VAR54-1 :0] VAR48, VAR322, VAR197;
wire [1-1:0] VAR31 ; wire [1-1:0] VAR402 ; wire [1-1:0] VAR173 ; wire [1-1:0] VAR91 ; wire [1-1:0] VAR425 ; wire [1-1:0] VAR341 ; wire [1-1:0] VAR400 ; wire [1-1:0] VAR210 ;
wire [1-1:0] VAR387 ;
wire [1-1:0] VAR131 ; wire [1-1:0] VAR206 ; wire [VAR54-1:0] VAR427 ; wire [VAR54-1:0] VAR316 ; wire [VAR54-1:0] VAR417 ; wire [VAR54-1:0] VAR200 ; wire [VAR54-1:0] VAR221 ; wire [VAR54-1:0] VAR67 ; wire [VAR54-1:0] VAR293 ; wire [VAR54-1:0] VAR46 ; wire [VAR54-1:0] VAR351 ;
wire [VAR54-1:0] VAR110 ;
wire [VAR54-1:0] VAR84 ;
wire [VAR54-1:0] VAR285 ;
wire [VAR54-1:0] VAR409 ;
wire [VAR54-1:0] VAR249 ;
wire [VAR54-1:0] VAR336 ;
wire [VAR54-1:0] VAR324 ;
wire [VAR54-1:0] VAR258 ;
wire [VAR54-1:0] VAR109 ; wire [VAR54-1:0] VAR76 ; wire [VAR54-1:0] VAR273 ; wire [VAR54-1:0] VAR64 ; wire [VAR54-1:0] VAR264 ; wire [VAR54-1:0] VAR410 ; wire [VAR54-1:0] VAR16 ; wire [VAR54-1:0] VAR184 ; wire [VAR54-1:0] VAR224 ;
wire [VAR54-1:0] VAR199 ; wire [VAR54-1:0] VAR130 ; wire [VAR54-1:0] VAR271 ; wire [VAR54-1:0] VAR362 ; wire [VAR54-1:0] VAR269 ; wire [VAR54-1:0] VAR125 ; wire [VAR54-1:0] VAR395 ; wire [VAR54-1:0] VAR176 ;
wire [VAR54-1:0] VAR83 ; wire [VAR54-1:0] VAR331 ; wire [VAR54-1:0] VAR117 ; wire [VAR54-1:0] VAR389 ; wire [VAR54-1:0] VAR128 ; wire [VAR54-1:0] VAR255 ; wire [VAR54-1:0] VAR353 ; wire [VAR54-1:0] VAR194 ;
wire [VAR54-1:0] VAR392 ; wire [VAR54-1:0] VAR232 ; wire [VAR54-1:0] VAR438 ; wire [VAR54-1:0] VAR162 ; wire [VAR54-1:0] VAR107 ; wire [VAR54-1:0] VAR245 ; wire [VAR54-1:0] VAR431 ; wire [VAR54-1:0] VAR35 ;
wire [VAR54-1:0] VAR68 ; wire [VAR54-1:0] VAR180 ; wire [VAR54-1:0] VAR190 ; wire [VAR54-1:0] VAR222 ; wire [VAR54-1:0] VAR275 ; wire [VAR54-1:0] VAR297 ; wire [VAR54-1:0] VAR156 ; wire [VAR54-1:0] VAR280 ;
wire [VAR54-1:0] VAR290 ; wire [VAR54-1:0] VAR112 ; wire [VAR54-1:0] VAR82 ; wire [VAR54-1:0] VAR364 ; wire [VAR54-1:0] VAR319 ; wire [VAR54-1:0] VAR368 ; wire [VAR54-1:0] VAR192 ; wire [VAR54-1:0] VAR385 ;
wire [VAR54-1:0] VAR286 ; wire [VAR54-1:0] VAR147 ; wire [VAR54-1:0] VAR394 ; wire [VAR54-1:0] VAR436 ; wire [VAR54-1:0] VAR359 ; wire [VAR54-1:0] VAR70 ; wire [VAR54-1:0] VAR254 ; wire [VAR54-1:0] VAR304 ;
wire [VAR54-1:0] VAR294 ; wire [VAR54-1:0] VAR308 ; wire [VAR54-1:0] VAR261 ; wire [VAR54-1:0] VAR208 ; wire [VAR54-1:0] VAR66 ; wire [VAR54-1:0] VAR437 ; wire [VAR54-1:0] VAR78 ; wire [VAR54-1:0] VAR405 ;
wire [VAR54-1:0] VAR347 ; wire [VAR54-1:0] VAR20 ; wire [VAR54-1:0] VAR430 ; wire [VAR54-1:0] VAR251 ; wire [VAR54-1:0] VAR225 ; wire [VAR54-1:0] VAR328 ; wire [VAR54-1:0] VAR193 ; wire [VAR54-1:0] VAR358 ;
wire [VAR54-1:0] VAR426 ;
wire [VAR54-1:0] VAR419 ;
wire [VAR54-1:0] VAR313 ;
wire [VAR54-1:0] VAR195 ;
wire [VAR54-1:0] VAR58 ;
wire [VAR54-1:0] VAR6 ;
wire [VAR54-1:0] VAR148 ;
wire [VAR54-1:0] VAR411 ;
wire [VAR54-1:0] VAR143 ;
wire [VAR54-1:0] VAR365 ;
wire [VAR54-1:0] VAR36 ;
wire [VAR54-1:0] VAR30 ;
wire [VAR54-1:0] VAR386 ;
wire [VAR54-1:0] VAR14 ;
wire [VAR54-1:0] VAR52 ;
wire [VAR54-1:0] VAR397 ;
wire [VAR54-1:0] VAR403 ;
wire [VAR54-1:0] VAR159 ;
wire [VAR54-1:0] VAR323 ;
wire [VAR54-1:0] VAR181 ;
wire [VAR54-1:0] VAR81 ;
wire [VAR54-1:0] VAR310 ;
wire [VAR54-1:0] VAR101 ;
wire [VAR54-1:0] VAR212 ;
wire [VAR54-1:0] VAR171 ;
wire [VAR54-1:0] VAR158 ;
wire [VAR54-1:0] VAR318 ;
wire [VAR54-1:0] VAR168 ;
wire [VAR54-1:0] VAR49 ;
wire [VAR54-1:0] VAR260 ;
wire [VAR54-1:0] VAR88 ;
wire [VAR54-1:0] VAR334 ;
wire VAR53;
wire VAR161;
wire VAR74;
wire VAR33;
wire VAR231;
wire VAR306;
wire VAR350;
wire VAR421;
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR314 <= 'd0;
VAR250 <= 'd0;
VAR160 <= 'd0;
VAR37 <= 'd0;
VAR329 <= 'd0;
VAR343 <= 'd0;
VAR11 <= 'd0;
VAR335 <= 'd0;
VAR393 <= 1'b0;
VAR18 <= 1'b0;
VAR151 <= 'd0;
VAR299 <= 'd0;
VAR167 <= 1'b0;
end
else if (VAR191) begin
VAR167 <= ~VAR167;
if (~VAR167) begin
VAR314 <= VAR236;
VAR160 <= VAR439;
VAR329 <= VAR333;
VAR11 <= VAR412;
VAR151 <= VAR220;
VAR393 <= VAR325;
end
else begin
VAR250 <= VAR236;
VAR37 <= VAR439;
VAR343 <= VAR333;
VAR335 <= VAR412;
VAR299 <= VAR220;
VAR18 <= VAR325;
end
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR242 <= 'd0;
end
else if (VAR320) begin
VAR242 <= ~VAR242;
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR100 <= 'd0;
end
else if (VAR191 || VAR100 == 'd15) begin
VAR100 <= 'd0;
end
else if (VAR340) begin
VAR100 <= VAR100 + 'd1;
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR416 <= 'd0;
end
else if (VAR196 || VAR416 == 'd15) begin
VAR416 <= 'd0;
end
else if (VAR263) begin
VAR416 <= VAR416 + 'd1;
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR381 <= 'd0;
end
else if (VAR149) begin
VAR381 <= 'd0;
end
else begin
VAR381 <= VAR416;
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR196 <= 'd0;
VAR263 <= 'd0;
VAR149 <= 'd0;
end
else begin
VAR196 <= VAR191;
VAR263 <= VAR340;
VAR149 <= VAR196;
end
end
always @ (posedge clk or negedge VAR292) begin
if(~VAR292) begin
VAR62 <= 'd0;
VAR142 <= 'd0;
VAR124 <= 'd0;
end
else begin
VAR62 <= VAR340;
VAR142 <= VAR387;
VAR124 <= VAR131;
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR398 <= 'd0; VAR47 <= 'd0; VAR89 <= 'd0;
VAR287 <= 'd0; VAR113 <= 'd0; VAR311 <= 'd0;
VAR305 <= 'd0; VAR259 <= 'd0; VAR355 <= 'd0;
VAR301 <= 'd0; VAR349 <= 'd0; VAR227 <= 'd0;
VAR215 <= 'd0; VAR182 <= 'd0; VAR216 <= 'd0;
VAR406 <= 'd0; VAR55 <= 'd0; VAR243 <= 'd0;
VAR188 <= 'd0; VAR270 <= 'd0; VAR86 <= 'd0;
VAR203 <= 'd0; VAR127 <= 'd0; VAR56 <= 'd0;
VAR48 <= 'd0; VAR322 <= 'd0; VAR197 <= 'd0;
end
else begin
VAR398 <= VAR398;
VAR47 <= VAR47;
VAR89 <= VAR89;
VAR287 <= VAR287;
VAR113 <= VAR113;
VAR311 <= VAR311;
VAR305 <= VAR305;
VAR259 <= VAR259;
VAR355 <= VAR355;
VAR301 <= VAR301;
VAR349 <= VAR349;
VAR227 <= VAR227;
VAR215 <= VAR215;
VAR182 <= VAR182;
VAR216 <= VAR216;
VAR406 <= VAR406;
VAR55 <= VAR55;
VAR243 <= VAR243;
VAR188 <= VAR188;
VAR270 <= VAR270;
VAR86 <= VAR86;
VAR203 <= VAR203;
VAR127 <= VAR127;
VAR56 <= VAR56;
VAR48 <= VAR48;
VAR322 <= VAR322;
VAR197 <= VAR197;
end
end
VAR29 VAR133(
.VAR388 (VAR388 ) ,
.VAR317 (VAR317 ) ,
.VAR129 (VAR129 ) ,
.VAR69 (VAR69 ) ,
.VAR367 (VAR367 ) ,
.VAR288 (VAR288 ) ,
.VAR201 (VAR201 ) ,
.VAR96 (VAR96 ) ,
.VAR440 (VAR440 ) ,
.VAR377 (VAR377 ) ,
.VAR103 (VAR103) ,
.VAR420 (VAR420) ,
.VAR209 (VAR209) ,
.VAR223 (VAR223) ,
.VAR429 (VAR429) ,
.VAR339 (VAR339) ,
.VAR244 (VAR109),
.VAR12 (VAR76),
.VAR396 (VAR273),
.VAR337 (VAR64),
.VAR23 (VAR264),
.VAR32 (VAR410),
.VAR111 (VAR16),
.VAR407 (VAR184),
.VAR366 (VAR224),
.VAR398 (VAR398 ) ,
.VAR47 (VAR47 ) ,
.VAR89 (VAR89 ) ,
.VAR287 (VAR287 ) ,
.VAR113 (VAR113 ) ,
.VAR311 (VAR311 ) ,
.VAR305 (VAR305 ) ,
.VAR259 (VAR259 ) ,
.VAR355 (VAR355 ) ,
.VAR301 (VAR301 ) ,
.VAR349 (VAR349 ) ,
.VAR227 (VAR227 ) ,
.VAR215 (VAR215 ) ,
.VAR182 (VAR182 ) ,
.VAR216 (VAR216 ) ,
.VAR406 (VAR406 ) ,
.VAR55 (VAR55 ) ,
.VAR243 (VAR243 ) ,
.VAR188 (VAR188 ) ,
.VAR270 (VAR270 ) ,
.VAR86 (VAR86 ) ,
.VAR203 (VAR203 ) ,
.VAR127 (VAR127 ) ,
.VAR56 (VAR56 ) ,
.VAR48 (VAR48 ) ,
.VAR322 (VAR322 ) ,
.VAR197 (VAR197 )
);
VAR155 VAR132(
.clk (clk ),
.VAR292 (VAR292 ),
.VAR191 (VAR191 ),
.VAR340 (VAR340 ),
.VAR388 (VAR367 ),
.VAR317 (VAR288 ),
.VAR129 (VAR201 ),
.VAR69 (VAR96 ),
.VAR367 (VAR440 ),
.VAR288 (VAR377 ),
.VAR201 (VAR103 ),
.VAR96 (VAR420 ),
.VAR213 (VAR110 ),
.VAR172 (VAR84 ),
.VAR87 (VAR285 ),
.VAR134 (VAR409 ),
.VAR102 (VAR249 ),
.VAR205 (VAR336 ),
.VAR309 (VAR324 ),
.VAR45 (VAR258 ),
.VAR253 (VAR196 ),
.VAR279 (VAR263 ),
.VAR312 (VAR398 ),
.VAR211 (VAR287 ),
.VAR369 (VAR305 ),
.VAR380 (VAR301 ),
.VAR60 (VAR215 ),
.VAR65 (VAR406 ),
.VAR19 (VAR188 ),
.VAR378 (VAR203 ),
.VAR9 (VAR48 ),
.VAR357 (VAR427 ),
.VAR241 (VAR316 ),
.VAR116 (VAR417 ),
.VAR262 (VAR200 ),
.VAR169 (VAR221 ),
.VAR146 (VAR67 ),
.VAR433 (VAR293 ),
.VAR284 (VAR46 ),
.VAR166 (VAR351 )
);
VAR265 VAR90 (
.clk (clk ),
.VAR292 (VAR292 ),
.VAR191 (VAR191 ),
.VAR340 (VAR340 ),
.VAR253 (VAR196 ),
.VAR279 (VAR263 ),
.VAR333 (VAR376 ),
.VAR412 (VAR1 ),
.VAR277 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR113 : VAR47 ), .VAR63 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR259 : VAR113 ), .VAR40 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR349 : VAR259 ), .VAR230 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR182 : VAR349 ), .VAR27 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR55 : VAR182 ), .VAR4 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR270 : VAR55 ), .VAR204 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR127 : VAR270 ), .VAR137 ((VAR376 == 2'b01 || VAR376 == 2'b00) ? VAR322 : VAR127 ),
.VAR119 ((VAR376 == 2'b01 ) ? VAR311 : VAR89), .VAR375 ((VAR376 == 2'b01 ) ? VAR355 : VAR311), .VAR383 ((VAR376 == 2'b01 ) ? VAR227 : VAR355), .VAR34 ((VAR376 == 2'b01 ) ? VAR216 : VAR227), .VAR327 ((VAR376 == 2'b01 ) ? VAR243 : VAR216), .VAR321 ((VAR376 == 2'b01 ) ? VAR86 : VAR243), .VAR106 ((VAR376 == 2'b01 ) ? VAR56 : VAR86), .VAR59 ((VAR376 == 2'b01 ) ? VAR197 : VAR56),
.VAR312 ((VAR376 == 2'b01 ) ? VAR287 : VAR398), .VAR211 ((VAR376 == 2'b01 ) ? VAR305 : VAR287), .VAR369 ((VAR376 == 2'b01 ) ? VAR301 : VAR305), .VAR380 ((VAR376 == 2'b01 ) ? VAR215 : VAR301), .VAR60 ((VAR376 == 2'b01 ) ? VAR406 : VAR215), .VAR65 ((VAR376 == 2'b01 ) ? VAR188 : VAR406), .VAR19 ((VAR376 == 2'b01 ) ? VAR203 : VAR188), .VAR378 ((VAR376 == 2'b01 ) ? VAR48 : VAR203),
.VAR388 (VAR367 ),
.VAR317 (VAR288 ),
.VAR129 (VAR201 ),
.VAR69 (VAR96 ),
.VAR367 (VAR440 ),
.VAR288 (VAR377 ),
.VAR201 (VAR103 ),
.VAR96 (VAR420 ),
.VAR303 (VAR199 ),
.VAR345 (VAR130 ),
.VAR99 (VAR271 ),
.VAR344 (VAR362 ),
.VAR239 (VAR269 ),
.VAR233 (VAR125 ),
.VAR72 (VAR395 ),
.VAR22 (VAR176 ),
.VAR186 (VAR83 ),
.VAR413 (VAR331 ),
.VAR85 (VAR117 ),
.VAR274 (VAR389 ),
.VAR214 (VAR128 ),
.VAR104 (VAR255 ),
.VAR38 (VAR353 ),
.VAR44 (VAR194 ),
.VAR98 (VAR392 ),
.VAR391 (VAR232 ),
.VAR183 (VAR438 ),
.VAR43 (VAR162 ),
.VAR39 (VAR107 ),
.VAR202 (VAR245 ),
.VAR247 (VAR431 ),
.VAR300 (VAR35 ),
.VAR307 (VAR68 ),
.VAR77 (VAR180 ),
.VAR218 (VAR190 ),
.VAR141 (VAR222 ),
.VAR105 (VAR275 ),
.VAR302 (VAR297 ),
.VAR257 (VAR156 ),
.VAR434 (VAR280 ),
.VAR174 (VAR290 ),
.VAR153 (VAR112 ),
.VAR126 (VAR82 ),
.VAR164 (VAR364 ),
.VAR163 (VAR319 ),
.VAR97 (VAR368 ),
.VAR326 (VAR192 ),
.VAR238 (VAR385 ),
.VAR338 (VAR286 ),
.VAR408 (VAR147 ),
.VAR8 (VAR394 ),
.VAR235 (VAR436 ),
.VAR207 (VAR359 ),
.VAR170 (VAR70 ),
.VAR165 (VAR254 ),
.VAR342 (VAR304 ),
.VAR414 (VAR347 ),
.VAR374 (VAR20 ),
.VAR272 (VAR430 ),
.VAR175 (VAR251 ),
.VAR114 (VAR225 ),
.VAR15 (VAR328 ),
.VAR179 (VAR193 ),
.VAR185 (VAR358 ),
.VAR282 (VAR294 ),
.VAR354 (VAR308 ),
.VAR404 (VAR261 ),
.VAR79 (VAR208 ),
.VAR93 (VAR66 ),
.VAR252 (VAR437 ),
.VAR256 (VAR78 ),
.VAR17 (VAR405 ),
.VAR289 (VAR403 ),
.VAR356 (VAR159 ),
.VAR154 (VAR323 ),
.VAR3 (VAR181 ),
.VAR370 (VAR81 ),
.VAR361 (VAR310 ),
.VAR418 (VAR101 ),
.VAR330 (VAR212 ),
.VAR198 (VAR171 ),
.VAR240 (VAR158 ),
.VAR379 (VAR318 ),
.VAR120 (VAR168 ),
.VAR122 (VAR49 ),
.VAR135 (VAR260 ),
.VAR75 (VAR88 ),
.VAR92 (VAR334 ),
.VAR248 (VAR426 ),
.VAR2 (VAR419 ),
.VAR145 (VAR313 ),
.VAR401 (VAR195 ),
.VAR177 (VAR58 ),
.VAR24 (VAR6 ),
.VAR136 (VAR148 ),
.VAR73 (VAR411 ),
.VAR332 (VAR143 ),
.VAR278 (VAR365 ),
.VAR234 (VAR36 ),
.VAR315 (VAR30 ),
.VAR217 (VAR386 ),
.VAR157 (VAR14 ),
.VAR371 (VAR52 ),
.VAR228 (VAR397 )
);
assign VAR373 = (VAR100 == 'd2);
assign VAR219 = (VAR100 == 'd4 || VAR100 == 'd3); assign VAR320 = (VAR381 == 'd15);
assign VAR390 = (VAR242) ? VAR250: VAR314;
assign VAR360 = (VAR242) ? VAR37: VAR160;
assign VAR376 = (VAR242) ? VAR343: VAR329;
assign VAR1 = (VAR242) ? VAR335: VAR11;
assign VAR187 = (VAR167) ? VAR151: VAR299;
assign VAR138 = (VAR242) ? VAR299: VAR151;
assign VAR94 = (VAR242) ? VAR18 : VAR393;
assign VAR363 = VAR94;
assign VAR432 = (&VAR138) & VAR320;
assign VAR131 = (VAR416[3]);
assign VAR387 = (VAR100[3]);
assign VAR206 = (VAR416 >=4 && VAR416 <12);
assign VAR53 = (VAR416 >='d4 && VAR416 <='d11);
assign VAR161 = (VAR416 > 'd4 && VAR416 <='d12);
assign VAR74 = (VAR100 >='d7 && VAR100 <='d14);
assign VAR33 = (VAR100 [3]);
assign VAR231 = (VAR416 >='d7 && VAR416 <='d14);
assign VAR306 = (VAR416 [3]);
assign VAR350 = (VAR381 >='d7 && VAR381 <='d14);
assign VAR421 = (VAR381 [3]);
assign VAR31 = (VAR1 == 2'b00 || VAR1 == 2'b01) ? (VAR421) : (VAR350);
assign VAR402 = ( VAR1 == 2'b01) ? (VAR421) : (VAR350);
assign VAR173 = (VAR1 == 2'b00 || VAR1 == 2'b01) ? (VAR421) : (VAR350);
assign VAR91 = ( VAR1 == 2'b01) ? (VAR421) : (VAR350);
assign VAR425 = (VAR1 == 2'b00 || VAR1 == 2'b01) ? (VAR306) : (VAR231);
assign VAR341 = ( VAR1 == 2'b01) ? (VAR306) : (VAR231);
assign VAR400 = ( VAR1 == 2'b01) ? (VAR421) : (VAR350);
assign VAR210 = (VAR1 == 2'b00 || VAR1 == 2'b01) ? (VAR161) : (VAR53);
assign h00 = {VAR427, VAR316, VAR417, VAR200, VAR221, VAR67, VAR293, VAR46};
assign h01 = {VAR110, VAR84, VAR285, VAR409, VAR249, VAR336, VAR324, VAR258};
assign h02 = {VAR316, VAR417, VAR200, VAR221, VAR67, VAR293, VAR46, VAR351};
assign h10 = {VAR109, VAR76, VAR273, VAR64, VAR264, VAR410, VAR16, VAR184};
assign h11 = {VAR367, VAR288, VAR201, VAR96, VAR440, VAR377, VAR103, VAR420};
assign h12 = {VAR76, VAR273, VAR64, VAR264, VAR410, VAR16, VAR184, VAR224};
assign VAR229 = {VAR83,VAR331,VAR117,VAR389,VAR128,VAR255,VAR353,VAR194};
assign VAR237 = {VAR199,VAR130,VAR271,VAR362,VAR269,VAR125,VAR395,VAR176};
assign VAR61 = {VAR290,VAR112,VAR82,VAR364,VAR319,VAR368,VAR192,VAR385};
assign VAR21 = {VAR286,VAR147,VAR394,VAR436,VAR359,VAR70,VAR254,VAR304};
assign VAR423 = {VAR392,VAR232,VAR438,VAR162,VAR107,VAR245,VAR431,VAR35};
assign VAR424 = {VAR347,VAR20,VAR430,VAR251,VAR225,VAR328,VAR193,VAR358};
assign VAR415 = {VAR68,VAR180,VAR190,VAR222,VAR275,VAR297,VAR156,VAR280};
assign VAR10 = {VAR294,VAR308,VAR261,VAR208,VAR66,VAR437,VAR78,VAR405};
assign VAR291 = {VAR426,VAR419,VAR313,VAR195,VAR58,VAR6,VAR148,VAR411};
assign VAR7 = {VAR143,VAR365,VAR36,VAR30,VAR386,VAR14,VAR52,VAR397};
assign VAR108 = {VAR403,VAR159,VAR323,VAR181,VAR81,VAR310,VAR101,VAR212};
assign VAR226 = {VAR171,VAR158,VAR318,VAR168,VAR49,VAR260,VAR88,VAR334};
assign VAR140 = (VAR376 == 2'b01) ? h02 : h00;
assign VAR399 = (VAR376 == 2'b01) ? h12 : h10;
assign VAR384 = (VAR1 == 2'b00);
assign VAR246 = (VAR376 == 2'b00);
always @ begin
if(VAR94) begin
VAR352 = h01;
VAR41 = VAR387;
end
else begin
case({VAR384, VAR246})
2'b00: begin VAR352 = VAR423; VAR41 = VAR173; end
2'b01: begin VAR352 = VAR415; VAR41 = VAR425; end
2'b10: begin VAR352 = VAR424; VAR41 = VAR91; end
2'b11: begin VAR352 = VAR10; VAR41 = VAR341; end
endcase
end
end
always @ begin
if(VAR94) begin
VAR435 = h10;
VAR152 = VAR206;
end
else begin
case({VAR384, VAR246})
2'b00: begin VAR435 = VAR291; VAR152 = VAR400; end
2'b01: begin VAR435 = VAR7; VAR152 = VAR400; end
2'b10: begin VAR435 = VAR108; VAR152 = VAR210; end
2'b11: begin VAR435 = VAR226; VAR152 = VAR210; end
endcase
end
end
always @ begin
if(VAR94) begin
VAR121 = h12;
VAR71 = VAR206 ;
end
else begin
case({VAR384, VAR246})
2'b00: begin VAR121 = VAR7; VAR71 = VAR400 ; end
2'b01: begin VAR121 = VAR291; VAR71 = VAR400 ; end
2'b10: begin VAR121 = VAR226; VAR71 = VAR210 ; end
2'b11: begin VAR121 = VAR108; VAR71 = VAR210 ; end
endcase
end
end
always @ begin
if(VAR94) begin
VAR118 = h01;
VAR283 = VAR142 ;
end
else begin
case({VAR384, VAR246})
2'b00: begin VAR118 = VAR424; VAR283 = VAR91 ; end
2'b01: begin VAR118 = VAR10; VAR283 = VAR341 ; end
2'b10: begin VAR118 = VAR423; VAR283 = VAR173 ; end
2'b11: begin VAR118 = VAR415; VAR283 = VAR425 ; end
endcase
end
end
always @(*) begin
if(VAR94) begin
VAR123 = h02;
VAR25 = VAR124 ;
end
else begin
case({VAR384, VAR246})
2'b00: begin VAR123 = VAR21; VAR25 = VAR402 ; end
2'b01: begin VAR123 = VAR61; VAR25 = VAR402 ; end
2'b10: begin VAR123 = VAR229; VAR25 = VAR31 ; end
2'b11: begin VAR123 = VAR237; VAR25 = VAR31 ; end
endcase
end
end
always @ (posedge clk or negedge VAR292) begin
if (~VAR292) begin
VAR50 <= 'd0;
VAR346 <= 'd0;
VAR51 <= 'd0;
VAR144 <= 'd0;
VAR266 <= 'd0;
VAR296 <= 'd0;
VAR295 <= 'd0;
VAR115 <= 'd0;
VAR5 <= 'd0;
end
else begin
VAR50 <= VAR139;
VAR346 <= VAR352;
VAR51 <= VAR422;
VAR144 <= VAR435;
VAR266 <= VAR42;
VAR296 <= VAR121;
VAR295 <= VAR28;
VAR115 <= VAR118;
VAR5 <= VAR123;
end
end
assign VAR267 = VAR372;
assign VAR57 = VAR41;
assign VAR80 = VAR26;
assign VAR276 = VAR152;
assign VAR150 = VAR268;
assign VAR13 = VAR71;
assign VAR428 = VAR95;
assign VAR298 = VAR283;
assign VAR281 = VAR25;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o32ai/sky130_fd_sc_ms__o32ai.functional.pp.v
| 2,191 |
module MODULE1 (
VAR16 ,
VAR9 ,
VAR13 ,
VAR19 ,
VAR17 ,
VAR6 ,
VAR20,
VAR3,
VAR10 ,
VAR4
);
output VAR16 ;
input VAR9 ;
input VAR13 ;
input VAR19 ;
input VAR17 ;
input VAR6 ;
input VAR20;
input VAR3;
input VAR10 ;
input VAR4 ;
wire VAR11 ;
wire VAR7 ;
wire VAR12 ;
wire VAR18;
nor VAR15 (VAR11 , VAR19, VAR9, VAR13 );
nor VAR8 (VAR7 , VAR17, VAR6 );
or VAR14 (VAR12 , VAR7, VAR11 );
VAR5 VAR1 (VAR18, VAR12, VAR20, VAR3);
buf VAR2 (VAR16 , VAR18 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o211a/sky130_fd_sc_ms__o211a_1.v
| 2,348 |
module MODULE1 (
VAR7 ,
VAR9 ,
VAR2 ,
VAR1 ,
VAR11 ,
VAR3,
VAR10,
VAR4 ,
VAR5
);
output VAR7 ;
input VAR9 ;
input VAR2 ;
input VAR1 ;
input VAR11 ;
input VAR3;
input VAR10;
input VAR4 ;
input VAR5 ;
VAR6 VAR8 (
.VAR7(VAR7),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR7 ,
VAR9,
VAR2,
VAR1,
VAR11
);
output VAR7 ;
input VAR9;
input VAR2;
input VAR1;
input VAR11;
supply1 VAR3;
supply0 VAR10;
supply1 VAR4 ;
supply0 VAR5 ;
VAR6 VAR8 (
.VAR7(VAR7),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR11(VAR11)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/mux2/gf180mcu_fd_sc_mcu9t5v0__mux2_1.functional.v
| 1,043 |
module MODULE1( VAR12, VAR6, VAR13, VAR4 );
input VAR4, VAR6, VAR13;
output VAR12;
wire VAR10;
and VAR5( VAR10, VAR4, VAR6 );
wire VAR2;
not VAR9( VAR2, VAR13 );
wire VAR1;
and VAR11( VAR1, VAR2, VAR4 );
wire VAR3;
and VAR8( VAR3, VAR6, VAR13 );
or VAR7( VAR12, VAR10, VAR1, VAR3 );
endmodule
|
apache-2.0
|
kramble/FPGA-Litecoin-Miner
|
experimental/LX150-SPLIT/salsaengine.v
| 10,997 |
module MODULE1 (VAR40, reset, din, dout, VAR45, VAR46, VAR15, VAR59 );
input VAR40, reset, din, VAR45, VAR46;
output reg VAR15 = 1'b0;
output reg VAR59 = 1'b0;
output dout;
parameter VAR8 = 0, VAR54 = 1, VAR19 = 2, VAR21 = 4; reg [2:0] VAR44 = VAR8;
parameter VAR60=0, VAR27=1, VAR26=2, VAR28=4, VAR35=8; reg [3:0] VAR38 = VAR60;
reg [10:0] VAR41 = 11'd0;
reg [5:0] VAR39 = 5'd0; reg VAR3 = 1'd0; reg VAR14 = 1'b0;
reg VAR33 = 1'b0;
reg VAR13 = 1'b0;
reg VAR6 = 1'b1;
reg [1:0] VAR17 = 2'b0;
wire [511:0] VAR5;
reg [511:0] VAR7;
reg [511:0] VAR52;
wire [511:0] VAR47;
wire [511:0] VAR42;
reg [1023:0] VAR37;
assign dout = VAR37[1023];
wire [1023:0] VAR58;
genvar VAR16;
generate
for (VAR16 = 0; VAR16 < 32; VAR16 = VAR16 + 1) begin : VAR18
wire [31:0] VAR20;
assign VAR20 = VAR37[VAR30(VAR16)];
assign VAR58[VAR30(VAR16)] = { VAR20[7:0], VAR20[15:8], VAR20[23:16], VAR20[31:24] };
end
endgenerate
reg [9:0] VAR50 = 10'd0;
parameter VAR36 = 9;
parameter VAR36 = 10;
wire [VAR36-1:0]VAR24;
wire [255:0]VAR12;
wire [255:0]VAR56;
wire [255:0]VAR4;
wire [255:0]VAR23;
wire [255:0]VAR48;
wire [255:0]VAR43;
wire [255:0]VAR10;
wire [255:0]VAR61;
wire [1023:0]VAR22;
reg VAR55 = 1'b0;
wire VAR34;
assign VAR34 = VAR40;
wire [8:0] VAR49 = (VAR5[9:1] == 9'h1ff) ? 9'h1fe : VAR5[9:1];
assign VAR24 = VAR33 ? (VAR13 ? 9'h1ff : VAR49) : VAR50[9:1]; else
assign VAR24 = VAR33 ? VAR5[9:0] : VAR50;
VAR9 # (.VAR36(VAR36)) VAR32 (VAR24, VAR34, VAR12, VAR55, VAR56);
VAR9 # (.VAR36(VAR36)) VAR11 (VAR24, VAR34, VAR4, VAR55, VAR23);
VAR9 # (.VAR36(VAR36)) VAR53 (VAR24, VAR34, VAR48, VAR55, VAR43);
VAR9 # (.VAR36(VAR36)) VAR2 (VAR24, VAR34, VAR10, VAR55, VAR61);
assign VAR22 = { VAR61, VAR43, VAR23, VAR56 }; assign { VAR10, VAR48, VAR4, VAR12 } = { VAR52, VAR7} ;
VAR31 VAR29 (VAR40, VAR14, VAR7, VAR52, VAR5);
wire [511:0] VAR25;
assign VAR25 = {512{VAR6}};
assign VAR47 = (VAR44==VAR19) ? VAR52 : (VAR44==VAR21) ? (VAR7 & VAR25) ^ VAR22[511:0] : (VAR44==VAR54) ? VAR58[511:0] : VAR7;
assign VAR42 = (VAR44==VAR19) ? VAR5 : (VAR44==VAR21) ? (VAR52 & VAR25) ^ VAR22[1023:512] : (VAR44==VAR54) ? VAR58[1023:512] : VAR52;
always @ (posedge VAR40)
begin
VAR7 <= VAR47;
VAR52 <= VAR42;
VAR44 <= VAR8; VAR13 <= 0;
VAR55 <= 0;
VAR6 <= 1;
if (VAR45)
VAR37 <= { VAR37[1022:0], din };
if (reset == 1'b1)
begin
VAR38 <= VAR60;
VAR59 <= 1'b0; end
else
begin
case (VAR38)
VAR60: begin
VAR50 <= 0;
VAR39 <= 0;
VAR14 <= 1'b0;
VAR33 <= 1'b0;
if (VAR46)
begin
if (~VAR59)
VAR44 <= VAR54; VAR3 <= 1'b0;
VAR15 <= 1'b1;
VAR59 <= 1'b0;
VAR38 <= VAR27;
end
end
VAR27: begin
VAR55 <= 1'b1; VAR38 <= VAR26;
end
VAR26: begin
VAR39 <= VAR39 + 6'd1;
if (VAR39==0)
begin
VAR14 <= 1'b1;
if (VAR50==1023)
VAR3 <= 1'b1; VAR50 <= VAR50 + 10'd1;
end
if (VAR39==4)
VAR14 <= 1'b1;
if (VAR39 == 2 || VAR39 == 6)
VAR44 <= VAR19;
if (VAR39 == 3 || VAR39 == 7)
VAR14 <= 1'b0;
if (VAR39 == 6 && VAR3) VAR33 <= 1'b1; if (VAR39 == 7)
begin
VAR39 <= 0;
if (VAR3)
begin
VAR41 <= 0;
VAR38 <= VAR28;
VAR44 <= VAR21; VAR57 VAR1
VAR17 <= { 1'b0, VAR5[0] }; if ( VAR5[9:1] == 9'h1ff ) VAR17 <= { 1'b1, VAR5[0] };
if ( (VAR5[9:1] == 9'h1ff) || VAR5[0])
begin
VAR13 <= 1'b1; VAR55 <= 1'b1;
VAR6 <= 0; end
end
else
begin
end
if (!VAR50[0]) VAR55 <= 1'b1; else
VAR55 <= 1'b1;
end
end
end
VAR28: begin
VAR39 <= VAR39 + 5'd1;
if (VAR39 == 0)
begin
VAR14 <= 1'b0;
if (VAR17 != 0) VAR38 <= VAR35; VAR51
end
if (VAR39==1 || VAR39==5)
VAR14 <= 1;
if (VAR39 == 3 || VAR39 == 7)
VAR44 <= VAR19;
if (VAR39 == 4 || VAR39 == 8)
VAR14 <= 1'b0;
if (VAR39 == 7 && VAR41 == 1023)
VAR44 <= VAR54; if (VAR39 == 8)
begin
VAR39 <= 0;
VAR41 <= VAR41 + 11'd1;
if (VAR41 == 1023)
begin
VAR37 <= { VAR5, VAR52 }; VAR59 <= 1'b1;
VAR15 <= 1'b0;
VAR38 <= VAR60;
end
else
begin
VAR44 <= VAR21; VAR57 VAR1
VAR17 <= { 1'b0, VAR5[0] }; if ( VAR5[9:1] == 9'h1ff ) VAR17 <= { 1'b1, VAR5[0] };
if ( (VAR5[9:1] == 9'h1ff) || VAR5[0])
begin
VAR13 <= 1'b1; VAR55 <= 1'b1; VAR6 <= 0; end
end
end
end
VAR35: begin
VAR39 <= VAR39 + 6'd1;
if (VAR39==1 || VAR39==5)
VAR14 <= 1'b1;
if (VAR39 == 3 || VAR39 == 7)
VAR44 <= VAR19;
if (VAR39 == 4 || VAR39 == 8)
VAR14 <= 1'b0;
if (VAR39 == 7)
VAR13 <= 1'b1; if (VAR39 == 8)
begin
if (VAR17 == 1)
VAR44 <= VAR21; end
if (VAR39 == 9)
begin
VAR17 <= VAR17 - 1;
VAR39 <= 1; if (VAR17 == 1)
VAR38 <= VAR28;
end
end
endcase
end
if (VAR38 == VAR28 && VAR39 == 8)
end endmodule
|
gpl-3.0
|
kyzhai/NUNY
|
src/hardware/tryagain.v
| 6,388 |
module MODULE1 (
address,
VAR36,
VAR39);
input [11:0] address;
input VAR36;
output [11:0] VAR39;
tri1 VAR36;
wire [11:0] VAR33;
wire [11:0] VAR39 = VAR33[11:0];
VAR13 VAR20 (
.VAR40 (address),
.VAR50 (VAR36),
.VAR31 (VAR33),
.VAR21 (1'b0),
.VAR42 (1'b0),
.VAR11 (1'b1),
.VAR34 (1'b0),
.VAR7 (1'b0),
.VAR16 (1'b1),
.VAR19 (1'b1),
.VAR32 (1'b1),
.VAR3 (1'b1),
.VAR45 (1'b1),
.VAR4 (1'b1),
.VAR41 (1'b1),
.VAR48 ({12{1'b1}}),
.VAR43 (1'b1),
.VAR51 (),
.VAR27 (),
.VAR9 (1'b1),
.VAR38 (1'b1),
.VAR10 (1'b0),
.VAR14 (1'b0));
VAR20.VAR28 = "VAR2",
VAR20.VAR23 = "VAR35",
VAR20.VAR49 = "VAR35",
VAR20.VAR25 = "../VAR6/MODULE1.VAR47",
VAR20.VAR30 = "VAR37 VAR52",
VAR20.VAR46 = "VAR24=VAR44",
VAR20.VAR29 = "VAR13",
VAR20.VAR22 = 4096,
VAR20.VAR8 = "VAR18",
VAR20.VAR5 = "VAR2",
VAR20.VAR1 = "VAR26",
VAR20.VAR15 = 12,
VAR20.VAR17 = 12,
VAR20.VAR12 = 1;
endmodule
|
gpl-2.0
|
shailcoolboy/Warp-Trinity
|
ResearchApps/PHY/MIMO_OFDM/ConvCoded/fec_encoder.v
| 21,494 |
module MODULE1 (
clk , VAR50 , VAR7 , VAR17 , VAR42 , VAR87 , VAR83 , VAR34 , VAR54 , VAR38 , VAR19 , VAR21 , VAR98 , VAR53 ) ;
input clk ;
input VAR50 ;
input VAR7 ;
input VAR17 ;
input VAR42 ;
input VAR87 ;
input VAR83 ;
input [7:0] VAR34 ;
input [7:0] VAR54 ;
input [15:0] VAR38 ;
output [15:0] VAR19 ;
output VAR21 ;
output [13:0] VAR98 ;
output [7:0] VAR53 ;
reg VAR56 ;
wire VAR45 ;
wire [7:0] VAR99 ;
wire VAR9 ;
wire VAR10 ;
wire [7:0] VAR31 ;
wire VAR3 ;
wire VAR67 ;
reg VAR77 ;
reg VAR18 ;
reg [13:0] VAR22 ;
wire [7:0] VAR86 ;
wire VAR64 ;
wire VAR84 ;
wire VAR29 ;
wire VAR30 ;
wire [3:0] VAR40 ;
wire VAR59 ;
wire [3:0] VAR32 ;
wire [7:0] VAR90 ;
wire [7:0] VAR71 ;
wire [7:0] VAR58 ;
wire [3:0] VAR41 ;
wire VAR82 ;
wire VAR26 ;
wire VAR65 ;
wire VAR24 ;
wire [7:0] VAR49 ;
wire [7:0] VAR57 ;
wire [3:0] VAR2 ;
wire VAR42 ;
wire [1:0] VAR93 ;
reg [1:0] VAR74 ;
reg [5:0] VAR52 ;
wire VAR78 ;
reg [7:0] VAR20 ;
wire VAR81 ;
reg [15:0] VAR37 ;
reg [15:0] VAR66 ;
reg [15:0] VAR62 ;
wire [15:0] VAR5 ;
wire [10:0] VAR85 ;
wire [26:0] VAR75 ;
reg [15:0] VAR1 ;
assign VAR98 = VAR22 ;
assign VAR53 = VAR20 ;
assign VAR21 = VAR77 ;
assign VAR19 = VAR37 ;
always @ (posedge clk or negedge VAR7)
if(~VAR7)
VAR20 <= 0 ;
else if(VAR50)
begin
if(VAR17)
VAR20 <= 0 ;
end
else if(VAR83)
VAR20 <= VAR49 ;
end
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR56 <= 1'b0 ;
else if (VAR50)
begin
if (VAR17)
VAR56 <= 1'b1 ;
end
else if (VAR87)
VAR56 <= 1'b0 ;
end
assign VAR67 = VAR56 & ~VAR45 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
{VAR18, VAR77} <= 2'b00 ;
else if (VAR50)
{VAR18, VAR77} <= {VAR77, VAR67} ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR22 <= 0 ;
else if (VAR50)
begin
if (VAR17)
VAR22 <= 0 ;
end
else if (VAR67)
VAR22 <= VAR22 +1 ;
end
assign VAR9 = VAR18 ;
assign VAR99 = VAR54 ;
assign VAR10 = VAR30 ;
MODULE5 #(8, 8, 3) VAR100 (
.clk (clk ),
.VAR7 (VAR7 ),
.VAR50 (VAR50 ),
.reset (VAR17 ),
.VAR73 (VAR99 ),
.wr (VAR9 ),
.rd (VAR10 ),
.VAR47 (VAR31 ),
.VAR69 (VAR35 ),
.VAR72 (VAR45 ),
.VAR15 (VAR61 )
) ;
assign VAR86 = VAR31 ;
assign VAR30 = (~VAR84) & (~VAR35) & VAR56 ;
assign VAR29 = VAR24 ;
MODULE2 MODULE4 (
.clk (clk ), .VAR50 (VAR50 ), .VAR7 (VAR7 ), .reset (VAR17 ), .din (VAR86 ), .dout (VAR40 ), .rd (VAR29 ), .wr (VAR30 ), .VAR15 (VAR84 ), .VAR69 (VAR64 ), .VAR48 (4'd8 ), .VAR28 (4'd4 ) ) ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR74 <= 0 ;
else if (VAR50)
begin
if(VAR17)
VAR74 <= 0 ;
end
else if (VAR21 & (VAR98 == 3)) VAR74 <= VAR34 ;
end
assign VAR59 = VAR24 ;
assign VAR32 = VAR40 ;
assign VAR93 = VAR78 ? 0 : VAR74 ;
MODULE3 MODULE3 (
.clk (clk ), .VAR50 (VAR50 ), .VAR7 (VAR7 ), .VAR44 (VAR17 ), .VAR43 (VAR93 ), .VAR12 (VAR59 ), .din (VAR32 ), .VAR33 ( ), .dout (VAR90 ), .VAR95 (VAR71 ) ) ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR52 <= 0 ;
else if (VAR50)
begin
if(VAR17)
VAR52 <= 0 ;
end
else if (VAR59 & VAR78)
VAR52 <= VAR52 +1 ;
end
assign VAR78 = VAR52 != 48;
MODULE4 MODULE4 (
.din (VAR90 ) , .VAR95 (VAR71 ) , .dout (VAR58 ) , .VAR13 (VAR41) ) ;
assign VAR65 = VAR83 ;
assign VAR24 = ((~VAR26) & (~VAR64)) & VAR56 ;
assign VAR81 = (~VAR42) | (VAR93 == 3) ;
assign VAR57 = VAR81 ? {VAR40, 4'd0} : VAR58 ;
assign VAR2 = VAR81 ? 4 : VAR41 ;
MODULE6 MODULE3 (
.clk (clk ), .VAR50 (VAR50 ), .VAR7 (VAR7 ), .reset (VAR17 ), .din (VAR57 ), .dout (VAR49 ), .rd (VAR65 ), .wr (VAR24 ), .VAR15 (VAR26 ), .VAR69 (VAR82 ), .VAR48 (VAR2 ), .VAR28 (4'd8 ) ) ;
always @(posedge clk or negedge VAR7)
if(~VAR7)
VAR66 <= 256 ;
else if(VAR50)
VAR66 <= VAR38 ;
always @(posedge clk or negedge VAR7)
if(~VAR7)
VAR62 <= 0 ;
else if(VAR50)
VAR62 <= VAR38 -24 ;
assign VAR5 = {VAR62, 1'b0} ;
always @*
begin
VAR37 = VAR66 ;
if(VAR42)
begin
case(VAR93)
2'd0: VAR37 = {VAR66[14:0], 1'b0} ; 2'd1: VAR37 = VAR1 +50 ; 2'd2: VAR37 = VAR1 +50 ; 2'd3: VAR37 = VAR66 +24 ; endcase
end
else
VAR37 = VAR66 ;
end
assign VAR85 = (VAR93 == 1) ? 1536 : 1365 ;
assign VAR75 = VAR85 * VAR5 ;
always @(posedge clk or negedge VAR7)
if(~VAR7)
VAR1 <= 256 ;
else if (VAR50)
VAR1 <= VAR75[26:11] ;
endmodule
module MODULE3 (
clk , VAR50 , VAR7 , VAR44 , VAR43 , VAR12 , din , VAR33 , dout , VAR95 ) ;
input clk ;
input VAR50 ;
input VAR7 ;
input VAR44 ;
input [1:0] VAR43 ;
input VAR12 ;
input [3:0] din ;
output VAR33 ;
output [7:0] dout ;
output reg [7:0] VAR95 ;
wire [3:0] VAR4 ;
wire [7:0] VAR27 ;
reg VAR25 ;
reg VAR60 ;
reg VAR91 ;
reg VAR51 ;
reg VAR11 ;
reg VAR63 ;
wire VAR16 ;
wire VAR39 ;
wire VAR46 ;
wire VAR97 ;
wire VAR36 ;
wire VAR88 ;
wire [3:0] VAR79 ;
wire [3:0] VAR55 ;
reg [1:0] VAR8 ;
assign VAR33 = VAR12 ;
assign dout = VAR27 ;
assign VAR79[3] = VAR4[3] ^ VAR60 ^ VAR91 ^ VAR11 ^ VAR63 ;
assign VAR55[3] = VAR4[3] ^ VAR25 ^ VAR60 ^ VAR91 ^ VAR63 ;
assign VAR79[2] = (VAR4[2] ^ VAR25 ^ VAR60 ^ VAR51 ^ VAR11) ;
assign VAR55[2] = (VAR4[2] ^ VAR4[3] ^ VAR25 ^ VAR60 ^ VAR11) ;
assign VAR79[1] = (VAR4[1] ^ VAR4[3] ^ VAR25 ^ VAR91 ^ VAR51) ;
assign VAR55[1] = (VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR25 ^ VAR51) ;
assign VAR79[0] = (VAR4[0] ^ VAR4[2] ^ VAR4[3] ^ VAR60 ^ VAR91) ;
assign VAR55[0] = (VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR91) ;
assign VAR4 = din ;
assign VAR27 = {VAR79[3], VAR55[3], VAR79[2], VAR55[2], VAR79[1], VAR55[1], VAR79[0], VAR55[0]} ;
assign VAR16 = VAR4[0] ;
assign VAR39 = VAR4[1] ;
assign VAR46 = VAR4[2] ;
assign VAR97 = VAR4[3] ;
assign VAR36 = VAR25 ;
assign VAR88 = VAR60 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
begin
VAR25 <= 1'b0 ;
VAR60 <= 1'b0 ;
VAR91 <= 1'b0 ;
VAR51 <= 1'b0 ;
VAR11 <= 1'b0 ;
VAR63 <= 1'b0 ;
end
else if (VAR50)
begin
if (VAR44)
begin
VAR25 <= 1'b0 ;
VAR60 <= 1'b0 ;
VAR91 <= 1'b0 ;
VAR51 <= 1'b0 ;
VAR11 <= 1'b0 ;
VAR63 <= 1'b0 ;
end
else if (VAR12)
begin
VAR25 <= VAR16 ;
VAR60 <= VAR39 ;
VAR91 <= VAR46 ;
VAR51 <= VAR97 ;
VAR11 <= VAR36 ;
VAR63 <= VAR88 ;
end
end
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR8 <= 0 ;
else if (VAR50)
begin
if (VAR44)
VAR8 <= 0 ;
end
else if (VAR12)
begin
if (VAR8 == 2)
VAR8 <= 0 ;
end
else
VAR8 <= VAR8 +1 ;
end
end
always @*
begin
VAR95 = 8'd0 ;
if (VAR43 == 0)
VAR95 = 8'b11111111 ;
end
else if (VAR43 == 1)
VAR95 = 8'b11101110 ;
else
begin
case(VAR8)
0: VAR95 = 8'b11100111 ;
1: VAR95 = 8'b10011110 ;
2: VAR95 = 8'b01111001 ;
default: VAR95 = 8'b11100111 ;
endcase
end
end
endmodule
module MODULE4 (
din , VAR95 , dout , VAR13 ) ;
input [7:0] din ;
input [7:0] VAR95 ;
output reg [7:0] dout ;
output reg [3:0] VAR13 ;
always @*
begin
VAR13 = 8 ;
dout = din ;
case (VAR95)
8'b11111111: begin VAR13 = 8 ;
dout = din ;
end
8'b11101110: begin VAR13 = 6 ;
dout = {din[7:5], din[3:1], 1'b0, 1'b0} ;
end
8'b11100111: begin VAR13 = 6 ;
dout = {din[7:5], din[2:0], 1'b0, 1'b0} ;
end
8'b10011110: begin VAR13 = 5 ;
dout = {din[7], din[4:1], 1'b0, 1'b0, 1'b0} ;
end
8'b01111001: begin VAR13 = 5 ;
dout = {din[6:3], din[0], 1'b0, 1'b0, 1'b0} ;
end
endcase
end
endmodule
module MODULE5 (
clk , VAR50 , VAR7 , reset , VAR73 , wr , rd , VAR47 , VAR69 , VAR72 , VAR15 ) ;
parameter VAR76 = 8 ;
parameter VAR6 = 8 ;
parameter VAR96 = 3 ;
input clk ;
input VAR50 ;
input VAR7 ;
input reset ;
input [VAR76-1:0] VAR73 ;
input wr ;
input rd ;
output [VAR76-1:0] VAR47 ;
output VAR69 ;
output VAR72 ;
output VAR15 ;
reg [VAR76-1:0] VAR94 [VAR6-1:0] ;
reg [VAR96:0] VAR8 ;
reg [VAR96-1:0] VAR23 ;
reg [VAR96-1:0] VAR70 ;
assign VAR69 = VAR8 == 0 ;
assign VAR15 = VAR8 == VAR6 ;
assign VAR72 = VAR8 >= VAR6/2 ;
always @ (posedge clk or negedge VAR7)
if(~VAR7)
VAR8 <= 0 ;
else if(VAR50)
begin
if (reset)
VAR8 <= 0 ;
end
else if (wr & ~rd)
VAR8 <= VAR8 +1 ;
else if (rd & ~wr)
VAR8 <= VAR8 -1 ;
end
always @ (posedge clk or negedge VAR7)
if(~VAR7)
VAR23 <= 0 ;
else if(VAR50)
begin
if (reset)
VAR23 <= 0 ;
end
else if (wr)
VAR23 <= VAR23 == VAR6 -1 ? 0 : VAR23 +1 ;
end
always @ (posedge clk or negedge VAR7)
if(~VAR7)
VAR70 <= 0 ;
else if(VAR50)
begin
if (reset)
VAR70 <= 0 ;
end
else if (rd)
VAR70 <= VAR70 == VAR6 -1 ? 0 : VAR70 +1 ;
end
always @ (posedge clk)
if(VAR50)
begin
if (wr)
VAR94 [VAR23] <= VAR73 ;
end
assign VAR47 = VAR94 [VAR70] ;
endmodule
module MODULE2 (
clk , VAR50 , VAR7 , reset , din , dout , rd , wr , VAR15 , VAR69 , VAR48 , VAR28 ) ;
input clk ;
input VAR50 ;
input VAR7 ;
input reset ;
input [7:0] din ;
output [3:0] dout ;
input rd ;
input wr ;
output VAR15 ;
output VAR69 ;
input [3:0] VAR48 ;
input [3:0] VAR28 ;
reg [15:0] buffer ;
reg [4:0] VAR8 ;
wire [19:0] VAR68 ;
wire [23:0] VAR92 ;
wire [4:0] VAR89 ;
assign VAR15 = (VAR8 + VAR48) > 16 ;
assign VAR69 = VAR8 < VAR28 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR8 <= 0 ;
else if (VAR50)
begin
if (reset)
VAR8 <= 0 ;
end
else
begin
if (wr & rd)
VAR8 <= VAR8 +VAR48 -VAR28 ;
if (wr & ~rd)
VAR8 <= VAR8 +VAR48 ;
if (~wr & rd)
VAR8 <= VAR8 -VAR28 ;
end
end
assign VAR68 = {4'h0, buffer} << VAR28 ;
assign dout = VAR68 [19:16] ;
assign VAR89 = rd ? 16 -VAR8 + VAR28 : 16 -VAR8 ;
assign VAR92 = {16'h0, din} << VAR89 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
buffer <= 0 ;
else if (VAR50)
begin
if (reset)
buffer <= 0 ;
end
else
begin
if (wr & rd)
buffer <= VAR68 [15:0] | VAR92 [23:8] ;
if (wr & ~rd)
buffer <= buffer | VAR92 [23:8] ;
if (~wr & rd)
buffer <= VAR68 [15:0] ;
end
end
endmodule
module MODULE6 (
clk , VAR50 , VAR7 , reset , din , dout , rd , wr , VAR15 , VAR69 , VAR48 , VAR28 ) ;
input clk ;
input VAR50 ;
input VAR7 ;
input reset ;
input [7:0] din ;
output [7:0] dout ;
input rd ;
input wr ;
output VAR15 ;
output VAR69 ;
input [3:0] VAR48 ;
input [3:0] VAR28 ;
reg [23:0] buffer ; reg [5:0] VAR8 ; wire [31:0] VAR68 ;
wire [31:0] VAR92 ;
wire [5:0] VAR89 ;
assign dout = VAR68 [31:24] ;
assign VAR15 = (VAR8 + VAR48) > 24 ;
assign VAR69 = VAR8 < VAR28 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR8 <= 0 ;
else if (VAR50)
begin
if (reset)
VAR8 <= 0 ;
end
else
begin
if (wr & rd)
VAR8 <= VAR8 + VAR48 - VAR28 ;
if (wr & ~rd)
VAR8 <= VAR8 + VAR48 ;
if (~wr & rd)
VAR8 <= VAR8 - VAR28 ;
end
end
assign VAR68 = {8'h0, buffer} << VAR28 ;
assign VAR89 = rd ? 24 -VAR8 + VAR28 : 24 -VAR8 ;
assign VAR92 = {24'h0, din} << VAR89 ;
always @ (posedge clk or negedge VAR7)
if (~VAR7)
buffer <= 0 ;
else if (VAR50)
begin
if (reset)
buffer <= 0 ;
end
else
begin
if (wr & rd)
buffer <= VAR68 [23:0] | VAR92 [31:8] ;
if (wr & ~rd)
buffer <= buffer | VAR92 [31:8] ;
if (~wr & rd)
buffer <= VAR68 [23:0] ;
end
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o22a/sky130_fd_sc_ls__o22a.behavioral.pp.v
| 2,156 |
module MODULE1 (
VAR12 ,
VAR1 ,
VAR10 ,
VAR14 ,
VAR15 ,
VAR16,
VAR13,
VAR19 ,
VAR3
);
output VAR12 ;
input VAR1 ;
input VAR10 ;
input VAR14 ;
input VAR15 ;
input VAR16;
input VAR13;
input VAR19 ;
input VAR3 ;
wire VAR2 ;
wire VAR5 ;
wire VAR17 ;
wire VAR8;
or VAR6 (VAR2 , VAR10, VAR1 );
or VAR18 (VAR5 , VAR15, VAR14 );
and VAR9 (VAR17 , VAR2, VAR5 );
VAR11 VAR4 (VAR8, VAR17, VAR16, VAR13);
buf VAR7 (VAR12 , VAR8 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/or2/gf180mcu_fd_sc_mcu7t5v0__or2_2.behavioral.v
| 1,173 |
module MODULE1( VAR1, VAR5, VAR2 );
input VAR1, VAR5;
output VAR2;
VAR6 VAR3(.VAR1(VAR1),.VAR5(VAR5),.VAR2(VAR2));
VAR6 VAR4(.VAR1(VAR1),.VAR5(VAR5),.VAR2(VAR2));
|
apache-2.0
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/ethmac/rtl/verilog/eth_transmitcontrol.v
| 10,426 |
module MODULE1 (VAR4, VAR10, VAR2, VAR5, VAR28, VAR18,
VAR14, VAR22, VAR16, VAR1, VAR15,
VAR20, VAR6, VAR23, VAR13, VAR11, VAR21,
VAR24, VAR30, VAR8
);
input VAR4;
input VAR10;
input VAR2;
input VAR5;
input VAR28;
input VAR18;
input VAR14;
input VAR22;
input VAR16;
input VAR1;
input VAR15;
input [15:0] VAR20;
input [47:0] VAR6;
output VAR23;
output VAR13;
output VAR11;
output VAR21;
output [7:0] VAR24;
output VAR30;
output VAR8;
reg VAR11;
reg VAR21;
reg VAR30;
reg [3:0] VAR27;
reg [5:0] VAR3;
reg VAR9;
reg [7:0] VAR25;
reg VAR23;
reg VAR32;
reg VAR13;
reg [7:0] VAR24;
reg VAR12;
reg VAR8;
wire VAR26;
wire VAR31;
wire VAR19;
wire VAR7;
wire VAR29;
wire VAR17;
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR30 <= 1'b0;
end
else
if(VAR13 & VAR21)
VAR30 <= 1'b0;
else
if(VAR22 & VAR1)
VAR30 <= 1'b1;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR23 <= 1'b0;
end
else
if(VAR12 & VAR21)
VAR23 <= 1'b0;
else
if(VAR30 & ~VAR5 & (VAR28 | VAR18 | VAR14 | (~VAR16)))
VAR23 <= 1'b1;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR13 <= 1'b0;
end
else
if(VAR7 | VAR9)
VAR13 <= 1'b1;
else
VAR13 <= 1'b0;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR21 <= 1'b0;
end
else
if(VAR30 & ~VAR5)
VAR21 <= 1'b1;
else
if(VAR28)
VAR21 <= 1'b0;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR11 <= 1'b0;
end
else
if(VAR30 & VAR23)
VAR11 <= 1'b1;
else
if(VAR28)
VAR11 <= 1'b0;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR12 <= 1'b0;
end
else
VAR12 <= VAR2;
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR8 <= 1'b0;
end
else
if(VAR23)
VAR8 <= 1'b1;
else
if(VAR14)
VAR8 <= 1'b0;
end
always @ (posedge VAR4)
begin
VAR9 <= VAR7;
VAR32 <= VAR23;
end
assign VAR26 = VAR21 & VAR2 & ~VAR27[2];
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR27 <= 4'h0;
end
else
if(VAR31)
VAR27 <= 4'h0;
else
if(VAR26)
VAR27 <= VAR27 + 4'd1;
end
assign VAR31 = VAR10 | (~VAR23 & (VAR28 | VAR18));
assign VAR19 = VAR21 & (VAR23 & ~VAR32 & ~VAR2 | VAR2 & ~VAR7);
assign VAR29 = VAR21 & VAR23 & (~VAR32) & VAR2;
assign VAR17 = (~VAR15 | VAR15 & (&VAR27[1:0]));
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR3 <= 6'h0;
end
else
if(VAR31)
VAR3 <= 6'h0;
else
if(VAR29 & VAR17)
VAR3 <= (VAR3[5:0] ) + 6'd2;
else
if(VAR19 & VAR17)
VAR3 <= (VAR3[5:0] ) + 6'd1;
end
assign VAR7 = VAR3[5:0] == 6'h22;
always @ (VAR3 or VAR15 or VAR6 or VAR20 or VAR27)
begin
case(VAR3)
6'h0: if(~VAR15 | VAR15 & (&VAR27[1:0]))
end
VAR25[7:0] = 8'h01; else
VAR25[7:0] = 8'h0;
6'h2: VAR25[7:0] = 8'h80;
6'h4: VAR25[7:0] = 8'hC2;
6'h6: VAR25[7:0] = 8'h00;
6'h8: VAR25[7:0] = 8'h00;
6'hA: VAR25[7:0] = 8'h01;
6'hC: VAR25[7:0] = VAR6[47:40];
6'hE: VAR25[7:0] = VAR6[39:32];
6'h10: VAR25[7:0] = VAR6[31:24];
6'h12: VAR25[7:0] = VAR6[23:16];
6'h14: VAR25[7:0] = VAR6[15:8];
6'h16: VAR25[7:0] = VAR6[7:0];
6'h18: VAR25[7:0] = 8'h88; 6'h1A: VAR25[7:0] = 8'h08;
6'h1C: VAR25[7:0] = 8'h00; 6'h1E: VAR25[7:0] = 8'h01;
6'h20: VAR25[7:0] = VAR20[15:8]; 6'h22: VAR25[7:0] = VAR20[7:0];
default: VAR25[7:0] = 8'h0;
endcase
end
always @ (posedge VAR4 or posedge VAR10)
begin
if(VAR10)
VAR24[7:0] <= 8'h0;
end
else
if(~VAR3[0])
VAR24[7:0] <= VAR25[7:0];
end
endmodule
|
gpl-2.0
|
hpeng2/ECE492_Group4_Project
|
Ryans_stuff/tracking_camera/tracking_camera_system/synthesis/submodules/tracking_camera_system_nios2_qsys_0_jtag_debug_module_sysclk.v
| 7,360 |
module MODULE1 (
clk,
VAR20,
VAR19,
VAR3,
VAR32,
VAR31,
VAR22,
VAR12,
VAR23,
VAR18,
VAR21,
VAR4,
VAR27,
VAR6,
VAR16,
VAR29,
VAR25,
VAR15,
VAR26
)
;
output [ 37: 0] VAR31;
output VAR22;
output VAR12;
output VAR23;
output VAR18;
output VAR21;
output VAR4;
output VAR27;
output VAR6;
output VAR16;
output VAR29;
output VAR25;
output VAR15;
output VAR26;
input clk;
input [ 1: 0] VAR20;
input [ 37: 0] VAR19;
input VAR3;
input VAR32;
reg VAR11 ;
reg [ 1: 0] VAR17 ;
reg [ 37: 0] VAR31 ;
reg VAR10 ;
reg VAR8 ;
reg VAR5 ;
wire VAR13;
wire VAR14;
wire VAR22;
wire VAR12;
wire VAR23;
wire VAR18;
wire VAR21;
wire VAR4;
wire VAR27;
wire VAR6;
wire VAR16;
wire VAR29;
wire VAR25;
wire VAR15;
wire VAR26;
wire VAR24;
wire VAR1;
reg VAR33 ;
assign VAR24 = 1'b1;
VAR7 VAR30
(
.clk (clk),
.din (VAR3),
.dout (VAR13),
.VAR28 (VAR24)
);
assign VAR1 = 1'b1;
VAR7 VAR2
(
.clk (clk),
.din (VAR32),
.dout (VAR14),
.VAR28 (VAR1)
);
always @(posedge clk)
begin
VAR8 <= VAR13;
VAR33 <= VAR13 & ~VAR8;
VAR11 <= VAR33;
VAR5 <= VAR14;
VAR10 <= VAR14 & ~VAR5;
end
assign VAR18 = VAR11 && (VAR17 == 2'b00) &&
~VAR31[35] && VAR31[34];
assign VAR15 = VAR11 && (VAR17 == 2'b00) &&
~VAR31[35] && ~VAR31[34];
assign VAR21 = VAR11 && (VAR17 == 2'b00) &&
VAR31[35];
assign VAR27 = VAR11 && (VAR17 == 2'b01) &&
~VAR31[37] &&
VAR31[36];
assign VAR26 = VAR11 && (VAR17 == 2'b01) &&
~VAR31[37] &&
~VAR31[36];
assign VAR6 = VAR11 && (VAR17 == 2'b01) &&
VAR31[37];
assign VAR22 = VAR11 && (VAR17 == 2'b10) &&
~VAR31[36] &&
VAR31[37];
assign VAR16 = VAR11 && (VAR17 == 2'b10) &&
~VAR31[36] &&
~VAR31[37];
assign VAR12 = VAR11 && (VAR17 == 2'b10) &&
VAR31[36] && ~VAR31[35] &&
VAR31[37];
assign VAR29 = VAR11 && (VAR17 == 2'b10) &&
VAR31[36] && ~VAR31[35] &&
~VAR31[37];
assign VAR23 = VAR11 && (VAR17 == 2'b10) &&
VAR31[36] && VAR31[35] &&
VAR31[37];
assign VAR25 = VAR11 && (VAR17 == 2'b10) &&
VAR31[36] && VAR31[35] &&
~VAR31[37];
assign VAR4 = VAR11 && (VAR17 == 2'b11) &&
VAR31[15];
always @(posedge clk)
begin
if (VAR10)
VAR17 <= VAR20;
if (VAR33)
VAR31 <= VAR19;
end
endmodule
|
gpl-2.0
|
cfangmeier/VFPIX-telescope-Code
|
DAQ_Firmware/src/ram/alt_mem_ddrx_addr_cmd_wrap.v
| 55,196 |
module MODULE1
VAR159 = 2,
VAR202 = 2, VAR69 = 16, VAR135 = 16, VAR122 = 12, VAR157 = 3, VAR91 = 1,
VAR174 = 3,
VAR115 = 2,
VAR178 = 2,
VAR34 = 8,
VAR127 = 4,
VAR50 = 4,
VAR49 = 1,
VAR118 = 2,
VAR33 = 5,
VAR153 = 5,
VAR163 = 5,
VAR54 = 4,
VAR107 = 4,
VAR195 = 2
)
(
VAR212,
VAR86,
VAR70,
VAR44,
VAR37,
VAR171,
VAR150,
VAR113,
VAR144,
VAR47,
VAR45,
VAR207,
VAR56,
VAR136,
VAR102,
VAR175,
VAR79,
VAR192,
VAR137,
VAR129,
VAR109,
VAR66,
VAR155,
VAR82,
VAR173,
VAR15,
VAR204,
VAR162,
VAR114,
VAR133,
VAR165,
VAR194,
VAR73, VAR190,
VAR36,
VAR183,
VAR149,
VAR200,
VAR74,
VAR210,
VAR10,
VAR76,
VAR53,
VAR29,
VAR19,
VAR176,
VAR9
);
localparam VAR147 = 2;
input VAR212 ;
input VAR86 ;
input VAR70 ;
input [VAR174 - 1 : 0] VAR44 ;
input [VAR153 - 1 : 0] VAR37 ;
input [VAR33 - 1 : 0] VAR171 ;
input [VAR163 - 1 : 0] VAR150 ;
input [VAR54 - 1 : 0] VAR113 ;
input [VAR107 - 1 : 0] VAR144 ;
input [4:0] VAR47 ;
input [VAR195 - 1 : 0] VAR45;
input [VAR178 - 1 : 0] VAR207 ;
input [VAR178 - 1 : 0] VAR56 ;
input [VAR178 - 1 : 0] VAR136 ;
input [VAR178 - 1 : 0] VAR102 ;
input [VAR178 - 1 : 0] VAR175 ;
input [VAR178 - 1 : 0] VAR82 ;
input [VAR178 - 1 : 0] VAR173 ;
input [VAR178 - 1 : 0] VAR79 ;
input [VAR178 - 1 : 0] VAR192 ;
input [(VAR178 * VAR159) - 1 : 0] VAR137 ;
input [(VAR178 * VAR159) - 1 : 0] VAR129 ;
input [(VAR178 * VAR159) - 1 : 0] VAR109 ;
input [(VAR178 * VAR159) - 1 : 0] VAR66 ;
input [(VAR178 * VAR159) - 1 : 0] VAR155 ;
input [(VAR178 * VAR159) - 1 : 0] VAR162 ;
input [VAR178 - 1 : 0] VAR114 ;
input [(VAR178 * VAR159) - 1 : 0] VAR73 ;
input [(VAR178 * VAR157) - 1 : 0] VAR190 ;
input [(VAR178 * VAR135) - 1 : 0] VAR36 ;
input [(VAR178 * VAR122) - 1 : 0] VAR183 ;
input VAR15 ;
input VAR204 ;
input [2:0] VAR149 ;
input [VAR34 - 1 : 0] VAR133 ;
input [VAR127 - 1 : 0] VAR165 ;
input [VAR50 - 1 : 0] VAR194 ;
input [VAR69-1:0] VAR200 ;
output [(VAR202 * (VAR115/2)) - 1:0] VAR74 ;
output [(VAR159 * (VAR115/2)) - 1:0] VAR210 ;
output [(VAR115/2) - 1:0] VAR10 ;
output [(VAR115/2) - 1:0] VAR76 ;
output [(VAR115/2) - 1:0] VAR53 ;
output [(VAR157 * (VAR115/2)) - 1:0] VAR29 ;
output [(VAR69 * (VAR115/2)) - 1:0] VAR19 ;
output [(VAR115/2) - 1:0] VAR176 ;
output [(VAR118 * (VAR115/2)) - 1:0] VAR9 ;
reg [(VAR202 * (VAR115/2)) - 1:0] VAR74 ;
reg [(VAR159 * (VAR115/2)) - 1:0] VAR210 ;
reg [(VAR115/2) - 1:0] VAR10 ;
reg [(VAR115/2) - 1:0] VAR76 ;
reg [(VAR115/2) - 1:0] VAR53 ;
reg [(VAR157 * (VAR115/2)) - 1:0] VAR29 ;
reg [(VAR69 * (VAR115/2)) - 1:0] VAR19 ;
reg [(VAR115/2) - 1:0] VAR176 ;
reg [(VAR118 * (VAR115/2)) - 1:0] VAR9 ;
reg [(VAR115/2) - 1:0] VAR103 ;
reg [(VAR115/2) - 1:0] VAR77 ;
wire [VAR202 - 1:0] VAR145 [(VAR115/2)-1:0];
wire [VAR159- 1:0] VAR117 [(VAR115/2)-1:0];
wire VAR108 [(VAR115/2)-1:0];
wire VAR92 [(VAR115/2)-1:0];
wire VAR139 [(VAR115/2)-1:0];
wire [VAR157 - 1:0] VAR52 [(VAR115/2)-1:0];
wire [VAR69-1:0] VAR184 [(VAR115/2)-1:0];
wire VAR2 [(VAR115/2)-1:0];
wire VAR143 [(VAR115/2)-1:0];
wire VAR132 [(VAR115/2)-1:0];
reg [VAR202 - 1:0] VAR32 [(VAR115/2)-1:0];
reg [VAR159- 1:0] VAR13 [(VAR115/2)-1:0];
reg VAR55 [(VAR115/2)-1:0];
reg VAR89 [(VAR115/2)-1:0];
reg VAR140 [(VAR115/2)-1:0];
reg [VAR157 - 1:0] VAR187 [(VAR115/2)-1:0];
reg [VAR69-1:0] VAR5 [(VAR115/2)-1:0];
reg VAR148 [(VAR115/2)-1:0];
reg VAR97 [(VAR115/2)-1:0];
reg VAR168 [(VAR115/2)-1:0];
reg [(VAR202 * (VAR147/2)) - 1:0] VAR98 [VAR178-1:0];
reg [(VAR159 * (VAR147/2)) - 1:0] VAR116 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR81 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR170 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR166 [VAR178-1:0];
reg [(VAR157 * (VAR147/2)) - 1:0] VAR96 [VAR178-1:0];
reg [(VAR69 * (VAR147/2)) - 1:0] VAR24 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR197 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR67 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR75 [VAR178-1:0];
wire [(VAR202 * (VAR147/2)) - 1:0] VAR94 [VAR178-1:0];
wire [(VAR159 * (VAR147/2)) - 1:0] VAR14 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR161 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR126 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR120 [VAR178-1:0];
wire [(VAR157 * (VAR147/2)) - 1:0] VAR110 [VAR178-1:0];
wire [(VAR69 * (VAR147/2)) - 1:0] VAR169 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR124 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR128 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR142 [VAR178-1:0];
wire [(VAR202 * (VAR147/2)) - 1:0] VAR40 [VAR178-1:0];
wire [(VAR159 * (VAR147/2)) - 1:0] VAR141 [VAR178-1:0];
wire [(VAR69 * (VAR147/2)) - 1:0] VAR138 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR46 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR123 [VAR178-1:0];
reg [(VAR147/2) - 1:0] VAR119 [VAR178-1:0];
wire [(VAR202 * (VAR147/2)) - 1:0] VAR167 [VAR178-1:0];
wire [(VAR159 * (VAR147/2)) - 1:0] VAR28 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR21 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR1 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR71 [VAR178-1:0];
wire [(VAR157 * (VAR147/2)) - 1:0] VAR131 [VAR178-1:0];
wire [(VAR69 * (VAR147/2)) - 1:0] VAR3 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR41 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR7 [VAR178-1:0];
wire [(VAR147/2) - 1:0] VAR152 [VAR178-1:0];
wire [(VAR202 * (VAR147/2)) - 1:0] VAR80 ;
wire [(VAR159 * (VAR147/2)) - 1:0] VAR26 ;
wire [(VAR147/2) - 1:0] VAR188 ;
wire [(VAR147/2) - 1:0] VAR72 ;
wire [(VAR147/2) - 1:0] VAR134 ;
wire [(VAR157 * (VAR147/2)) - 1:0] VAR8 ;
wire [(VAR69 * (VAR147/2)) - 1:0] VAR31 ;
wire [(VAR147/2) - 1:0] VAR84 ;
wire [(VAR147/2) - 1:0] VAR151 ;
wire [(VAR147/2) - 1:0] VAR17 ;
wire [(VAR202 * (VAR115/2)) - 1:0] VAR58;
wire [(VAR159 * (VAR115/2)) - 1:0] VAR156;
wire [(VAR115/2) - 1:0] VAR164;
wire [(VAR115/2) - 1:0] VAR25;
wire [(VAR115/2) - 1:0] VAR20;
wire [(VAR157 * (VAR115/2)) - 1:0] VAR112;
wire [(VAR69 * (VAR115/2)) - 1:0] VAR111;
wire [(VAR115/2) - 1:0] VAR205;
reg [VAR178 - 1 : 0] VAR88 ;
reg [VAR178 - 1 : 0] VAR193 ;
reg [VAR178 - 1 : 0] VAR199 ;
reg [VAR178 - 1 : 0] VAR130 ;
reg [VAR178 - 1 : 0] VAR27 ;
reg [VAR178 - 1 : 0] VAR154 ;
reg [VAR178 - 1 : 0] VAR100 ;
reg [VAR178 - 1 : 0] VAR30 ;
reg [VAR178 - 1 : 0] VAR22 ;
reg [VAR178 - 1 : 0] VAR211 ;
reg [VAR178 - 1 : 0] VAR203 ;
reg [VAR159 - 1 : 0] VAR213 [VAR178-1:0];
reg [VAR159 - 1 : 0] VAR206 [VAR178-1:0];
reg [VAR159 - 1 : 0] VAR60 [VAR178-1:0];
reg [VAR159 - 1 : 0] VAR39 [VAR178-1:0];
reg [VAR159 - 1 : 0] VAR63 [VAR178-1:0];
reg [VAR159 - 1 : 0] VAR198 [VAR178-1:0];
reg [VAR178 - 1 : 0] VAR85 ;
reg [VAR159 -1:0] VAR208 [VAR178-1:0];
reg [VAR157 -1:0] VAR35 [VAR178-1:0];
reg [VAR135 -1:0] VAR11 [VAR178-1:0];
reg [VAR122 -1:0] VAR23 [VAR178-1:0];
reg [VAR34 - 1 : 0] VAR185;
reg [VAR127 - 1 : 0] VAR177;
reg [VAR50 - 1 : 0] VAR93;
reg VAR172;
reg VAR180;
wire [(VAR118*(VAR115/2)) - 1 : 0] VAR6 [VAR178-1:0];
wire [(VAR118*(VAR115/2)) - 1 : 0] VAR186 [VAR178-1:0];
reg [VAR178 - 1 : 0] VAR87;
reg [(VAR178 * VAR159) - 1 : 0] VAR90;
reg [(VAR178 * VAR159) - 1 : 0] VAR146;
wire VAR191 = 1'b1;
wire VAR209 = 1'b0;
genvar VAR78, VAR38;
generate
for (VAR38 = 0; VAR38 < (VAR115/2); VAR38 = VAR38 + 1'b1)
begin : VAR4
always @
begin
VAR88 [VAR64] = VAR207 [VAR64];
VAR193 [VAR64] = VAR56 [VAR64];
VAR199 [VAR64] = VAR136 [VAR64];
VAR130 [VAR64] = VAR102 [VAR64];
VAR27 [VAR64] = VAR175 [VAR64];
VAR154 [VAR64] = VAR82 [VAR64];
VAR100 [VAR64] = VAR173 [VAR64];
VAR30 [VAR64] = VAR79 [VAR64];
VAR22 [VAR64] = VAR192 [VAR64];
VAR208 [VAR64] = VAR73 [(((VAR64+1)*VAR159 )-1):(VAR64*VAR159 )];
VAR35 [VAR64] = VAR190 [(((VAR64+1)*VAR157 )-1):(VAR64*VAR157 )];
VAR11 [VAR64] = VAR36 [(((VAR64+1)*VAR135)-1):(VAR64*VAR135)];
VAR23 [VAR64] = VAR183 [(((VAR64+1)*VAR122)-1):(VAR64*VAR122)];
end
if (VAR115 == 2) begin
always @
begin
VAR87 [VAR64] = VAR191;
end
end
else begin
always @
begin
VAR87 [VAR64] = ((VAR64 % VAR178) == 1) ? VAR191 : VAR209;
end
end
VAR182 # (
.VAR174 ( VAR174 ),
.VAR195 ( VAR195 ),
.VAR159 ( VAR159 ),
.VAR202 ( VAR202 ),
.VAR69 ( VAR69 ),
.VAR135 ( VAR135 ),
.VAR122 ( VAR122 ),
.VAR157 ( VAR157 ),
.VAR115 ( VAR147 )
) VAR196 (
.VAR212 ( VAR212 ),
.VAR86 ( VAR86 ),
.VAR70 ( VAR70 ),
.VAR44 ( VAR44 ),
.VAR201 ( VAR45 ),
.VAR87 ( VAR87 [VAR64] ),
.VAR207 ( VAR88 [VAR64] ),
.VAR56 ( VAR193 [VAR64] ),
.VAR175 ( VAR27 [VAR64] ),
.VAR136 ( VAR199 [VAR64] ),
.VAR79 ( VAR30 [VAR64] ),
.VAR192 ( VAR22 [VAR64] ),
.VAR129 ( VAR206 [VAR64] ),
.VAR66 ( VAR39 [VAR64] ),
.VAR109 ( VAR60 [VAR64] ),
.VAR114 ( VAR85 [VAR64] ),
.VAR137 ( VAR213 [VAR64] ),
.VAR162 ( VAR198 [VAR64] ),
.VAR155 ( VAR63 [VAR64] ),
.VAR102 ( VAR130 [VAR64] ),
.VAR73 ( VAR208 [VAR64] ),
.VAR190 ( VAR35 [VAR64] ),
.VAR36 ( VAR11 [VAR64] ),
.VAR183 ( VAR23 [VAR64] ),
.VAR149 ( VAR149 ),
.VAR200 ( VAR200 ),
.VAR74 ( VAR94 [VAR64] ),
.VAR210 ( VAR14 [VAR64] ),
.VAR10 ( VAR161 [VAR64] ),
.VAR76 ( VAR126 [VAR64] ),
.VAR53 ( VAR120 [VAR64] ),
.VAR29 ( VAR110 [VAR64] ),
.VAR19 ( VAR169 [VAR64] ),
.VAR176 ( VAR124 [VAR64] )
);
if (VAR91)
begin
VAR160 # (
.VAR195 (VAR195 ),
.VAR159 (VAR159 ),
.VAR202 (VAR202 ),
.VAR69 (VAR69 ),
.VAR135 (VAR135 ),
.VAR122 (VAR122 ),
.VAR157 (VAR157 ),
.VAR115 (VAR147 )
) VAR61 (
.VAR212 (VAR212 ),
.VAR86 (VAR86 ),
.VAR70 (VAR70 ),
.VAR201 (VAR45 ),
.VAR87 (VAR87 [VAR64]),
.VAR158 (VAR88 [VAR64]),
.VAR181 (VAR193 [VAR64]),
.VAR68 (VAR27 [VAR64]),
.VAR106 (VAR30 [VAR64]),
.VAR16 (VAR22 [VAR64]),
.VAR121 (VAR206 [VAR64]),
.VAR12 (VAR39 [VAR64]),
.VAR48 (VAR60 [VAR64]),
.VAR57 (VAR85 [VAR64]),
.VAR59 (VAR213 [VAR64]),
.VAR105 (VAR63 [VAR64]),
.VAR83 (VAR130 [VAR64]),
.VAR101 (VAR172 ),
.VAR43 (VAR180 ),
.VAR65 (VAR208 [VAR64]),
.VAR18 (VAR35 [VAR64]),
.VAR125 (VAR11 [VAR64]),
.VAR51 (VAR23 [VAR64]),
.VAR99 (VAR149 ),
.VAR200 (VAR200[7:0] ),
.VAR74 (VAR40 [VAR64]),
.VAR210 (VAR141 [VAR64]),
.VAR19 (VAR138 [VAR64]),
.VAR176 (VAR46 [VAR64])
);
end
else
begin
assign VAR40 [VAR64] = {(VAR202 * (VAR147/2)) {1'b0}};
assign VAR141 [VAR64] = {(VAR159 * (VAR147/2)) {1'b0}};
assign VAR138 [VAR64] = {(VAR69 * (VAR147/2)) {1'b0}};
assign VAR46 [VAR64] = { (VAR147/2) {1'b0}};
end
always @
begin
if (VAR45)
begin
VAR67[VAR64] = VAR211 [VAR64];
VAR75[VAR64] = VAR203 [VAR64];
end
else
begin
VAR67[VAR64] = VAR154 [VAR64];
VAR75[VAR64] = VAR100 [VAR64];
end
end
VAR179 #
(
.VAR115 (VAR115 ),
.VAR49 (VAR49 ),
.VAR159 (VAR159 ),
.VAR118 (VAR118 ),
.VAR33 (VAR33 ),
.VAR153 (VAR153 ),
.VAR163 (VAR163 ),
.VAR174 (VAR174 ),
.VAR54 (VAR54 ),
.VAR107 (VAR107 ),
.VAR195 (VAR195 )
)
VAR189
(
.VAR212 (VAR212 ),
.VAR86 (VAR86 ),
.VAR44 (VAR44 ),
.VAR37 (VAR37 ),
.VAR171 (VAR171 ),
.VAR150 (VAR150 ),
.VAR113 (VAR113 ),
.VAR144 (VAR144 ),
.VAR47 (VAR47 ),
.VAR201 (VAR45 ),
.VAR56 (VAR193 [VAR64]),
.VAR207 (VAR88 [VAR64]),
.VAR136 (VAR199 [VAR64]),
.VAR73 (VAR208 [VAR64]),
.VAR9 (VAR6 [VAR64])
);
end
always @
begin
VAR9 = VAR186 [VAR178-1];
end
assign VAR186 [0] = VAR6 [0];
genvar VAR104;
generate
for (VAR104 = 1; VAR104 < VAR178; VAR104 = VAR104 + 1)
begin : VAR95
assign VAR186 [VAR104] = VAR186 [VAR104-1] | VAR6 [VAR104];
end
endgenerate
assign VAR167 [0] = VAR98 [0];
assign VAR28 [0] = VAR116 [0];
assign VAR21 [0] = VAR81 [0];
assign VAR1 [0] = VAR170 [0];
assign VAR71 [0] = VAR166 [0];
assign VAR131 [0] = VAR96 [0];
assign VAR3 [0] = VAR24 [0];
assign VAR41 [0] = VAR197 [0];
assign VAR7 [0] = VAR67 [0];
assign VAR152 [0] = VAR75 [0];
genvar VAR62;
generate
for (VAR62 = 1; VAR62 < VAR178; VAR62 = VAR62 + 1)
begin : VAR42
assign VAR167 [VAR62] = VAR167 [(VAR62-1)] & VAR98 [VAR62];
assign VAR28 [VAR62] = VAR28 [(VAR62-1)] & VAR116 [VAR62];
assign VAR21 [VAR62] = VAR21 [(VAR62-1)] & VAR81 [VAR62];
assign VAR1 [VAR62] = VAR1 [(VAR62-1)] & VAR170 [VAR62];
assign VAR71 [VAR62] = VAR71 [(VAR62-1)] & VAR166 [VAR62];
assign VAR131 [VAR62] = VAR131 [(VAR62-1)] | VAR96 [VAR62];
assign VAR3 [VAR62] = VAR3 [(VAR62-1)] | VAR24 [VAR62];
assign VAR41 [VAR62] = VAR41 [(VAR62-1)] | VAR197 [VAR62];
assign VAR7 [VAR62] = VAR7 [(VAR62-1)] | VAR67 [VAR62];
assign VAR152 [VAR62] = VAR152 [(VAR62-1)] | VAR75 [VAR62];
end
endgenerate
assign VAR80 = VAR167 [VAR178-1];
assign VAR26 = VAR28 [VAR178-1];
assign VAR188 = VAR21 [VAR178-1];
assign VAR72 = VAR1 [VAR178-1];
assign VAR134 = VAR71 [VAR178-1];
assign VAR8 = VAR131 [VAR178-1];
assign VAR31 = VAR3 [VAR178-1];
assign VAR84 = VAR41 [VAR178-1];
assign VAR151 = VAR7 [VAR178-1];
assign VAR17 = VAR152 [VAR178-1];
always @ (posedge VAR212 or negedge VAR86)
begin
if (!VAR86)
begin
VAR90 <= 0;
VAR146 <= 0;
end
else
begin
VAR90 <= VAR109;
VAR146 <= VAR155;
end
end
endmodule
|
gpl-2.0
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/wrapper/usbHostSlave.v
| 19,515 |
module MODULE1(
VAR89,
VAR120,
VAR193,
VAR115,
VAR108,
VAR82,
VAR195,
VAR72,
VAR18,
VAR96,
VAR76,
VAR137,
VAR61,
VAR173,
VAR175,
VAR40,
VAR17,
VAR123,
VAR148,
VAR19,
VAR161,
VAR78,
VAR68,
VAR153,
VAR164,
VAR183,
VAR97,
VAR37
);
parameter VAR26 = 64; parameter VAR132 = 6;
parameter VAR146 = 64;
parameter VAR190 = 6;
parameter VAR180 = 64;
parameter VAR165 = 6;
parameter VAR22 = 64;
parameter VAR8 = 6;
parameter VAR160 = 64;
parameter VAR30 = 6;
input VAR89; input VAR120; input [7:0] VAR193; input [7:0] VAR115; output [7:0] VAR108; input VAR82; input VAR195; output VAR72; input VAR18; output VAR96;
output VAR76;
output VAR137;
output VAR61;
output VAR40;
output VAR17;
output VAR123;
output VAR148;
output VAR175;
output VAR173;
input [1:0] VAR19;
output [1:0] VAR78;
output VAR68;
output VAR161;
output VAR153;
output VAR164;
output VAR183;
output VAR97;
input VAR37;
wire VAR89;
wire VAR120;
wire [7:0] VAR193;
wire [7:0] VAR115;
wire [7:0] VAR108;
wire VAR82;
wire VAR195;
wire VAR72;
wire VAR18;
wire VAR96;
wire VAR76;
wire VAR137;
wire VAR61;
wire VAR40;
wire VAR17;
wire VAR123;
wire VAR148;
wire VAR175;
wire VAR173;
wire [1:0] VAR19;
wire [1:0] VAR78;
wire VAR68;
wire VAR161;
wire VAR153;
wire VAR164;
wire VAR183;
wire VAR97;
wire VAR37;
wire VAR3;
wire VAR111;
wire VAR167;
wire VAR29;
wire VAR58;
wire [7:0] VAR5;
wire [7:0] VAR169;
wire [7:0] VAR104;
wire [7:0] VAR84;
wire [7:0] VAR44;
wire VAR15;
wire [7:0] VAR166;
wire VAR117;
wire VAR65;
wire [7:0] VAR121;
wire VAR157;
wire [7:0] VAR152;
wire [7:0] VAR53;
wire VAR162;
wire VAR10;
wire VAR126;
wire VAR158;
wire VAR159;
wire VAR102;
wire VAR87;
wire VAR90;
wire [7:0] VAR174;
wire [7:0] VAR151;
wire [7:0] VAR168;
wire [7:0] VAR63;
wire [1:0] VAR11;
wire VAR62;
wire [7:0] VAR185;
wire VAR186;
wire [7:0] VAR127;
wire VAR177;
wire VAR101;
wire VAR110;
wire VAR189;
wire VAR80;
wire VAR60;
wire VAR38;
wire [7:0] VAR13;
wire [7:0] VAR118;
wire [7:0] VAR92;
wire [7:0] VAR77;
wire VAR56;
wire VAR191;
wire VAR27;
wire VAR106;
wire VAR20;
wire VAR194;
wire VAR124;
wire VAR39;
wire VAR138;
wire VAR79;
wire VAR50;
wire VAR69;
wire [7:0] VAR1;
wire [7:0] VAR184;
wire [7:0] VAR192;
wire [7:0] VAR131;
wire [7:0] VAR94;
wire [7:0] VAR141;
wire [7:0] VAR176;
wire [7:0] VAR46;
wire [7:0] VAR147;
wire VAR198;
wire VAR182;
wire VAR144;
wire VAR66;
wire VAR135;
wire VAR6;
wire VAR197;
wire VAR119;
wire VAR52;
wire VAR134;
wire VAR73;
wire VAR14;
wire VAR7;
wire VAR130;
assign VAR164 = VAR177;
assign VAR183 = (VAR164 & VAR130);
assign VAR97 = (~VAR164 & VAR130);
VAR156 VAR45(
.VAR91(VAR89),
.VAR52(VAR52),
.VAR18(VAR18),
.VAR134(VAR134),
.VAR57(VAR15),
.VAR35(VAR166),
.VAR75(VAR117),
.VAR98(VAR65),
.VAR83(VAR121),
.VAR16(VAR157),
.VAR41(VAR152),
.VAR136(VAR53),
.VAR196(VAR162),
.VAR99(VAR110),
.VAR170(VAR14),
.VAR54(VAR10),
.VAR103(VAR158),
.VAR95(VAR102),
.VAR33(VAR90),
.VAR36(VAR174),
.VAR4(VAR168),
.VAR51(VAR11),
.VAR171(VAR62),
.VAR42(VAR193[3:0]),
.VAR31(VAR115),
.VAR47(VAR5),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR112(VAR96),
.VAR150(VAR76),
.VAR139(VAR137),
.VAR55(VAR61),
.VAR74(VAR3) );
VAR188 VAR81(
.VAR91(VAR89),
.VAR52(VAR52),
.VAR18(VAR18),
.VAR134(VAR134),
.VAR41(VAR152),
.VAR136(VAR53),
.VAR196(VAR162),
.VAR99(VAR110),
.VAR170(VAR7),
.VAR83(VAR1),
.VAR130(VAR130),
.VAR54(VAR126),
.VAR103(VAR159),
.VAR105(VAR87),
.VAR24(VAR90),
.VAR181(VAR151),
.VAR149(VAR63),
.VAR37(VAR37),
.VAR51(VAR11),
.VAR171(VAR62),
.VAR42(VAR193[4:0]),
.VAR31(VAR115),
.VAR47(VAR169),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR113(VAR40),
.VAR12(VAR17),
.VAR139(VAR123),
.VAR55(VAR148),
.VAR88(VAR175),
.VAR145(VAR173),
.VAR172(VAR111),
.VAR189(VAR189),
.VAR80(VAR80),
.VAR60(VAR60),
.VAR38(VAR38),
.VAR13(VAR13),
.VAR118(VAR118),
.VAR92(VAR92),
.VAR77(VAR77),
.VAR56(VAR56),
.VAR191(VAR191),
.VAR27(VAR27),
.VAR106(VAR106),
.VAR20(VAR20),
.VAR194(VAR194),
.VAR124(VAR124),
.VAR39(VAR39),
.VAR138(VAR138),
.VAR79(VAR79),
.VAR50(VAR50),
.VAR69(VAR69)
);
VAR154 VAR155 (
.address(VAR193),
.VAR49(VAR115),
.VAR178(VAR108),
.VAR2(VAR82),
.VAR195(VAR195),
.VAR72(VAR72),
.clk(VAR89),
.rst(VAR52),
.VAR3(VAR3),
.VAR167(VAR167),
.VAR29(VAR29),
.VAR111(VAR111),
.VAR198(VAR198),
.VAR182(VAR182),
.VAR144(VAR144),
.VAR66(VAR66),
.VAR135(VAR135),
.VAR6(VAR6),
.VAR197(VAR197),
.VAR119(VAR119),
.VAR58(VAR58),
.VAR5(VAR5),
.VAR104(VAR104),
.VAR84(VAR84),
.VAR169(VAR169),
.VAR184(VAR184),
.VAR192(VAR192),
.VAR131(VAR131),
.VAR94(VAR94),
.VAR141(VAR141),
.VAR176(VAR176),
.VAR46(VAR46),
.VAR147(VAR147),
.VAR44(VAR44)
);
VAR59 VAR107(
.VAR127(VAR127),
.VAR168(VAR168),
.VAR63(VAR63),
.VAR185(VAR185),
.VAR174(VAR174),
.VAR151(VAR151),
.VAR186(VAR186),
.VAR102(VAR102),
.VAR87(VAR87),
.VAR177(VAR177),
.VAR158(VAR158),
.VAR159(VAR159),
.VAR101(VAR101),
.VAR10(VAR10),
.VAR126(VAR126),
.VAR73(VAR73),
.VAR14(VAR14),
.VAR7(VAR7),
.VAR49(VAR115),
.VAR178(VAR44),
.address(VAR193[0]),
.VAR2(VAR82),
.VAR195(VAR195),
.VAR18(VAR18),
.VAR91(VAR89),
.VAR58(VAR58),
.VAR179(VAR120),
.VAR28(VAR52),
.VAR86(VAR134)
);
VAR199 VAR70(
.clk(VAR18),
.rst(VAR134),
.VAR19(VAR19),
.VAR78(VAR78),
.VAR161(VAR161),
.VAR68(VAR68),
.VAR153(VAR153),
.VAR11(VAR11),
.VAR62(VAR62),
.VAR152(VAR152),
.VAR162(VAR162),
.VAR32(VAR53),
.VAR122(VAR127),
.VAR116(VAR185),
.VAR90(VAR90),
.VAR187(VAR186),
.VAR93(VAR177),
.VAR71(VAR101),
.VAR110(VAR110),
.VAR67(VAR73)
);
VAR64 #(VAR26, VAR132) VAR114 (
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR200(VAR15),
.VAR125(VAR117),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR29),
.VAR31(VAR115),
.VAR47(VAR84),
.VAR43(VAR166) );
VAR163 #(VAR26, VAR132) VAR128(
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR109(VAR65),
.VAR9(VAR157),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR167),
.VAR31(VAR115),
.VAR47(VAR104),
.VAR142(VAR121) );
VAR64 #(VAR146, VAR190) VAR140 (
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR200(VAR189),
.VAR125(VAR56),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR135),
.VAR31(VAR115),
.VAR47(VAR141),
.VAR43(VAR13) );
VAR64 #(VAR180, VAR165) VAR143 (
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR200(VAR80),
.VAR125(VAR191),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR6),
.VAR31(VAR115),
.VAR47(VAR176),
.VAR43(VAR118) );
VAR64 #(VAR22, VAR8) VAR129 (
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR200(VAR60),
.VAR125(VAR27),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR197),
.VAR31(VAR115),
.VAR47(VAR46),
.VAR43(VAR92) );
VAR64 #(VAR160, VAR30) VAR133 (
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR200(VAR38),
.VAR125(VAR106),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR119),
.VAR31(VAR115),
.VAR47(VAR147),
.VAR43(VAR77) );
VAR163 #(VAR146, VAR190) VAR21(
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR109(VAR20),
.VAR9(VAR138),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR198),
.VAR31(VAR115),
.VAR47(VAR184),
.VAR142(VAR1) );
VAR163 #(VAR180, VAR165) VAR100(
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR109(VAR194),
.VAR9(VAR79),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR182),
.VAR31(VAR115),
.VAR47(VAR192),
.VAR142(VAR1) );
VAR163 #(VAR22, VAR8) VAR34(
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR109(VAR124),
.VAR9(VAR50),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR144),
.VAR31(VAR115),
.VAR47(VAR131),
.VAR142(VAR1) );
VAR163 #(VAR160, VAR30) VAR25(
.VAR18(VAR18),
.VAR91(VAR89),
.VAR52(VAR52),
.VAR134(VAR134),
.VAR109(VAR39),
.VAR9(VAR69),
.VAR42(VAR193[2:0]),
.VAR85(VAR82),
.VAR23(VAR195),
.VAR48(VAR66),
.VAR31(VAR115),
.VAR47(VAR94),
.VAR142(VAR1) );
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o21ai/sky130_fd_sc_hs__o21ai.behavioral.v
| 1,931 |
module MODULE1 (
VAR5 ,
VAR13 ,
VAR7 ,
VAR4 ,
VAR1,
VAR14
);
output VAR5 ;
input VAR13 ;
input VAR7 ;
input VAR4 ;
input VAR1;
input VAR14;
wire VAR10 ;
wire VAR3 ;
wire VAR9;
or VAR11 (VAR10 , VAR7, VAR13 );
nand VAR6 (VAR3 , VAR4, VAR10 );
VAR2 VAR12 (VAR9, VAR3, VAR1, VAR14);
buf VAR8 (VAR5 , VAR9 );
endmodule
|
apache-2.0
|
kactus2/ipxactexamplelib
|
tut.fi/cpu.logic/clock/1.0/clock.v
| 1,314 |
module MODULE1 #(
parameter VAR5 = 2 ) (
output VAR1, output VAR3,
input VAR2, input VAR4 );
assign VAR1 = VAR2;
assign VAR3 = VAR4;
endmodule
|
mit
|
FAST-Switch/fast
|
lib/hardware/platform/NetMagic08/cdp/ddio_in_1.v
| 4,596 |
module MODULE1 (
VAR23,
VAR2,
VAR25,
VAR4,
VAR11);
input VAR23;
input VAR2;
input VAR25;
output VAR4;
output VAR11;
tri0 VAR23;
wire [0:0] VAR3;
wire [0:0] VAR1;
wire [0:0] VAR7 = VAR3[0:0];
wire VAR4 = VAR7;
wire [0:0] VAR10 = VAR1[0:0];
wire VAR11 = VAR10;
wire VAR6 = VAR2;
wire VAR9 = VAR6;
VAR21 VAR19 (
.VAR2 (VAR9),
.VAR25 (VAR25),
.VAR23 (VAR23),
.VAR4 (VAR3),
.VAR11 (VAR1),
.VAR5 (1'b0),
.VAR14 (1'b1),
.VAR20 (1'b0),
.VAR16 (1'b0));
VAR19.VAR15 = "VAR13 VAR17 VAR12",
VAR19.VAR18 = "VAR24",
VAR19.VAR8 = "VAR21",
VAR19.VAR22 = 1;
endmodule
|
apache-2.0
|
chebykinn/university
|
circuitry/lab3/src/hdl/dp_memory.v
| 2,393 |
module MODULE1 #(
parameter VAR18 = 32'h00000fff,
parameter VAR7 = 32'h00000000)(
input wire clk,
input wire rst,
input wire VAR12,
input wire [31:0] VAR17,
output wire [31:0] VAR11,
output VAR13,
input wire VAR16,
input wire VAR24,
input wire [31:0] VAR14,
input wire [31:0] VAR2,
output wire [31:0] VAR1,
input [31:0] VAR25,
input VAR10,
output [31:0] VAR23,
output VAR8,
output [3:0] VAR4,
output [31:0] VAR3,
output VAR22,
output VAR15
);
wire VAR20;
wire VAR6;
wire [31:0] VAR5;
wire VAR21;
wire VAR9;
wire VAR19;
reg [31:0] memory[VAR7:VAR18];
|
mit
|
abjordan/RECON2014
|
wishbone_uart_tx/tx_port.v
| 2,737 |
module MODULE1(
input wire VAR1,
input wire VAR14,
output reg VAR13,
input wire [7:0] VAR9,
input wire [3:0] VAR7,
output reg [7:0] VAR16,
input wire VAR11,
input wire VAR3,
output wire dout);
reg [7:0] VAR8;
reg VAR5;
wire VAR2;
reg VAR6;
VAR10 VAR4(
.clk(VAR1),
.rst(VAR14),
.en(VAR5),
.VAR15(VAR8),
.VAR12(VAR2),
.dout(dout));
always @ (posedge VAR1)
begin
if(VAR14)
begin
VAR6 <= 1'd1;
VAR8 <= 8'd0;
VAR5 <= 1'd0;
end
else
begin
VAR13 <= 1'b0;
VAR16 <= 8'd0;
VAR5 <= 1'b0;
VAR8 <= VAR8;
if(VAR11)
begin
case(VAR7)
begin
if(VAR3) begin
VAR5 <= VAR9[0];
VAR13 <= 1'b1;
end
else begin
VAR16[0] <= VAR2;
VAR13 <= 1'b1;
end
end
begin
if(VAR3) begin
VAR8 <= VAR9;
VAR13 <= 1'b1;
end
else begin
VAR16 <= VAR8;
VAR13 <= 1'b1;
end
end
endcase
end
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlxbp/sky130_fd_sc_hs__dlxbp.pp.symbol.v
| 1,325 |
module MODULE1 (
input VAR4 ,
output VAR3 ,
output VAR5 ,
input VAR1,
input VAR6,
input VAR2
);
endmodule
|
apache-2.0
|
DreamSourceLab/DSLogic-hdl
|
src/ipcore_dir/cfifo.v
| 13,897 |
module MODULE1(
clk,
rst,
din,
VAR312,
VAR190,
dout,
VAR249,
VAR6,
VAR383,
VAR84
);
input clk;
input rst;
input [15 : 0] din;
input VAR312;
input VAR190;
output [15 : 0] dout;
output VAR249;
output VAR6;
output [12 : 0] VAR383;
output VAR84;
VAR338 #(
.VAR47(0),
.VAR41(0),
.VAR10(0),
.VAR56(0),
.VAR266(0),
.VAR385(0),
.VAR380(0),
.VAR400(32),
.VAR69(1),
.VAR260(1),
.VAR302(1),
.VAR51(64),
.VAR43(4),
.VAR148(1),
.VAR398(0),
.VAR237(1),
.VAR195(64),
.VAR288(4),
.VAR60(8),
.VAR55(4),
.VAR9(4),
.VAR377(4),
.VAR78(0),
.VAR359(1),
.VAR221(0),
.VAR282(13),
.VAR150("VAR157"),
.VAR137(16),
.VAR155(1),
.VAR314(32),
.VAR390(64),
.VAR239(32),
.VAR333(64),
.VAR259(2),
.VAR223("0"),
.VAR113(16),
.VAR277(0),
.VAR151(1),
.VAR336(0),
.VAR368(0),
.VAR82(0),
.VAR341(0),
.VAR91(0),
.VAR114(0),
.VAR232(0),
.VAR174("VAR218"),
.VAR233(0),
.VAR254(0),
.VAR323(0),
.VAR164(0),
.VAR170(0),
.VAR230(0),
.VAR87(0),
.VAR198(0),
.VAR2(0),
.VAR76(0),
.VAR176(0),
.VAR90(0),
.VAR366(0),
.VAR283(0),
.VAR326(0),
.VAR204(1),
.VAR220(0),
.VAR134(0),
.VAR138(0),
.VAR352(1),
.VAR356(0),
.VAR166(0),
.VAR129(0),
.VAR180(0),
.VAR193(0),
.VAR228(0),
.VAR7(0),
.VAR93(0),
.VAR99(0),
.VAR328(0),
.VAR222(0),
.VAR235(0),
.VAR265(0),
.VAR159(0),
.VAR353(0),
.VAR262(0),
.VAR11(0),
.VAR358(0),
.VAR163(1),
.VAR72(0),
.VAR411(0),
.VAR14(0),
.VAR207(0),
.VAR139(0),
.VAR61(0),
.VAR189(0),
.VAR252(0),
.VAR172(1),
.VAR409(1),
.VAR241(1),
.VAR53(1),
.VAR391(1),
.VAR184(1),
.VAR387(0),
.VAR287(0),
.VAR120(1),
.VAR153("VAR157"),
.VAR389(1),
.VAR219(0),
.VAR16(0),
.VAR291(1),
.VAR25(0),
.VAR58("8kx4"),
.VAR62(2),
.VAR49(1022),
.VAR279(1022),
.VAR131(1022),
.VAR209(1022),
.VAR196(1022),
.VAR324(1022),
.VAR94(3),
.VAR104(0),
.VAR130(5),
.VAR20(5),
.VAR263(5),
.VAR413(5),
.VAR144(5),
.VAR67(5),
.VAR360(8190),
.VAR329(1023),
.VAR188(1023),
.VAR65(1023),
.VAR407(1023),
.VAR23(1023),
.VAR186(1023),
.VAR275(8189),
.VAR34(1),
.VAR401(5),
.VAR379(5),
.VAR363(5),
.VAR210(5),
.VAR18(5),
.VAR132(5),
.VAR169(0),
.VAR160(13),
.VAR335(8192),
.VAR299(1),
.VAR64(13),
.VAR165(0),
.VAR161(0),
.VAR355(0),
.VAR100(0),
.VAR121(0),
.VAR325(0),
.VAR136(0),
.VAR331(0),
.VAR227(0),
.VAR124(0),
.VAR246(0),
.VAR167(1),
.VAR183(0),
.VAR199(0),
.VAR162(0),
.VAR206(0),
.VAR179(0),
.VAR364(0),
.VAR89(0),
.VAR327(0),
.VAR175(0),
.VAR201(0),
.VAR79(0),
.VAR112(0),
.VAR244(0),
.VAR74(0),
.VAR334(13),
.VAR276(8192),
.VAR81(1024),
.VAR143(16),
.VAR286(1024),
.VAR242(16),
.VAR250(1024),
.VAR57(16),
.VAR403(1),
.VAR311(13),
.VAR349(10),
.VAR310(4),
.VAR13(10),
.VAR248(4),
.VAR92(10),
.VAR347(4),
.VAR372(1),
.VAR332(0)
)
VAR212 (
.VAR171(clk),
.VAR122(rst),
.VAR123(din),
.VAR35(VAR312),
.VAR73(VAR190),
.VAR261(dout),
.VAR106(VAR249),
.VAR168(VAR6),
.VAR118(VAR383),
.VAR301(VAR84),
.VAR388(),
.VAR344(),
.VAR31(),
.VAR107(),
.VAR141(),
.VAR5(),
.VAR337(),
.VAR33(),
.VAR245(),
.VAR4(),
.VAR369(),
.VAR224(),
.VAR296(),
.VAR108(),
.VAR346(),
.VAR272(),
.VAR367(),
.VAR271(),
.VAR215(),
.VAR278(),
.VAR234(),
.VAR297(),
.VAR340(),
.VAR345(),
.VAR251(),
.VAR52(),
.VAR406(),
.VAR45(),
.VAR361(),
.VAR127(),
.VAR318(),
.VAR408(),
.VAR194(),
.VAR281(),
.VAR27(),
.VAR83(),
.VAR173(),
.VAR381(),
.VAR373(),
.VAR294(),
.VAR322(),
.VAR12(),
.VAR238(),
.VAR374(),
.VAR357(),
.VAR351(),
.VAR217(),
.VAR300(),
.VAR40(),
.VAR37(),
.VAR384(),
.VAR410(),
.VAR402(),
.VAR28(),
.VAR178(),
.VAR305(),
.VAR253(),
.VAR376(),
.VAR395(),
.VAR85(),
.VAR177(),
.VAR39(),
.VAR269(),
.VAR119(),
.VAR236(),
.VAR216(),
.VAR101(),
.VAR156(),
.VAR8(),
.VAR115(),
.VAR371(),
.VAR200(),
.VAR203(),
.VAR226(),
.VAR88(),
.VAR133(),
.VAR95(),
.VAR182(),
.VAR140(),
.VAR375(),
.VAR125(),
.VAR75(),
.VAR321(),
.VAR50(),
.VAR205(),
.VAR146(),
.VAR229(),
.VAR348(),
.VAR103(),
.VAR309(),
.VAR280(),
.VAR48(),
.VAR1(),
.VAR343(),
.VAR274(),
.VAR145(),
.VAR295(),
.VAR77(),
.VAR256(),
.VAR187(),
.VAR393(),
.VAR292(),
.VAR412(),
.VAR231(),
.VAR32(),
.VAR208(),
.VAR330(),
.VAR147(),
.VAR342(),
.VAR98(),
.VAR21(),
.VAR240(),
.VAR191(),
.VAR102(),
.VAR306(),
.VAR396(),
.VAR247(),
.VAR70(),
.VAR192(),
.VAR197(),
.VAR86(),
.VAR96(),
.VAR181(),
.VAR66(),
.VAR68(),
.VAR370(),
.VAR365(),
.VAR111(),
.VAR386(),
.VAR320(),
.VAR26(),
.VAR258(),
.VAR319(),
.VAR154(),
.VAR307(),
.VAR308(),
.VAR339(),
.VAR63(),
.VAR36(),
.VAR354(),
.VAR394(),
.VAR117(),
.VAR243(),
.VAR382(),
.VAR202(),
.VAR17(),
.VAR135(),
.VAR128(),
.VAR24(),
.VAR3(),
.VAR290(),
.VAR109(),
.VAR54(),
.VAR255(),
.VAR185(),
.VAR46(),
.VAR59(),
.VAR404(),
.VAR38(),
.VAR405(),
.VAR97(),
.VAR289(),
.VAR315(),
.VAR293(),
.VAR313(),
.VAR316(),
.VAR298(),
.VAR110(),
.VAR116(),
.VAR42(),
.VAR211(),
.VAR29(),
.VAR15(),
.VAR19(),
.VAR273(),
.VAR80(),
.VAR214(),
.VAR30(),
.VAR397(),
.VAR267(),
.VAR142(),
.VAR268(),
.VAR44(),
.VAR284(),
.VAR257(),
.VAR270(),
.VAR399(),
.VAR105(),
.VAR304(),
.VAR362(),
.VAR126(),
.VAR350(),
.VAR22(),
.VAR225(),
.VAR158(),
.VAR303(),
.VAR414(),
.VAR392(),
.VAR149(),
.VAR264(),
.VAR152(),
.VAR71(),
.VAR317(),
.VAR378(),
.VAR285(),
.VAR213()
);
endmodule
|
gpl-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_059.v
| 1,471 |
module MODULE1 (
VAR3,
VAR1
);
input [31:0] VAR3;
output [31:0]
VAR1;
wire [31:0]
VAR13,
VAR4,
VAR5,
VAR7,
VAR12,
VAR11,
VAR6,
VAR8;
assign VAR13 = VAR3;
assign VAR11 = VAR13 << 10;
assign VAR4 = VAR13 << 7;
assign VAR5 = VAR13 + VAR4;
assign VAR12 = VAR5 + VAR7;
assign VAR8 = VAR6 << 2;
assign VAR7 = VAR13 << 2;
assign VAR6 = VAR11 - VAR12;
assign VAR1 = VAR8;
endmodule
module MODULE2(
VAR3,
VAR1,
clk
);
input [31:0] VAR3;
output [31:0] VAR1;
reg [31:0] VAR1;
input clk;
reg [31:0] VAR2;
wire [30:0] VAR10;
always @(posedge clk) begin
VAR2 <= VAR3;
VAR1 <= VAR10;
end
MODULE1 MODULE1(
.VAR3(VAR2),
.VAR1(VAR10)
);
endmodule
|
mit
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Computer_System/synthesis/submodules/altera_up_RGB_to_YCrCb_converter.v
| 14,692 |
module MODULE1 (
clk,
VAR26,
reset,
VAR7,
VAR56,
VAR60,
VAR47,
VAR34,
VAR8,
VAR42,
VAR15,
VAR66,
VAR20,
VAR2,
VAR18,
VAR24,
VAR25
);
input clk;
input VAR26;
input reset;
input [ 7: 0] VAR7;
input [ 7: 0] VAR56;
input [ 7: 0] VAR60;
input VAR47;
input VAR34;
input VAR8;
input VAR42;
output reg [ 7: 0] VAR15;
output reg [ 7: 0] VAR66;
output reg [ 7: 0] VAR20;
output reg VAR2;
output reg VAR18;
output reg VAR24;
output reg VAR25;
wire [35: 0] VAR52;
wire [35: 0] VAR44;
wire [35: 0] VAR64;
wire [35: 0] VAR22;
wire [35: 0] VAR29;
wire [35: 0] VAR6;
wire [35: 0] VAR39;
wire [35: 0] VAR1;
wire [35: 0] VAR38;
wire [10: 0] VAR58;
wire [10: 0] VAR9;
wire [10: 0] VAR36;
reg [ 7: 0] VAR35;
reg [ 7: 0] VAR19;
reg [ 7: 0] VAR31;
reg [10: 0] VAR68;
reg [10: 0] VAR57;
reg [10: 0] VAR28;
reg [10: 0] VAR50;
reg [10: 0] VAR21;
reg [10: 0] VAR37;
reg [10: 0] VAR32;
reg [10: 0] VAR12;
reg [10: 0] VAR49;
reg [ 1: 0] VAR63;
reg [ 1: 0] VAR23;
reg [ 1: 0] VAR17;
reg [ 1: 0] VAR48;
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR15 <= 8'h00;
VAR66 <= 8'h00;
VAR20 <= 8'h00;
end
else if (VAR26)
begin
if (VAR58[10] == 1'b1) VAR15 <= 8'h00;
end
else if ((VAR58[9] | VAR58[8]) == 1'b1) VAR15 <= 8'hFF;
end
else
VAR15 <= VAR58[ 7: 0];
if (VAR9[10] == 1'b1) VAR66 <= 8'h00;
else if ((VAR9[9] | VAR9[8]) == 1'b1) VAR66 <= 8'hFF;
else
VAR66 <= VAR9[ 7: 0];
if (VAR36[10] == 1'b1) VAR20 <= 8'h00;
else if ((VAR36[9] | VAR36[8]) == 1'b1) VAR20 <= 8'hFF;
else
VAR20 <= VAR36[ 7: 0];
end
end
always @ (posedge clk)
begin
if (VAR26)
begin
VAR2 <= VAR63[1];
VAR18 <= VAR23[1];
VAR24 <= VAR17[1];
VAR25 <= VAR48[1];
end
end
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR35 <= 8'h00;
VAR19 <= 8'h00;
VAR31 <= 8'h00;
end
else if (VAR26)
begin
VAR35 <= VAR7;
VAR19 <= VAR56;
VAR31 <= VAR60;
end
end
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR68 <= 11'h000;
VAR57 <= 11'h000;
VAR28 <= 11'h000;
VAR50 <= 11'h000;
VAR21 <= 11'h000;
VAR37 <= 11'h000;
VAR32 <= 11'h000;
VAR12 <= 11'h000;
VAR49 <= 11'h000;
end
else if (VAR26)
begin
VAR68 <= VAR52[25:15];
VAR57 <= VAR44[25:15];
VAR28 <= VAR64[25:15];
VAR50 <= VAR22[25:15];
VAR21 <= VAR29[25:15];
VAR37 <= VAR6[25:15];
VAR32 <= VAR39[25:15];
VAR12 <= VAR1[25:15];
VAR49 <= VAR38[25:15];
end
end
always @(posedge clk)
begin
if (reset)
begin
VAR63 <= 2'h0;
VAR23 <= 2'h0;
VAR17 <= 2'h0;
VAR48 <= 2'h0;
end
else if (VAR26)
begin
VAR63[1] <= VAR63[0];
VAR23[1] <= VAR23[0];
VAR17[1] <= VAR17[0];
VAR48[1] <= VAR48[0];
VAR63[0] <= VAR47;
VAR23[0] <= VAR34;
VAR17[0] <= VAR8;
VAR48[0] <= VAR42;
end
end
assign VAR58 = 11'd16 + VAR68 + VAR57 + VAR28;
assign VAR9 = 11'd128 + VAR32 - VAR12 - VAR49;
assign VAR36 = 11'd128 - VAR50 - VAR21 + VAR37;
VAR40 VAR10 (
.VAR33 ({10'h000, VAR35}),
.VAR69 (18'h020E5),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR52),
.sum (1'b0)
);
VAR10.VAR51 = 18,
VAR10.VAR67 = 18,
VAR10.VAR13 = 36,
VAR10.VAR16 = 1,
VAR10.VAR3 = "VAR41",
VAR10.VAR65 = "VAR5",
VAR10.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR62 (
.VAR33 ({10'h000, VAR19}),
.VAR69 (18'h04083),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR44),
.sum (1'b0)
);
VAR62.VAR51 = 18,
VAR62.VAR67 = 18,
VAR62.VAR13 = 36,
VAR62.VAR16 = 1,
VAR62.VAR3 = "VAR41",
VAR62.VAR65 = "VAR5",
VAR62.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR54 (
.VAR33 ({10'h000, VAR31}),
.VAR69 (18'h00C8D),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR64),
.sum (1'b0)
);
VAR54.VAR51 = 18,
VAR54.VAR67 = 18,
VAR54.VAR13 = 36,
VAR54.VAR16 = 1,
VAR54.VAR3 = "VAR41",
VAR54.VAR65 = "VAR5",
VAR54.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR55 (
.VAR33 ({10'h000, VAR35}),
.VAR69 (18'h03831),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR39),
.sum (1'b0)
);
VAR55.VAR51 = 18,
VAR55.VAR67 = 18,
VAR55.VAR13 = 36,
VAR55.VAR16 = 1,
VAR55.VAR3 = "VAR41",
VAR55.VAR65 = "VAR5",
VAR55.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR11 (
.VAR33 ({10'h000, VAR19}),
.VAR69 (18'h02F1B),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR1),
.sum (1'b0)
);
VAR11.VAR51 = 18,
VAR11.VAR67 = 18,
VAR11.VAR13 = 36,
VAR11.VAR16 = 1,
VAR11.VAR3 = "VAR41",
VAR11.VAR65 = "VAR5",
VAR11.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR59 (
.VAR33 ({10'h000, VAR31}),
.VAR69 (18'h00917),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR38),
.sum (1'b0)
);
VAR59.VAR51 = 18,
VAR59.VAR67 = 18,
VAR59.VAR13 = 36,
VAR59.VAR16 = 1,
VAR59.VAR3 = "VAR41",
VAR59.VAR65 = "VAR5",
VAR59.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR30 (
.VAR33 ({10'h000, VAR35}),
.VAR69 (18'h012F2),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR22),
.sum (1'b0)
);
VAR30.VAR51 = 18,
VAR30.VAR67 = 18,
VAR30.VAR13 = 36,
VAR30.VAR16 = 1,
VAR30.VAR3 = "VAR41",
VAR30.VAR65 = "VAR5",
VAR30.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR45 (
.VAR33 ({10'h000, VAR19}),
.VAR69 (18'h0253F),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR29),
.sum (1'b0)
);
VAR45.VAR51 = 18,
VAR45.VAR67 = 18,
VAR45.VAR13 = 36,
VAR45.VAR16 = 1,
VAR45.VAR3 = "VAR41",
VAR45.VAR65 = "VAR5",
VAR45.VAR27 = "VAR43=VAR61,VAR4=5";
VAR40 VAR53 (
.VAR33 ({10'h000, VAR31}),
.VAR69 (18'h03831),
.VAR46 (1'b0),
.VAR26 (1'b1),
.VAR14 (1'b0),
.VAR70 (VAR6),
.sum (1'b0)
);
VAR53.VAR51 = 18,
VAR53.VAR67 = 18,
VAR53.VAR13 = 36,
VAR53.VAR16 = 1,
VAR53.VAR3 = "VAR41",
VAR53.VAR65 = "VAR5",
VAR53.VAR27 = "VAR43=VAR61,VAR4=5";
endmodule
|
mit
|
sh-chris110/chris
|
FPGA/HPS.bak/db/ip/hps_design/submodules/hps_sdram_p0_acv_hard_addr_cmd_pads.v
| 9,229 |
module MODULE1(
VAR60,
VAR21,
VAR81,
VAR54,
VAR52,
VAR17,
VAR121,
VAR89,
VAR47,
VAR120,
VAR13,
VAR58,
VAR3,
VAR56,
VAR106,
VAR39,
VAR93,
VAR97,
VAR103,
VAR30,
VAR61,
VAR63,
VAR96,
VAR11,
VAR10,
VAR126,
VAR20,
VAR79,
VAR77
);
parameter VAR23 = "";
parameter VAR84 = "";
parameter VAR69 = "";
parameter VAR122 = "";
parameter VAR34 = "";
parameter VAR24 = "";
parameter VAR111 = "";
parameter VAR57 = "";
parameter VAR32 = "";
parameter VAR115 = "";
parameter VAR100 = "";
parameter VAR37 = "";
parameter VAR6 = "";
parameter VAR117 = "";
parameter VAR18 = "";
parameter VAR107 = "";
parameter VAR83 = "";
parameter VAR28 = "";
input VAR60;
input VAR21;
input VAR52;
input VAR81;
input VAR54;
input VAR17;
input VAR121;
input [VAR18-1:0] VAR47;
input [VAR32-1:0] VAR89;
input [VAR115-1:0] VAR120;
input [VAR100-1:0] VAR13;
input [VAR37-1:0] VAR58;
input [VAR6-1:0] VAR3;
input [VAR117-1:0] VAR106;
input [VAR117-1:0] VAR39;
input [VAR117-1:0] VAR93;
input [VAR117-1:0] VAR56;
input [VAR117-1:0] VAR97;
output [VAR84-1:0] VAR103;
output [VAR69-1:0] VAR30;
output [VAR122-1:0] VAR61;
output [VAR34-1:0] VAR63;
output [VAR111-1:0] VAR96;
output [VAR57-1:0] VAR11;
output [VAR57-1:0] VAR10;
output [VAR57-1:0] VAR126;
output VAR20;
output [VAR24-1:0] VAR79;
output [VAR24-1:0] VAR77;
localparam VAR8 =
VAR122 +
VAR34 +
VAR111 +
VAR57 +
VAR57 +
VAR57;
localparam VAR105 = VAR84 + VAR69 + VAR8 + 1;
localparam VAR49 = "false";
wire [VAR105-1:0] VAR22;
generate
genvar VAR75;
for (VAR75 = 0; VAR75 < VAR105; VAR75 = VAR75 + 1)
begin: VAR59
wire VAR72;
VAR67 # (
.VAR65(VAR18),
.VAR107(VAR107),
.VAR83(VAR83),
.VAR28(VAR28)
) VAR98 (
.VAR81(VAR54),
.VAR19(VAR121),
.VAR40 (VAR17),
.VAR46(VAR47),
.VAR55(VAR22[VAR75])
);
end
endgenerate
VAR2 VAR48(
.VAR62(VAR89),
.VAR124(1'b1),
.VAR41(VAR103),
.VAR109({VAR84{VAR81}}),
.VAR88(VAR22[VAR84-1:0])
);
VAR2 VAR101(
.VAR62(VAR120),
.VAR124(1'b1),
.VAR41(VAR30),
.VAR109({VAR69{VAR81}}),
.VAR88(VAR22[VAR84 + VAR69 - 1: VAR84])
);
VAR2 VAR110(
.VAR62({
VAR56,
VAR39,
VAR106,
VAR3,
VAR58,
VAR13
}),
.VAR124(1'b1),
.VAR41({
VAR11,
VAR126,
VAR10,
VAR96,
VAR63,
VAR61
}),
.VAR109({VAR8{VAR81}}),
.VAR88(VAR22[VAR84 + VAR69 + VAR8 - 1: VAR84 + VAR69])
);
VAR2 VAR53(
.VAR62(VAR97),
.VAR124(1'b1),
.VAR41(VAR20),
.VAR109(VAR81),
.VAR88(VAR22[VAR84 + VAR69 + VAR8])
);
wire [4:0] VAR76;
wire [4:0] VAR102;
wire VAR5;
wire [4:0] VAR78;
wire [1:0] VAR7;
wire [2:0] VAR108;
wire [VAR24-1:0] VAR71;
wire [VAR24-1:0] VAR90;
generate
genvar VAR125;
for (VAR125=0; VAR125<VAR24; VAR125=VAR125+1)
begin: VAR64
if(VAR49 == "true")
begin
VAR67 # (
.VAR65(VAR18),
.VAR107(VAR107),
.VAR83(VAR83),
.VAR28(VAR28)
) VAR99 (
.VAR81(VAR54),
.VAR19(VAR121),
.VAR40 (VAR17),
.VAR46(VAR47),
.VAR55(VAR71[VAR125])
);
end
else
begin
wire [3:0] VAR123;
wire [3:0] VAR15;
assign VAR123 = {VAR54,VAR121,VAR17,1'b0};
if (VAR28 == "true") begin
assign VAR15 = VAR123;
end else begin
VAR51 VAR94 (
.VAR85 (VAR123),
.VAR116 (VAR15)
);
end
wire [3:0] VAR50;
VAR82 VAR26 (
.VAR44 (VAR15[1]),
.VAR92 (VAR47),
.VAR87(VAR50)
);
VAR16 VAR112 (
.VAR44 (VAR50[0]),
.VAR44 (VAR50),
.VAR87 (VAR71[VAR125])
);
end
wire VAR33;
wire VAR91;
if(VAR49 == "true")
begin
assign VAR33 = 1'b0;
assign VAR91 = 1'b1;
end
else
begin
assign VAR33 = VAR93[0];
assign VAR91 = VAR93[1];
end
VAR68 VAR113(
.VAR4 (1'b0),
.VAR43 (1'b0),
.VAR80 (VAR33),
.VAR42 (VAR91),
.VAR41 (VAR90[VAR125]),
.VAR86 (1'b1),
.VAR74 (VAR71[VAR125]),
.VAR1 (1'b1)
);
VAR113.VAR73 = "VAR118",
VAR113.VAR9 = VAR23,
VAR113.VAR36 = "VAR12",
VAR113.VAR38 = "VAR118",
VAR113.VAR31 = "VAR68",
VAR113.VAR35 = "VAR118",
VAR113.VAR14 = "VAR12",
VAR113.VAR70 = 1;
wire VAR25;
assign VAR25 = VAR90[VAR125];
VAR114 VAR45(
.VAR62 (VAR25),
.VAR41 (VAR79[VAR125]),
.VAR119 (VAR77[VAR125])
);
end
endgenerate
endmodule
|
gpl-2.0
|
cafe-alpha/wasca
|
fpga_firmware/wasca/synthesis/submodules/wasca_mm_interconnect_0_avalon_st_adapter_002.v
| 6,152 |
module MODULE1 #(
parameter VAR2 = 10,
parameter VAR17 = 0,
parameter VAR5 = 10,
parameter VAR8 = 0,
parameter VAR4 = 0,
parameter VAR6 = 0,
parameter VAR22 = 1,
parameter VAR7 = 1,
parameter VAR19 = 0,
parameter VAR12 = 10,
parameter VAR3 = 0,
parameter VAR9 = 1,
parameter VAR24 = 0,
parameter VAR15 = 1,
parameter VAR14 = 1,
parameter VAR13 = 0
) (
input wire VAR25, input wire VAR23, input wire [9:0] VAR16, input wire VAR1, output wire VAR10, output wire [9:0] VAR18, output wire VAR11, input wire VAR20, output wire [0:0] VAR21 );
generate
if (VAR2 != 10)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-2.0
|
fpgaminer/fpgaminer-vanitygen
|
cores/vanitygen-serial/ff_reduce.v
| 2,010 |
module MODULE1 (
input clk,
input reset,
input [511:0] VAR2,
output reg VAR9,
output reg [255:0] VAR6
);
reg [3:0] VAR5;
reg [259:0] VAR4;
reg [32:0] VAR11;
reg [64:0] VAR8;
wire [34:0] VAR3 = {VAR11, 2'd0} + {VAR11, 1'd0} + VAR11;
wire [255:0] VAR10 = VAR2[511:256];
reg [255:0] VAR1;
wire [260:0] VAR7 = VAR4 - 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFC2F;
always @ (*)
begin
if (VAR5 == 0)
VAR1 <= {VAR10[223:0], 32'd0};
end
else if (VAR5 == 1)
VAR1 <= {VAR10[246:0], 9'd0};
else if (VAR5 == 2)
VAR1 <= {VAR10[247:0], 8'd0};
else if (VAR5 == 3)
VAR1 <= {VAR10[248:0], 7'd0};
else if (VAR5 == 4)
VAR1 <= {VAR10[249:0], 6'd0};
else if (VAR5 == 5)
VAR1 <= {VAR10[251:0], 4'd0};
else if (VAR5 == 6)
VAR1 <= VAR10;
else if (VAR5 == 7)
VAR1 <= VAR8;
else
VAR1 <= VAR8;
end
always @ (posedge clk)
begin
VAR5 <= VAR5 + 1;
VAR4 <= VAR4 + VAR1;
if (VAR5 == 8)
begin
VAR5 <= VAR5;
VAR4 <= VAR7;
if (VAR7[260] && !VAR9)
begin
VAR9 <= 1'b1;
VAR6 <= VAR4[255:0];
end
end
VAR11 <= VAR10[255:252] + VAR10[255:250] + VAR10[255:249] + VAR10[255:248] + VAR10[255:247] + VAR10[255:224];
VAR8 <= {VAR11, 32'd0} + {VAR3, 7'd0} + {VAR11, 6'd0} + {VAR11, 4'd0} + VAR11;
if (reset)
begin
VAR5 <= 0;
VAR9 <= 1'b0;
VAR4 <= VAR2[255:0];
end
end
endmodule
|
gpl-3.0
|
kyzhai/NUNY
|
src/hardware/bg1_new.v
| 6,392 |
module MODULE1 (
address,
VAR37,
VAR14);
input [14:0] address;
input VAR37;
output [11:0] VAR14;
tri1 VAR37;
wire [11:0] VAR20;
wire [11:0] VAR14 = VAR20[11:0];
VAR7 VAR23 (
.VAR31 (address),
.VAR29 (VAR37),
.VAR3 (VAR20),
.VAR35 (1'b0),
.VAR52 (1'b0),
.VAR22 (1'b1),
.VAR12 (1'b0),
.VAR51 (1'b0),
.VAR1 (1'b1),
.VAR25 (1'b1),
.VAR41 (1'b1),
.VAR28 (1'b1),
.VAR44 (1'b1),
.VAR19 (1'b1),
.VAR48 (1'b1),
.VAR24 ({12{1'b1}}),
.VAR36 (1'b1),
.VAR2 (),
.VAR32 (),
.VAR6 (1'b1),
.VAR38 (1'b1),
.VAR16 (1'b0),
.VAR40 (1'b0));
VAR23.VAR33 = "VAR8",
VAR23.VAR49 = "VAR21",
VAR23.VAR11 = "VAR21",
VAR23.VAR15 = "../VAR17-new/VAR42-new.VAR10",
VAR23.VAR50 = "VAR18 VAR39",
VAR23.VAR5 = "VAR27=VAR45",
VAR23.VAR34 = "VAR7",
VAR23.VAR26 = 32768,
VAR23.VAR4 = "VAR53",
VAR23.VAR9 = "VAR8",
VAR23.VAR43 = "VAR13",
VAR23.VAR46 = 15,
VAR23.VAR47 = 12,
VAR23.VAR30 = 1;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand2b/sky130_fd_sc_ls__nand2b.blackbox.v
| 1,276 |
module MODULE1 (
VAR1 ,
VAR5,
VAR7
);
output VAR1 ;
input VAR5;
input VAR7 ;
supply1 VAR6;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
GSejas/Karatsuba_FPU
|
Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/Floating-Point-Unit-master/Coprocesador_CORDIC_RTL/sine_cosine_CORDIC/Mux_3x1_b_v2.v
| 1,033 |
module MODULE1 #(parameter VAR4=32)
(
input wire [1:0] select,
input wire [VAR4-1:0] VAR2,
input wire [VAR4-1:0] VAR5,
input wire [VAR4-1:0] VAR1,
output reg [VAR4-1:0] VAR3
);
always @*
begin
case(select)
2'b00: VAR3 <= {VAR4{1'b0}};
2'b01: VAR3 <= VAR2;
2'b10: VAR3 <= VAR5;
2'b11: VAR3 <= VAR1;
default : VAR3 <= VAR2;
endcase
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_power_hvc_wpad/sky130_fd_io__top_power_hvc_wpad.pp.symbol.v
| 1,805 |
module MODULE1 (
inout VAR4 ,
inout VAR3 ,
inout VAR8 ,
inout VAR10 ,
inout VAR2 ,
inout VAR13 ,
inout VAR15 ,
inout VAR5,
inout VAR16 ,
inout VAR12 ,
inout VAR14 ,
inout VAR11 ,
inout VAR6 ,
inout VAR1 ,
inout VAR7 ,
inout VAR17 ,
inout VAR9
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/ebufn/sky130_fd_sc_lp__ebufn_8.v
| 2,148 |
module MODULE1 (
VAR1 ,
VAR7 ,
VAR9,
VAR5,
VAR3,
VAR2 ,
VAR6
);
output VAR1 ;
input VAR7 ;
input VAR9;
input VAR5;
input VAR3;
input VAR2 ;
input VAR6 ;
VAR4 VAR8 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR1 ,
VAR7 ,
VAR9
);
output VAR1 ;
input VAR7 ;
input VAR9;
supply1 VAR5;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR6 ;
VAR4 VAR8 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
manu3193/ControladorElevadorTDD
|
Ascensor.v
| 3,775 |
module MODULE1( clk,VAR17,VAR42,VAR73,VAR53,VAR43,VAR40,
VAR60,VAR31,VAR22,VAR72,VAR66,VAR64,VAR18,
VAR9,VAR11,VAR59,VAR28,VAR65,VAR32,VAR37,VAR38,VAR10);
wire [1:0] VAR25;
wire VAR44;
wire VAR62;
wire VAR19;
wire VAR45;
wire VAR7;
wire VAR29;
wire VAR12;
wire VAR16;
wire VAR8;
wire VAR71;
VAR51 VAR3(
.VAR4 (VAR25),
.VAR67 (VAR44),
.VAR68 (VAR62),
.clk (VAR19),
.reset (VAR45),
.state (VAR7),
.VAR55 (VAR29),
.VAR35 (VAR12),
.VAR33 (VAR16)
);
input clk;
input VAR17;
input [1:0] VAR42;
input [1:0] VAR73;
input [1:0] VAR53;
input [1:0] VAR43;
input [1:0] VAR40;
input [2:0] VAR60;
input VAR31;
input VAR22;
input VAR65;
input VAR32;
input VAR37;
input VAR38;
input VAR10;
output [1:0] VAR72;
output [1:0] VAR66;
output [1:0] VAR64;
output [1:0] VAR18;
output [1:0] VAR9;
output [2:0] VAR11;
output VAR59;
output VAR28;
wire[1:0] VAR54, VAR41, VAR49,VAR24, VAR58;
VAR70 VAR69(
.clk(clk),
.VAR57(VAR60),
.VAR36(VAR31),
.VAR1(VAR22),
.VAR14(VAR42),
.VAR27(VAR73),
.VAR2(VAR53),
.VAR26(VAR43),
.VAR20(VAR40),
.VAR11(VAR11),
.VAR61(VAR59),
.VAR15(VAR28),
.VAR48(VAR54),
.VAR30(VAR41),
.VAR74(VAR49),
.VAR76(VAR24),
.VAR23(VAR58)
);
VAR50 VAR34(
.clk(clk),
.VAR39(VAR17),
.VAR52(VAR65),
.VAR13(VAR32),
.VAR56(VAR37),
.VAR6(VAR38),
.VAR75(VAR10),
.VAR63(~VAR54),
.VAR47(~VAR41),
.VAR21(~VAR49),
.VAR5(~VAR24),
.VAR46(~VAR58),
.VAR72(VAR72),
.VAR66(VAR66),
.VAR64(VAR64),
.VAR18(VAR18),
.VAR9(VAR9));
endmodule
|
mit
|
plindstroem/oh
|
elink/hdl/erx_protocol.v
| 2,835 |
module MODULE1 (
VAR18, VAR2, VAR10,
reset, VAR3, clk, VAR1, VAR9, VAR17
);
parameter VAR5 = 32;
parameter VAR4 = 32;
parameter VAR15 = 104;
parameter VAR13 = 12'h800;
input reset;
input VAR3;
input clk;
input [VAR15-1:0] VAR1;
input VAR9;
input VAR17;
output VAR18;
output VAR2;
output [VAR15-1:0] VAR10;
reg [31:0] VAR6;
wire [31:0] VAR12;
wire [31:0] VAR11;
reg VAR18;
reg VAR2;
reg [VAR15-1:0] VAR10;
wire [11:0] VAR16;
wire [31:0] VAR7;
wire VAR14;
assign VAR16[11:0] = VAR13;
assign VAR7[31:0] = VAR1[39:8];
always @ (posedge clk)
if(VAR17)
VAR6[31:0] <= VAR11[31:0];
assign VAR12[31:0] = VAR6[31:0] + 4'b1000;
assign VAR11[31:0] = VAR9 ? VAR12[31:0] :
VAR7[31:0];
assign VAR14 = (VAR7[31:20] == VAR16[11:0]) &
(VAR7[19:16] == VAR8);
always @ (posedge clk)
begin
VAR18 <= VAR17 & ~VAR14;
VAR2 <= VAR17 & VAR14;
VAR10[VAR15-1:0] <= {VAR1[VAR15-1:40],
VAR11[31:0],
VAR1[7:0]
};
end
endmodule
|
gpl-3.0
|
jotego/jt12
|
hdl/jt12_kon.v
| 4,156 |
module MODULE1(
input rst,
input clk,
input VAR24 ,
input [3:0] VAR31,
input [2:0] VAR15,
input [1:0] VAR22,
input [2:0] VAR26,
input VAR20,
input VAR19,
input VAR8,
output reg VAR33
);
parameter VAR2=6;
wire VAR10;
generate
if(VAR2==6) begin
reg VAR6;
reg [4:0] VAR21;
always @(posedge clk) if( VAR24 ) begin
if(VAR8) begin
VAR6 <= 1'b1;
VAR21 <= { VAR22, VAR26 };
end else begin
if(VAR21 == {VAR22, VAR26}) VAR6<=1'b0;
end
end
always @(posedge clk) if( VAR24 )
VAR33 <= (VAR19&&VAR26==3'd2&&VAR6) || VAR10;
reg VAR7;
reg [3:0] VAR14;
reg [2:0] VAR17;
wire VAR18;
assign VAR18 = VAR7 && (VAR17==VAR26) && (VAR22 == 2'd3);
always @(posedge clk) if( VAR24 ) begin
if (rst)
VAR7 <= 1'b0;
if (VAR20) begin
VAR7 <= 1'b1;
VAR14 <= VAR31;
VAR17 <= VAR15; end
else if (VAR18)
VAR7 <= 1'b0;
end
wire VAR11;
wire VAR9;
wire VAR12;
wire din = VAR18 ? VAR14[3] : VAR10;
wire VAR28 = VAR18 ? VAR14[1] : VAR11;
wire VAR5 = VAR18 ? VAR14[2] : VAR9;
wire VAR29 = VAR18 ? VAR14[0] : VAR12;
VAR3 #(.VAR32(1),.VAR25(6),.VAR30(1'b0)) VAR4(
.clk ( clk ),
.VAR24 ( VAR24 ),
.rst ( rst ),
.din ( din ),
.VAR16 ( VAR11 )
);
VAR3 #(.VAR32(1),.VAR25(6),.VAR30(1'b0)) VAR23(
.clk ( clk ),
.VAR24 ( VAR24 ),
.rst ( rst ),
.din ( VAR28 ),
.VAR16 ( VAR9 )
);
VAR3 #(.VAR32(1),.VAR25(6),.VAR30(1'b0)) VAR27(
.clk ( clk ),
.VAR24 ( VAR24 ),
.rst ( rst ),
.din ( VAR5 ),
.VAR16 ( VAR12 )
);
VAR3 #(.VAR32(1),.VAR25(6),.VAR30(1'b0)) VAR1(
.clk ( clk ),
.VAR24 ( VAR24 ),
.rst ( rst ),
.din ( VAR29 ),
.VAR16 ( VAR10 )
);
end
else begin reg din;
reg [3:0] VAR13;
always @(*) begin
case( VAR22 )
2'd0: VAR13 = 4'b0001; 2'd1: VAR13 = 4'b0100; 2'd2: VAR13 = 4'b0010; 2'd3: VAR13 = 4'b1000; endcase
din = VAR15[1:0]==VAR26[1:0] && VAR20 ? |(VAR31&VAR13) : VAR10;
end
always @(posedge clk) if( VAR24 )
VAR33 <= VAR10;
VAR3 #(.VAR32(1),.VAR25(12),.VAR30(1'b0)) VAR23(
.clk ( clk ),
.VAR24 ( VAR24 ),
.rst ( rst ),
.din ( din ),
.VAR16 ( VAR10 )
);
end
endgenerate
endmodule
|
gpl-3.0
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_exu_wbck.v
| 4,898 |
module MODULE1(
input VAR13, output VAR6, input [VAR17-1:0] VAR1,
input [VAR11-1:0] VAR22,
input VAR20, output VAR4, input [VAR9-1:0] VAR24,
input [5-1:0] VAR14,
input [VAR11-1:0] VAR8,
input VAR5,
output VAR30,
output [VAR17-1:0] VAR7,
output [VAR11-1:0] VAR10,
input clk,
input VAR21
);
wire VAR27 = (~VAR20);
wire VAR29 = VAR13 & VAR27;
wire VAR15 = 1'b1;
wire VAR2 = VAR20 & VAR15;
wire VAR25 = 1'b1;
wire VAR3;
wire VAR26;
wire [VAR9-1:0] VAR12;
wire [5-1:0] VAR23;
wire [VAR11-1:0] VAR28;
wire VAR16;
assign VAR6 = VAR27 & VAR3;
assign VAR4 = VAR15 & VAR3;
assign VAR26 = VAR29 ? VAR13 : VAR20;
assign VAR28 = VAR29 ? VAR22 : VAR8;
assign VAR16 = VAR29 ? 1'b0 : VAR5;
assign VAR3 = VAR25;
wire VAR19 = VAR26;
wire VAR18 = VAR19 & VAR25;
assign VAR30 = VAR18 & (~VAR16);
assign VAR7 = VAR12[VAR17-1:0];
assign VAR10 = VAR28;
endmodule
|
apache-2.0
|
CospanDesign/python
|
pyqt/syntax-highlighter/wb_gpio.v
| 9,363 |
module MODULE1#(
parameter VAR28 = 0,
parameter VAR23 = 0,
parameter VAR15 = 0,
parameter VAR30 = 0
)(
input clk,
input rst,
output [31:0] VAR24,
input VAR8,
input VAR3,
input [3:0] VAR10,
input [31:0] VAR37,
input VAR16,
output reg VAR13,
output reg [31:0] VAR40,
input [31:0] VAR4,
output reg VAR34,
output reg [31:0] VAR27,
input [31:0] VAR25
);
localparam VAR17 = 32'h00000000;
localparam VAR41 = 32'h00000001;
localparam VAR36 = 32'h00000002;
localparam VAR11 = 32'h00000003;
localparam VAR2 = 32'h00000004;
localparam VAR21 = 32'h00000005;
localparam VAR33 = 32'h00000006;
localparam VAR38 = 32'h00000007;
reg [31:0] VAR1;
wire [31:0] VAR29;
reg [31:0] VAR22;
reg [31:0] VAR19;
reg [31:0] VAR35;
reg [31:0] VAR18;
reg [31:0] VAR12;
reg [31:0] VAR5;
reg VAR20;
genvar VAR6;
generate
for (VAR6 = 0; VAR6 < 32; VAR6 = VAR6 + 1) begin : VAR32
assign VAR29[VAR6] = VAR1[VAR6] ? VAR27[VAR6] : VAR25[VAR6];
end
endgenerate
always @ (posedge clk) begin
if (rst) begin
VAR40 <= 32'h00000000;
VAR13 <= 0;
VAR27 <= 32'h00000000;
VAR1 <= 32'h00000000;
VAR19 <= VAR28;
VAR35 <= VAR23;
VAR18 <= VAR15;
VAR12 <= VAR30;
VAR20 <= 0;
end
else begin
VAR20 <= 0;
if (VAR13 & ~ VAR16)begin
VAR13 <= 0;
end
if (VAR16 & VAR3) begin
if (VAR8) begin
case (VAR4)
VAR17: begin
VAR27 <= VAR37 & VAR1;
end
VAR41: begin
VAR7("%VAR26 VAR1", VAR37);
VAR1 <= VAR37;
end
VAR36: begin
end
VAR11: begin
VAR7("%VAR26 interrupt enable", VAR37);
VAR19 <= VAR37;
VAR20 <= 1;
end
VAR2: begin
VAR7("%VAR26 VAR35", VAR37);
VAR35 <= VAR37;
VAR20 <= 1;
end
VAR21: begin
VAR7("%VAR26 VAR18", VAR37);
VAR18 <= VAR37;
VAR20 <= 1;
end
VAR33: begin
VAR12 <= VAR37;
end
default: begin
end
endcase
end
else begin
if (!VAR13) begin case (VAR4)
VAR17: begin
VAR40 <= VAR29;
VAR20 <= 1;
end
VAR41: begin
VAR40 <= VAR1;
end
VAR36: begin
VAR40 <= VAR22;
VAR20 <= 1;
end
VAR11: begin
VAR40 <= VAR19;
end
VAR2: begin
VAR40 <= VAR35;
end
VAR21: begin
VAR40 <= VAR18;
end
VAR33: begin
VAR40 <= VAR12;
end
VAR38: begin
VAR40 <= VAR39;
end
default: begin
VAR40 <= 32'h00;
end
endcase
end
end
VAR13 <= 1;
end
end
end
reg [31:0] VAR14;
wire [31:0] VAR31;
wire [31:0] VAR9;
assign VAR9 = ((~VAR35 | VAR18) & (VAR19 & ( VAR14 & ~VAR25)));
assign VAR31 = (( VAR35 | VAR18) & (VAR19 & (~VAR14 & VAR25)));
assign VAR24[0] = VAR29[2];
assign VAR24[1] = VAR29[3];
assign VAR24[2] = VAR19[2];
assign VAR24[3] = VAR19[3];
assign VAR24[4] = VAR35[2];
assign VAR24[5] = VAR35[3];
assign VAR24[6] = VAR14[2];
assign VAR24[7] = VAR14[3];
assign VAR24[8] = VAR31[2];
assign VAR24[9] = VAR31[3];
assign VAR24[10] = VAR9[2];
assign VAR24[11] = VAR9[3];
assign VAR24[12] = VAR22[2];
assign VAR24[13] = VAR22[3];
assign VAR24[14] = VAR20;
always @ (posedge clk) begin
if (rst) begin
VAR5 <= 0;
VAR22 <= 32'h00000000;
VAR34 <= 0;
end
else begin
if (VAR20) begin
VAR22 <= 32'h00000000;
end
if ((VAR31 > 0) || (VAR9 > 0)) begin
VAR22 <= (VAR31 | VAR9);
end
if (VAR22 == 0) begin
VAR5 <= 0;
end
if ((VAR22 > 0) && (VAR12 > 0)) begin
if (VAR5 < VAR12) begin
VAR5 <= VAR5 + 1;
end
else begin
VAR22 <= 32'h00000000;
VAR5 <= 0;
end
end
if (VAR22 > 0) begin
VAR34 <= 1;
end
else begin
VAR34 <= 0;
end
VAR14 <= VAR25;
end
end
endmodule
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/small_fifo_syn.v
| 184,961 |
module MODULE2
(
VAR27,
VAR30,
VAR6,
VAR57,
VAR31,
VAR33) ;
input VAR27;
input VAR30;
input VAR6;
output [2:0] VAR57;
input VAR31;
input VAR33;
tri0 VAR27;
tri1 VAR6;
tri0 VAR31;
tri1 VAR33;
wire [0:0] VAR36;
wire [0:0] VAR51;
wire [0:0] VAR16;
wire [0:0] VAR48;
wire [0:0] VAR4;
wire [2:0] VAR37;
wire [2:0] VAR7;
wire VAR1;
wire VAR73;
wire [2:0] VAR17;
wire VAR14;
wire VAR22;
wire [2:0] VAR20;
wire [2:0] VAR24;
wire VAR19;
wire VAR49;
wire VAR3;
wire VAR11;
wire VAR29;
VAR69 VAR47
(
.VAR5(VAR22),
.VAR68(),
.VAR62(VAR36[0:0]),
.VAR8(VAR7[0:0]),
.VAR43(VAR11),
.VAR59(),
.VAR39(VAR16[0:0]),
.VAR44(1'b0),
.VAR61(1'b0),
.VAR18(1'b0),
.VAR67(1'b0),
.VAR45(1'b0),
.VAR15(1'b0)
);
VAR47.VAR60 = "VAR50",
VAR47.VAR75 = 64'h000000000000FF00,
VAR47.VAR12 = "VAR50",
VAR47.VAR35 = "VAR69";
VAR69 VAR54
(
.VAR5(VAR36[0:0]),
.VAR68(),
.VAR62(VAR51[0:0]),
.VAR8(VAR7[1:1]),
.VAR43(VAR29),
.VAR59(),
.VAR39(VAR48[0:0]),
.VAR44(1'b0),
.VAR61(1'b0),
.VAR18(1'b0),
.VAR67(1'b0),
.VAR45(1'b0),
.VAR15(1'b0)
);
VAR54.VAR60 = "VAR50",
VAR54.VAR75 = 64'h0000FF000000FF00,
VAR54.VAR12 = "VAR50",
VAR54.VAR35 = "VAR69";
VAR69 VAR34
(
.VAR5(VAR51[0:0]),
.VAR68(),
.VAR62(),
.VAR8(VAR7[2:2]),
.VAR43(VAR29),
.VAR59(),
.VAR39(VAR4[0:0]),
.VAR44(1'b0),
.VAR61(1'b0),
.VAR18(1'b0),
.VAR67(1'b0),
.VAR45(1'b0),
.VAR15(1'b0)
);
VAR34.VAR60 = "VAR50",
VAR34.VAR75 = 64'h0000FF000000FF00,
VAR34.VAR12 = "VAR50",
VAR34.VAR35 = "VAR69";
VAR65 VAR64
(
.VAR27(VAR1),
.VAR55(VAR37[0:0]),
.clk(VAR30),
.VAR26(VAR16[0:0]),
.VAR66((VAR73 & (((VAR6 | VAR31) | VAR49) | VAR19))),
.VAR77(VAR7[0:0]),
.VAR31(VAR31),
.VAR19((VAR49 | VAR19)),
.VAR71(1'b0)
,
.VAR63(1'b1),
.VAR46(1'b1)
);
VAR65 VAR10
(
.VAR27(VAR1),
.VAR55(VAR37[1:1]),
.clk(VAR30),
.VAR26(VAR48[0:0]),
.VAR66((VAR73 & (((VAR6 | VAR31) | VAR49) | VAR19))),
.VAR77(VAR7[1:1]),
.VAR31(VAR31),
.VAR19((VAR49 | VAR19)),
.VAR71(1'b0)
,
.VAR63(1'b1),
.VAR46(1'b1)
);
VAR65 VAR9
(
.VAR27(VAR1),
.VAR55(VAR37[2:2]),
.clk(VAR30),
.VAR26(VAR4[0:0]),
.VAR66((VAR73 & (((VAR6 | VAR31) | VAR49) | VAR19))),
.VAR77(VAR7[2:2]),
.VAR31(VAR31),
.VAR19((VAR49 | VAR19)),
.VAR71(1'b0)
,
.VAR63(1'b1),
.VAR46(1'b1)
);
assign
VAR37 = (({3{VAR49}} & VAR20) | ({3{(~ VAR49)}} & VAR17));
assign
VAR1 = VAR27,
VAR73 = 1'b1,
VAR17 = {3{1'b0}},
VAR14 = 1'b1,
VAR22 = 1'b0,
VAR57 = VAR24,
VAR20 = {3{1'b1}},
VAR24 = VAR7,
VAR19 = 1'b0,
VAR49 = 1'b0,
VAR3 = VAR33,
VAR11 = VAR3,
VAR29 = ((~ VAR14) | VAR3);
endmodule
module MODULE1
(
VAR27,
VAR30,
VAR32,
VAR53,
VAR56,
VAR31,
VAR2,
VAR42) ;
input VAR27;
input VAR30;
output VAR32;
output VAR53;
input VAR56;
input VAR31;
output [2:0] VAR2;
input VAR42;
tri0 VAR27;
tri0 VAR56;
tri0 VAR31;
tri0 VAR42;
reg VAR41;
reg VAR58;
wire [2:0] VAR52;
wire [2:0] VAR38;
wire [2:0] VAR25;
wire VAR40;
wire VAR23;
wire VAR28;
wire VAR21;
wire VAR72;
wire VAR74;
wire [2:0] VAR76;
wire VAR13;
wire VAR70;
|
mit
|
CospanDesign/nysa-artemis-usb2-platform
|
artemis_usb2/slave/wb_artemis_usb2_platform/rtl/aps.v
| 14,776 |
module MODULE1 #(
parameter VAR61 = 0, parameter VAR133 = 6,
parameter VAR11 = 4,
parameter VAR6 = 2,
parameter VAR36 = 5,
parameter VAR75 = 1,
parameter VAR137 = 2,
parameter VAR48 = 0 )(
input [1:0] VAR39,
input [1:0] VAR160,
input VAR94,
input VAR73,
input VAR74,
input VAR122,
output VAR131,
output VAR66,
output VAR110,
output VAR43,
output [3:0] VAR7,
output [3:0] VAR44,
output [3:0] VAR38,
output [3:0] VAR146,
output [3:0] VAR120,
output [3:0] VAR46,
output [3:0] VAR158,
output [2:0] VAR14,
output [2:0] VAR113,
input VAR100,
input VAR79,
input VAR129,
input VAR54,
output [31:0] VAR30,
output [31:0] VAR42,
output VAR154,
input VAR104,
input VAR87,
input VAR47,
input VAR21,
input VAR134,
input VAR92,
input VAR145,
input VAR34,
input VAR16,
output VAR132,
output VAR12,
input [1:0] VAR22,
input VAR80,
input VAR13,
input VAR51,
input VAR142,
output VAR114,
output VAR40,
output [2:0] VAR97,
output [2:0] VAR161,
output [1:0] VAR116,
output [1:0] VAR169,
output VAR58,
output VAR162,
input VAR151,
output [1:0] VAR45,
output [1:0] VAR77,
input [3:0] VAR26,
input [3:0] VAR130,
input [3:0] VAR106,
input [31:0] VAR119,
input [31:0] VAR81,
output VAR50,
output VAR149,
input VAR28,
input VAR140,
input VAR164,
input VAR135,
input [3:0] VAR55,
output VAR112,
output VAR25,
output VAR63,
output VAR8,
input VAR84,
input VAR65,
input VAR71,
input VAR20,
input VAR49
);
VAR152 #
(
.VAR10 (VAR61),
.VAR93 (VAR133),
.VAR52 (VAR11),
.VAR57 (VAR6),
.VAR29 (VAR36),
.VAR153 (VAR75),
.VAR89 (VAR137),
.VAR143 ("VAR155"),
.VAR18 ("VAR72")
)
VAR101
(
.VAR27 (VAR39),
.VAR82 (VAR160),
.VAR5 (VAR94),
.VAR24 (VAR73),
.VAR124 (VAR74),
.VAR23 (VAR122),
.VAR138 (VAR131),
.VAR15 (VAR66),
.VAR37 (VAR110),
.VAR83 (VAR43),
.VAR4 (VAR7),
.VAR109 (VAR44),
.VAR53 (VAR38),
.VAR2 (VAR146),
.VAR127 (VAR120),
.VAR103 (VAR46),
.VAR96 (VAR158),
.VAR64 (VAR14),
.VAR3 (VAR113),
.VAR147 (VAR100),
.VAR68 (VAR79),
.VAR41 (VAR129),
.VAR98 (VAR54),
.VAR167 (VAR30),
.VAR139 (VAR42),
.VAR111 (VAR154),
.VAR128 (VAR104),
.VAR59 (VAR87),
.VAR78 (VAR47),
.VAR156 (VAR21),
.VAR9 (VAR134),
.VAR144 (VAR92),
.VAR163 (VAR145),
.VAR102 (VAR34),
.VAR159 (VAR16),
.VAR32 (VAR132),
.VAR19 (VAR12),
.VAR95 (VAR22),
.VAR35 (VAR80),
.VAR76 (VAR13),
.VAR70 (VAR51),
.VAR168 (VAR142),
.VAR105 (VAR114),
.VAR107 (VAR40),
.VAR33 (VAR97),
.VAR123 (VAR161),
.VAR118 (VAR116),
.VAR17 (VAR169),
.VAR121 (VAR58),
.VAR99 (VAR162),
.VAR1 (VAR151),
.VAR90 (VAR45),
.VAR108 (VAR77),
.VAR62 (VAR26),
.VAR141 (VAR130),
.VAR86 (VAR106),
.VAR115 (VAR119),
.VAR150 (VAR81),
.VAR126 (VAR50),
.VAR69 (VAR149),
.VAR136 (VAR28),
.VAR148 (VAR140),
.VAR165 (VAR164),
.VAR125 (VAR135),
.VAR85 (VAR55),
.VAR166 (VAR112),
.VAR157 (VAR25),
.VAR60 (VAR63),
.VAR88 (VAR8),
.VAR67 (VAR84),
.VAR31 (VAR65),
.VAR56 (VAR71),
.VAR91 (VAR20),
.VAR117 (VAR49)
);
endmodule
|
gpl-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v
| 5,698 |
module MODULE1
, parameter VAR29(VAR20 )
, parameter VAR27 = 0
, parameter VAR29(VAR23 )
, parameter VAR29(VAR47 )
, parameter VAR10 = 0)
( input VAR44
, input VAR11
, input VAR24
, output [VAR43-1:0] VAR5
, input [VAR20-1:0] VAR12
, input VAR46
, input [VAR47-1:0] VAR6
, output VAR17
, output VAR8
, output [VAR40(1,VAR27)-1:0] VAR39
, output VAR31
, input VAR26
, output VAR9
, output VAR22
) ;
localparam VAR7 = VAR20 - 4;
localparam VAR15 = VAR28(VAR47 + 1);
localparam VAR38 = VAR41(VAR4);
logic VAR14;
logic [VAR7-1:0] VAR3;
logic VAR48;
VAR49 #( .VAR21(VAR7)
, .VAR43(VAR43)
, .VAR10(VAR10))
VAR32
(.VAR44 (VAR44)
,.VAR11 (VAR11)
,.VAR24 (VAR24)
,.VAR35 (VAR46)
,.VAR6 (VAR7 ' (VAR6))
,.VAR17 (VAR17)
,.VAR16 (VAR14)
,.VAR37 (VAR3)
,.VAR26 (VAR48)
,.VAR5 (VAR5)
,.VAR12 (VAR12)
,.VAR9 (VAR9)
,.VAR22 (VAR22)
);
wire [VAR38-1:0] VAR36 = VAR3[VAR47+:VAR38];
wire [VAR47-1:0] VAR2 = VAR3[0+:VAR47];
wire [VAR7 + 2 - 1:0] VAR25 = {1'b0, VAR2, VAR36, 1'b1};
wire VAR30;
assign VAR48 = VAR30 & VAR14;
VAR45 #( .VAR42(1)
, .VAR33(VAR7 + 2) )
VAR19
(.VAR44 (VAR44)
,.VAR11 (VAR11)
,.VAR46 (VAR14)
,.VAR6 (VAR25)
,.VAR1 (VAR30)
,.VAR8 (VAR8)
,.VAR37 (VAR31)
,.VAR26 (VAR26)
);
if (VAR27 == 0)
begin
assign VAR39 = 1'VAR18;
end
else
begin
VAR34 #( .VAR42(VAR27) )
VAR13
(.VAR44 (VAR44)
,.VAR24 (VAR14 & VAR30)
,.VAR6 (VAR3[(VAR47+VAR38)+:VAR27])
,.VAR37 (VAR39)
);
end
endmodule
|
bsd-3-clause
|
kyzhai/NUNY
|
src/hardware/three_new2_bb.v
| 5,028 |
module MODULE1 (
address,
VAR1,
VAR2);
input [9:0] address;
input VAR1;
output [11:0] VAR2;
tri1 VAR1;
endmodule
|
gpl-2.0
|
FAST-Switch/fast
|
lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_sgmii/altera_tse_reset_synchronizer.v
| 4,426 |
module MODULE1
parameter VAR5 = 1,
parameter VAR2 = 2
)
(
input VAR4 ,
input clk,
output VAR1
);
reg [VAR2-1:0] VAR3;
reg VAR6;
generate if (VAR5) begin
always @(posedge clk or posedge VAR4) begin
if (VAR4) begin
VAR3 <= {VAR2{1'b1}};
VAR6 <= 1'b1;
end
else begin
VAR3[VAR2-2:0] <= VAR3[VAR2-1:1];
VAR3[VAR2-1] <= 0;
VAR6 <= VAR3[0];
end
end
assign VAR1 = VAR6;
end else begin
always @(posedge clk) begin
VAR3[VAR2-2:0] <= VAR3[VAR2-1:1];
VAR3[VAR2-1] <= VAR4;
VAR6 <= VAR3[0];
end
assign VAR1 = VAR6;
end
endgenerate
endmodule
|
apache-2.0
|
jouyang3/FMCW
|
DSP/Radar_DSP/FPGA/magnitude.v
| 1,615 |
module MODULE2(VAR11, VAR8, VAR6, VAR5, VAR22, VAR2);
input [11:0] VAR11, VAR8, VAR6, VAR5;
output [11:0] VAR22, VAR2;
wire [11:0] VAR1, VAR24, VAR15, VAR23;
wire [11:0] VAR17, VAR14, VAR13, VAR9;
MODULE3 MODULE6 (.in(VAR11), .out(VAR17));
MODULE3 MODULE5 (.in(VAR8), .out(VAR14));
MODULE3 MODULE2 (.in(VAR6), .out(VAR13));
MODULE3 MODULE8 (.in(VAR5), .out(VAR9));
MODULE1 MODULE4 (.VAR16(VAR14), .VAR18(VAR17), .VAR7(VAR1));
MODULE1 MODULE7 (.VAR16(VAR9), .VAR18(VAR13), .VAR7(VAR24));
MODULE4 MODULE3 (.VAR16(VAR14), .VAR18(VAR17), .VAR3(VAR15));
MODULE4 MODULE1 (.VAR16(VAR9), .VAR18(VAR13), .VAR3(VAR23));
assign VAR22 = VAR1 + (VAR15 >> 2) - (VAR1 >> 4);
assign VAR2 = VAR24 + (VAR23 >> 2) - (VAR24 >> 4);
endmodule
module MODULE3(
input [11:0] in,
output reg [11:0] out);
always @ * begin
if(in[11] == 1'b1)
out = ~in + 12'b1;
end
else
out = in;
end
endmodule
module MODULE1(
input [11:0] VAR16,
input [11:0] VAR18,
output reg [11:0] VAR7);
always @ * begin
if(VAR16 > VAR18)
VAR7 = VAR16;
end
else
VAR7 = VAR18;
end
endmodule
module MODULE4(
input [11:0] VAR16,
input [11:0] VAR18,
output reg [11:0] VAR3);
always @ * begin
if(VAR16 > VAR18)
VAR3 = VAR18;
end
else
VAR3 = VAR16;
end
endmodule
|
gpl-3.0
|
stpr18/verilog-processor
|
sys_command.v
| 1,317 |
module MODULE1(input [47:0] VAR14, input VAR4, input [15:0] VAR15, VAR11, VAR12, VAR1, VAR2, VAR9, VAR3, VAR10, VAR6, flag, input en);
always @(posedge en) begin
case (VAR14[39:36])
end
case (VAR14[35:32])
if (VAR4)
("%VAR8", VAR15);
else
("%VAR8", VAR15[7:0]);
end
if (VAR4)
("%VAR7", VAR15);
else
("%VAR7", VAR15[7:0]);
end
if (VAR4)
("%VAR15", VAR15);
else
("%VAR15", VAR15[7:0]);
end
if (VAR4)
("%VAR5", (VAR15));
else
("%VAR5", (VAR15[7:0]));
end
if (VAR4)
("%VAR5", VAR15);
else
("%VAR5", VAR15[7:0]);
end
if (VAR4)
("%VAR13", VAR15);
else
("%VAR13", VAR15[7:0]);
end
endcase
end
end
endcase
end
endmodule
|
unlicense
|
tmolteno/TART
|
hardware/FPGA/tart_spi/verilog/fifo/fifo_dc_gray.v
| 9,852 |
module MODULE1 (
VAR29,
VAR17,
rst,
VAR8,
din,
VAR35,
dout,
VAR38,
VAR6,
VAR19,
VAR31,
VAR25
);
parameter VAR10=16;
parameter VAR34=4;
parameter VAR23=16;
input VAR29, VAR17, rst, VAR8;
input [VAR10-1:0] din;
input VAR35;
output [VAR10-1:0] dout;
input VAR38;
output VAR6;
output VAR19;
output [1:0] VAR31;
output [1:0] VAR25;
reg [VAR34:0] VAR13, VAR18;
reg [VAR34:0] VAR28, VAR36;
reg [VAR34:0] VAR11, VAR26;
reg VAR6 = 0, VAR19 = 1;
wire [VAR34:0] VAR14, VAR9;
wire [VAR34:0] VAR12, VAR39;
wire [VAR34:0] VAR33, VAR2;
reg [VAR34-1:0] VAR7, VAR16;
reg VAR4, VAR37;
reg VAR27, VAR32;
reg VAR5, VAR30;
reg VAR20, VAR24;
always @(posedge VAR29 or negedge rst)
if(!rst) VAR4 <= 1'b0;
else
if(VAR27) VAR4 <= 1'b1;
always @(posedge VAR29 or negedge rst)
if(!rst) VAR27 <= 1'b0;
else VAR27 <= 1'b1;
always @(posedge VAR17 or negedge rst)
if(!rst) VAR37 <= 1'b0;
else
if(VAR32) VAR37 <= 1'b1;
always @(posedge VAR17 or negedge rst)
if(!rst) VAR32 <= 1'b0;
else VAR32 <= 1'b1;
always @(posedge VAR29 or posedge VAR8)
if(VAR8) VAR5 <= 1'b1;
else
if(!VAR20) VAR5 <= 1'b0;
always @(posedge VAR29 or posedge VAR8)
if(VAR8) VAR20 <= 1'b1;
else VAR20 <= 1'b0;
always @(posedge VAR17 or posedge VAR8)
if(VAR8) VAR30 <= 1'b1;
else
if(!VAR24) VAR30 <= 1'b0;
always @(posedge VAR17 or posedge VAR8)
if(VAR8) VAR24 <= 1'b1;
else VAR24 <= 1'b0;
reg [VAR10-1:0] VAR3 [0:VAR23-1];
always @(posedge VAR17)
begin
if (VAR35 && VAR37)
VAR3 [VAR13 [VAR34-1:0]] <= din;
end
assign dout = VAR3 [VAR28 [VAR34-1:0]];
always @(posedge VAR17)
if(!VAR37) VAR13 <= {VAR34+1{1'b0}};
else
if(VAR30) VAR13 <= {VAR34+1{1'b0}};
else
if(VAR35) VAR13 <= VAR14;
always @(posedge VAR17)
if(!VAR37) VAR18 <= {VAR34+1{1'b0}};
else
if(VAR30) VAR18 <= {VAR34+1{1'b0}};
else
if(VAR35) VAR18 <= VAR9;
assign VAR14 = VAR13 + {{VAR34{1'b0}},1'b1};
assign VAR9 = VAR14 ^ {1'b0, VAR14[VAR34:1]};
always @(posedge VAR29)
if(!VAR4) VAR28 <= {VAR34+1{1'b0}};
else
if(VAR5) VAR28 <= {VAR34+1{1'b0}};
else
if(VAR38) VAR28 <= VAR12;
always @(posedge VAR29)
if(!VAR4) VAR36 <= {VAR34+1{1'b0}};
else
if(VAR5) VAR36 <= {VAR34+1{1'b0}};
else
if(VAR38) VAR36 <= VAR39;
assign VAR12 = VAR28 + {{VAR34{1'b0}},1'b1};
assign VAR39 = VAR12 ^ {1'b0, VAR12[VAR34:1]};
always @(posedge VAR29) VAR11 <= VAR18;
always @(posedge VAR17) VAR26 <= VAR36;
assign VAR33 = VAR11 ^ {1'b0, VAR33[VAR34:1]}; assign VAR2 = VAR26 ^ {1'b0, VAR2[VAR34:1]};
always @(posedge VAR29)
VAR19 <= (VAR11 == VAR36) | (VAR38 & (VAR11 == VAR39));
always @(posedge VAR17)
VAR6 <= ((VAR13[VAR34-1:0] == VAR2[VAR34-1:0]) & (VAR13[VAR34] != VAR2[VAR34])) |
(VAR35 & (VAR14[VAR34-1:0] == VAR2[VAR34-1:0]) & (VAR14[VAR34] != VAR2[VAR34]));
reg [1:0] VAR31;
reg [1:0] VAR25;
reg [VAR34-1:0] VAR22, VAR1;
reg VAR21;
reg VAR15;
always @(posedge VAR17) VAR15 <= VAR6;
always @(posedge VAR17) VAR1 <= ~VAR2[VAR34-1:0] + {{VAR34-1{1'b0}}, 1'b1};
always @(posedge VAR17) VAR7 <= VAR13[VAR34-1:0] + VAR1[VAR34-1:0];
always @(posedge VAR17) VAR31 <= {VAR7[VAR34-1] | VAR6 | VAR15, VAR7[VAR34-2] | VAR6 | VAR15};
always @(posedge VAR29) VAR22 <= ~VAR33[VAR34-1:0];
always @(posedge VAR29) VAR16 <= VAR28[VAR34-1:0] + VAR22[VAR34-1:0];
always @(posedge VAR29) VAR21 <= VAR6;
always @(posedge VAR29) VAR25 <= VAR21 ? 2'h0 : {VAR16[VAR34-1] | VAR19, VAR16[VAR34-2] | VAR19};
always @(posedge VAR17)
if(VAR35 && VAR6)
",);
always @(posedge VAR29)
if(VAR38 && VAR19)
",);
endmodule
|
lgpl-3.0
|
alexforencich/verilog-ethernet
|
example/VCU118/fpga_10g/rtl/fpga.v
| 34,798 |
module MODULE1 (
input wire VAR100,
input wire VAR198,
input wire reset,
input wire VAR97,
input wire VAR359,
input wire VAR296,
input wire VAR49,
input wire VAR219,
input wire [3:0] VAR234,
output wire [7:0] VAR261,
inout wire VAR5,
inout wire VAR141,
output wire VAR54,
output wire VAR6,
input wire VAR173,
input wire VAR109,
output wire VAR338,
output wire VAR279,
input wire VAR107,
input wire VAR399,
output wire VAR169,
output wire VAR195,
input wire VAR45,
input wire VAR249,
output wire VAR189,
output wire VAR484,
input wire VAR315,
input wire VAR26,
input wire VAR255,
input wire VAR180,
output wire VAR61,
output wire VAR81,
input wire VAR332,
input wire VAR402,
output wire VAR125,
output wire VAR408,
output wire VAR410,
input wire VAR337,
input wire VAR473,
output wire VAR354,
output wire VAR132,
input wire VAR390,
input wire VAR154,
output wire VAR207,
output wire VAR123,
input wire VAR478,
input wire VAR78,
output wire VAR391,
output wire VAR347,
input wire VAR341,
input wire VAR333,
input wire VAR369,
input wire VAR284,
output wire VAR102,
output wire VAR441,
input wire VAR35,
input wire VAR116,
output wire VAR31,
input wire VAR281,
input wire VAR174,
output wire VAR297,
output wire VAR466,
input wire VAR458,
input wire VAR129,
output wire VAR448,
input wire VAR305,
inout wire VAR418,
output wire VAR485,
input wire VAR276,
output wire VAR434,
output wire VAR292,
input wire VAR471
);
wire VAR136;
wire VAR171;
wire VAR14;
wire VAR47;
wire VAR290;
wire VAR464;
wire VAR210 = reset;
wire VAR353;
wire VAR34;
VAR328 #(
.VAR120("VAR414"),
.VAR221("VAR414")
)
VAR406 (
.VAR277 (VAR136),
.VAR486 (VAR100),
.VAR86 (VAR198)
);
VAR69 #(
.VAR1("VAR236"),
.VAR433(8),
.VAR65(0.5),
.VAR482(0),
.VAR59(1),
.VAR336(0.5),
.VAR33(0),
.VAR267(1),
.VAR184(0.5),
.VAR436(0),
.VAR412(1),
.VAR286(0.5),
.VAR2(0),
.VAR429(1),
.VAR131(0.5),
.VAR460(0),
.VAR222(1),
.VAR183(0.5),
.VAR380(0),
.VAR254(1),
.VAR48(0.5),
.VAR358(0),
.VAR272(8),
.VAR82(0),
.VAR182(1),
.VAR388(0.010),
.VAR187(8.0),
.VAR10("VAR414"),
.VAR340("VAR414")
)
VAR301 (
.VAR90(VAR136),
.VAR12(VAR34),
.VAR99(VAR210),
.VAR227(1'b0),
.VAR479(VAR171),
.VAR329(),
.VAR379(),
.VAR140(),
.VAR104(),
.VAR428(),
.VAR178(),
.VAR139(),
.VAR225(),
.VAR476(),
.VAR108(),
.VAR73(VAR34),
.VAR461(),
.VAR118(VAR353)
);
VAR430
VAR117 (
.VAR486(VAR171),
.VAR277(VAR14)
);
VAR243 #(
.VAR92(4)
)
VAR319 (
.clk(VAR14),
.rst(~VAR353),
.out(VAR47)
);
wire VAR38;
wire VAR366;
wire VAR203;
wire VAR264;
wire VAR469;
wire [3:0] VAR142;
VAR378 #(
.VAR488(9),
.VAR92(4),
.VAR483(156000)
)
VAR324 (
.clk(VAR290),
.rst(VAR464),
.in({VAR97,
VAR359,
VAR296,
VAR49,
VAR219,
VAR234}),
.out({VAR38,
VAR366,
VAR203,
VAR264,
VAR469,
VAR142})
);
wire VAR334;
wire VAR335;
VAR454 #(
.VAR488(2),
.VAR92(2)
)
VAR193 (
.clk(VAR290),
.in({VAR276, VAR471}),
.out({VAR334, VAR335})
);
wire VAR468;
wire VAR419 = 1'b1;
wire VAR42 = 1'b1;
wire VAR382;
wire VAR164 = 1'b1;
wire VAR188 = 1'b1;
assign VAR468 = VAR5;
assign VAR5 = VAR42 ? 1'VAR167 : VAR419;
assign VAR382 = VAR141;
assign VAR141 = VAR188 ? 1'VAR167 : VAR164;
assign VAR61 = 1'b0;
assign VAR81 = 1'b1;
assign VAR125 = 1'b0;
wire VAR318;
wire VAR94;
wire [63:0] VAR226;
wire [7:0] VAR157;
wire VAR122;
wire VAR151;
wire [63:0] VAR161;
wire [7:0] VAR168;
wire VAR4;
wire VAR392;
wire [63:0] VAR339;
wire [7:0] VAR283;
wire VAR76;
wire VAR455;
wire [63:0] VAR289;
wire [7:0] VAR194;
wire VAR36;
wire VAR170;
wire [63:0] VAR370;
wire [7:0] VAR40;
wire VAR285;
wire VAR126;
wire [63:0] VAR93;
wire [7:0] VAR266;
wire VAR133;
wire VAR95;
wire [63:0] VAR241;
wire [7:0] VAR111;
wire VAR348;
wire VAR149;
wire [63:0] VAR205;
wire [7:0] VAR176;
assign VAR290 = VAR318;
assign VAR464 = VAR94;
wire VAR134;
wire VAR445;
wire VAR3;
wire VAR444;
wire VAR223;
VAR362 VAR20 (
.VAR486 (VAR255),
.VAR86 (VAR180),
.VAR270 (1'b0),
.VAR277 (VAR223),
.VAR442 ()
);
wire VAR452;
wire VAR407;
wire VAR79;
VAR342 #(
.VAR179(1)
)
VAR91 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(VAR223),
.VAR135(VAR452),
.VAR240(VAR407),
.VAR146(VAR79),
.VAR320(1'b0),
.VAR83(),
.VAR181(1'b0),
.VAR128(1'b0),
.VAR24(VAR54),
.VAR463(VAR6),
.VAR9(VAR173),
.VAR143(VAR109),
.VAR197(VAR318),
.VAR224(VAR94),
.VAR367(VAR226),
.VAR67(VAR157),
.VAR262(VAR122),
.VAR58(VAR151),
.VAR457(VAR161),
.VAR356(VAR168),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR134),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR487 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR452),
.VAR83(),
.VAR181(VAR407),
.VAR128(VAR79),
.VAR24(VAR338),
.VAR463(VAR279),
.VAR9(VAR107),
.VAR143(VAR399),
.VAR197(VAR4),
.VAR224(VAR392),
.VAR367(VAR339),
.VAR67(VAR283),
.VAR262(VAR76),
.VAR58(VAR455),
.VAR457(VAR289),
.VAR356(VAR194),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR445),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR371 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR452),
.VAR83(),
.VAR181(VAR407),
.VAR128(VAR79),
.VAR24(VAR169),
.VAR463(VAR195),
.VAR9(VAR45),
.VAR143(VAR249),
.VAR197(VAR36),
.VAR224(VAR170),
.VAR367(VAR370),
.VAR67(VAR40),
.VAR262(VAR285),
.VAR58(VAR126),
.VAR457(VAR93),
.VAR356(VAR266),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR3),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR228 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR452),
.VAR83(),
.VAR181(VAR407),
.VAR128(VAR79),
.VAR24(VAR189),
.VAR463(VAR484),
.VAR9(VAR315),
.VAR143(VAR26),
.VAR197(VAR133),
.VAR224(VAR95),
.VAR367(VAR241),
.VAR67(VAR111),
.VAR262(VAR348),
.VAR58(VAR149),
.VAR457(VAR205),
.VAR356(VAR176),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR444),
.VAR411(),
.VAR368(),
.VAR360()
);
assign VAR102 = 1'b0;
assign VAR441 = 1'b1;
assign VAR31 = 1'b0;
wire VAR242;
wire VAR251;
wire [63:0] VAR405;
wire [7:0] VAR417;
wire VAR121;
wire VAR312;
wire [63:0] VAR426;
wire [7:0] VAR63;
wire VAR294;
wire VAR41;
wire [63:0] VAR343;
wire [7:0] VAR220;
wire VAR273;
wire VAR246;
wire [63:0] VAR303;
wire [7:0] VAR209;
wire VAR200;
wire VAR145;
wire [63:0] VAR351;
wire [7:0] VAR307;
wire VAR206;
wire VAR350;
wire [63:0] VAR364;
wire [7:0] VAR15;
wire VAR437;
wire VAR280;
wire [63:0] VAR245;
wire [7:0] VAR401;
wire VAR18;
wire VAR413;
wire [63:0] VAR229;
wire [7:0] VAR345;
wire VAR55;
wire VAR425;
wire VAR423;
wire VAR475;
wire VAR263;
VAR362 VAR30 (
.VAR486 (VAR369),
.VAR86 (VAR284),
.VAR270 (1'b0),
.VAR277 (VAR263),
.VAR442 ()
);
wire VAR156;
wire VAR331;
wire VAR213;
VAR342 #(
.VAR179(1)
)
VAR177 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(VAR263),
.VAR135(VAR156),
.VAR240(VAR331),
.VAR146(VAR213),
.VAR320(1'b0),
.VAR83(),
.VAR181(1'b0),
.VAR128(1'b0),
.VAR24(VAR408),
.VAR463(VAR410),
.VAR9(VAR337),
.VAR143(VAR473),
.VAR197(VAR242),
.VAR224(VAR251),
.VAR367(VAR405),
.VAR67(VAR417),
.VAR262(VAR121),
.VAR58(VAR312),
.VAR457(VAR426),
.VAR356(VAR63),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR55),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR376 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR156),
.VAR83(),
.VAR181(VAR331),
.VAR128(VAR213),
.VAR24(VAR354),
.VAR463(VAR132),
.VAR9(VAR390),
.VAR143(VAR154),
.VAR197(VAR294),
.VAR224(VAR41),
.VAR367(VAR343),
.VAR67(VAR220),
.VAR262(VAR273),
.VAR58(VAR246),
.VAR457(VAR303),
.VAR356(VAR209),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR425),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR490 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR156),
.VAR83(),
.VAR181(VAR331),
.VAR128(VAR213),
.VAR24(VAR207),
.VAR463(VAR123),
.VAR9(VAR478),
.VAR143(VAR78),
.VAR197(VAR200),
.VAR224(VAR145),
.VAR367(VAR351),
.VAR67(VAR307),
.VAR262(VAR206),
.VAR58(VAR350),
.VAR457(VAR364),
.VAR356(VAR15),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR423),
.VAR411(),
.VAR368(),
.VAR360()
);
VAR342 #(
.VAR179(0)
)
VAR295 (
.VAR432(VAR14),
.VAR384(VAR47),
.VAR467(),
.VAR196(1'b0),
.VAR135(),
.VAR240(),
.VAR146(),
.VAR320(VAR156),
.VAR83(),
.VAR181(VAR331),
.VAR128(VAR213),
.VAR24(VAR391),
.VAR463(VAR347),
.VAR9(VAR341),
.VAR143(VAR333),
.VAR197(VAR437),
.VAR224(VAR280),
.VAR367(VAR245),
.VAR67(VAR401),
.VAR262(VAR18),
.VAR58(VAR413),
.VAR457(VAR229),
.VAR356(VAR345),
.VAR489(),
.VAR25(),
.VAR465(),
.VAR71(),
.VAR152(VAR475),
.VAR411(),
.VAR368(),
.VAR360()
);
wire VAR89;
wire VAR361;
wire VAR105;
wire [7:0] VAR291;
wire VAR43;
wire VAR422;
wire [7:0] VAR299;
wire VAR75;
wire VAR218;
wire [15:0] VAR431;
wire VAR119 = VAR431[0];
wire VAR395 = VAR431[1];
wire VAR96 = VAR431[2];
wire VAR7 = VAR431[3];
wire VAR298 = VAR431[4];
wire VAR138 = VAR431[5];
wire VAR166 = VAR431[6];
wire VAR477 = VAR431[7];
wire [1:0] VAR239 = VAR431[9:8];
wire [1:0] VAR330 = VAR431[11:10];
wire VAR190 = VAR431[12];
wire VAR32 = VAR431[13];
wire [1:0] VAR456 = VAR431[15:14];
wire [4:0] VAR230;
assign VAR230[4] = 1'b1; assign VAR230[3] = 1'b0; assign VAR230[2] = 1'b0; assign VAR230[1] = 1'b0; assign VAR230[0] = 1'b0;
wire [15:0] VAR106;
assign VAR106[15] = 1'b1; assign VAR106[14] = 1'b1; assign VAR106[13:12] = 2'b01; assign VAR106[11:10] = 2'b10; assign VAR106[9] = 1'b0; assign VAR106[8:7] = 2'b00; assign VAR106[6] = 1'b0; assign VAR106[5] = 1'b0; assign VAR106[4:1] = 4'b0000; assign VAR106[0] = 1'b1;
VAR435
VAR87 (
.VAR17 (VAR297),
.VAR275 (VAR466),
.VAR77 (VAR281),
.VAR250 (VAR174),
.VAR322 (VAR458),
.VAR150 (VAR129),
.reset (VAR47),
.VAR217 (VAR89),
.VAR124 (),
.VAR274 (VAR361),
.VAR256 (),
.VAR363 (),
.VAR74 (),
.VAR202 (),
.VAR144 (),
.VAR237 (),
.VAR420 (),
.VAR115 (),
.VAR397 (VAR105),
.VAR11 (VAR330 != 2'b10),
.VAR130 (VAR330 == 2'b01),
.VAR85 (VAR291),
.VAR306 (VAR43),
.VAR147 (VAR422),
.VAR323 (VAR299),
.VAR257 (VAR75),
.VAR158 (VAR218),
.VAR163 (),
.VAR415 (VAR230),
.VAR235 (),
.VAR80 (VAR106),
.VAR387 (1'b0),
.VAR265 (VAR431),
.VAR278 (1'b1),
.VAR269 (),
.VAR443 (),
.VAR127 (),
.VAR474 (),
.VAR327 (),
.VAR282 (),
.VAR271 (),
.VAR396 (),
.VAR165 (),
.VAR46 (),
.VAR472 (),
.VAR22 (),
.VAR37 (),
.VAR113 (),
.VAR438 (),
.VAR21 (16'b0),
.VAR191 (1'b0),
.VAR492 (1'b0),
.VAR404 (16'b0),
.VAR62 (1'b0),
.VAR409 (1'b0),
.VAR51 (16'b0),
.VAR439 (1'b0),
.VAR28 (1'b0),
.VAR326 (),
.VAR52 (),
.VAR60 (),
.VAR39 (1'b1),
.VAR346 (1'b1),
.VAR313 (1'b1),
.VAR50 (1'b1),
.VAR459 (1'b1),
.VAR386 (1'b1),
.VAR137 (1'b1),
.VAR72 (1'b1),
.VAR450 (1'b1),
.VAR302 (1'b1),
.VAR470 (1'b1),
.VAR185 (1'b1),
.VAR372 ()
);
reg [19:0] VAR288 = 20'hfffff;
reg [4:0] VAR201 = 5'h03;
reg [4:0] VAR214 = 5'h00;
reg [15:0] VAR416 = 16'd0;
reg [1:0] VAR374 = 2'b01;
reg VAR447 = 1'b0;
wire VAR64;
reg [3:0] VAR427 = 0;
always @(posedge VAR14) begin
if (VAR47) begin
VAR427 <= 0;
VAR288 <= 20'hfffff;
VAR214 <= 5'h00;
VAR416 <= 16'd0;
VAR447 <= 1'b0;
end else begin
VAR447 <= VAR447 & !VAR64;
if (VAR288 > 0) begin
VAR288 <= VAR288 - 1;
end else if (!VAR64) begin
VAR427 <= VAR427;
end else begin
VAR447 <= 1'b0;
case (VAR427)
4'd0: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h001F;
VAR447 <= 1'b1;
VAR427 <= 4'd1;
end
4'd1: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h0031;
VAR447 <= 1'b1;
VAR427 <= 4'd2;
end
4'd2: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h401F;
VAR447 <= 1'b1;
VAR427 <= 4'd3;
end
4'd3: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h0070;
VAR447 <= 1'b1;
VAR427 <= 4'd4;
end
4'd4: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h001F;
VAR447 <= 1'b1;
VAR427 <= 4'd5;
end
4'd5: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h00D3;
VAR447 <= 1'b1;
VAR427 <= 4'd6;
end
4'd6: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h401F;
VAR447 <= 1'b1;
VAR427 <= 4'd7;
end
4'd7: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h4000;
VAR447 <= 1'b1;
VAR427 <= 4'd8;
end
4'd8: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h001F;
VAR447 <= 1'b1;
VAR427 <= 4'd9;
end
4'd9: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h016F;
VAR447 <= 1'b1;
VAR427 <= 4'd10;
end
4'd10: begin
VAR214 <= 5'h0D;
VAR416 <= 16'h401F;
VAR447 <= 1'b1;
VAR427 <= 4'd11;
end
4'd11: begin
VAR214 <= 5'h0E;
VAR416 <= 16'h0015;
VAR447 <= 1'b1;
VAR427 <= 4'd12;
end
4'd12: begin
VAR427 <= 4'd12;
end
endcase
end
end
end
wire VAR398;
wire VAR153;
wire VAR352;
wire VAR84;
VAR98
VAR321 (
.clk(VAR14),
.rst(VAR47),
.VAR110(VAR201),
.VAR215(VAR214),
.VAR421(VAR416),
.VAR394(VAR374),
.VAR162(VAR447),
.VAR232(VAR64),
.VAR199(),
.VAR393(),
.VAR446(1'b1),
.VAR316(VAR398),
.VAR153(VAR153),
.VAR352(VAR352),
.VAR84(VAR84),
.VAR365(),
.VAR377(8'd3)
);
assign VAR485 = VAR398;
assign VAR153 = VAR418;
assign VAR418 = VAR84 ? 1'VAR167 : VAR352;
wire [7:0] VAR403;
assign VAR261[0] = VAR234[0] ? VAR134 : VAR403[0];
assign VAR261[1] = VAR234[0] ? VAR445 : VAR403[1];
assign VAR261[2] = VAR234[0] ? VAR3 : VAR403[2];
assign VAR261[3] = VAR234[0] ? VAR444 : VAR403[3];
assign VAR261[4] = VAR234[0] ? VAR55 : VAR403[4];
assign VAR261[5] = VAR234[0] ? VAR425 : VAR403[5];
assign VAR261[6] = VAR234[0] ? VAR423 : VAR403[6];
assign VAR261[7] = VAR234[0] ? VAR475 : VAR403[7];
VAR216
VAR375 (
.clk(VAR290),
.rst(VAR464),
.VAR97(VAR38),
.VAR359(VAR366),
.VAR296(VAR203),
.VAR49(VAR264),
.VAR219(VAR469),
.VAR234(VAR142),
.VAR261(VAR403),
.VAR325(VAR318),
.VAR462(VAR94),
.VAR155(VAR226),
.VAR192(VAR157),
.VAR349(VAR122),
.VAR308(VAR151),
.VAR27(VAR161),
.VAR244(VAR168),
.VAR70(VAR4),
.VAR112(VAR392),
.VAR253(VAR339),
.VAR491(VAR283),
.VAR259(VAR76),
.VAR57(VAR455),
.VAR56(VAR289),
.VAR13(VAR194),
.VAR400(VAR36),
.VAR248(VAR170),
.VAR44(VAR370),
.VAR23(VAR40),
.VAR68(VAR285),
.VAR212(VAR126),
.VAR159(VAR93),
.VAR186(VAR266),
.VAR114(VAR133),
.VAR238(VAR95),
.VAR424(VAR241),
.VAR385(VAR111),
.VAR204(VAR348),
.VAR247(VAR149),
.VAR172(VAR205),
.VAR88(VAR176),
.VAR268(VAR242),
.VAR381(VAR251),
.VAR314(VAR405),
.VAR16(VAR417),
.VAR311(VAR121),
.VAR101(VAR312),
.VAR208(VAR426),
.VAR451(VAR63),
.VAR148(VAR294),
.VAR29(VAR41),
.VAR344(VAR343),
.VAR287(VAR220),
.VAR53(VAR273),
.VAR453(VAR246),
.VAR481(VAR303),
.VAR233(VAR209),
.VAR19(VAR200),
.VAR103(VAR145),
.VAR211(VAR351),
.VAR300(VAR307),
.VAR293(VAR206),
.VAR310(VAR350),
.VAR383(VAR364),
.VAR8(VAR15),
.VAR258(VAR437),
.VAR317(VAR280),
.VAR260(VAR245),
.VAR66(VAR401),
.VAR355(VAR18),
.VAR440(VAR413),
.VAR449(VAR229),
.VAR160(VAR345),
.VAR304(VAR89),
.VAR231(VAR361),
.VAR309(VAR105),
.VAR252(VAR299),
.VAR357(VAR75),
.VAR175(VAR218),
.VAR389(VAR291),
.VAR373(VAR43),
.VAR480(VAR422),
.VAR448(VAR448),
.VAR305(VAR305),
.VAR276(VAR334),
.VAR434(VAR434),
.VAR292(VAR292),
.VAR471(VAR335)
);
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/example_design/PIO.v
| 5,825 |
module MODULE1 #(
parameter VAR5 = 64,
parameter VAR17 = VAR5 / 8, parameter VAR9 = 1
)(
input VAR14,
input VAR24,
input VAR7,
input VAR18,
output [VAR5-1:0] VAR29,
output [VAR17-1:0] VAR10,
output VAR19,
output VAR12,
output VAR4,
input [VAR5-1:0] VAR22,
input [VAR17-1:0] VAR23,
input VAR3,
input VAR21,
output VAR15,
input [21:0] VAR16,
input VAR2,
output VAR28,
input [15:0] VAR11
);
wire VAR25;
wire VAR27;
wire VAR8 = VAR7 && !VAR24;
VAR26 #(
.VAR5( VAR5 ),
.VAR17( VAR17 ),
.VAR9( VAR9 )
) VAR6 (
.clk( VAR14 ), .VAR1( VAR8 ),
.VAR18( VAR18 ), .VAR29( VAR29 ), .VAR10( VAR10 ), .VAR19( VAR19 ), .VAR12( VAR12 ), .VAR4( VAR4 ),
.VAR22( VAR22 ), .VAR23( VAR23 ), .VAR3( VAR3 ), .VAR21( VAR21 ), .VAR15( VAR15 ), .VAR16 ( VAR16 ),
.VAR25(VAR25), .VAR27(VAR27),
.VAR11 ( VAR11 ) );
VAR13 #(
.VAR9( VAR9 )
) VAR20 (
.clk( VAR14 ), .VAR1( VAR8 ),
.VAR25( VAR25 ), .VAR27( VAR27 ),
.VAR2( VAR2 ), .VAR28( VAR28 ) );
endmodule
|
lgpl-3.0
|
cpulabs/mist1032isa
|
src/core/execute/execute_div.v
| 2,133 |
module MODULE1(
input wire VAR12,
input wire VAR5,
input wire VAR10,
output wire VAR1,
input wire VAR21,
input wire VAR19,
input wire VAR32,
input wire [4:0] VAR29,
input wire [31:0] VAR2,
input wire [31:0] VAR14,
input wire VAR28,
output wire VAR18,
output wire [31:0] VAR17
);
wire VAR34 = VAR21 && (VAR19 || VAR32) && !VAR28;
wire VAR26;
wire [31:0] VAR4;
wire [31:0] VAR24;
VAR7 VAR16(
.VAR12(VAR12),
.VAR5(VAR5),
.VAR27(VAR10),
.VAR6(),
.VAR8(VAR34),
.VAR33(VAR32),
.VAR30(VAR2),
.VAR22(VAR14),
.VAR11(1'b0),
.VAR31(VAR26),
.VAR20(VAR4),
.VAR23(VAR24)
);
reg VAR15;
localparam VAR9 = 1'b0;
localparam VAR35 = 1'b1;
always@(posedge VAR12 or negedge VAR5)begin
if(!VAR5)begin
VAR15 <= 1'b0;
end
else if(VAR10)begin
VAR15 <= VAR9;
end
else begin
case(VAR15)
VAR9:
begin
if(VAR34)begin
VAR15 <= VAR35;
end
end
VAR35:
begin
if(VAR26)begin
VAR15 <= VAR9;
end
end
endcase
end
end
reg VAR25;
always@(posedge VAR12 or negedge VAR5)begin
if(!VAR5)begin
VAR25 <= 1'b0;
end
else if(VAR10)begin
VAR25 <= 1'b0;
end
else begin
if(VAR15 == VAR9)begin
if(VAR34)begin
VAR25 <= ((VAR19 && VAR29 == VAR13 || VAR32 && VAR29 == VAR3))? 1'b0 : 1'b1; end
end
end
end
assign VAR18 = VAR26;
assign VAR17 = (VAR25)? VAR4 : VAR24;
assign VAR1 = VAR15;
endmodule
|
bsd-2-clause
|
KorotkiyEugene/Netmaker_vc_router_syn_quartus
|
NW_vc_input_port.v
| 6,020 |
module MODULE1(VAR6, VAR2, VAR5, VAR44, select, VAR42, VAR11, VAR33,
VAR16, VAR13,
VAR14, VAR29,
VAR28, VAR40,
VAR37,
VAR22,
clk, VAR20);
parameter VAR3 = 4;
parameter VAR35 = 8;
parameter VAR34 = 0;
parameter VAR36 = 0;
input VAR6, clk, VAR20;
input [VAR3-1:0] VAR2;
input VAR39 VAR5;
output VAR39 VAR42;
output VAR43 VAR11 [VAR3-1:0];
output VAR39 VAR33;
output [VAR3-1:0] VAR37;
output VAR39 VAR22 [VAR3-1:0];
output [VAR3-1:0] VAR13;
input [VAR31(VAR3)-1:0] VAR44;
input [VAR3-1:0] select;
output VAR41 VAR16 [VAR3-1:0];
output [VAR3-1:0] VAR14 [VAR3-1:0];
output [VAR3-1:0] VAR29;
input [VAR3-1:0][VAR3-1:0] VAR28;
input [VAR3-1:0] VAR40;
logic [VAR3-1:0] VAR4 [VAR3-1:0];
logic [VAR3-1:0] VAR29;
VAR39 VAR24, VAR7;
logic [VAR31(VAR3)-1:0] VAR18;
logic VAR1;
logic [VAR3-1:0] VAR10, VAR46;
integer VAR21;
genvar VAR30;
assign VAR18 = VAR32'(VAR23(select));
VAR17 #(.VAR8(VAR35),
.VAR19(VAR3),
.VAR48(1)) VAR12
(.VAR6(VAR6), .VAR2(VAR2), .VAR5(VAR5), .VAR44(VAR44), .select(select),
.VAR42(VAR24), .VAR11(VAR11), .VAR33(VAR33),
.VAR16(VAR16), .VAR13(VAR13),
.VAR37(VAR37),
.VAR22(VAR22),
.clk, .VAR20);
generate
for (VAR30=0; VAR30<VAR3; VAR30++) begin:VAR25
if ((VAR34)&&(!VAR36)) begin
assign VAR14[VAR30] = VAR4[VAR30];
end else begin
assign VAR14[VAR30] = VAR4[VAR30]; end
end
endgenerate
always@(posedge clk) begin
if (!VAR20) begin
for (VAR21=0; VAR21<VAR3; VAR21++) begin
VAR29[VAR21]<=1'b0;
end
end else begin
for (VAR21=0; VAR21<VAR3; VAR21++) begin
if (VAR22[VAR21].VAR38.VAR26 && VAR2[VAR21]) begin
VAR29[VAR21]<=1'b0;
VAR4[VAR21]<='0;
end else begin
if (VAR40[VAR21]) begin
VAR29[VAR21]<=1'b1;
VAR4[VAR21]<=VAR28[VAR21];
end
assert (VAR28[VAR21]!='0) else begin
end
end
end
end
end end
assign VAR1 = |(VAR29 & select);
generate
if (!VAR36) begin
VAR45 VAR47 (.VAR9(VAR24), .VAR27(VAR7), .clk, .VAR20);
VAR15
begin
VAR42 = VAR7;
VAR42.VAR38.VAR44 = (VAR1) ? VAR4[VAR18] : VAR28[VAR18];
end
end
endgenerate
endmodule
|
gpl-2.0
|
EPiCS/reconos
|
designs/microblaze_linux_ml605_minimal_14.7/__xps/DDR3_SDRAM/wiredly.v
| 5,295 |
module MODULE1 # (
parameter VAR11 = 0,
parameter VAR3 = 0,
parameter VAR8 = "VAR13"
)
(
inout VAR6,
inout VAR4,
input reset,
input VAR12
);
reg VAR2;
reg VAR9;
reg VAR10 ;
reg VAR14;
reg VAR5;
assign VAR6 = VAR2;
assign VAR4 = VAR9;
always @
begin
if((VAR5 == 'b1) || (VAR5 == 'b0))
VAR10 <= ~VAR5 ;
end
else
VAR10 <= 'VAR7 ;
end
always @(*) begin
if (!reset) begin
VAR2 <= 1'VAR7;
VAR9 <= 1'VAR7;
VAR14 <= 1'b0;
end else begin
if (VAR14) begin
VAR9 <= 1'VAR7;
if ((VAR8 == "VAR1") & (VAR12))
VAR2 <= #VAR3 VAR10;
end
else
VAR2 <= #VAR3 VAR5;
end else begin
VAR9 <= #VAR11 VAR6;
VAR2 <= 1'VAR7;
end
end
end
always @(VAR6 or VAR4) begin
if (!reset) begin
VAR14 <= 1'b0;
end else if (VAR6 !== VAR2) begin
VAR14 <= 1'b0;
end else if (VAR9 !== VAR4) begin
VAR14 <= 1'b1;
end else begin
VAR14 <= VAR14;
end
end
endmodule
|
gpl-2.0
|
Jawanga/ece385final
|
usb_system/synthesis/submodules/altera_avalon_mm_clock_crossing_bridge.v
| 11,534 |
module MODULE1
parameter VAR39 = 32,
parameter VAR87 = 8,
parameter VAR17 = 10,
parameter VAR50 = 1,
parameter VAR32 = 4,
parameter VAR71 = 4,
parameter VAR30 = 2,
parameter VAR57 = 2,
parameter VAR9 = VAR39 / VAR87
)
(
input VAR91,
input VAR47,
input VAR75,
input VAR22,
output VAR82,
output [VAR39-1:0] VAR12,
output VAR38,
input [VAR50-1:0] VAR64,
input [VAR39-1:0] VAR10,
input [VAR17-1:0] VAR52,
input VAR61,
input VAR15,
input [VAR9-1:0] VAR78,
input VAR46,
input VAR31,
input [VAR39-1:0] VAR34,
input VAR11,
output [VAR50-1:0] VAR1,
output [VAR39-1:0] VAR18,
output [VAR17-1:0] VAR80,
output VAR59,
output VAR63,
output [VAR9-1:0] VAR24,
output VAR69
);
localparam VAR76 = VAR50 + VAR39 + VAR17
+ VAR9
+ 3;
localparam VAR3 = VAR39 / VAR87;
localparam VAR77 = VAR39;
localparam VAR36 = (1 << (VAR50-1));
localparam VAR93 = VAR16(VAR71) + 1;
localparam VAR45 = (VAR36 == 1);
localparam VAR20 = VAR50;
wire [VAR76-1:0] VAR81;
wire [VAR76-1:0] VAR74;
wire VAR25;
wire VAR48;
wire VAR14;
wire VAR49;
wire VAR67;
wire VAR43;
reg [VAR93-1:0] VAR19;
wire [VAR93-1:0] VAR29;
wire VAR83;
reg VAR70;
wire VAR2;
wire VAR33;
reg VAR89;
wire [VAR20-1:0] VAR41;
VAR35
.VAR66 (1),
.VAR84 (VAR76),
.VAR86 (VAR32),
.VAR37 (VAR30),
.VAR72 (VAR57),
.VAR55 (1)
)
VAR8
(
.VAR42 (VAR91),
.VAR54 (~VAR47),
.VAR79 (VAR75),
.VAR88 (~VAR22),
.VAR28 (VAR81),
.VAR90 (VAR25),
.VAR56 (VAR67),
.VAR26 (VAR74),
.VAR58 (VAR48),
.VAR21 (VAR43),
.VAR5 (1'b0),
.VAR62 (1'b0),
.VAR44 ('b0),
.VAR92 ('b0),
.VAR73 ('b0),
.VAR27 ('b0),
.VAR7 ('b0),
.VAR85 ('b0),
.VAR40 (32'b0),
.VAR60 ('b0),
.VAR51 ('b0),
.VAR6 ('b0),
.VAR23 (32'b0)
);
assign VAR82 = ~VAR67;
assign VAR25 = VAR61 | VAR15;
assign VAR81 = {VAR52,
VAR64,
VAR15,
VAR61,
VAR10,
VAR78,
VAR46};
assign {VAR80,
VAR1,
VAR49,
VAR14,
VAR18,
VAR24,
VAR69} = VAR74;
assign VAR43 = ~VAR31 &
~(VAR49 & VAR70 & ~VAR89);
assign VAR59 = VAR14 & VAR48;
assign VAR63 = VAR49 & VAR48 & (~VAR70 | VAR89);
assign VAR2 = VAR63 & ~VAR31;
generate if (VAR45)
begin
always @(posedge VAR75, posedge VAR22) begin
if (VAR22) begin
VAR19 <= 0;
end
else begin
if (VAR2 & VAR11)
VAR19 <= VAR19;
end
else if (VAR11)
VAR19 <= VAR19 - 1;
end
else if (VAR2)
VAR19 <= VAR19 + 1;
end
end
end
else begin
assign VAR41 = VAR1;
always @(posedge VAR75, posedge VAR22) begin
if (VAR22) begin
VAR19 <= 0;
end
else begin
if (VAR2 & VAR11)
VAR19 <= VAR19 +
VAR41 - 1;
end
else if (VAR11)
VAR19 <= VAR19 - 1;
end
else if (VAR2)
VAR19 <= VAR19 +
VAR41;
end
end
end
endgenerate
assign VAR83 = (VAR19 + 2*VAR36) > VAR29;
always @(posedge VAR75, posedge VAR22) begin
if (VAR22) begin
VAR70 <= 1'b0;
VAR89 <= 1'b0;
end
else begin
VAR70 <= VAR83;
VAR89 <= VAR63 & VAR31;
end
end
VAR35
.VAR66 (1),
.VAR84 (VAR77),
.VAR86 (VAR71),
.VAR37 (VAR57),
.VAR72 (VAR30),
.VAR65 (1)
)
VAR4
(
.VAR42 (VAR75),
.VAR54 (~VAR22),
.VAR79 (VAR91),
.VAR88 (~VAR47),
.VAR28 (VAR34),
.VAR90 (VAR11),
.VAR56 (VAR33),
.VAR26 (VAR12),
.VAR58 (VAR38),
.VAR21 (1'b1),
.VAR13 (VAR29),
.VAR5 (1'b0),
.VAR62 (1'b0),
.VAR44 ('b0),
.VAR92 ('b0),
.VAR73 ('b0),
.VAR27 ('b0),
.VAR7 ('b0),
.VAR85 ('b0),
.VAR40 (32'b0),
.VAR60 ('b0),
.VAR51 ('b0),
.VAR6 ('b0),
.VAR23 (32'b0)
);
always @(posedge VAR75) begin
if (~VAR33 & VAR11) begin
end
if (VAR19 > VAR29) begin
end
end
function integer VAR16;
input integer VAR68;
integer VAR53;
begin
VAR53 = 1;
VAR16 = 0;
while (VAR53 < VAR68) begin
VAR16 = VAR16 + 1;
VAR53 = VAR53 << 1;
end
end
endfunction
endmodule
|
apache-2.0
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6t_CKINVDC_RVT_TT_210930.v
| 11,788 |
module MODULE1 (VAR2, VAR1);
output VAR2;
input VAR1;
not (VAR2, VAR1);
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nor2/sky130_fd_sc_hd__nor2.functional.pp.v
| 1,783 |
module MODULE1 (
VAR3 ,
VAR1 ,
VAR10 ,
VAR11,
VAR8,
VAR12 ,
VAR13
);
output VAR3 ;
input VAR1 ;
input VAR10 ;
input VAR11;
input VAR8;
input VAR12 ;
input VAR13 ;
wire VAR9 ;
wire VAR2;
nor VAR6 (VAR9 , VAR1, VAR10 );
VAR5 VAR4 (VAR2, VAR9, VAR11, VAR8);
buf VAR7 (VAR3 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a222oi/sky130_fd_sc_ms__a222oi.behavioral.v
| 1,831 |
module MODULE1 (
VAR4 ,
VAR20,
VAR15,
VAR19,
VAR12,
VAR7,
VAR8
);
output VAR4 ;
input VAR20;
input VAR15;
input VAR19;
input VAR12;
input VAR7;
input VAR8;
supply1 VAR11;
supply0 VAR10;
supply1 VAR2 ;
supply0 VAR16 ;
wire VAR5 ;
wire VAR17 ;
wire VAR18 ;
wire VAR1;
nand VAR13 (VAR5 , VAR15, VAR20 );
nand VAR3 (VAR17 , VAR12, VAR19 );
nand VAR9 (VAR18 , VAR8, VAR7 );
and VAR14 (VAR1, VAR5, VAR17, VAR18);
buf VAR6 (VAR4 , VAR1 );
endmodule
|
apache-2.0
|
jaruiz/ION
|
src/rtl/mcu.v
| 3,149 |
module MODULE1 # (
parameter VAR26 = 1024
)
(
input VAR18,
input VAR23,
input [31:0] VAR20,
output reg [31:0] VAR6
);
wire [31:0] VAR9;
wire [ 1:0] VAR2;
reg VAR10;
reg [ 1:0] VAR4;
reg [31:0] VAR3;
wire [31:0] VAR11;
wire [ 1:0] VAR22;
wire [ 2:0] VAR14;
reg VAR7;
reg [ 1:0] VAR27;
reg [31:0] VAR17;
wire [31:0] VAR1;
wire VAR19;
reg VAR15;
reg [ 1:0] VAR25;
reg [31:0] VAR8;
reg [31:0] VAR16;
reg [31:0] VAR12;
reg [ 4:0] VAR13;
reg [31:0] VAR21 [0:VAR26-1];
reg [10:0] VAR24;
reg [31:0] VAR5;
|
lgpl-3.0
|
danbone/core
|
riscv_core.v
| 3,512 |
module MODULE1 (
input clk,
input VAR10,
output [VAR4-1:0] VAR22,
input [VAR11-1:0] VAR16,
output [VAR4-1:0] VAR15,
output VAR29,
output VAR19,
output [(VAR17/8)-1:0] VAR14,
output [VAR17-1:0] VAR27,
input [VAR17-1:0] VAR5,
output VAR13
);
localparam VAR7 = 7'b0010011;
localparam VAR8 = 7'b0110111;
localparam VAR1 = 7'b0010111;
localparam VAR2 = 7'b0110011;
localparam VAR28 = 7'b1101111;
localparam VAR24 = 7'b1100111;
localparam VAR23 = 7'b1100011;
localparam VAR26 = 7'b0000011;
localparam VAR25 = 7'b0100011;
localparam VAR9 = 7'b0001111;
localparam VAR12 = 7'b1110011;
reg [VAR4-1:0] VAR3;
reg [VAR4-1:0] VAR21 [0:31];
reg [VAR4-1:0] VAR30;
reg VAR20;
reg VAR6;
reg [VAR4-1:0] VAR18;
|
mit
|
mballance/wb_dma
|
rtl/wb_dma_ch_rf.v
| 16,992 |
module MODULE2( clk, rst,
VAR39, VAR76, VAR55, VAR66, VAR86, VAR71,
VAR47, VAR36, VAR2, VAR64, VAR4, int,
VAR73, VAR35, VAR51, VAR54,
VAR8, VAR44,
VAR46, VAR34, VAR69, VAR41,
VAR6, VAR24, VAR45, VAR43,
VAR20, VAR32, VAR1, VAR85,
VAR17, VAR74,
VAR83
);
parameter [4:0] VAR11 = 5'h0; parameter [0:0] VAR52 = 1'b1; parameter [0:0] VAR77 = 1'b1; parameter [0:0] VAR15 = 1'b1; parameter [0:0] VAR63= 1'b1;
input clk, rst;
output [31:0] VAR39;
output [31:0] VAR76;
output [31:0] VAR55;
output [31:0] VAR66;
output [31:0] VAR86;
output [31:0] VAR71;
output [31:0] VAR47;
output [31:0] VAR36;
output [31:0] VAR2;
output VAR64;
output VAR4;
output int;
input [31:0] VAR73;
input [7:0] VAR35;
input VAR51;
input VAR54;
input [4:0] VAR8;
input VAR44;
input VAR46, VAR34, VAR69, VAR41;
input [31:0] VAR6;
input [11:0] VAR24;
input [31:0] VAR45;
input [31:0] VAR43;
input VAR20, VAR32, VAR1, VAR85, VAR83;
input VAR17;
input VAR74;
wire [31:0] VAR39;
reg [27:0] VAR31;
reg [27:0] VAR53;
reg [31:2] VAR31;
reg [31:2] VAR53;
reg VAR13;
reg VAR37;
wire [31:0] VAR55, VAR66;
reg [8:0] VAR18;
reg [2:0] VAR80;
reg [2:0] VAR68;
reg [2:0] VAR27;
reg VAR25;
reg VAR64;
reg VAR57;
reg VAR29;
reg VAR65;
reg VAR14;
reg [10:0] VAR72;
reg [11:0] VAR84;
reg [22:0] VAR21;
reg VAR48;
wire [31:0] VAR86, VAR71;
reg [29:0] VAR81, VAR38;
wire [31:0] VAR47, VAR36;
reg [27:0] VAR23, VAR22;
reg [29:0] VAR10, VAR12;
reg [29:0] VAR3;
wire VAR9;
wire [28:0] VAR70;
reg VAR4;
wire VAR30;
wire VAR56;
wire VAR61, VAR82, VAR59, VAR67, VAR50;
wire VAR62, VAR16;
reg VAR19;
wire VAR78;
wire VAR58;
wire VAR87;
wire VAR60, VAR79, VAR28, VAR88;
wire VAR49;
wire VAR5;
assign VAR86 = VAR52 ? {VAR81, 2'h0} : 32'h0;
assign VAR71 = VAR52 ? {VAR38, 2'h0} : 32'h0;
assign VAR47 = (VAR52 & VAR63) ? {VAR23, 4'h0} : 32'hfffffff0;
assign VAR36 = (VAR52 & VAR63) ? {VAR22, 4'h0} : 32'hfffffff0;
assign VAR2 = (VAR52 & VAR63) ? {VAR3,2'h0} : 32'h0;
assign VAR39 = VAR52 ? {VAR31, 3'h0, VAR13} : 32'h0;
assign VAR76 = VAR52 ? {VAR53, 4'h0} : 32'h0;
assign VAR39 = VAR52 ? {VAR31, 1'h0, VAR13} : 32'h0;
assign VAR76 = VAR52 ? {VAR53, 2'h0} : 32'h0;
assign VAR55 = VAR52 ? {9'h0, VAR27, VAR68, VAR14, VAR80,
VAR65, VAR29, VAR57, 1'b0, VAR18[8:1], VAR30} : 32'h0;
assign VAR66 = VAR52 ? {5'h0, VAR72, VAR48, 3'h0, VAR84} : 32'h0;
assign VAR30 = VAR52 ? (VAR18[VAR40] & (VAR63 ? !VAR4 : 1'b1) ) : 1'b0;
parameter [4:0] VAR75 = VAR11 + 5'h1;
assign VAR61 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h0);
assign VAR82 = VAR52 & VAR54 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h0);
assign VAR59 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h1);
assign VAR67 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h2);
assign VAR62 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h3);
assign VAR50 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h4);
assign VAR16 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h5);
assign VAR56 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h6);
assign VAR9 = VAR52 & VAR51 & (VAR35[7:3] == VAR75) & (VAR35[2:0] == 3'h7);
assign VAR78 = VAR52 & (((VAR8==VAR11) & VAR41) | VAR44) &
(VAR55[VAR7] ? VAR37 : !VAR55[VAR26]);
assign VAR87 = VAR52 & (VAR8==VAR11) & VAR69;
assign VAR58 = VAR52 & (VAR8==VAR11) & VAR34;
assign VAR60 = VAR52 & VAR20 & (VAR8==VAR11);
assign VAR79 = VAR52 & VAR32 & (VAR8==VAR11);
assign VAR28 = VAR52 & VAR1 & (VAR8==VAR11);
assign VAR88 = VAR52 & VAR85 & (VAR8==VAR11);
assign VAR5 = VAR52 & ((VAR8==VAR11) & VAR41) | VAR44;
assign VAR49 = VAR52 & VAR83 & (VAR8==VAR11);
always @(posedge clk)
VAR19 <= VAR52 & VAR77 & (
(VAR14 & VAR74) |
((VAR8==VAR11) & VAR41 & VAR55[VAR26] & !VAR55[VAR7])
);
always @(posedge clk or negedge rst)
if(!rst) VAR13 <= 1'b0;
else
if(VAR52 & VAR15)
begin
if( VAR49 | (VAR14 & VAR74) )
VAR13 <= 1'b1;
end
else
if(VAR5) VAR13 <= 1'b0;
end
else VAR13 <= 1'b0;
always @(posedge clk or negedge rst)
if(!rst) VAR37 <= 1'b0;
else
if(VAR52 & VAR15)
begin
if(VAR60) VAR37 <= VAR6[VAR42];
end
else
if(VAR78) VAR37 <= 1'b0;
end
else VAR37 <= 1'b0;
always @(posedge clk)
if(VAR52 & VAR15)
begin
if(VAR56) VAR31 <= VAR73[31:4];
if(VAR56) VAR31 <= VAR73[31:2];
end
else
if (VAR49) VAR31 <= VAR6[31:4];
if (VAR49) VAR31 <= VAR6[31:2];
end
else VAR31 <= 1'b0;
always @(posedge clk)
if(VAR52 & VAR15)
begin
if(VAR49) VAR53 <= VAR31;
end
else VAR53 <= 1'b0;
always @(posedge clk or negedge rst)
if(!rst) VAR18 <= 1'b0;
else
if(VAR52)
begin
if(VAR61) VAR18 <= VAR73[8:0];
end
else
begin
if(VAR78) VAR18[VAR40] <= 1'b0;
if(VAR60) VAR18[4:1] <= VAR6[19:16];
end
end
always @(posedge clk or negedge rst)
if(!rst) VAR29 <= 1'b0;
else
if(VAR52)
begin
if(VAR61) VAR29 <= !VAR73[VAR40];
end
else
if(VAR78) VAR29 <= 1'b1;
end
always @(posedge clk)
VAR57 <= VAR52 & (VAR8==VAR11) & VAR46;
always @(posedge clk)
VAR64 <= VAR52 & VAR61 & VAR73[VAR33];
always @(posedge clk or negedge rst)
if(!rst) VAR65 <= 1'b0;
else
if(VAR52)
begin
if(VAR58) VAR65 <= 1'b1;
end
else
if(VAR82) VAR65 <= 1'b0;
end
always @(posedge clk or negedge rst)
if(!rst) VAR80 <= 3'h0;
else
if(VAR52 & VAR61) VAR80 <= VAR73[15:13];
always @(posedge clk or negedge rst)
if(!rst) VAR14 <= 1'b0;
else
if(VAR52 & VAR61) VAR14 <= VAR73[16];
always @(posedge clk or negedge rst)
if(!rst) VAR68 <= 3'h0;
else
if(VAR52 & VAR61) VAR68 <= VAR73[19:17];
always @(posedge clk or negedge rst)
if(!rst) VAR27[2] <= 1'b0;
else
if(VAR52)
begin
if(VAR87) VAR27[2] <= 1'b1;
end
else
if(VAR82) VAR27[2] <= 1'b0;
end
always @(posedge clk or negedge rst)
if(!rst) VAR27[1] <= 1'b0;
else
if(VAR52)
begin
if(VAR78) VAR27[1] <= 1'b1;
end
else
if(VAR82) VAR27[1] <= 1'b0;
else
if(VAR19) VAR27[1] <= 1'b0;
end
always @(posedge clk or negedge rst)
if(!rst) VAR27[0] <= 1'b0;
else
if(VAR52)
begin
if(VAR58) VAR27[0] <= 1'b1;
end
else
if(VAR82) VAR27[0] <= 1'b0;
end
assign int = |(VAR27 & VAR68) & VAR52;
always @(posedge clk)
if(VAR52)
begin
if(VAR59)
{VAR72, VAR84} <= {VAR73[26:16], VAR73[11:0]};
end
else
if(VAR79)
VAR84 <= VAR24;
else
if(VAR19)
{VAR72, VAR84} <= VAR21;
end
always @(posedge clk)
if(VAR52 & VAR77)
begin
if(VAR59) VAR21 <= {VAR73[26:16], VAR73[11:0]};
end
else
if(VAR14 & VAR79 & VAR17)
VAR21[11:0] <= VAR24[11:0];
end
always @(posedge clk)
if(VAR52)
begin
if(VAR59) VAR48 <= VAR73[15];
end
always @(posedge clk)
if(VAR52)
begin
if(VAR67) VAR81 <= VAR73[31:2];
end
else
if(VAR28) VAR81 <= VAR45[31:2];
else
if(VAR19) VAR81 <= VAR10;
end
always @(posedge clk)
if(VAR52 & VAR77)
begin
if(VAR67) VAR10 <= VAR73[31:2];
end
else
if(VAR14 & VAR28 & VAR17)
VAR10 <= VAR45[31:2];
end
always @(posedge clk or negedge rst)
if(!rst) VAR23 <= 28'hfffffff;
else
if(VAR62) VAR23 <= VAR73[31:4];
always @(posedge clk)
if(VAR52)
begin
if(VAR50) VAR38 <= VAR73[31:2];
end
else
if(VAR88) VAR38 <= VAR43[31:2];
else
if(VAR19) VAR38 <= VAR12;
end
always @(posedge clk)
if(VAR52 & VAR77)
begin
if(VAR50) VAR12 <= VAR73[31:2];
end
else
if(VAR14 & VAR88 & VAR17)
VAR12 <= VAR43[31:2];
end
always @(posedge clk or negedge rst)
if(!rst) VAR22 <= 28'hfffffff;
else
if(VAR16 & VAR52 & VAR63) VAR22 <= VAR73[31:4];
always @(posedge clk or negedge rst)
if(!rst) VAR3 <= 28'h0;
else
if(VAR9 & VAR52 & VAR63) VAR3 <= VAR73[31:4];
assign VAR70 = VAR55[2] ? VAR71[30:2] : VAR86[30:2];
always @(posedge clk)
VAR4 <= VAR52 & VAR63 & (VAR2[30:2] == VAR70) & VAR2[31];
endmodule
module MODULE1(clk, rst,
VAR39, VAR76, VAR55, VAR66, VAR86, VAR71,
VAR47, VAR36, VAR2, VAR64, VAR4, int,
VAR73, VAR35, VAR51, VAR54,
VAR8, VAR44,
VAR46, VAR34, VAR69, VAR41,
VAR6, VAR24, VAR45, VAR43,
VAR20, VAR32, VAR1, VAR85,
VAR17, VAR74,
VAR83
);
parameter VAR11 = 0;
parameter VAR77 = 1;
parameter VAR15 = 1;
parameter VAR63= 1;
input clk, rst;
output [31:0] VAR39;
output [31:0] VAR76;
output [31:0] VAR55;
output [31:0] VAR66;
output [31:0] VAR86;
output [31:0] VAR71;
output [31:0] VAR47;
output [31:0] VAR36;
output [31:0] VAR2;
output VAR64;
output VAR4;
output int;
input [31:0] VAR73;
input [7:0] VAR35;
input VAR51;
input VAR54;
input [4:0] VAR8;
input VAR44;
input VAR46, VAR34, VAR69, VAR41;
input [31:0] VAR6;
input [11:0] VAR24;
input [31:0] VAR45;
input [31:0] VAR43;
input VAR20, VAR32, VAR1, VAR85, VAR83;
input VAR17;
input VAR74;
assign VAR39 = 32'h0;
assign VAR76 = 32'h0;
assign VAR55 = 32'h0;
assign VAR66 = 32'h0;
assign VAR86 = 32'h0;
assign VAR71 = 32'h0;
assign VAR47 = 32'h0;
assign VAR36 = 32'h0;
assign VAR2 = 32'h0;
assign VAR64 = 1'b0;
assign VAR4 = 1'b0;
assign int = 1'b0;
endmodule
|
apache-2.0
|
sharebrained/medusa
|
hdl/medusa_cape/top.v
| 12,376 |
module MODULE1 (
input VAR119,
input [23:0] VAR48,
input VAR120,
input VAR43,
input VAR17,
input VAR34,
output [31:0] VAR77,
output [7:0] VAR33,
output VAR51,
input VAR24,
output VAR31,
output VAR63
);
parameter VAR94 = 0,
VAR36 = 1;
parameter VAR72 = VAR36;
wire rst;
VAR130 VAR62 (
.clk(VAR119),
.rst(rst)
);
assign VAR51 = !rst;
assign VAR33 = 8'b00000000;
reg [31:0] VAR122;
always @(posedge VAR119) begin
VAR122 <= VAR122 + 1;
end
assign VAR31 = VAR122[24];
reg [31:0] VAR74;
always @(posedge VAR120) begin
VAR74 <= VAR74 + 1;
end
assign VAR63 = VAR74[24];
wire VAR108 = VAR119;
wire VAR81;
wire [11:0] VAR124;
wire [11:0] VAR45;
wire [23:0] VAR60;
wire VAR35;
wire [23:0] VAR65;
wire [23:0] VAR8 = {
VAR48[15:11], VAR48[15:13],
VAR48[10: 5], VAR48[10: 9],
VAR48[ 4: 0], VAR48[ 4: 2]
};
wire [23:0] VAR100 = {
VAR48[ 4: 0], VAR48[16], VAR48[18], VAR48[21],
VAR48[10: 5], VAR48[19], VAR48[22],
VAR48[15:11], VAR48[17], VAR48[20], VAR48[23]
};
assign VAR65 = (VAR72 == VAR36) ? VAR100 : VAR8;
VAR95 VAR95 (
.rst(rst),
.VAR83(VAR65),
.VAR52(VAR120),
.VAR53(VAR43),
.VAR47(VAR17),
.VAR116(VAR34),
.VAR20(VAR81),
.VAR4(VAR124),
.VAR32(VAR45),
.VAR114(VAR60),
.VAR80(VAR35)
);
wire [23:0] VAR26;
VAR70 VAR12 (
.VAR118(VAR60[23:16]),
.VAR46(VAR26[23:16])
);
VAR70 VAR104 (
.VAR118(VAR60[15: 8]),
.VAR46(VAR26[15: 8])
);
VAR70 VAR117 (
.VAR118(VAR60[ 7: 0]),
.VAR46(VAR26[ 7: 0])
);
wire [7:0] VAR6 = VAR26[23:16];
wire [7:0] VAR59 = VAR26[15: 8];
wire [7:0] VAR2 = VAR26[ 7: 0];
wire [9:0] VAR49;
wire VAR15;
VAR71 #(.VAR89(0)) VAR112 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR49),
.VAR68(VAR15)
);
VAR75 #(.VAR113(237)) VAR57 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR49),
.VAR41(VAR15),
.VAR42(VAR108),
.VAR93(VAR77[0])
);
wire [9:0] VAR123;
wire VAR79;
VAR71 #(.VAR89(1)) VAR44 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR123),
.VAR68(VAR79)
);
VAR75 #(.VAR113(237)) VAR1 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR123),
.VAR41(VAR79),
.VAR42(VAR108),
.VAR93(VAR77[1])
);
wire [9:0] VAR11;
wire VAR92;
VAR30 #(.VAR56(34), .VAR82(101), .VAR55(112), .VAR7(36), .VAR129(110)) VAR126 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR11),
.VAR68(VAR92)
);
VAR75 #(.VAR113(320)) VAR106 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR11),
.VAR41(VAR92),
.VAR42(VAR108),
.VAR93(VAR77[4])
);
wire [9:0] VAR58;
wire VAR38;
VAR30 #(.VAR56(35), .VAR82(102), .VAR55(112), .VAR7(36), .VAR129(111)) VAR50 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR58),
.VAR68(VAR38)
);
VAR75 #(.VAR113(320)) VAR19 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR58),
.VAR41(VAR38),
.VAR42(VAR108),
.VAR93(VAR77[5])
);
wire [9:0] VAR128;
wire VAR99;
VAR71 #(.VAR89(134)) VAR76 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR128),
.VAR68(VAR99)
);
VAR75 #(.VAR113(237)) VAR109 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR128),
.VAR41(VAR99),
.VAR42(VAR108),
.VAR93(VAR77[6])
);
wire [9:0] VAR61;
wire VAR78;
VAR71 #(.VAR89(135)) VAR91 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR61),
.VAR68(VAR78)
);
VAR75 #(.VAR113(237)) VAR127 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR61),
.VAR41(VAR78),
.VAR42(VAR108),
.VAR93(VAR77[7])
);
wire [9:0] VAR5;
wire VAR110;
VAR71 #(.VAR89(229)) VAR67 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR5),
.VAR68(VAR110)
);
VAR75 #(.VAR113(237)) VAR25 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR5),
.VAR41(VAR110),
.VAR42(VAR108),
.VAR93(VAR77[8])
);
wire [9:0] VAR105;
wire VAR39;
VAR71 #(.VAR89(230)) VAR3 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR105),
.VAR68(VAR39)
);
VAR75 #(.VAR113(237)) VAR85 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR105),
.VAR41(VAR39),
.VAR42(VAR108),
.VAR93(VAR77[9])
);
wire [9:0] VAR97;
wire VAR28;
VAR30 #(.VAR56(263), .VAR82(330), .VAR55(112), .VAR7(265), .VAR129(110)) VAR98 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR97),
.VAR68(VAR28)
);
VAR75 #(.VAR113(320), .VAR13(1)) VAR101 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR97),
.VAR41(VAR28),
.VAR42(VAR108),
.VAR93(VAR77[10])
);
wire [9:0] VAR64;
wire VAR16;
VAR30 #(.VAR56(264), .VAR82(331), .VAR55(112), .VAR7(265), .VAR129(111)) VAR90 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR64),
.VAR68(VAR16)
);
VAR75 #(.VAR113(320), .VAR13(1)) VAR22 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR64),
.VAR41(VAR16),
.VAR42(VAR108),
.VAR93(VAR77[11])
);
wire [9:0] VAR102;
wire VAR84;
VAR30 #(.VAR56(361), .VAR82(428), .VAR55(112), .VAR7(363), .VAR129(110)) VAR27 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR102),
.VAR68(VAR84)
);
VAR75 #(.VAR113(320), .VAR13(1)) VAR115 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR102),
.VAR41(VAR84),
.VAR42(VAR108),
.VAR93(VAR77[12])
);
wire [9:0] VAR40;
wire VAR9;
VAR30 #(.VAR56(362), .VAR82(429), .VAR55(112), .VAR7(363), .VAR129(111)) VAR18 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR40),
.VAR68(VAR9)
);
VAR75 #(.VAR113(320), .VAR13(1)) VAR69 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR40),
.VAR41(VAR9),
.VAR42(VAR108),
.VAR93(VAR77[13])
);
wire [9:0] VAR21;
wire VAR54;
VAR71 #(.VAR89(462)) VAR87 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR21),
.VAR68(VAR54)
);
VAR75 #(.VAR113(237)) VAR73 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR21),
.VAR41(VAR54),
.VAR42(VAR108),
.VAR93(VAR77[16])
);
wire [9:0] VAR10;
wire VAR107;
VAR71 #(.VAR89(463)) VAR23 (
.VAR86(VAR81),
.VAR96(VAR124),
.VAR88(VAR45),
.VAR29(VAR35),
.VAR125(VAR10),
.VAR68(VAR107)
);
VAR75 #(.VAR113(237)) VAR103 (
.VAR37(rst),
.VAR86(VAR81),
.VAR121(VAR6),
.VAR111(VAR59),
.VAR66(VAR2),
.VAR14(VAR10),
.VAR41(VAR107),
.VAR42(VAR108),
.VAR93(VAR77[17])
);
assign VAR77[31:18] = 0;
assign VAR77[15:14] = 0;
assign VAR77[ 3: 2] = 0;
endmodule
|
gpl-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/reorder_queue_output.v
| 10,586 |
module MODULE1 #(
parameter VAR54 = 9'd128,
parameter VAR5 = 4'd12,
parameter VAR41 = 5, parameter VAR44 = 8, parameter VAR9 = 5, parameter VAR39 = 10, parameter VAR4 = VAR54/32,
parameter VAR51 = VAR7(VAR4),
parameter VAR34 = VAR7(VAR4+1),
parameter VAR53 = 2**VAR41
)
(
input VAR46, input VAR22, output [VAR39-1:0] VAR35, input [VAR54-1:0] VAR13, input [VAR53-1:0] VAR40, output [VAR53-1:0] VAR36, output [VAR41-1:0] VAR42, input [5:0] VAR37, input [VAR44-1:0] VAR18, input VAR25, input VAR11, input VAR20, input VAR14,
output [VAR54-1:0] VAR26, output [(VAR5*VAR34)-1:0] VAR30, output [VAR5-1:0] VAR24, output [VAR5-1:0] VAR49, output [(VAR5*VAR34)-1:0] VAR17, output [VAR5-1:0] VAR38, output [VAR5-1:0] VAR8, output [(VAR5*VAR34)-1:0] VAR23, output [VAR5-1:0] VAR28, output [VAR5-1:0] VAR16 );
reg [1:0] VAR45=0;
reg [VAR39-1:0] VAR3=0;
reg [VAR54-1:0] VAR19=0;
reg VAR47=0;
reg [VAR53-1:0] VAR33=0;
reg [VAR41-1:0] VAR48=0;
reg [VAR41-1:0] VAR15=0;
wire [VAR41-1:0] VAR27 = VAR48 + 1'd1;
reg [5:0] VAR29;
reg VAR21=0;
reg VAR6=0;
reg VAR32=0;
reg VAR31=0;
reg [VAR34-1:0] VAR52=0;
reg [VAR44-1:0] VAR10=0;
reg VAR1=0;
reg [VAR54-1:0] VAR2={VAR54{1'b0}};
reg [(3*16*VAR34)-1:0] VAR43={3*16*VAR34{1'd0}};
reg [(3*16)-1:0] VAR12={3*16{1'd0}};
reg [(3*16)-1:0] VAR50={3*16{1'd0}};
assign VAR35 = VAR3;
assign VAR42 = VAR48;
assign VAR36 = VAR33;
assign VAR26 = VAR2;
assign VAR30 = VAR43[(0*16*VAR34) +:(VAR5*VAR34)];
assign VAR24 = VAR12[(0*16) +:VAR5];
assign VAR49 = VAR50[(0*16) +:VAR5];
assign VAR17 = VAR43[(1*16*VAR34) +:(VAR5*VAR34)];
assign VAR38 = VAR12[(1*16) +:VAR5];
assign VAR8 = VAR50[(1*16) +:VAR5];
assign VAR23 = VAR43[(2*16*VAR34) +:(VAR5*VAR34)];
assign VAR28 = VAR12[(2*16) +:VAR5];
assign VAR16 = VAR50[(2*16) +:VAR5];
always @ (posedge VAR46) begin
if (VAR22) begin
VAR45 <= 0;
VAR48 <= 0;
VAR3 <= 0;
VAR21 <= 0;
VAR32 <= 0;
VAR52 <= 0;
VAR33 <= 0;
VAR47 <= 0;
VAR29 <= 0; end
else begin
VAR47 <= VAR40[VAR48];
case (VAR45)
2'd0: begin VAR21 <= 0;
VAR32 <= 0;
VAR52 <= 0;
VAR33 <= 0;
if (VAR47) begin
VAR48 <= VAR27;
VAR15 <= VAR48;
VAR3 <= VAR3 + 1'd1;
VAR45 <= 2'd2;
end
else begin
VAR45 <= 2'd0;
end
end
2'd1: begin VAR21 <= VAR6;
VAR32 <= VAR31;
VAR52 <= VAR10[VAR34-1:0];
VAR33 <= 1<<VAR15; if (VAR47) begin
VAR48 <= VAR27;
VAR15 <= VAR48;
VAR3 <= VAR3 + 1'd1;
VAR45 <= 2'd2;
end
else begin
VAR45 <= 2'd0;
end
end
2'd2: begin VAR29 <= VAR37;
VAR6 <= VAR20;
VAR31 <= VAR14;
VAR10 <= VAR18 - VAR4[VAR51:0];
VAR1 <= (VAR18 <= (VAR4*3));
if (VAR25) begin VAR21 <= VAR20;
VAR32 <= VAR14;
VAR52 <= VAR18[VAR34-1:0];
VAR33 <= 1<<VAR15; VAR3 <= VAR48<<VAR9; VAR45 <= 2'd0;
end
else if (VAR11) begin VAR21 <= 0;
VAR32 <= 0;
VAR52 <= VAR4[VAR51:0];
VAR33 <= 0;
VAR3 <= VAR48<<VAR9; VAR45 <= 2'd1;
end
else begin VAR21 <= 0;
VAR32 <= 0;
VAR52 <= VAR4[VAR51:0];
VAR33 <= 0;
VAR3 <= VAR3 + 1'd1;
VAR45 <= 2'd3;
end
end
2'd3: begin VAR21 <= 0;
VAR32 <= 0;
VAR52 <= VAR4[VAR51:0];
VAR10 <= VAR10 - VAR4[VAR51:0];
VAR1 <= (VAR10 <= (VAR4*3));
if (VAR1) begin VAR3 <= VAR48<<VAR9; VAR45 <= 2'd1;
end
else begin VAR3 <= VAR3 + 1'd1;
VAR45 <= 2'd3;
end
end
endcase
end
end
always @ (posedge VAR46) begin
VAR19 <= VAR13;
VAR2 <= VAR19;
if (VAR22) begin
VAR43 <= 0;
VAR12 <= 0;
VAR50 <= 0;
end
else begin
VAR43 <= VAR52<<(VAR34*VAR29);
VAR12 <= (VAR21 | VAR32)<<VAR29;
VAR50 <= VAR32<<VAR29;
end
end
endmodule
|
gpl-3.0
|
alonso193/proyecto1
|
BloqueDATA.v
| 8,639 |
module MODULE1(
input wire VAR37,
input wire VAR65,
input wire VAR8,
input wire [15:0] VAR11,
input wire VAR61,
input wire [3:0] VAR45,
input wire VAR51,
input wire VAR41,
input wire VAR16,
input wire [31:0] VAR68,
input wire VAR59,
input wire VAR43,
output wire VAR60,
output wire VAR39,
output wire [31:0] VAR3,
output wire VAR50,
output wire VAR23,
output wire VAR35,
output wire VAR34,
output wire VAR4
);
wire VAR44;
wire VAR19;
wire VAR40;
wire VAR22;
wire VAR12;
wire VAR10;
wire VAR15;
wire [3:0] VAR26;
wire [15:0] VAR49;
wire VAR1;
wire VAR57;
wire VAR55;
wire VAR21;
wire [31:0] VAR52;
wire VAR29;
wire VAR25;
wire VAR36;
wire VAR58;
wire [31:0] VAR14;
VAR53 VAR56(
.VAR37(VAR37),
.VAR8(VAR8),
.VAR61(VAR61),
.VAR45(VAR45),
.VAR51(VAR51),
.VAR31(VAR41),
.VAR11(VAR11),
.VAR20(VAR59),
.VAR44(VAR44),
.VAR19(VAR19),
.VAR40(VAR40),
.VAR22(VAR22),
.VAR67(VAR16),
.VAR50(VAR50),
.VAR10(VAR10),
.VAR15(VAR15),
.VAR26(VAR26),
.VAR49(VAR49),
.VAR1(VAR1),
.VAR57(VAR57),
.VAR55(VAR55)
);
VAR62 VAR13(
.VAR65(VAR65),
.VAR8(VAR8),
.VAR47(VAR10),
.VAR48(VAR15),
.VAR33(VAR49),
.VAR26(VAR26),
.VAR64(VAR1),
.VAR57(VAR57),
.VAR46(VAR55),
.VAR25(VAR25),
.VAR21(VAR21),
.VAR52(VAR52),
.VAR68(VAR68),
.VAR44(VAR44),
.VAR40(VAR40),
.VAR42(VAR22),
.VAR30(VAR19),
.VAR36(VAR36),
.VAR58(VAR58),
.VAR29(VAR29),
.VAR14(VAR14),
.VAR34(VAR34),
.VAR4(VAR4),
.VAR60(VAR60),
.VAR39(VAR39),
.VAR3(VAR3),
.VAR23(VAR23)
);
MODULE3 MODULE1(
.VAR7(VAR58),
.VAR32(VAR36),
.VAR65(VAR65),
.VAR18(VAR14),
.VAR2(VAR35),
.VAR24(VAR25)
);
MODULE2 #(32) VAR54(
.VAR6(VAR43),
.VAR38(VAR29),
.VAR65(VAR65),
.VAR8(VAR8),
.VAR5(VAR21),
.VAR27(VAR52)
);
endmodule
module MODULE3 (
input wire VAR7,
input wire VAR32,
input wire VAR65,
input wire [31:0] VAR18,
output reg VAR2,
output reg VAR24
);
reg [8:0]VAR63 = 0;
always @ ( posedge VAR65 ) begin
if (~VAR32) begin
VAR2 <= 0;
VAR63 <= 0;
VAR24 <= 0;
end else begin
if (VAR7 == 1) begin
if (VAR63 == 32) begin
VAR24 <= 1;
VAR63 <= 0;
end else begin
VAR24 <= 0;
VAR2 = VAR18[31 - VAR63];
VAR63 <= VAR63 + 1;
end
end else begin
VAR2 <= 0;
end
end
end
endmodule
module MODULE2 # (parameter VAR28 = VAR66)
(
input wire VAR6,
input wire VAR38,
input wire VAR65,
input wire VAR8,
output reg VAR5,
output reg [VAR28 - 1:0] VAR27
);
reg [8:0] VAR63;
always @ (posedge VAR65 or negedge VAR8)
begin
if (~VAR8)
begin
VAR27 <= 0;
VAR5 <= 0;
VAR63 <= 0;
end
else
begin
if (VAR38)
begin
if (VAR63 == VAR28)
begin
VAR5 <= 1;
VAR63 <= 0;
end
else
begin
VAR5 <= 0;
VAR27 <= {VAR6, VAR27[VAR28-1:1]};
VAR63 <= VAR63 + 1;
end
end
else
begin
VAR27 <= 0;
end
end
end
endmodule VAR9
|
gpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_gt_channel_1.v
| 19,987 |
module MODULE1 (
rst,
VAR446,
VAR260,
VAR179,
VAR160,
VAR121,
VAR366,
VAR253,
VAR293,
VAR254,
VAR250,
VAR233,
VAR140,
VAR105,
VAR356,
VAR421,
VAR131,
VAR202,
VAR461,
VAR148,
VAR146,
VAR324,
VAR387,
VAR149,
VAR311,
VAR81,
VAR50,
VAR103,
VAR142,
VAR51,
VAR203,
VAR9,
VAR215,
VAR439,
VAR188,
VAR134,
VAR8,
VAR473);
parameter VAR474 = 2;
parameter VAR272 = 1;
parameter VAR77 = 1;
parameter VAR299 = 10;
parameter VAR364 = 10;
parameter VAR91 = 32'h00018480;
parameter VAR124 = 72'h03000023ff20400020;
localparam VAR443 = 0;
input rst;
input VAR446;
input VAR260;
input VAR179;
input VAR160;
input VAR121;
output VAR366;
input VAR253;
input VAR293;
input [ 1:0] VAR254;
input [ 2:0] VAR250;
output VAR233;
output VAR140;
input VAR105;
output [ 3:0] VAR356;
output [ 3:0] VAR421;
output [ 3:0] VAR131;
output [31:0] VAR202;
output [ 7:0] VAR461;
input VAR148;
output VAR146;
output VAR324;
input [ 1:0] VAR387;
input [ 2:0] VAR149;
output VAR311;
output VAR81;
input VAR50;
input [ 3:0] VAR103;
input [31:0] VAR142;
input VAR51;
input VAR203;
input [11:0] VAR9;
input VAR215;
input [15:0] VAR439;
output [15:0] VAR188;
output VAR134;
output VAR8;
output [49:0] VAR473;
wire VAR261;
wire VAR283;
wire VAR99;
wire VAR445;
wire VAR276;
wire [ 3:0] VAR376;
wire VAR373;
wire [ 3:0] VAR339;
wire [ 3:0] VAR472;
wire [ 3:0] VAR379;
wire [31:0] VAR459;
assign VAR473[31: 0] = VAR202;
assign VAR473[35:32] = VAR131;
assign VAR473[39:36] = VAR421;
assign VAR473[43:40] = VAR356;
assign VAR473[44:44] = VAR373;
assign VAR473[45:45] = VAR276;
assign VAR473[46:46] = VAR445;
assign VAR473[47:47] = VAR99;
assign VAR473[48:48] = VAR283;
assign VAR473[49:49] = VAR261;
assign VAR8 = VAR373;
assign VAR261 =
(((VAR202[31:24] == 8'hfc) && (VAR376[ 3] == 1'b1)) ||
((VAR202[23:16] == 8'hfc) && (VAR376[ 2] == 1'b1)) ||
((VAR202[15: 8] == 8'hfc) && (VAR376[ 1] == 1'b1)) ||
((VAR202[ 7: 0] == 8'hfc) && (VAR376[ 0] == 1'b1))) ? 1'b1 : 1'b0;
assign VAR283 =
(((VAR202[31:24] == 8'h9c) && (VAR376[ 3] == 1'b1)) ||
((VAR202[23:16] == 8'h9c) && (VAR376[ 2] == 1'b1)) ||
((VAR202[15: 8] == 8'h9c) && (VAR376[ 1] == 1'b1)) ||
((VAR202[ 7: 0] == 8'h9c) && (VAR376[ 0] == 1'b1))) ? 1'b1 : 1'b0;
assign VAR99 =
(((VAR202[31:24] == 8'h7c) && (VAR376[ 3] == 1'b1)) ||
((VAR202[23:16] == 8'h7c) && (VAR376[ 2] == 1'b1)) ||
((VAR202[15: 8] == 8'h7c) && (VAR376[ 1] == 1'b1)) ||
((VAR202[ 7: 0] == 8'h7c) && (VAR376[ 0] == 1'b1))) ? 1'b1 : 1'b0;
assign VAR445 =
(((VAR202[31:24] == 8'h1c) && (VAR376[ 3] == 1'b1)) ||
((VAR202[23:16] == 8'h1c) && (VAR376[ 2] == 1'b1)) ||
((VAR202[15: 8] == 8'h1c) && (VAR376[ 1] == 1'b1)) ||
((VAR202[ 7: 0] == 8'h1c) && (VAR376[ 0] == 1'b1))) ? 1'b1 : 1'b0;
assign VAR276 =
(((VAR202[31:24] == 8'hbc) && (VAR376[ 3] == 1'b1)) &&
((VAR202[23:16] == 8'hbc) && (VAR376[ 2] == 1'b1)) &&
((VAR202[15: 8] == 8'hbc) && (VAR376[ 1] == 1'b1)) &&
((VAR202[ 7: 0] == 8'hbc) && (VAR376[ 0] == 1'b1))) ? 1'b1 : 1'b0;
assign VAR376 = VAR356 & (~VAR421) & (~VAR131);
assign VAR373 = (VAR376 == 4'd0) ? 1'b0 : 1'b1;
assign VAR461 = (VAR443 == 0) ? VAR272 :
(VAR443 == 1) ? 8'h01 :
(VAR443 == 2) ? 8'h02 :
(VAR443 == 3) ? 8'h04 :
(VAR443 == 4) ? 8'h08 :
(VAR443 == 5) ? 8'h10 : 8'h00;
VAR13 #(
.VAR300 ("VAR350"),
.VAR258 ("VAR19"),
.VAR196 ("VAR350"),
.VAR72 (3'b001),
.VAR407 ("3.0"),
.VAR58 ("VAR56"),
.VAR200 (10'b1111111111),
.VAR280 (1),
.VAR152 ("VAR350"),
.VAR109 (10'b1010000011),
.VAR480 ("VAR350"),
.VAR171 (10'b0101111100),
.VAR427 ("VAR350"),
.VAR403 (7),
.VAR64 ("VAR60"),
.VAR212 (10),
.VAR137 ("VAR350"),
.VAR174 ("VAR350"),
.VAR177 ("VAR350"),
.VAR100 ("VAR56"),
.VAR31 ("VAR256"),
.VAR477 ("VAR56"),
.VAR482 ("VAR56"),
.VAR57 (35),
.VAR68 (31),
.VAR355 ("VAR350"),
.VAR369 (0),
.VAR425 (1),
.VAR63 (4'b1111),
.VAR129 (10'b0000000000),
.VAR30 (10'b0000000000),
.VAR69 (10'b0000000000),
.VAR94 (10'b0000000000),
.VAR35 ("VAR56"),
.VAR326 (4'b1111),
.VAR360 (10'b0000000000),
.VAR430 (10'b0000000000),
.VAR165 (10'b0000000000),
.VAR22 (10'b0000000000),
.VAR447 ("VAR56"),
.VAR428 (7),
.VAR262 (1),
.VAR314 (10'b0000000000),
.VAR289 (10'b0000000000),
.VAR296 (10'b0000000000),
.VAR86 (10'b0000000000),
.VAR475 (4'b1111),
.VAR120 (10'b0000000000),
.VAR172 (10'b0000000000),
.VAR244 (10'b0000000000),
.VAR414 (10'b0000000000),
.VAR336 (4'b1111),
.VAR175 ("VAR56"),
.VAR420 (4'b1111),
.VAR89 (4'b1111),
.VAR217 ("VAR56"),
.VAR392 (6'b000000),
.VAR127 ("VAR350"),
.VAR87 ("VAR350"),
.VAR228 (12'h000),
.VAR352 (10'b0000000000),
.VAR345 (5'b00000),
.VAR44 (80'h00000000000000000000),
.VAR349 (80'h00000000000000000000),
.VAR267 (80'h00000000000000000000),
.VAR288 (9'b000000000),
.VAR185 (40),
.VAR111 (2'b11),
.VAR91 (VAR91),
.VAR201 (16'h2070),
.VAR263 (2'b00),
.VAR318 (32'h00000000),
.VAR214 (12'b000000000100),
.VAR334 (24'h000A00),
.VAR424 (2'b11),
.VAR312 (3'b010),
.VAR437 (12'b000000000000),
.VAR298 (13'b0000010000000),
.VAR194 (5'b10000),
.VAR408 (1'b0),
.VAR156 (32'h00000000),
.VAR299 (VAR299),
.VAR364 (VAR364),
.VAR397 (1'b0),
.VAR42 ("VAR56"),
.VAR347 (48'h000000000000),
.VAR62 ("VAR33"),
.VAR211 (4'b1000),
.VAR115 (4'b0000),
.VAR271 ("VAR350"),
.VAR290 (6'b000000),
.VAR170 ("VAR350"),
.VAR161 ("VAR56"),
.VAR372 ("VAR56"),
.VAR41 ("VAR350"),
.VAR43 (5'b00001),
.VAR190 (61),
.VAR346 ("VAR56"),
.VAR219 (4),
.VAR113 (16'h001F),
.VAR75 (9'h030),
.VAR17 (16'h0000),
.VAR18 (24'h000000),
.VAR367 (24'h084020),
.VAR438 (5'b00000),
.VAR139 ("VAR402"),
.VAR464 (6'b000000),
.VAR207 ("VAR350"),
.VAR124 (VAR124),
.VAR378 (1'b0),
.VAR184 (1'b0),
.VAR7 (1'b0),
.VAR362 (6'b010101),
.VAR193 (5'b00001),
.VAR327 (5'b00001),
.VAR73 (5'b00001),
.VAR112 (5'b00001),
.VAR282 (5'b00011),
.VAR320 (7'b0000110),
.VAR470 ("VAR56"),
.VAR162 (3'b000),
.VAR306 (1'b0),
.VAR38 (12'h03c),
.VAR486 (8'h3c),
.VAR147 (8'h64),
.VAR255 (64),
.VAR27 (36),
.VAR485 (4'b1111),
.VAR223 (3'b100),
.VAR153 (3'b100),
.VAR128 (8),
.VAR4 (21),
.VAR431 (7),
.VAR199 (4),
.VAR21 (12),
.VAR85 (4),
.VAR222 (8'h0E),
.VAR371 ("VAR350"),
.VAR126 ("VAR350"),
.VAR108 (16'h001F),
.VAR332 (9'h030),
.VAR216 (16'h0000),
.VAR483 (16'h0780),
.VAR469 (24'h084020),
.VAR401 (5'b00000),
.VAR384 ("VAR83"),
.VAR441 (40),
.VAR394 (5'b00000),
.VAR52 (5'b00000),
.VAR76 (3'b110),
.VAR114 (3'b100),
.VAR119 ("VAR56"),
.VAR101 (1'b0),
.VAR319 ("VAR54"),
.VAR292 (7'b1001110),
.VAR136 (7'b1001001),
.VAR224 (7'b1000101),
.VAR303 (7'b1000010),
.VAR413 (7'b1000000),
.VAR79 (7'b1000110),
.VAR235 (7'b1000100),
.VAR389 (7'b1000010),
.VAR426 (7'b1000000),
.VAR32 (7'b1000000),
.VAR220 ("VAR56"),
.VAR259 (5'b00001),
.VAR135 (5'b00001),
.VAR279 (14'h1832),
.VAR227 (3'b100),
.VAR418 (24'hBC07DC),
.VAR474 (VAR474),
.VAR458 (5),
.VAR285 (24'h00001E),
.VAR245 (16'h01E8),
.VAR395 (1),
.VAR272 (VAR272),
.VAR77 (VAR77),
.VAR40 ("VAR382"),
.VAR358 (7'b0001111),
.VAR80 (14'b00000011110000),
.VAR368 (14'b00000011110000),
.VAR481 (23'h020FEA),
.VAR144 (12'b000000000000),
.VAR122 (12'b000001000000),
.VAR133 (11'b00011110000),
.VAR357 (11'b00011100000),
.VAR354 (13'b0000011111110),
.VAR155 (16'h0954),
.VAR246 (1'b0),
.VAR95 (17'b10001111000000000),
.VAR46 (17'b00011111100000011),
.VAR20 (1'b1),
.VAR361 (1'b1),
.VAR286 (1),
.VAR381 (1),
.VAR478 (1'b0),
.VAR36 (32'h3010D90C),
.VAR278 (13'b0001100010000),
.VAR70 (1'b0))
VAR417 (
.VAR123 (),
.VAR273 (VAR366),
.VAR284 (VAR51),
.VAR93 (1'd1),
.VAR237 (VAR260),
.VAR90 (),
.VAR353 (3'b001),
.VAR248 (VAR179),
.VAR10 (16'b0000000000000000),
.VAR310 (16'b0000000000000000),
.VAR448 (5'b00000),
.VAR301 (5'b00000),
.VAR423 (5'b00000),
.VAR210 (20'b11111111111111111111),
.VAR118 (),
.VAR14 (4'b0000),
.VAR375 (1'd0),
.VAR416 (1'd0),
.VAR183 (1'd0),
.VAR348 (VAR446),
.VAR6 (1'd0),
.VAR29 (1'd0),
.VAR158 (1'd0),
.VAR351 (VAR9[8:0]),
.VAR400 (VAR51),
.VAR164 (VAR439),
.VAR104 (VAR188),
.VAR39 (VAR203),
.VAR65 (VAR134),
.VAR49 (VAR215),
.VAR221 (),
.VAR239 (VAR160),
.VAR436 (VAR121),
.VAR186 (VAR254),
.VAR419 (VAR387),
.VAR252 (),
.VAR462 (1'd1),
.VAR3 (3'd0),
.VAR308 (),
.VAR465 (VAR443),
.VAR47 (),
.VAR116 (2'b00),
.VAR266 (2'b00),
.VAR374 (1'd0),
.VAR281 (1'd0),
.VAR34 (1'd1),
.VAR157 (),
.VAR97 (1'd0),
.VAR317 (1'd0),
.VAR110 (1'd0),
.VAR92 (1'd0),
.VAR393 (),
.VAR454 (1'd0),
.VAR440 (1'd0),
.VAR26 (1'd0),
.VAR268 (),
.VAR415 (1'd1),
.VAR338 (VAR105),
.VAR287 (VAR105),
.VAR264 ({VAR459, VAR202}),
.VAR370 (),
.VAR191 (3'd0),
.VAR166 (1'd0),
.VAR404 (1'd0),
.VAR16 (1'd0),
.VAR307 (1'd0),
.VAR61 ({VAR472, VAR421}),
.VAR5 ({VAR379, VAR131}),
.VAR84 (VAR253),
.VAR484 (VAR293),
.VAR132 (1'd0),
.VAR1 (),
.VAR444 (1'd0),
.VAR460 (1'd1),
.VAR240 (1'd0),
.VAR291 (1'd0),
.VAR487 (1'd0),
.VAR321 (),
.VAR98 (1'd0),
.VAR145 (),
.VAR232 (1'd0),
.VAR88 (1'd0),
.VAR231 (1'd0),
.VAR377 (),
.VAR337 (1'd0),
.VAR275 (),
.VAR117 (),
.VAR71 (),
.VAR432 (),
.VAR343 (),
.VAR323 (1'd1),
.VAR173 (VAR148),
.VAR24 (VAR148),
.VAR265 (),
.VAR169 (1'd0),
.VAR463 (3'd0),
.VAR468 (1'd1),
.VAR154 (),
.VAR412 (1'd0),
.VAR163 (),
.VAR59 (),
.VAR102 (1'd0),
.VAR476 (1'd0),
.VAR159 (1'd0),
.VAR257 (1'd0),
.VAR55 (1'd1),
.VAR107 (1'd0),
.VAR176 (1'd0),
.VAR229 (1'd0),
.VAR189 (1'd0),
.VAR138 (1'd0),
.VAR208 (1'd0),
.VAR143 (1'd0),
.VAR322 (1'd0),
.VAR435 (1'd0),
.VAR488 (1'd0),
.VAR28 (1'd0),
.VAR53 (1'd0),
.VAR450 (1'd0),
.VAR410 (1'd0),
.VAR141 (1'd0),
.VAR490 (),
.VAR243 (2'd0),
.VAR247 (1'd0),
.VAR363 (1'd0),
.VAR341 (1'd0),
.VAR390 (1'd0),
.VAR449 (1'd0),
.VAR304 (),
.VAR302 (VAR233),
.VAR213 (),
.VAR277 (),
.VAR456 (VAR250),
.VAR396 (),
.VAR74 (),
.VAR331 (),
.VAR429 (),
.VAR251 (1'd0),
.VAR409 (rst),
.VAR297 (1'd0),
.VAR305 (1'd0),
.VAR168 (1'd0),
.VAR197 (1'd0),
.VAR150 (),
.VAR204 (),
.VAR399 (),
.VAR206 (),
.VAR391 (2'b10),
.VAR23 (1'd0),
.VAR344 (1'd0),
.VAR12 (),
.VAR335 ({VAR339, VAR356}),
.VAR78 (5'd0),
.VAR434 (VAR140),
.VAR471 (1'd0),
.VAR48 (),
.VAR230 (),
.VAR329 (1'd0),
.VAR315 (5'd0),
.VAR385 (1'd0),
.VAR489 (5'd0),
.VAR225 (1'd0),
.VAR433 (1'd0),
.VAR333 (1'd0),
.VAR218 (1'd0),
.VAR130 (1'd0),
.VAR82 (rst),
.VAR182 (),
.VAR295 (1'b1),
.VAR457 (1'd0),
.VAR236 (1'd0),
.VAR359 (8'd0),
.VAR238 (8'd0),
.VAR234 (VAR50),
.VAR37 (VAR50),
.VAR455 (1'd0),
.VAR451 (3'd0),
.VAR294 (3'd0),
.VAR269 (1'd0),
.VAR205 (1'd0),
.VAR330 (1'd1),
.VAR380 (1'd0),
.VAR442 (1'd0),
.VAR383 (1'd0),
.VAR398 (1'd0),
.VAR270 (),
.VAR479 (1'd0),
.VAR249 (1'd0),
.VAR181 (),
.VAR309 (1'd0),
.VAR241 (1'd0),
.VAR226 (1'd0),
.VAR313 (1'd0),
.VAR422 (),
.VAR11 (1'd0),
.VAR209 (),
.VAR45 (3'b100),
.VAR316 (1'd0),
.VAR66 (4'b1000),
.VAR106 (1'd0),
.VAR242 (1'd0),
.VAR452 (7'b0000000),
.VAR274 (1'd0),
.VAR467 ({32'd0, VAR142}),
.VAR192 (VAR146),
.VAR198 (VAR324),
.VAR96 (VAR311),
.VAR466 (),
.VAR342 (),
.VAR125 (VAR149),
.VAR25 (),
.VAR388 ({4'd0, VAR103}),
.VAR365 (),
.VAR2 (3'd0),
.VAR195 (7'd0),
.VAR15 (1'd0),
.VAR340 (1'd0),
.VAR328 (1'd0),
.VAR67 (VAR81),
.VAR386 (),
.VAR411 (1'd0),
.VAR405 (1'd0),
.VAR325 (1'd0),
.VAR406 (1'd0),
.VAR167 (1'd0),
.VAR187 (1'd0),
.VAR178 (8'd0),
.VAR453 (3'd0),
.VAR151 (),
.VAR180 ());
endmodule
|
mit
|
glennchid/font5-firmware
|
src/verilog/synthesis/LUTCalc.v
| 3,389 |
module MODULE1(
input clk,
input VAR20,
input [6:0] VAR2,
input [14:0] VAR35,
input VAR16,
output [6:0] VAR41,
input [6:0] VAR24,
input [14:0] VAR18,
input VAR21,
output [6:0] VAR28,
input [6:0] VAR11,
input [14:0] VAR6,
input VAR40,
output [6:0] VAR42,
input [6:0] VAR33,
input [14:0] VAR9,
input VAR27,
output [6:0] VAR5,
input signed [12:0] VAR7,
output reg signed[20:0] VAR14,
output reg signed [20:0] VAR39,
output reg signed [20:0] VAR22,
output reg signed [20:0] VAR23,
input VAR19
);
wire signed [27:0] VAR31, VAR36, VAR4,VAR15;
VAR32 VAR17 (
.VAR30(clk),
.VAR26(), .VAR3(VAR7), .VAR13(1'b0), .VAR34(VAR31), .VAR38(VAR20),
.VAR12(VAR2), .VAR10(VAR35), .VAR29(VAR16), .VAR8(VAR41));
VAR32 VAR1 (
.VAR30(clk),
.VAR26(), .VAR3(VAR7), .VAR13(1'b0), .VAR34(VAR36), .VAR38(VAR20),
.VAR12(VAR24), .VAR10(VAR18), .VAR29(VAR21), .VAR8(VAR28));
VAR32 VAR37 (
.VAR30(clk),
.VAR26(), .VAR3(VAR7), .VAR13(1'b0), .VAR34(VAR4), .VAR38(VAR20),
.VAR12(VAR11), .VAR10(VAR6), .VAR29(VAR40), .VAR8(VAR42));
VAR32 VAR25 (
.VAR30(clk),
.VAR26(), .VAR3(VAR7), .VAR13(1'b0), .VAR34(VAR15), .VAR38(VAR20),
.VAR12(VAR33), .VAR10(VAR9), .VAR29(VAR27), .VAR8(VAR5));
always @ (posedge clk) begin
if (VAR19) begin
VAR14<=VAR31[20:0];
VAR39<=VAR36[20:0];
VAR22<=VAR4[20:0];
VAR23<=VAR15[20:0];
end
end
endmodule
|
gpl-3.0
|
18545/FPGA
|
project_1.srcs/sources_1/ip/ila_0/ila_0_stub.v
| 1,384 |
module MODULE1(clk, VAR1, VAR5, VAR2, VAR4, VAR3)
;
input clk;
output VAR1;
input VAR5;
input VAR2;
output VAR4;
input [0:0]VAR3;
endmodule
|
mit
|
scalable-networks/ext
|
uhd/fpga/usrp2/control_lib/priority_enc.v
| 3,009 |
module MODULE1
(input [31:0] in,
output reg [31:0] out);
always @*
casex(in)
32'VAR24 : out <= 31;
32'VAR8 : out <= 30;
32'VAR1 : out <= 29;
32'VAR5 : out <= 28;
32'VAR25 : out <= 27;
32'VAR3 : out <= 26;
32'VAR10 : out <= 25;
32'VAR20 : out <= 24;
32'VAR21 : out <= 23;
32'VAR13 : out <= 22;
32'VAR23 : out <= 21;
32'VAR30 : out <= 20;
32'VAR2 : out <= 19;
32'VAR26 : out <= 18;
32'VAR22 : out <= 17;
32'VAR17 : out <= 16;
32'VAR31 : out <= 15;
32'VAR6 : out <= 14;
32'VAR11 : out <= 13;
32'VAR18 : out <= 12;
32'VAR27 : out <= 11;
32'VAR19 : out <= 10;
32'VAR15 : out <= 9;
32'VAR7 : out <= 8;
32'VAR16 : out <= 7;
32'VAR9 : out <= 6;
32'VAR29 : out <= 5;
32'VAR14 : out <= 4;
32'VAR28 : out <= 3;
32'VAR4 : out <= 2;
32'VAR12 : out <= 1;
32'b00000000000000000000000000000001 : out <= 0;
32'b00000000000000000000000000000000 : out <= 32'hFFFFFFFF;
default : out <= 32'hFFFFFFFF;
endcase
endmodule
|
gpl-2.0
|
fzyz999/5-stage-MIPS
|
datapath/muldiv.v
| 1,701 |
module MODULE1 (VAR7,VAR12,VAR13,VAR14,VAR1,VAR6,VAR2,VAR5,VAR9,clk,rst);
input [31:0] VAR7,VAR12;
input VAR13;
input [1:0] VAR14;
input VAR1,VAR6,clk,rst;
output VAR2;
output [31:0] VAR5,VAR9;
reg [3:0] VAR3;
reg VAR2;
reg [63:0] VAR13;
reg [31:0] VAR7,VAR12;
reg [1:0] VAR14;
wire [63:0] VAR11,VAR16;
assign VAR11=(VAR14==VAR4|
VAR14==VAR10)?
{{32{VAR7[31]}},VAR7}:
{32'h00000000,VAR7};
assign VAR16=(VAR14==VAR4|
VAR14==VAR10)?
{{32{VAR12[31]}},VAR12}:
{32'h00000000,VAR12};
assign VAR2=VAR2;
assign VAR5=VAR13[63:32];
assign VAR9=VAR13[31:0];
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR2<=0;
VAR13<=64'h0000000000000000;
VAR7<=0;
VAR12<=0;
VAR14=2'b00;
end else if (VAR6) begin
if (VAR13) begin
VAR13<={VAR7,VAR13[31:0]};
end else begin
VAR13<={VAR13[63:32],VAR7};
end
end else if (VAR1) begin
VAR2<=1;
VAR7<=VAR7;
VAR12<=VAR12;
VAR14<=VAR14;
VAR3<=(VAR14==VAR8|
VAR14==VAR4)?5:10;
end else if (VAR3) begin
VAR3<=VAR3-1;
end else begin
VAR2<=0;
VAR13<=(VAR14==VAR8|
VAR14==VAR4)?VAR11*VAR16:
(VAR14==VAR15)?{VAR7%VAR12,VAR7/VAR12}:
{(VAR7)%(VAR12),(VAR7)/(VAR12)};
end
end
endmodule
|
mit
|
ShepardSiegel/ocpi
|
rtl/mkWsiAdapter16B4B.v
| 30,668 |
module MODULE1(VAR157,
VAR189,
VAR97,
VAR87,
VAR62,
VAR163,
VAR45,
VAR18,
VAR2,
VAR170,
VAR175,
VAR132,
VAR141,
VAR193,
VAR19,
VAR113,
VAR65,
VAR120,
VAR180,
VAR6,
VAR152,
VAR22);
input VAR157;
input VAR189;
input [2 : 0] VAR97;
input VAR87;
input VAR62;
input [11 : 0] VAR163;
input [127 : 0] VAR45;
input [15 : 0] VAR18;
input [7 : 0] VAR2;
output VAR170;
output VAR175;
input VAR132;
output [2 : 0] VAR141;
output VAR193;
output VAR19;
output [11 : 0] VAR113;
output [31 : 0] VAR65;
output [3 : 0] VAR120;
output [7 : 0] VAR180;
input VAR6;
output VAR152;
input VAR22;
wire [31 : 0] VAR65;
wire [11 : 0] VAR113;
wire [7 : 0] VAR180;
wire [3 : 0] VAR120;
wire [2 : 0] VAR141;
wire VAR19,
VAR193,
VAR152,
VAR175,
VAR170;
wire [168 : 0] VAR124;
wire [127 : 0] VAR107;
wire [95 : 0] VAR111, VAR108;
wire [60 : 0] VAR27;
wire [15 : 0] VAR159;
wire [11 : 0] VAR10;
wire [7 : 0] VAR23;
wire [2 : 0] VAR96;
wire VAR116,
VAR146,
VAR169,
VAR194,
VAR131,
VAR14,
VAR151,
VAR126,
VAR119,
VAR7,
VAR60,
VAR86,
VAR26,
VAR90,
VAR68,
VAR148,
VAR168,
VAR183,
VAR13,
VAR57,
VAR185,
VAR160,
VAR42,
VAR173,
VAR181,
VAR102,
VAR8,
VAR186,
VAR70;
reg VAR184;
wire VAR197, VAR133;
reg VAR162;
wire VAR1, VAR3;
reg [1 : 0] pos;
wire [1 : 0] VAR56;
wire VAR12;
reg [168 : 0] VAR200;
wire [168 : 0] VAR47;
wire VAR182;
reg [1 : 0] VAR84;
wire [1 : 0] VAR77;
wire VAR153;
reg VAR190;
wire VAR11, VAR147;
reg [31 : 0] VAR91;
wire [31 : 0] VAR106;
wire VAR164;
reg VAR25;
wire VAR44, VAR198;
reg VAR174;
wire VAR130, VAR195;
reg [31 : 0] VAR92;
wire [31 : 0] VAR74;
wire VAR46;
reg VAR16;
wire VAR43, VAR129;
reg [1 : 0] VAR104;
wire [1 : 0] VAR36;
wire VAR155;
reg [60 : 0] VAR94;
reg [60 : 0] VAR136;
wire VAR125;
reg [60 : 0] VAR161;
reg [60 : 0] VAR33;
wire VAR199;
reg VAR166;
wire VAR118, VAR80;
reg [7 : 0] VAR145;
wire [7 : 0] VAR58;
wire VAR81;
reg [31 : 0] VAR139;
wire [31 : 0] VAR158;
wire VAR109;
reg VAR176;
wire VAR69, VAR52;
reg [1 : 0] VAR39;
wire [1 : 0] VAR75;
wire VAR64;
reg VAR110;
wire VAR15, VAR72;
reg [31 : 0] VAR137;
wire [31 : 0] VAR29;
wire VAR95;
reg VAR48;
wire VAR51, VAR24;
reg [11 : 0] VAR172;
wire [11 : 0] VAR98;
wire VAR103;
reg VAR127;
wire VAR4, VAR63;
reg [31 : 0] VAR187;
wire [31 : 0] VAR79;
wire VAR105;
reg VAR114;
wire VAR89, VAR54;
reg [1 : 0] VAR179;
wire [1 : 0] VAR117;
wire VAR30;
reg VAR38;
wire VAR67, VAR121;
reg [7 : 0] VAR149;
wire [7 : 0] VAR192;
wire VAR101;
reg [31 : 0] VAR171;
wire [31 : 0] VAR66;
wire VAR177;
reg VAR71;
wire VAR61, VAR140;
reg [11 : 0] VAR196;
wire [11 : 0] VAR88;
wire VAR85;
wire [168 : 0] VAR35, VAR123;
wire VAR9,
VAR100,
VAR78,
VAR41,
VAR135;
wire VAR142,
VAR99,
VAR134,
VAR154,
VAR138,
VAR93;
wire [60 : 0] VAR50,
VAR150,
VAR17;
wire [1 : 0] VAR34,
VAR76;
wire VAR37,
VAR20,
VAR32,
VAR188,
VAR53;
reg [31 : 0] VAR40;
reg [3 : 0] VAR167;
wire [11 : 0] VAR112;
wire VAR28, VAR143;
assign VAR170 =
!VAR57 || VAR13 ;
assign VAR175 = !VAR48 && VAR127 ;
assign VAR141 = VAR166 ? 3'd0 : VAR94[60:58] ;
assign VAR193 = !VAR166 && VAR94[57] ;
assign VAR19 = !VAR166 && VAR94[56] ;
assign VAR113 =
VAR166 ? 12'd0 : VAR94[55:44] ;
assign VAR65 = VAR94[43:12] ;
assign VAR120 = VAR94[11:8] ;
assign VAR180 = VAR166 ? 8'd0 : VAR94[7:0] ;
assign VAR152 = !VAR25 && VAR174 ;
VAR165 #(.VAR178(32'd169),
.VAR21(32'd3),
.VAR156(32'd1),
.VAR115(32'd1)) VAR83(.VAR144(VAR189),
.VAR157(VAR157),
.VAR122(VAR35),
.VAR73(VAR41),
.VAR191(VAR100),
.VAR82(VAR9),
.VAR55(VAR123),
.VAR5(VAR135),
.VAR59(VAR78));
assign VAR134 =
VAR104 != 2'd0 && !VAR166 ;
assign VAR154 =
VAR14 && VAR14 &&
!VAR134 ;
assign VAR99 =
VAR134 && !VAR14 ;
assign VAR142 =
VAR14 && VAR134 &&
VAR14 ;
assign VAR138 =
VAR135 && VAR127 && VAR114 &&
VAR124[168:166] == 3'd1 ;
assign VAR93 =
VAR138 ||
VAR53 ;
assign VAR37 =
VAR142 && VAR143 ;
assign VAR20 =
VAR154 && VAR104 == 2'd0 ;
assign VAR32 =
VAR142 && VAR28 ;
assign VAR188 =
VAR154 && VAR104 == 2'd1 ;
assign VAR53 =
VAR78 && VAR184 ;
assign VAR34 = VAR104 - 2'd1 ;
assign VAR76 = VAR104 + 2'd1 ;
assign VAR50 =
(VAR104 == 2'd1) ?
VAR150 :
VAR161 ;
assign VAR150 =
{ 3'd1,
VAR162 && pos == 2'd3,
VAR200[164],
VAR112,
VAR40,
VAR167,
VAR200[7:0] } ;
assign VAR17 =
(VAR104 == 2'd2) ?
VAR150 :
61'h00000AAAAAAAAA00 ;
assign VAR124 =
{ VAR97,
VAR87,
VAR62,
VAR163,
VAR45,
VAR18,
VAR2 } ;
assign VAR185 = 1'd1 ;
assign VAR119 = 1'd1 ;
assign VAR7 = 1'd1 ;
assign VAR60 = 1'd1 ;
assign VAR86 = VAR132 ;
assign VAR13 = VAR179 > 2'd1 ;
assign VAR57 =
VAR38 && VAR127 && VAR114 ;
assign VAR27 = VAR150 ;
assign VAR151 = VAR14 ;
assign VAR116 = 1'd1 ;
assign VAR146 = 1'd1 ;
assign VAR169 = 1'd1 ;
assign VAR194 = VAR22 ;
assign VAR96 = VAR97 ;
assign VAR181 = 1'd1 ;
assign VAR10 = VAR163 ;
assign VAR160 = 1'd1 ;
assign VAR107 = VAR45 ;
assign VAR8 = 1'd1 ;
assign VAR159 = VAR18 ;
assign VAR173 = 1'd1 ;
assign VAR23 = VAR2 ;
assign VAR186 = 1'd1 ;
assign VAR183 = VAR138 ;
assign VAR168 =
VAR53 ;
assign VAR148 = 1'b0 ;
assign VAR68 = VAR138 ;
assign VAR90 =
VAR53 ;
assign VAR26 = 1'b0 ;
assign VAR14 =
VAR104 != 2'd2 && !VAR184 ;
assign VAR131 = VAR134 ;
assign VAR126 = VAR6 ;
assign VAR70 = VAR87 ;
assign VAR42 = VAR62 ;
assign VAR102 = 1'd1 ;
assign VAR108 =
{ VAR187, VAR137, VAR171 } ;
assign VAR111 =
{ VAR92, VAR91, VAR139 } ;
assign VAR197 = VAR14 && pos == 2'd3 ;
assign VAR133 =
VAR14 ||
VAR53 ;
assign VAR1 = VAR123[165] ;
assign VAR3 = VAR53 ;
assign VAR56 = pos + 2'd1 ;
assign VAR12 = VAR14 ;
assign VAR47 = VAR123 ;
assign VAR182 = VAR53 ;
assign VAR77 =
(VAR84 == 2'd0) ?
(VAR94[56] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR153 =
VAR134 &&
VAR94[60:58] == 3'd1 &&
(VAR84 == 2'd0 ||
(VAR84 == 2'd1 || VAR84 == 2'd2) &&
VAR94[57]) ;
assign VAR11 = 1'b0 ;
assign VAR147 = 1'b0 ;
assign VAR106 = VAR91 + 32'd1 ;
assign VAR164 =
VAR134 &&
VAR94[60:58] == 3'd1 &&
VAR84 == 2'd2 &&
VAR94[57] ;
assign VAR44 = 1'd0 ;
assign VAR198 = VAR25 ;
assign VAR130 = 1'b1 ;
assign VAR195 = 1'd1 ;
assign VAR74 = VAR92 + 32'd1 ;
assign VAR46 =
VAR134 &&
VAR94[60:58] == 3'd1 &&
VAR84 == 2'd1 &&
VAR94[57] ;
assign VAR43 = VAR22 ;
assign VAR129 = 1'd1 ;
assign VAR36 =
VAR99 ?
VAR34 :
VAR76 ;
assign VAR155 =
VAR99 ||
VAR154 ;
always@(VAR37 or
VAR50 or
VAR20 or
VAR150 or
VAR99 or VAR161)
begin
case (1'b1) VAR37:
VAR136 = VAR50;
VAR20:
VAR136 = VAR150;
VAR99:
VAR136 = VAR161;
default: VAR136 =
61'h0AAAAAAAAAAAAAAA ;
endcase
end
assign VAR125 =
VAR142 && VAR143 ||
VAR154 &&
VAR104 == 2'd0 ||
VAR99 ;
always@(VAR32 or
VAR17 or
VAR188 or
VAR150 or
VAR99)
begin
case (1'b1) VAR32:
VAR33 = VAR17;
VAR188:
VAR33 = VAR150;
VAR99:
VAR33 = 61'h00000AAAAAAAAA00;
default: VAR33 =
61'h0AAAAAAAAAAAAAAA ;
endcase
end
assign VAR199 =
VAR142 && VAR28 ||
VAR154 &&
VAR104 == 2'd1 ||
VAR99 ;
assign VAR118 = VAR6 ;
assign VAR80 = 1'd1 ;
assign VAR58 =
{ VAR25,
!VAR16,
!VAR174,
VAR190,
VAR84 != 2'd0,
VAR166,
1'd0,
VAR176 } ;
assign VAR81 = 1'd1 ;
assign VAR158 = VAR139 + 32'd1 ;
assign VAR109 =
VAR174 && VAR16 && VAR166 ;
assign VAR69 = 1'd1 ;
assign VAR52 =
VAR134 &&
VAR94[60:58] == 3'd1 ;
assign VAR75 =
(VAR39 == 2'd0) ?
(VAR124[164] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR64 =
VAR138 &&
(VAR39 == 2'd0 ||
(VAR39 == 2'd1 || VAR39 == 2'd2) &&
VAR124[165]) ;
assign VAR15 = 1'b0 ;
assign VAR72 = 1'b0 ;
assign VAR29 = VAR137 + 32'd1 ;
assign VAR95 =
VAR138 && VAR39 == 2'd2 &&
VAR124[165] ;
assign VAR51 = 1'd0 ;
assign VAR24 = VAR48 ;
assign VAR98 = VAR196 ;
assign VAR103 =
VAR138 && VAR124[165] ;
assign VAR4 = 1'b1 ;
assign VAR63 = 1'd1 ;
assign VAR79 = VAR187 + 32'd1 ;
assign VAR105 =
VAR138 && VAR39 == 2'd1 &&
VAR124[165] ;
assign VAR89 = VAR132 ;
assign VAR54 = 1'd1 ;
assign VAR117 =
VAR138 ?
VAR179 + 2'd1 :
VAR179 - 2'd1 ;
assign VAR30 =
VAR138 !=
VAR53 ;
assign VAR67 = VAR93 ;
assign VAR121 =
VAR53 ||
VAR138 ||
VAR93 ;
assign VAR192 =
{ VAR48,
!VAR114,
!VAR127,
VAR110,
VAR39 != 2'd0,
!VAR57 || VAR13,
1'd0,
VAR71 } ;
assign VAR101 = 1'd1 ;
assign VAR66 = VAR171 + 32'd1 ;
assign VAR177 =
VAR127 && VAR114 &&
(!VAR57 || VAR13) ;
assign VAR61 = 1'd1 ;
assign VAR140 = VAR138 ;
assign VAR88 =
VAR124[165] ? 12'd1 : VAR196 + 12'd1 ;
assign VAR85 = VAR138 ;
assign VAR35 = VAR124 ;
assign VAR41 = VAR138 ;
assign VAR100 = VAR53 ;
assign VAR9 = 1'b0 ;
assign VAR28 =
VAR104 != 2'd2 ||
VAR34 == 2'd1 ;
assign VAR143 =
VAR104 != 2'd1 ||
VAR34 == 2'd0 ;
assign VAR112 = { VAR200[161:152], 2'd0 } ;
always@(pos or VAR200)
begin
case (pos)
2'd0: VAR40 = VAR200[55:24];
2'd1: VAR40 = VAR200[87:56];
2'd2: VAR40 = VAR200[119:88];
2'd3: VAR40 = VAR200[151:120];
endcase
end
always@(pos or VAR200)
begin
case (pos)
2'd0: VAR167 = VAR200[11:8];
2'd1: VAR167 = VAR200[15:12];
2'd2: VAR167 = VAR200[19:16];
2'd3: VAR167 = VAR200[23:20];
endcase
end
always@(posedge VAR157)
begin
if (VAR189 == VAR49)
begin
VAR184 <= VAR31 1'd1;
VAR162 <= VAR31 1'd0;
pos <= VAR31 2'd0;
VAR84 <= VAR31 2'd0;
VAR190 <= VAR31 1'd0;
VAR91 <= VAR31 32'd0;
VAR174 <= VAR31 1'd0;
VAR92 <= VAR31 32'd0;
VAR16 <= VAR31 1'd0;
VAR104 <= VAR31 2'd0;
VAR94 <= VAR31 61'h00000AAAAAAAAA00;
VAR161 <= VAR31 61'h00000AAAAAAAAA00;
VAR166 <= VAR31 1'd1;
VAR139 <= VAR31 32'd0;
VAR176 <= VAR31 1'd0;
VAR39 <= VAR31 2'd0;
VAR110 <= VAR31 1'd0;
VAR137 <= VAR31 32'd0;
VAR127 <= VAR31 1'd0;
VAR187 <= VAR31 32'd0;
VAR114 <= VAR31 1'd0;
VAR179 <= VAR31 2'd0;
VAR38 <= VAR31 1'd1;
VAR171 <= VAR31 32'd0;
VAR71 <= VAR31 1'd0;
VAR196 <= VAR31 12'd1;
end
else
begin
if (VAR133) VAR184 <= VAR31 VAR197;
if (VAR3) VAR162 <= VAR31 VAR1;
if (VAR12) pos <= VAR31 VAR56;
if (VAR153)
VAR84 <= VAR31 VAR77;
if (VAR147)
VAR190 <= VAR31 VAR11;
if (VAR164)
VAR91 <= VAR31 VAR106;
if (VAR195)
VAR174 <= VAR31 VAR130;
if (VAR46)
VAR92 <= VAR31 VAR74;
if (VAR129)
VAR16 <= VAR31 VAR43;
if (VAR155)
VAR104 <= VAR31
VAR36;
if (VAR125)
VAR94 <= VAR31 VAR136;
if (VAR199)
VAR161 <= VAR31 VAR33;
if (VAR80)
VAR166 <= VAR31 VAR118;
if (VAR109)
VAR139 <= VAR31 VAR158;
if (VAR52)
VAR176 <= VAR31 VAR69;
if (VAR64)
VAR39 <= VAR31 VAR75;
if (VAR72)
VAR110 <= VAR31 VAR15;
if (VAR95)
VAR137 <= VAR31 VAR29;
if (VAR63)
VAR127 <= VAR31 VAR4;
if (VAR105)
VAR187 <= VAR31 VAR79;
if (VAR54)
VAR114 <= VAR31 VAR89;
if (VAR30)
VAR179 <= VAR31
VAR117;
if (VAR121)
VAR38 <= VAR31
VAR67;
if (VAR177)
VAR171 <= VAR31 VAR66;
if (VAR140)
VAR71 <= VAR31 VAR61;
if (VAR85)
VAR196 <= VAR31 VAR88;
end
if (VAR182) VAR200 <= VAR31 VAR47;
if (VAR81)
VAR145 <= VAR31 VAR58;
if (VAR103)
VAR172 <= VAR31 VAR98;
if (VAR101)
VAR149 <= VAR31 VAR192;
end
always@(posedge VAR157 or VAR128 VAR189)
if (VAR189 == VAR49)
begin
VAR25 <= VAR31 1'd1;
VAR48 <= VAR31 1'd1;
end
else
begin
if (VAR198)
VAR25 <= VAR31
VAR44;
if (VAR24)
VAR48 <= VAR31
VAR51;
end
begin
VAR184 = 1'h0;
VAR162 = 1'h0;
pos = 2'h2;
VAR200 = 169'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA;
VAR84 = 2'h2;
VAR190 = 1'h0;
VAR91 = 32'hAAAAAAAA;
VAR25 = 1'h0;
VAR174 = 1'h0;
VAR92 = 32'hAAAAAAAA;
VAR16 = 1'h0;
VAR104 = 2'h2;
VAR94 = 61'h0AAAAAAAAAAAAAAA;
VAR161 = 61'h0AAAAAAAAAAAAAAA;
VAR166 = 1'h0;
VAR145 = 8'hAA;
VAR139 = 32'hAAAAAAAA;
VAR176 = 1'h0;
VAR39 = 2'h2;
VAR110 = 1'h0;
VAR137 = 32'hAAAAAAAA;
VAR48 = 1'h0;
VAR172 = 12'hAAA;
VAR127 = 1'h0;
VAR187 = 32'hAAAAAAAA;
VAR114 = 1'h0;
VAR179 = 2'h2;
VAR38 = 1'h0;
VAR149 = 8'hAA;
VAR171 = 32'hAAAAAAAA;
VAR71 = 1'h0;
VAR196 = 12'hAAA;
end
|
lgpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/addh/gf180mcu_fd_sc_mcu9t5v0__addh_2.functional.pp.v
| 1,190 |
module MODULE1( VAR3, VAR1, VAR15, VAR6, VAR2, VAR10 );
input VAR1, VAR15;
inout VAR2, VAR10;
output VAR3, VAR6;
and VAR11( VAR3, VAR1, VAR15 );
wire VAR4;
not VAR12( VAR4, VAR15 );
wire VAR13;
and VAR16( VAR13, VAR4, VAR1 );
wire VAR9;
not VAR8( VAR9, VAR1 );
wire VAR14;
and VAR5( VAR14, VAR9, VAR15 );
or VAR7( VAR6, VAR13, VAR14 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/or2/sky130_fd_sc_ms__or2.functional.pp.v
| 1,774 |
module MODULE1 (
VAR11 ,
VAR10 ,
VAR7 ,
VAR9,
VAR4,
VAR6 ,
VAR12
);
output VAR11 ;
input VAR10 ;
input VAR7 ;
input VAR9;
input VAR4;
input VAR6 ;
input VAR12 ;
wire VAR2 ;
wire VAR13;
or VAR5 (VAR2 , VAR7, VAR10 );
VAR1 VAR3 (VAR13, VAR2, VAR9, VAR4);
buf VAR8 (VAR11 , VAR13 );
endmodule
|
apache-2.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/tx_engine_ultrascale.v
| 11,826 |
module MODULE1
parameter VAR28 = 128,
parameter VAR20 = 1,
parameter VAR80 = 0,
parameter VAR35 = 64
)
(
input VAR32,
input VAR16,
input [VAR50-1:0] VAR36,
input VAR62,
output VAR47,
output VAR41,
output [VAR28-1:0] VAR39,
output [(VAR28/32)-1:0] VAR18,
output [VAR23-1:0] VAR77,
input VAR52,
input [VAR28-1:0] VAR14,
input VAR69,
input [VAR15(VAR28/32)-1:0] VAR58,
input VAR81,
input [VAR15(VAR28/32)-1:0] VAR40,
output VAR48,
input VAR63,
input [VAR25-1:0] VAR60,
input [VAR30-1:0] VAR49,
input [VAR10-1:0] VAR51,
input [VAR4-1:0] VAR75,
input [VAR3-1:0] VAR70,
input [VAR12-1:0] VAR17,
input [VAR34-1:0] VAR66,
input [VAR22-1:0] VAR8,
input [VAR59-1:0] VAR72,
input [VAR37-1:0] VAR73,
input VAR1,
output VAR29,
output VAR79,
input VAR55,
output VAR57,
output VAR21,
output [VAR28-1:0] VAR2,
output [(VAR28/32)-1:0] VAR42,
output [VAR26-1:0] VAR68,
input VAR27,
input [VAR28-1:0] VAR6,
input VAR13,
input [VAR15(VAR28/32)-1:0] VAR24,
input VAR78,
input [VAR15(VAR28/32)-1:0] VAR9,
output VAR11,
input VAR71,
input [VAR25-1:0] VAR19,
input [VAR30-1:0] VAR64,
input [VAR54-1:0] VAR38,
input [VAR3-1:0] VAR33,
input [VAR34-1:0] VAR5,
input [VAR59-1:0] VAR67,
input [VAR37-1:0] VAR61,
input [VAR4-1:0] VAR76,
input VAR31,
output VAR65,
output VAR46
);
localparam VAR7 = 10;
reg VAR44;
reg VAR45;
assign VAR79 = VAR44;
assign VAR46 = VAR45;
always @(posedge VAR32) begin
VAR44 <= VAR41 & VAR47 & VAR62;
VAR45 <= VAR21 & VAR57 & VAR55;
end
VAR56
.VAR28 (VAR28),
.VAR20 (VAR20),
.VAR80 (VAR80),
.VAR7 (VAR7),
.VAR35 (VAR35))
VAR43
(
.VAR57 (VAR57),
.VAR21 (VAR21),
.VAR2 (VAR2[VAR28-1:0]),
.VAR42 (VAR42[(VAR28/32)-1:0]),
.VAR68 (VAR68[VAR26-1:0]),
.VAR11 (VAR11),
.VAR65 (VAR65),
.VAR32 (VAR32),
.VAR16 (VAR16),
.VAR36 (VAR36[VAR50-1:0]),
.VAR55 (VAR55),
.VAR27 (VAR27),
.VAR6 (VAR6[VAR28-1:0]),
.VAR13 (VAR13),
.VAR24 (VAR24[VAR15(VAR28/32)-1:0]),
.VAR78 (VAR78),
.VAR9 (VAR9[VAR15(VAR28/32)-1:0]),
.VAR71 (VAR71),
.VAR19 (VAR19[VAR25-1:0]),
.VAR64 (VAR64[VAR30-1:0]),
.VAR38 (VAR38[VAR54-1:0]),
.VAR33 (VAR33[VAR3-1:0]),
.VAR5 (VAR5[VAR34-1:0]),
.VAR67 (VAR67[VAR59-1:0]),
.VAR61 (VAR61[VAR37-1:0]),
.VAR76 (VAR76[VAR4-1:0]),
.VAR31 (VAR31));
VAR74
.VAR28 (VAR28),
.VAR20 (VAR20),
.VAR80 (VAR80),
.VAR7 (VAR7),
.VAR35 (VAR35))
VAR53
(
.VAR47 (VAR47),
.VAR41 (VAR41),
.VAR39 (VAR39[VAR28-1:0]),
.VAR18 (VAR18[(VAR28/32)-1:0]),
.VAR77 (VAR77[VAR23-1:0]),
.VAR48 (VAR48),
.VAR29 (VAR29),
.VAR32 (VAR32),
.VAR16 (VAR16),
.VAR36 (VAR36[VAR50-1:0]),
.VAR62 (VAR62),
.VAR52 (VAR52),
.VAR14 (VAR14[VAR28-1:0]),
.VAR69 (VAR69),
.VAR58 (VAR58[VAR15(VAR28/32)-1:0]),
.VAR81 (VAR81),
.VAR40 (VAR40[VAR15(VAR28/32)-1:0]),
.VAR63 (VAR63),
.VAR60 (VAR60[VAR25-1:0]),
.VAR49 (VAR49[VAR30-1:0]),
.VAR51 (VAR51[VAR10-1:0]),
.VAR75 (VAR75[VAR4-1:0]),
.VAR70 (VAR70[VAR3-1:0]),
.VAR17 (VAR17[VAR12-1:0]),
.VAR66 (VAR66[VAR34-1:0]),
.VAR8 (VAR8[VAR22-1:0]),
.VAR72 (VAR72[VAR59-1:0]),
.VAR73 (VAR73[VAR37-1:0]),
.VAR1 (VAR1));
endmodule
|
gpl-3.0
|
fbalakirev/red-pitaya-notes
|
cores/pulse_generator_v1_0/pulse_generator.v
| 1,356 |
module MODULE1 #
(
parameter VAR8 = "VAR5"
)
(
input wire VAR11,
input wire VAR7,
input wire [95:0] VAR4,
output wire dout
);
reg VAR9, VAR6;
reg [31:0] VAR3, VAR10;
always @(posedge VAR11)
begin
if(~VAR7)
begin
VAR9 <= 1'b0;
VAR3 <= 32'd0;
end
else
begin
VAR9 <= VAR6;
VAR3 <= VAR10;
end
end
always @*
begin
VAR6 = VAR9;
if(VAR3 == VAR4[31:0])
begin
VAR6 = 1'b1;
end
if(VAR3 == VAR4[63:32])
begin
VAR6 = 1'b0;
end
end
generate
if(VAR8 == "VAR2")
begin : VAR8
always @*
begin
VAR10 = VAR3;
if(VAR3 < VAR4[95:64])
begin
VAR10 = VAR3 + 1'b1;
end
else
begin
VAR10 = 32'd0;
end
end
end
else
begin : VAR1
always @*
begin
VAR10 = VAR3;
if(VAR3 < VAR4[95:64])
begin
VAR10 = VAR3 + 1'b1;
end
end
end
endgenerate
assign dout = VAR9;
endmodule
|
mit
|
sukinull/hls_stream
|
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_xbar_0/synth/tutorial_xbar_0.v
| 15,855 |
module MODULE1 (
VAR69,
VAR9,
VAR95,
VAR20,
VAR83,
VAR17,
VAR28,
VAR81,
VAR78,
VAR19,
VAR109,
VAR39,
VAR64,
VAR126,
VAR10,
VAR102,
VAR119,
VAR35,
VAR72,
VAR62,
VAR65,
VAR76,
VAR98,
VAR120,
VAR112,
VAR40,
VAR103,
VAR94,
VAR43,
VAR38,
VAR22,
VAR123,
VAR18,
VAR29,
VAR99,
VAR24,
VAR105,
VAR37,
VAR63,
VAR91
);
input wire VAR69;
input wire VAR9;
input wire [31 : 0] VAR95;
input wire [2 : 0] VAR20;
input wire [0 : 0] VAR83;
output wire [0 : 0] VAR17;
input wire [31 : 0] VAR28;
input wire [3 : 0] VAR81;
input wire [0 : 0] VAR78;
output wire [0 : 0] VAR19;
output wire [1 : 0] VAR109;
output wire [0 : 0] VAR39;
input wire [0 : 0] VAR64;
input wire [31 : 0] VAR126;
input wire [2 : 0] VAR10;
input wire [0 : 0] VAR102;
output wire [0 : 0] VAR119;
output wire [31 : 0] VAR35;
output wire [1 : 0] VAR72;
output wire [0 : 0] VAR62;
input wire [0 : 0] VAR65;
output wire [127 : 0] VAR76;
output wire [11 : 0] VAR98;
output wire [3 : 0] VAR120;
input wire [3 : 0] VAR112;
output wire [127 : 0] VAR40;
output wire [15 : 0] VAR103;
output wire [3 : 0] VAR94;
input wire [3 : 0] VAR43;
input wire [7 : 0] VAR38;
input wire [3 : 0] VAR22;
output wire [3 : 0] VAR123;
output wire [127 : 0] VAR18;
output wire [11 : 0] VAR29;
output wire [3 : 0] VAR99;
input wire [3 : 0] VAR24;
input wire [127 : 0] VAR105;
input wire [7 : 0] VAR37;
input wire [3 : 0] VAR63;
output wire [3 : 0] VAR91;
VAR118 #(
.VAR125("VAR131"),
.VAR6(1),
.VAR96(4),
.VAR127(1),
.VAR106(32),
.VAR92(32),
.VAR44(2),
.VAR107(1),
.VAR13(256'VAR42),
.VAR58(128'VAR47),
.VAR48(32'VAR82),
.VAR8(32'VAR82),
.VAR75(0),
.VAR85(1),
.VAR80(1),
.VAR79(1),
.VAR11(1),
.VAR93(1),
.VAR45(128'VAR14),
.VAR5(128'VAR14),
.VAR70(0),
.VAR97(32'VAR73),
.VAR12(32'VAR73),
.VAR110(32'VAR73),
.VAR2(128'VAR14),
.VAR86(128'VAR14),
.VAR36(32'VAR82),
.VAR16(128'VAR7),
.VAR66(0)
) VAR116 (
.VAR69(VAR69),
.VAR9(VAR9),
.VAR32(1'VAR34),
.VAR95(VAR95),
.VAR121(8'VAR101),
.VAR67(3'VAR34),
.VAR27(2'VAR34),
.VAR33(1'VAR34),
.VAR4(4'VAR34),
.VAR20(VAR20),
.VAR53(4'VAR34),
.VAR104(1'VAR34),
.VAR83(VAR83),
.VAR17(VAR17),
.VAR57(1'VAR34),
.VAR28(VAR28),
.VAR81(VAR81),
.VAR49(1'VAR60),
.VAR50(1'VAR34),
.VAR78(VAR78),
.VAR19(VAR19),
.VAR15(),
.VAR109(VAR109),
.VAR30(),
.VAR39(VAR39),
.VAR64(VAR64),
.VAR21(1'VAR34),
.VAR126(VAR126),
.VAR74(8'VAR101),
.VAR128(3'VAR34),
.VAR31(2'VAR34),
.VAR3(1'VAR34),
.VAR122(4'VAR34),
.VAR10(VAR10),
.VAR124(4'VAR34),
.VAR87(1'VAR34),
.VAR102(VAR102),
.VAR119(VAR119),
.VAR113(),
.VAR35(VAR35),
.VAR72(VAR72),
.VAR23(),
.VAR25(),
.VAR62(VAR62),
.VAR65(VAR65),
.VAR51(),
.VAR76(VAR76),
.VAR1(),
.VAR46(),
.VAR59(),
.VAR130(),
.VAR54(),
.VAR98(VAR98),
.VAR129(),
.VAR26(),
.VAR114(),
.VAR120(VAR120),
.VAR112(VAR112),
.VAR111(),
.VAR40(VAR40),
.VAR103(VAR103),
.VAR90(),
.VAR84(),
.VAR94(VAR94),
.VAR43(VAR43),
.VAR61(4'VAR34),
.VAR38(VAR38),
.VAR100(4'VAR34),
.VAR22(VAR22),
.VAR123(VAR123),
.VAR71(),
.VAR18(VAR18),
.VAR52(),
.VAR108(),
.VAR88(),
.VAR115(),
.VAR56(),
.VAR29(VAR29),
.VAR132(),
.VAR117(),
.VAR41(),
.VAR99(VAR99),
.VAR24(VAR24),
.VAR68(4'VAR34),
.VAR105(VAR105),
.VAR37(VAR37),
.VAR55(4'VAR77),
.VAR89(4'VAR34),
.VAR63(VAR63),
.VAR91(VAR91)
);
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
coregen/temac_axi_v5_2/example_design/clk_wiz.v
| 6,984 |
module MODULE1
( input VAR66,
input VAR44,
output VAR49,
output VAR58,
output VAR7,
input VAR43,
output VAR17
);
VAR63 VAR79
(.VAR32 (VAR37),
.VAR55 (VAR66),
.VAR81 (VAR44));
wire [15:0] VAR61;
wire VAR36;
wire VAR84;
wire VAR74;
wire VAR38;
wire VAR75;
wire VAR48;
wire VAR15;
wire VAR71;
wire VAR31;
wire VAR14;
wire VAR35;
wire VAR54;
wire VAR65;
wire VAR78;
VAR88
.VAR25 ("VAR90"),
.VAR6 ("VAR90"),
.VAR3 ("VAR86"),
.VAR76 ("VAR90"),
.VAR12 (1),
.VAR53 (5.000),
.VAR19 (0.000),
.VAR22 ("VAR90"),
.VAR85 (8.000),
.VAR20 (0.000),
.VAR73 (0.500),
.VAR30 ("VAR90"),
.VAR70 (10),
.VAR1 (0.000),
.VAR26 (0.500),
.VAR21 ("VAR90"),
.VAR23 (5),
.VAR45 (0.000),
.VAR87 (0.500),
.VAR41 ("VAR90"),
.VAR77 (5.000),
.VAR2 (5.000),
.VAR83 (0.010))
VAR11
(.VAR5 (VAR74),
.VAR18 (VAR38),
.VAR40 (VAR34),
.VAR69 (VAR75),
.VAR49 (VAR57),
.VAR59 (VAR48),
.VAR58 (VAR64),
.VAR91 (VAR15),
.VAR7 (VAR71),
.VAR24 (VAR31),
.VAR52 (VAR14),
.VAR9 (VAR35),
.VAR27 (VAR54),
.VAR89 (VAR74),
.VAR67 (VAR37),
.VAR42 (1'b0),
.VAR46 (1'b1),
.VAR28 (7'h0),
.VAR13 (1'b0),
.VAR50 (1'b0),
.VAR47 (16'h0),
.VAR33 (VAR61),
.VAR8 (VAR36),
.VAR29 (1'b0),
.VAR10 (1'b0),
.VAR72 (1'b0),
.VAR80 (1'b0),
.VAR51 (VAR84),
.VAR17 (VAR17),
.VAR62 (VAR78),
.VAR68 (VAR65),
.VAR60 (1'b0),
.VAR39 (VAR43));
VAR56 VAR82
(.VAR32 (VAR49),
.VAR55 (VAR34));
VAR56 VAR16
(.VAR32 (VAR58),
.VAR55 (VAR57));
VAR56 VAR4
(.VAR32 (VAR7),
.VAR55 (VAR64));
endmodule
|
lgpl-3.0
|
eda-globetrotter/PicenoDecoders
|
viterbi/syn/src/acs.v
| 3,716 |
module MODULE1 (VAR4, VAR10, VAR8, VAR1, VAR9, VAR11);
output [3:0] VAR4;
output VAR10;
input [3:0] VAR8;
input [1:0] VAR1;
input [3:0] VAR9;
input [1:0] VAR11;
reg [3:0] VAR4;
reg VAR10;
reg [3:0] VAR5;
reg [3:0] VAR6;
reg [4:0] VAR7;
reg [4:0] VAR3;
parameter VAR2 = 4'd15;
always @ (VAR8 or VAR1)
begin
VAR7 = VAR8 + VAR1;
if(VAR7 > VAR2)
begin
VAR5 = VAR2;
end
else
begin
VAR5 = VAR8 + VAR1;
end
end
always @ (VAR9 or VAR11)
begin
VAR3 = VAR9 + VAR11;
if(VAR3 > VAR2)
begin
VAR6 = VAR2;
end
else
begin
VAR6 = VAR9 + VAR11;
end
end
always @ (VAR5 or VAR6)
begin
if(VAR5 <= VAR6)
begin
VAR10 = 1'b0;
end
else
begin
VAR10 = 1'b1;
end
end
always @ (VAR10 or VAR5 or VAR6)
begin
if(VAR10)
begin
VAR4 = VAR6;
end
else
begin
VAR4 = VAR5;
end
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/sdffrnq/gf180mcu_fd_sc_mcu9t5v0__sdffrnq_4.behavioral.pp.v
| 18,378 |
module MODULE1( VAR214, VAR185, VAR150, VAR104, VAR35, VAR97, VAR189, VAR67 );
input VAR104, VAR150, VAR35, VAR214, VAR185;
inout VAR189, VAR67;
output VAR97;
reg VAR61;
VAR163 VAR275(.VAR214(VAR214),.VAR185(VAR185),.VAR150(VAR150),.VAR104(VAR104),.VAR35(VAR35),.VAR97(VAR97),.VAR189(VAR189),.VAR67(VAR67),.VAR61(VAR61));
VAR163 VAR40(.VAR214(VAR214),.VAR185(VAR185),.VAR150(VAR150),.VAR104(VAR104),.VAR35(VAR35),.VAR97(VAR97),.VAR189(VAR189),.VAR67(VAR67),.VAR61(VAR61));
not VAR82(VAR123,VAR150);
and VAR268(VAR139,VAR35,VAR123);
not VAR90(VAR74,VAR214);
and VAR251(VAR142,VAR74,VAR139);
not VAR186(VAR269,VAR185);
and VAR286(VAR241,VAR269,VAR142);
not VAR148(VAR18,VAR150);
and VAR114(VAR77,VAR35,VAR18);
not VAR138(VAR161,VAR214);
and VAR16(VAR261,VAR161,VAR77);
and VAR111(VAR14,VAR185,VAR261);
not VAR203(VAR204,VAR150);
and VAR159(VAR303,VAR35,VAR204);
and VAR81(VAR118,VAR214,VAR303);
not VAR26(VAR291,VAR185);
and VAR262(VAR177,VAR291,VAR118);
not VAR3(VAR143,VAR150);
and VAR106(VAR44,VAR35,VAR143);
and VAR176(VAR36,VAR214,VAR44);
and VAR276(VAR201,VAR185,VAR36);
and VAR224(VAR50,VAR35,VAR150);
not VAR304(VAR41,VAR214);
and VAR24(VAR248,VAR41,VAR50);
not VAR131(VAR69,VAR185);
and VAR301(VAR298,VAR69,VAR248);
and VAR63(VAR53,VAR35,VAR150);
not VAR52(VAR2,VAR214);
and VAR234(VAR281,VAR2,VAR53);
and VAR155(VAR146,VAR185,VAR281);
and VAR12(VAR221,VAR35,VAR150);
and VAR227(VAR271,VAR214,VAR221);
not VAR223(VAR94,VAR185);
and VAR28(VAR246,VAR94,VAR271);
and VAR100(VAR13,VAR35,VAR150);
and VAR283(VAR218,VAR214,VAR13);
and VAR48(VAR21,VAR185,VAR218);
not VAR5(VAR253,VAR214);
and VAR170(VAR194,VAR253,VAR35);
not VAR195(VAR240,VAR185);
and VAR73(VAR125,VAR240,VAR194);
not VAR33(VAR62,VAR214);
and VAR243(VAR293,VAR62,VAR35);
and VAR160(VAR121,VAR185,VAR293);
not VAR258(VAR99,VAR150);
and VAR266(VAR210,VAR214,VAR99);
and VAR247(VAR226,VAR185,VAR210);
not VAR156(VAR219,VAR214);
and VAR140(VAR182,VAR219,VAR150);
not VAR87(VAR58,VAR185);
and VAR136(VAR133,VAR58,VAR182);
not VAR175(VAR6,VAR214);
and VAR54(VAR287,VAR6,VAR150);
and VAR88(VAR129,VAR185,VAR287);
and VAR49(VAR279,VAR214,VAR150);
and VAR95(VAR272,VAR185,VAR279);
not VAR109(VAR152,VAR104);
not VAR297(VAR86,VAR150);
and VAR236(VAR153,VAR86,VAR152);
not VAR76(VAR198,VAR214);
and VAR167(VAR213,VAR198,VAR153);
not VAR255(VAR249,VAR185);
and VAR173(VAR72,VAR249,VAR213);
not VAR295(VAR207,VAR104);
not VAR145(VAR4,VAR150);
and VAR92(VAR108,VAR4,VAR207);
not VAR254(VAR96,VAR214);
and VAR112(VAR237,VAR96,VAR108);
and VAR231(VAR8,VAR185,VAR237);
not VAR9(VAR259,VAR104);
not VAR103(VAR128,VAR150);
and VAR208(VAR285,VAR128,VAR259);
and VAR290(VAR235,VAR214,VAR285);
not VAR216(VAR273,VAR185);
and VAR171(VAR130,VAR273,VAR235);
not VAR141(VAR265,VAR104);
not VAR228(VAR220,VAR150);
and VAR158(VAR70,VAR220,VAR265);
and VAR47(VAR197,VAR214,VAR70);
and VAR119(VAR132,VAR185,VAR197);
not VAR144(VAR57,VAR104);
and VAR113(VAR135,VAR150,VAR57);
not VAR292(VAR230,VAR214);
and VAR278(VAR115,VAR230,VAR135);
not VAR137(VAR184,VAR185);
and VAR263(VAR205,VAR184,VAR115);
not VAR29(VAR17,VAR104);
and VAR120(VAR89,VAR150,VAR17);
not VAR127(VAR105,VAR214);
and VAR154(VAR280,VAR105,VAR89);
and VAR180(VAR43,VAR185,VAR280);
not VAR11(VAR282,VAR104);
and VAR190(VAR217,VAR150,VAR282);
and VAR183(VAR116,VAR214,VAR217);
not VAR80(VAR107,VAR185);
and VAR65(VAR296,VAR107,VAR116);
not VAR250(VAR10,VAR104);
and VAR31(VAR46,VAR150,VAR10);
and VAR1(VAR32,VAR214,VAR46);
and VAR149(VAR39,VAR185,VAR32);
not VAR101(VAR267,VAR150);
and VAR66(VAR71,VAR267,VAR104);
not VAR212(VAR284,VAR214);
and VAR169(VAR42,VAR284,VAR71);
not VAR19(VAR38,VAR185);
and VAR117(VAR37,VAR38,VAR42);
not VAR288(VAR98,VAR150);
and VAR157(VAR78,VAR98,VAR104);
not VAR164(VAR162,VAR214);
and VAR196(VAR172,VAR162,VAR78);
and VAR91(VAR110,VAR185,VAR172);
not VAR75(VAR84,VAR150);
and VAR245(VAR79,VAR84,VAR104);
and VAR22(VAR147,VAR214,VAR79);
not VAR209(VAR181,VAR185);
and VAR215(VAR260,VAR181,VAR147);
not VAR59(VAR270,VAR150);
and VAR222(VAR238,VAR270,VAR104);
and VAR264(VAR244,VAR214,VAR238);
and VAR300(VAR200,VAR185,VAR244);
and VAR206(VAR252,VAR150,VAR104);
not VAR7(VAR187,VAR214);
and VAR168(VAR178,VAR187,VAR252);
not VAR126(VAR134,VAR185);
and VAR15(VAR30,VAR134,VAR178);
and VAR122(VAR25,VAR150,VAR104);
not VAR83(VAR192,VAR214);
and VAR60(VAR27,VAR192,VAR25);
and VAR165(VAR289,VAR185,VAR27);
and VAR45(VAR174,VAR150,VAR104);
and VAR225(VAR23,VAR214,VAR174);
not VAR211(VAR257,VAR185);
and VAR202(VAR191,VAR257,VAR23);
and VAR20(VAR188,VAR150,VAR104);
and VAR299(VAR242,VAR214,VAR188);
and VAR199(VAR64,VAR185,VAR242);
not VAR51(VAR151,VAR150);
and VAR166(VAR85,VAR35,VAR151);
and VAR256(VAR55,VAR185,VAR85);
and VAR34(VAR124,VAR35,VAR150);
not VAR239(VAR229,VAR185);
and VAR302(VAR232,VAR229,VAR124);
not VAR179(VAR193,VAR150);
and VAR102(VAR233,VAR35,VAR193);
and VAR93(VAR294,VAR214,VAR233);
and VAR56(VAR277,VAR35,VAR150);
and VAR68(VAR274,VAR214,VAR277);
|
apache-2.0
|
twlostow/dsi-shield
|
hdl/rtl/dsi_core/dsi_utils.v
| 6,820 |
module MODULE4
(
input [23:0] VAR14,
output [7:0] VAR2
);
assign VAR2[0]=^{VAR14[2:0], VAR14[5:4], VAR14[7], VAR14[11:10], VAR14[13], VAR14[16], VAR14[23:20]};
assign VAR2[1]=^{VAR14[1:0], VAR14[4:3], VAR14[6], VAR14[8], VAR14[10], VAR14[12], VAR14[14], VAR14[17], VAR14[23:20]};
assign VAR2[2]=^{VAR14[0], VAR14[3:2], VAR14[6:5], VAR14[9], VAR14[12:11], VAR14[15], VAR14[18], VAR14[22:20] };
assign VAR2[3]=^{VAR14[3:1], VAR14[9:7], VAR14[15:13], VAR14[21:19], VAR14[23]};
assign VAR2[4]=^{VAR14[9:4], VAR14[20:16], VAR14[23:22]};
assign VAR2[5]=^{VAR14[19:10], VAR14[23:21]};
assign VAR2[7:6]=2'b0;
endmodule
module MODULE1 (input[15:0] VAR45, input[7:0] VAR9, output [15:0] VAR43);
assign VAR43[0] = VAR45[8] ^ VAR45[12] ^ VAR9[7-0] ^ VAR9[7-4];
assign VAR43[1] = VAR45[9] ^ VAR45[13] ^ VAR9[7-1] ^ VAR9[7-5];
assign VAR43[2] = VAR45[10] ^ VAR45[14] ^ VAR9[7-2] ^ VAR9[7-6];
assign VAR43[3] = VAR45[11] ^ VAR45[15] ^ VAR9[7-3] ^ VAR9[7-7];
assign VAR43[4] = VAR45[12] ^ VAR9[7-4];
assign VAR43[5] = VAR45[8] ^ VAR45[12] ^ VAR45[13] ^ VAR9[7-0] ^ VAR9[7-4] ^ VAR9[7-5];
assign VAR43[6] = VAR45[9] ^ VAR45[13] ^ VAR45[14] ^ VAR9[7-1] ^ VAR9[7-5] ^ VAR9[7-6];
assign VAR43[7] = VAR45[10] ^ VAR45[14] ^ VAR45[15] ^ VAR9[7-2] ^ VAR9[7-6] ^ VAR9[7-7];
assign VAR43[8] = VAR45[0] ^ VAR45[11] ^ VAR45[15] ^ VAR9[7-3] ^ VAR9[7-7];
assign VAR43[9] = VAR45[1] ^ VAR45[12] ^ VAR9[7-4];
assign VAR43[10] = VAR45[2] ^ VAR45[13] ^ VAR9[7-5];
assign VAR43[11] = VAR45[3] ^ VAR45[14] ^ VAR9[7-6];
assign VAR43[12] = VAR45[4] ^ VAR45[8] ^ VAR45[12] ^ VAR45[15] ^ VAR9[7-0] ^ VAR9[7-4] ^ VAR9[7-7];
assign VAR43[13] = VAR45[5] ^ VAR45[9] ^ VAR45[13] ^ VAR9[7-1] ^ VAR9[7-5];
assign VAR43[14] = VAR45[6] ^ VAR45[10] ^ VAR45[14] ^ VAR9[7-2] ^ VAR9[7-6];
assign VAR43[15] = VAR45[7] ^ VAR45[11] ^ VAR45[15] ^ VAR9[7-3] ^ VAR9[7-7];
endmodule
module MODULE2
(
VAR32,
VAR26,
VAR23,
VAR39,
VAR14,
VAR40);
parameter VAR7 = 3;
input [VAR7*8-1:0] VAR14;
input VAR23;
input [2:0] VAR39;
input VAR32;
input VAR26;
output [15:0] VAR40;
reg [15:0] VAR8;
wire [15:0] VAR5 [0:VAR7-1];
wire [15:0] VAR33 [0:VAR7-1];
generate
genvar VAR11 ;
for(VAR11=0;VAR11<VAR7;VAR11=VAR11+1)
begin
if(VAR11 != VAR7-1)
assign VAR5[VAR11] = (VAR39 == (VAR11+1) ? VAR8 : VAR33[VAR11+1]);
MODULE1 VAR10(VAR5[VAR11], VAR14[8*VAR11+7:8*VAR11], VAR33[VAR11]);
end
assign VAR5[VAR7-1] = VAR8;
endgenerate
always@(posedge VAR32)
if(VAR26)
VAR8 <= 16'hffff;
else if(VAR23)
VAR8 <= VAR33[0];
assign VAR40 = {VAR8[0], VAR8[1],VAR8[2], VAR8[3],
VAR8[4], VAR8[5],VAR8[6], VAR8[7],
VAR8[8], VAR8[9],VAR8[10], VAR8[11],
VAR8[12], VAR8[13],VAR8[14], VAR8[15]};
endmodule
module MODULE5
parameter VAR19 = 10
)
(
input VAR18,
input VAR31,
input VAR36,
input [31:0] VAR16,
input [31:0] VAR35,
input [3:0] VAR27,
input VAR12,
input VAR17,
input VAR15,
output reg VAR20,
output VAR22,
output reg [31:0] VAR24,
output reg [VAR19-1:0] VAR29,
output reg [31:0] VAR30,
output VAR13,
input [31:0] VAR1
);
reg [1:0] state;
reg VAR46, VAR21;
wire VAR41;
reg VAR3 = 0;
reg VAR34;
reg VAR6;
wire VAR25;
MODULE3 MODULE1 (VAR31, VAR36, VAR46, VAR41);
MODULE3 MODULE2 (VAR18, VAR36, VAR6, VAR25);
reg VAR44;
reg VAR48;
always@(posedge VAR31) VAR44 <= VAR41;
always@(posedge VAR18) VAR48 <= VAR25;
always@(posedge VAR18 or negedge VAR36)
if(!VAR36)
begin
VAR3 <= 0;
VAR34 <= 1;
end else begin
VAR3 <= VAR17 & VAR12;
VAR34 <= ~ (VAR17 & VAR12 & !VAR3);
end
always@(posedge VAR18 or negedge VAR36)
if(!VAR36)
begin
state <= VAR37;
VAR46 <= 0;
VAR20 <= 0;
end else begin
case (state)
VAR46 <= 1;
VAR20 <= 0;
VAR21 <= VAR15;
VAR30 <= VAR35;
VAR29 <= VAR16[VAR19+1:2];
state <= VAR4;
end
if(VAR25) begin
VAR46 <= 0;
end else if (VAR48) begin
VAR24 <= VAR1;
VAR20 <= 1;
state <= VAR38;
end
VAR20 <=0;
state <= VAR37;
end
endcase end
assign VAR13 = VAR46 & VAR21 & !VAR44 & VAR41;
always@(posedge VAR31)
VAR6 <= VAR41;
assign VAR22 = VAR34;
endmodule
module MODULE3
(
input VAR32,
input VAR36,
input VAR14,
output VAR49 );
reg [VAR28-1:0] sync;
always@(posedge VAR32)
begin
sync[0] <= VAR14;
sync[VAR28-1:1] <= sync[VAR28-2:0];
end
assign VAR49 = sync[VAR28-1];
endmodule
|
lgpl-3.0
|
tta/gnuradio-tta
|
gr-sounder/src/fpga/lib/sounder.v
| 3,516 |
module MODULE1(VAR1, VAR36, VAR3, VAR14,
VAR24, VAR18, VAR32,
VAR4,VAR45,
VAR9, VAR52,VAR31);
input VAR1; input [6:0] VAR36; input [31:0] VAR3; input VAR14;
output VAR24; output [13:0] VAR18; output [13:0] VAR32;
output VAR9; input [15:0] VAR4; input [15:0] VAR45; output [15:0] VAR52; output [15:0] VAR31;
wire reset;
wire VAR33;
wire VAR50;
wire VAR55;
wire [4:0] VAR17;
wire [13:0] VAR22;
wire [15:0] VAR47;
wire VAR40;
wire VAR42;
VAR28 VAR2(.VAR1(VAR1),.VAR20(reset),.VAR36(VAR36),
.VAR3(VAR3),.VAR14(VAR14),
.VAR53(reset),.VAR43(VAR33),.VAR58(VAR50),.VAR39(VAR55),
.VAR34(VAR17),.VAR44(VAR22),.VAR41(VAR47),.VAR24(VAR24),
.VAR9(VAR9),.VAR38(VAR42),.VAR49(VAR40));
wire [13:0] VAR11, VAR27;
wire [15:0] VAR30, VAR13;
wire [15:0] VAR16, VAR15;
VAR10 #(14,16) VAR5(VAR11, VAR30);
VAR10 #(14,16) VAR56(VAR27, VAR13);
assign VAR18 = VAR55 ? 14'b0 : VAR11;
assign VAR32 = VAR55 ? 14'b0 : VAR27;
assign VAR16 = VAR55 ? VAR30 : VAR4;
assign VAR15 = VAR55 ? VAR13 : VAR45;
VAR35 VAR57
( .VAR1(VAR1),.VAR20(reset),.VAR37(VAR33),
.VAR29(VAR24),.VAR26(VAR47),.VAR25(VAR22),
.VAR8(VAR11),.VAR6(VAR27) );
VAR54 VAR12
( .VAR1(VAR1),.VAR20(reset),.VAR37(VAR50),
.VAR46(VAR42),.VAR23(VAR40),
.VAR26(VAR47),.VAR21(VAR17),
.VAR19(VAR16),.VAR51(VAR15),.VAR7(VAR52),.VAR48(VAR31));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfbbn/sky130_fd_sc_hs__sdfbbn.functional.v
| 2,584 |
module MODULE1 (
VAR12 ,
VAR23 ,
VAR19 ,
VAR22 ,
VAR6 ,
VAR3 ,
VAR5 ,
VAR24,
VAR21 ,
VAR15
);
output VAR12 ;
output VAR23 ;
input VAR19 ;
input VAR22 ;
input VAR6 ;
input VAR3 ;
input VAR5 ;
input VAR24;
input VAR21 ;
input VAR15 ;
wire VAR18 ;
wire VAR2 ;
wire VAR13 ;
wire VAR20 ;
wire VAR4;
not VAR8 (VAR18 , VAR24 );
not VAR10 (VAR2 , VAR5 );
not VAR25 (VAR13 , VAR3 );
VAR11 VAR9 (VAR4, VAR19, VAR22, VAR6 );
VAR1 VAR17 VAR14 (VAR20 , VAR2, VAR18, VAR13, VAR4, VAR21, VAR15);
buf VAR16 (VAR12 , VAR20 );
not VAR7 (VAR23 , VAR20 );
endmodule
|
apache-2.0
|
r2apu/Labo_Digitales
|
L2/codigo/gIMUL.v
| 1,733 |
module MODULE1 (
input wire VAR12,VAR3,VAR10,
output wire VAR19 ,
output wire VAR4
);
assign {VAR4,VAR19} = VAR12 + VAR3 + VAR10;
endmodule
module MODULE3 # (parameter VAR2 = 8)(
input wire [VAR2-1:0] VAR18,VAR13,
output wire [(2*VAR2)-1:0] VAR6
);
wire[(VAR2-1):0] VAR7[(VAR2-1):0];
wire [(VAR2-2):0] VAR17[(VAR2-1):0];
wire [(VAR2-2):0] VAR11[(VAR2-1):0];
wire [(VAR2-2):0] VAR20[(VAR2-1):0];
genvar VAR21, VAR14;
generate
for ( VAR14 = 0; VAR14 < (VAR2); VAR14 = VAR14 + 1)
begin : VAR15
for ( VAR21 =0; VAR21 < VAR2-1; VAR21 = VAR21 + 1)
begin : VAR16
if(VAR14==0)
begin
assign VAR7[VAR21][0]=0;
end
if(VAR21==0)
begin
assign VAR20[0][VAR14]= VAR18[VAR14+1] & VAR13[VAR21];
assign VAR20[0][VAR2-1]= 1b0;
end
else
if(VAR14==VAR2-1)
begin
assign VAR20[VAR21][VAR14]=VAR7[VAR21-1][VAR14];
end
else
begin
assign VAR11[VAR21][VAR14]= VAR18[VAR14] & VAR13[VAR21+1];
assign VAR20[VAR21][VAR14]== VAR17[VAR21-1][VAR14+1];
end
MODULE1 # (1) VAR22
(
.VAR12(VAR11[VAR21][VAR14]),
.VAR3(VAR20[VAR21][VAR14]),
.VAR10(VAR7[VAR21][VAR14]),
.VAR4(VAR7[VAR21][VAR14 +1 ]),
.VAR19 (VAR17[VAR21][VAR14)
);
end
end
endgenerate
endmodule
module MODULE2;
wire [31:0] VAR8;
reg [15:0] VAR1,VAR5;
MODULE3 # (16) VAR9(VAR1,VAR5,VAR8);
|
gpl-3.0
|
carstenbru/fpga-log
|
spartanmc/hardware/motor_dummy_control/src/motor_dummy_control.v
| 4,397 |
module MODULE1 #(parameter VAR16 = 10'h0,
parameter VAR4 = 16000000,
parameter VAR24 = 100,
parameter VAR38 = 100,
parameter VAR36 = 5000,
parameter VAR27 = "VAR30",
parameter VAR5 = 128) (
input wire VAR13, input wire [17:0] VAR26, output wire [17:0] VAR20, input wire [9:0] VAR2, input wire VAR35, input wire VAR1,
input wire reset,
output reg VAR18,
output reg VAR37,
input wire VAR39,
input wire VAR12,
output reg VAR8
);
parameter VAR19 = 32;
wire select;
VAR22 VAR28 (
.addr ( VAR2[9:0] ),
.VAR17 ( VAR35 ),
.select ( select )
);
reg VAR3;
wire VAR23;
wire VAR33;
wire VAR29;
reg [VAR5-1:0] VAR6;
reg [VAR5-1:0] VAR10;
reg [VAR19-1:0] VAR9;
reg [VAR19-1:0] VAR11;
wire VAR32;
assign VAR32 = (VAR9 < VAR11);
reg VAR34;
always @(posedge VAR13) begin
VAR34 <= (select & !VAR1);
end
assign VAR20 = VAR34 ? {16'd0, VAR37, VAR23} : 18'b0;
assign VAR23 = (VAR3 ? VAR29 : VAR33) && !VAR8;
always @(posedge VAR13) begin
VAR37 <= VAR3;
VAR18 <= (VAR23 & VAR32) ^ VAR37;
end
always @(posedge VAR13) begin
if (reset) begin
VAR3 <= 1'b0;
VAR8 <= 1'b1;
end else begin
if (select & VAR1) begin
VAR3 <= VAR26[0];
VAR8 <= 1'b0;
end else begin
VAR8 <= !VAR23;
end
end
end
assign VAR33 = !(VAR6 == {(VAR5){1'b1}}); assign VAR29 = !(VAR10 == {(VAR5){1'b1}});
always @(posedge VAR13) begin
VAR6 <= {VAR6[VAR5-2:0], VAR39}; VAR10 <= {VAR10[VAR5-2:0], VAR12}; end
parameter VAR14 = VAR4 / VAR38;
parameter VAR7 = VAR14 * VAR24 / 100;
parameter VAR15 = VAR7 * 1000 / (VAR36 * VAR38);
always @(posedge VAR13) begin
if (VAR23 == 0) begin
VAR9 <= {(VAR19){1'b0}};
VAR11 <= {(VAR19){1'b0}};
end else begin
if (VAR9 == VAR14) begin
VAR9 <= {(VAR19){1'b0}};
if (VAR11 < VAR7) begin if (VAR27 == "VAR30") begin
VAR11 <= VAR11 + VAR15;
end else begin
VAR11 <= VAR11 + 1 + (VAR11 >> VAR36); end
end
end else begin
VAR9 <= VAR9 + 1;
end
end
end
endmodule
|
gpl-3.0
|
m-labs/milkymist
|
cores/pfpu/rtl/pfpu_seq.v
| 2,291 |
module MODULE1(
input VAR8,
input VAR10,
output reg VAR7,
input VAR5,
input VAR12,
input VAR9,
output reg VAR13,
output reg VAR14,
input VAR11,
output reg VAR1,
output reg VAR15,
input VAR18,
output reg VAR16
);
reg [1:0] state;
reg [1:0] VAR6;
parameter VAR4 = 2'd0;
parameter VAR3 = 2'd1;
parameter VAR2 = 2'd2;
parameter VAR17 = 2'd3;
always @(posedge VAR8) begin
if(VAR10)
state <= VAR4;
end
else
state <= VAR6;
end
always @(posedge VAR8) begin
if(state == VAR2) begin
if(~VAR9) begin
end
end
end
always @(*) begin
VAR6 = state;
VAR16 = 1'b0;
VAR7 = 1'b0;
VAR13 = 1'b0;
VAR14 = 1'b0;
VAR1 = 1'b0;
VAR15 = 1'b0;
case(state)
VAR4: begin
VAR7 = 1'b1;
VAR1 = 1'b1;
VAR13 = 1'b1;
VAR15 = 1'b1;
if(VAR18)
VAR6 = VAR3;
end
VAR3: begin
VAR16 = 1'b1;
VAR7 = 1'b1;
VAR1 = 1'b1;
if(VAR9) begin
VAR1 = 1'b0;
VAR6 = VAR2;
end
end
VAR2: begin
VAR16 = 1'b1;
if(VAR5) begin
VAR1 = 1'b1;
VAR7 = 1'b1;
VAR14 = 1'b1;
if(VAR11)
VAR6 = VAR17;
end
else
VAR6 = VAR3;
end
end
VAR17: begin
VAR16 = 1'b1;
VAR7 = 1'b1;
if(~VAR12)
VAR6 = VAR4;
end
endcase
end
endmodule
|
lgpl-3.0
|
Franderg/Ascensor
|
bcd_to_display.v
| 1,081 |
module MODULE1(
input clk,
input rst,
input [3:0] VAR2,
output reg[7:0] VAR1
);
begin
begin
end
begin
|
gpl-3.0
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_sb_fifo.v
| 9,422 |
module MODULE1(
VAR4, VAR22, VAR11, VAR8, VAR6, VAR29, VAR30, VAR19
);
parameter VAR23 = 68;
parameter VAR25 = VAR18;
parameter VAR15 = VAR27;
parameter VAR2 = "VAR7";
input VAR4; input VAR22; input [VAR23-1:0] VAR11; input VAR8; input VAR6; output [VAR23-1:0] VAR29; output VAR30; output VAR19;
VAR26 VAR26 (.din(VAR11[67:0]), .VAR17(VAR4), .VAR3(VAR6), .rst(VAR22), .VAR1(VAR4), .VAR9(VAR8),
.dout(VAR29[67:0]), .VAR16(VAR30), .VAR31(VAR19));
reg [VAR23-1:0] VAR5 [VAR15-1:0];
reg [VAR23-1:0] VAR29;
reg [VAR25+1:0] VAR20;
reg [VAR25-1:0] VAR24;
reg [VAR25-1:0] VAR28;
reg VAR19;
reg VAR30;
always @(posedge VAR4 or posedge VAR22)
if (VAR22) begin
VAR30 <= 1'b0;
VAR19 <= 1'b1;
VAR24 <= {VAR25{1'b0}};
VAR28 <= {VAR25{1'b0}};
VAR20 <= {VAR25+2{1'b0}};
VAR29 <= {VAR23{1'b0}};
end
else if (VAR8 && VAR6) begin VAR5[VAR24] <= VAR11;
if (VAR24 >= VAR15-1)
VAR24 <= {VAR25{1'b0}};
end
else
VAR24 <= VAR24 + 1'b1;
if (VAR19) begin
VAR29 <= VAR11;
end
else begin
VAR29 <= VAR5[VAR28];
end
if (VAR28 >= VAR15-1)
VAR28 <= {VAR25{1'b0}};
else
VAR28 <= VAR28 + 1'b1;
end
else if (VAR8 && !VAR30) begin VAR5[VAR24] <= VAR11;
VAR20 <= VAR20 + 1'b1;
VAR19 <= 1'b0;
if (VAR20 >= (VAR15-1)) begin
VAR30 <= 1'b1;
VAR20 <= VAR15;
end
if (VAR24 >= VAR15-1)
VAR24 <= {VAR25{1'b0}};
end
else
VAR24 <= VAR24 + 1'b1;
end
else if (VAR6 && !VAR19) begin VAR29 <= VAR5[VAR28];
VAR20 <= VAR20 - 1'b1;
VAR30 <= 1'b0;
if (VAR20 <= 1) begin
VAR19 <= 1'b1;
VAR20 <= {VAR25+2{1'b0}};
end
if (VAR28 >= VAR15-1)
VAR28 <= {VAR25{1'b0}};
end
else
VAR28 <= VAR28 + 1'b1;
end
endmodule
|
gpl-2.0
|
rohit21122012/CPU
|
ALU/Arith/UnSigned/UAdder/mux.v
| 4,830 |
module MODULE1(out , VAR1 , VAR6 ,VAR5);
output [31:0] out;
input [31:0] VAR1,VAR6;
input VAR5;
wire VAR3;
wire [31:0] VAR2,VAR4;
not (VAR3,VAR5);
and (VAR2[0] , VAR3 , VAR1[0]);
and (VAR2[1] , VAR3 , VAR1[1]);
and (VAR2[2] , VAR3 , VAR1[2]);
and (VAR2[3] , VAR3 , VAR1[3]);
and (VAR2[4] , VAR3 , VAR1[4]);
and (VAR2[5] , VAR3 , VAR1[5]);
and (VAR2[6] , VAR3 , VAR1[6]);
and (VAR2[7] , VAR3 , VAR1[7]);
and (VAR2[8] , VAR3 , VAR1[8]);
and (VAR2[9] , VAR3 , VAR1[9]);
and (VAR2[10] , VAR3 , VAR1[10]);
and (VAR2[11] , VAR3 , VAR1[11]);
and (VAR2[12] , VAR3 , VAR1[12]);
and (VAR2[13] , VAR3 , VAR1[13]);
and (VAR2[14] , VAR3 , VAR1[14]);
and (VAR2[15] , VAR3 , VAR1[15]);
and (VAR2[16] , VAR3 , VAR1[16]);
and (VAR2[17] , VAR3 , VAR1[17]);
and (VAR2[18] , VAR3 , VAR1[18]);
and (VAR2[19] , VAR3 , VAR1[19]);
and (VAR2[20] , VAR3 , VAR1[20]);
and (VAR2[21] , VAR3 , VAR1[21]);
and (VAR2[22] , VAR3 , VAR1[22]);
and (VAR2[23] , VAR3 , VAR1[23]);
and (VAR2[24] , VAR3 , VAR1[24]);
and (VAR2[25] , VAR3 , VAR1[25]);
and (VAR2[26] , VAR3 , VAR1[26]);
and (VAR2[27] , VAR3 , VAR1[27]);
and (VAR2[28] , VAR3 , VAR1[28]);
and (VAR2[29] , VAR3 , VAR1[29]);
and (VAR2[30] , VAR3 , VAR1[30]);
and (VAR2[31] , VAR3 , VAR1[31]);
and (VAR4[0] , VAR5 , VAR6[0]);
and (VAR4[1] , VAR5 , VAR6[1]);
and (VAR4[2] , VAR5 , VAR6[2]);
and (VAR4[3] , VAR5 , VAR6[3]);
and (VAR4[4] , VAR5 , VAR6[4]);
and (VAR4[5] , VAR5 , VAR6[5]);
and (VAR4[6] , VAR5 , VAR6[6]);
and (VAR4[7] , VAR5 , VAR6[7]);
and (VAR4[8] , VAR5 , VAR6[8]);
and (VAR4[9] , VAR5 , VAR6[9]);
and (VAR4[10] , VAR5 , VAR6[10]);
and (VAR4[11] , VAR5 , VAR6[11]);
and (VAR4[12] , VAR5 , VAR6[12]);
and (VAR4[13] , VAR5 , VAR6[13]);
and (VAR4[14] , VAR5 , VAR6[14]);
and (VAR4[15] , VAR5 , VAR6[15]);
and (VAR4[16] , VAR5 , VAR6[16]);
and (VAR4[17] , VAR5 , VAR6[17]);
and (VAR4[18] , VAR5 , VAR6[18]);
and (VAR4[19] , VAR5 , VAR6[19]);
and (VAR4[20] , VAR5 , VAR6[20]);
and (VAR4[21] , VAR5 , VAR6[21]);
and (VAR4[22] , VAR5 , VAR6[22]);
and (VAR4[23] , VAR5 , VAR6[23]);
and (VAR4[24] , VAR5 , VAR6[24]);
and (VAR4[25] , VAR5 , VAR6[25]);
and (VAR4[26] , VAR5 , VAR6[26]);
and (VAR4[27] , VAR5 , VAR6[27]);
and (VAR4[28] , VAR5 , VAR6[28]);
and (VAR4[29] , VAR5 , VAR6[29]);
and (VAR4[30] , VAR5 , VAR6[30]);
and (VAR4[31] , VAR5 , VAR6[31]);
or (out[0] , VAR2[0] , VAR4[0]);
or (out[1] , VAR2[1] , VAR4[1]);
or (out[2] , VAR2[2] , VAR4[2]);
or (out[3] , VAR2[3] , VAR4[3]);
or (out[4] , VAR2[4] , VAR4[4]);
or (out[5] , VAR2[5] , VAR4[5]);
or (out[6] , VAR2[6] , VAR4[6]);
or (out[7] , VAR2[7] , VAR4[7]);
or (out[8] , VAR2[8] , VAR4[8]);
or (out[9] , VAR2[9] , VAR4[9]);
or (out[10] , VAR2[10] , VAR4[10]);
or (out[11] , VAR2[11] , VAR4[11]);
or (out[12] , VAR2[12] , VAR4[12]);
or (out[13] , VAR2[13] , VAR4[13]);
or (out[14] , VAR2[14] , VAR4[14]);
or (out[15] , VAR2[15] , VAR4[15]);
or (out[16] , VAR2[16] , VAR4[16]);
or (out[17] , VAR2[17] , VAR4[17]);
or (out[18] , VAR2[18] , VAR4[18]);
or (out[19] , VAR2[19] , VAR4[19]);
or (out[20] , VAR2[20] , VAR4[20]);
or (out[21] , VAR2[21] , VAR4[21]);
or (out[22] , VAR2[22] , VAR4[22]);
or (out[23] , VAR2[23] , VAR4[23]);
or (out[24] , VAR2[24] , VAR4[24]);
or (out[25] , VAR2[25] , VAR4[25]);
or (out[26] , VAR2[26] , VAR4[26]);
or (out[27] , VAR2[27] , VAR4[27]);
or (out[28] , VAR2[28] , VAR4[28]);
or (out[29] , VAR2[29] , VAR4[29]);
or (out[30] , VAR2[30] , VAR4[30]);
or (out[31] , VAR2[31] , VAR4[31]);
endmodule
|
mit
|
monotone-RK/FACE
|
MCSoC-15/16-way_2-parallel/ise/ipcore_dir/dram/user_design/rtl/ecc/mig_7series_v1_9_ecc_gen.v
| 7,915 |
module MODULE1
parameter VAR9 = 72,
parameter VAR13 = 8,
parameter VAR6 = 64
)
(
VAR21
);
function integer VAR10 (input integer VAR5);
integer VAR14;
if (VAR5 == 1) VAR10 = 1;
else begin
VAR10 = 1;
for (VAR14=2; VAR14<=VAR5; VAR14=VAR14+1)
VAR10 = VAR10 * VAR14;
end
endfunction
function integer VAR18 (input integer VAR1, VAR22);
VAR18 = VAR10(VAR1)/(VAR10(VAR22)*VAR10(VAR1-VAR22));
endfunction
function [VAR13-1:0] VAR3 (input [VAR13-1:0] VAR5);
integer VAR14;
integer VAR19;
reg VAR12;
reg VAR4;
reg [VAR13-1:0] VAR15;
begin
VAR12 = 1'b0;
VAR4 = 1'b0;
VAR15 = 0;
for (VAR14=0; VAR14<VAR13; VAR14=VAR14+1)
begin
if ((&VAR5 == 1'VAR11) || VAR4) VAR3[VAR14] = VAR5[VAR14];
end
else begin
VAR3[VAR14] = 1'b0;
VAR15 = VAR15 + VAR5[VAR14];
if (VAR5[VAR14] && VAR12) begin
VAR4 = 1'b1;
for (VAR19=VAR14-1; VAR19>=0;VAR19=VAR19-1)
if (VAR19>=VAR14-VAR15) VAR3[VAR19] = 1'b1;
end
VAR12 = ~VAR5[VAR14];
end end
end endfunction
wire [VAR13-1:0] VAR20 [VAR9-1:0];
output wire [VAR9*VAR13-1:0] VAR21;
localparam VAR2 = VAR18(VAR13, 3);
localparam VAR8 = VAR18(VAR13, 5);
genvar VAR1;
genvar VAR16;
generate
for (VAR1=0; VAR1<VAR9; VAR1=VAR1+1) begin : VAR17
if (VAR1 == 0)
assign VAR20[VAR1] = {{3{1'b1}}, {VAR13-3{1'b0}}};
end
else if (VAR1 == VAR2 && VAR1 < VAR6)
assign VAR20[VAR1] = {{5{1'b1}}, {VAR13-5{1'b0}}};
else if ((VAR1 == VAR2+VAR8) && VAR1 < VAR6)
assign VAR20[VAR1] = {{7{1'b1}}, {VAR13-7{1'b0}}};
else if (VAR1 == VAR6)
assign VAR20[VAR1] = {{1{1'b1}}, {VAR13-1{1'b0}}};
else assign VAR20[VAR1] = VAR3(VAR20[VAR1-1]);
for (VAR16=0; VAR16<VAR13; VAR16=VAR16+1) begin : VAR7
assign VAR21[VAR16*VAR9+VAR1] = VAR20[VAR1][VAR16];
end
end
endgenerate
endmodule
|
mit
|
FAST-Switch/fast
|
lib/hardware/pipeline/UM_OPENFLOW/UM.v
| 8,755 |
module MODULE1(
input clk,
input VAR44,
input [5:0] VAR40,
input VAR107,
input [133:0] VAR46,
input VAR104,
input VAR15,
output VAR74,
output VAR66,
output [133:0] VAR52,
output VAR59,
output VAR92,
input VAR73,
input VAR38,
input [133:0] VAR83,
input VAR97,
input VAR70,
output VAR109,
output VAR54,
output [133:0] VAR47,
output VAR53,
output VAR89,
input VAR105,
input VAR24,
input VAR1,
input [31:0] VAR2,
input VAR81,
output VAR14,
output [31:0] VAR88
);
wire VAR49;
wire VAR37;
wire [31:0] VAR102;
wire VAR112;
wire VAR17;
wire [31:0] VAR3;
wire VAR85;wire VAR13;wire VAR7;wire [15:0] VAR57;
wire [31:0] VAR62;
wire [31:0]VAR99;
wire VAR55;
wire [287:0] VAR26;
wire VAR23;
wire [133:0] VAR67;
wire VAR36;
wire VAR108;
wire [5:0] VAR106;
wire VAR42;wire [31:0] VAR56;
wire VAR90;
wire VAR87;
wire VAR30;
wire [133:0] VAR78;
wire VAR60;
wire VAR63;
wire VAR72;
wire VAR31;
wire [133:0] VAR75;
wire VAR16;
wire VAR82;
wire VAR33;
VAR28 VAR28(
.clk(clk),
.VAR44(VAR44),
.VAR24(VAR24),
.VAR1(VAR1),.VAR2(VAR2),
.VAR81(VAR81),
.VAR14(VAR14),
.VAR88(VAR88),
.VAR114(VAR49),
.VAR103(VAR37),
.VAR64(VAR102),
.VAR116(VAR112),
.VAR61(VAR17),
.VAR21(VAR3),
.VAR45(VAR85),.VAR115(VAR13),.VAR71(VAR7),.VAR27(VAR57),
.VAR43(VAR62),
.VAR100(VAR99)
);
VAR101 VAR101(
.clk(clk),
.VAR44(VAR44),
.VAR84(VAR107),
.VAR69(VAR46),
.VAR39(VAR104),
.VAR76(VAR15),
.VAR9(VAR74),
.VAR55(VAR55),
.VAR26(VAR26),
.VAR29(VAR23),
.VAR10(VAR67),
.VAR50(VAR36)
);
VAR94 VAR80(
.clk(clk),
.reset(VAR44),
.VAR24(VAR49),
.VAR1(VAR37), .VAR2(VAR102),
.VAR81(VAR112),
.VAR14(VAR17),
.VAR88(VAR3),
.VAR6(VAR55),
.VAR8(VAR26),
.VAR95(VAR108),
.VAR41(VAR106));
VAR5 VAR5(
.clk(clk),
.VAR44(VAR44),
.VAR108(VAR108),
.VAR106({10'b0,VAR106}), .VAR51(VAR42), .VAR113(VAR56),
.VAR45(VAR85), .VAR115(VAR13), .VAR71(VAR7), .VAR27(VAR57),
.VAR43(VAR62),
.VAR100(VAR99)
);
VAR117 VAR117(
.clk(clk),
.VAR44(VAR44),
.VAR40(VAR40),
.VAR23(VAR23),
.VAR67(VAR67),
.VAR36(VAR36),
.VAR93(VAR42),
.VAR20(VAR56),
.VAR90(VAR90),
.VAR87(VAR87), .VAR30(VAR30),
.VAR78(VAR78),
.VAR60(VAR60),
.VAR63(VAR63),
.VAR72(VAR72)
);
VAR98 VAR98(
.clk(clk),
.VAR44(VAR44),
.VAR30(VAR30),
.VAR78(VAR78),
.VAR60(VAR60),
.VAR63(VAR63),
.VAR72(VAR72),
.VAR90(VAR90),
.VAR87(VAR87), .VAR12(VAR54),
.VAR34(VAR47),
.VAR58(VAR53),
.VAR25(VAR89),
.VAR111(VAR105),
.VAR19(VAR31),
.VAR110(VAR75),
.VAR35(VAR16),
.VAR68(VAR82),
.VAR65(VAR33)
);
VAR77 VAR77(
.clk(clk),
.VAR44(VAR44),
.VAR31(VAR31),
.VAR75(VAR75),
.VAR16(VAR16),
.VAR82(VAR82),
.VAR33(VAR33),
.VAR18(VAR38),
.VAR91(VAR83),
.VAR11(VAR97),
.VAR22(VAR70),
.VAR96(VAR109),
.VAR86(VAR66),
.VAR79(VAR52),
.VAR4(VAR59),
.VAR32(VAR92),
.VAR48(VAR73)
);
endmodule
|
apache-2.0
|
ncos/Xilinx-Verilog
|
GYRACC/src/toplevel.v
| 5,085 |
module MODULE1
(
VAR66,
VAR21,
VAR27,
VAR83,
VAR5,
VAR56,
VAR81,
VAR76,
VAR14,
VAR3,
VAR105,
VAR2,
VAR68,
VAR40,
VAR44,
VAR8,
VAR69,
VAR77,
VAR79,
VAR52,
VAR41,
VAR4,
VAR86,
VAR50,
VAR26,
VAR54,
VAR85,
VAR103,
VAR19,
VAR84,
VAR64,
VAR67,
VAR20,
VAR75,
VAR55,
VAR59,
VAR73,
VAR11,
VAR47,
VAR35,
VAR102,
VAR51,
VAR65,
VAR36
);
input wire VAR66;
inout wire VAR76;
inout wire VAR14;
inout wire VAR3;
inout wire VAR105;
inout wire VAR2;
inout wire VAR68;
inout wire VAR40;
inout wire VAR44;
inout wire VAR8;
inout wire VAR69;
inout wire VAR77;
inout wire VAR79;
inout wire VAR52;
inout wire VAR41;
inout wire VAR4;
inout wire VAR86;
input wire VAR67;
input wire VAR20;
input wire VAR75;
input wire VAR55;
input wire VAR59;
output wire VAR21;
output wire VAR27;
output wire VAR83;
output wire VAR5;
output wire VAR56;
output wire VAR81;
input wire VAR50;
input wire VAR26;
input wire VAR54;
input wire VAR85;
input wire VAR103;
input wire VAR19;
input wire VAR84;
input wire VAR64;
output wire VAR73;
output wire VAR11;
output wire VAR47;
output wire VAR35;
output wire VAR102;
output wire VAR51;
output wire VAR65;
output wire VAR36;
reg [127:0] VAR61 = "----------------";
reg [127:0] VAR98 = "----------------";
reg [127:0] VAR18 = "----------------";
reg [127:0] VAR30 = "----------------";
reg VAR23 = 1'b0;
assign VAR36 = VAR23;
VAR97 VAR92
(
.VAR89(VAR20),
.VAR87(VAR23),
.VAR90(VAR61),
.VAR1(VAR98),
.VAR12(VAR18),
.VAR22(VAR30),
.VAR21(VAR21),
.VAR27(VAR27),
.VAR83(VAR83),
.VAR5(VAR5),
.VAR56(VAR56),
.VAR81(VAR81),
.VAR53(VAR66)
);
wire [15:0] VAR82;
wire [15:0] VAR80;
wire [15:0] VAR96;
wire [15:0] VAR13;
wire [15:0] VAR43;
VAR38 VAR48
(
.clk(VAR66),
.VAR91(VAR20),
.VAR71({VAR105, VAR3, VAR14, VAR76}),
.VAR10(VAR82),
.VAR78(VAR80),
.VAR33(VAR96),
.VAR16(VAR13),
.VAR43(VAR43)
);
wire [127:0] VAR57;
wire [127:0] VAR6;
wire [127:0] VAR70;
wire [127:0] VAR101;
wire [127:0] VAR58;
VAR34#(.VAR46(4)) VAR93
(
.VAR66(VAR66),
.VAR31(VAR57),
.VAR72(VAR80)
);
VAR34#(.VAR46(4)) VAR62
(
.VAR66(VAR66),
.VAR31(VAR6),
.VAR72(VAR96)
);
VAR34#(.VAR46(4)) VAR95
(
.VAR66(VAR66),
.VAR31(VAR70),
.VAR72(VAR13)
);
VAR34#(.VAR46(4)) VAR17
(
.VAR66(VAR66),
.VAR31(VAR101),
.VAR72(VAR82)
);
VAR34#(.VAR46(4)) VAR88
(
.VAR66(VAR66),
.VAR31(VAR58),
.VAR72(VAR43)
);
wire [15:0] VAR74;
wire [15:0] VAR94;
wire [15:0] VAR63;
VAR32 VAR9
(
.VAR53(VAR66),
.VAR91(VAR20),
.VAR39(VAR77),
.VAR60(VAR69),
.VAR83(VAR79),
.VAR28(VAR8),
.VAR104(VAR74),
.VAR100(VAR94),
.VAR37(VAR63)
);
wire [127:0] VAR45;
wire [127:0] VAR49;
wire [127:0] VAR99;
VAR34#(.VAR46(4)) VAR25
(
.VAR66(VAR66),
.VAR31(VAR45),
.VAR72(VAR74)
);
VAR34#(.VAR46(4)) VAR24
(
.VAR66(VAR66),
.VAR31(VAR49),
.VAR72(VAR94)
);
VAR34#(.VAR46(4)) VAR29
(
.VAR66(VAR66),
.VAR31(VAR99),
.VAR72(VAR63)
);
wire VAR7;
VAR42 VAR7
(
.VAR66(VAR66),
.out(VAR7),
.VAR15(64'd3333333)
);
always @(posedge VAR66) begin
if (VAR67) begin
VAR23 <= 1'b1;
end
end
always @(posedge VAR7) begin
VAR61 <= VAR64 ? VAR57 : VAR45;
VAR98 <= VAR64 ? VAR6 : VAR49;
VAR18 <= VAR64 ? VAR70 : VAR99;
VAR30 <= VAR101;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
models/udp_dff_ps/sky130_fd_sc_hd__udp_dff_ps.blackbox.v
| 1,233 |
module MODULE1 (
VAR2 ,
VAR3 ,
VAR4,
VAR1
);
output VAR2 ;
input VAR3 ;
input VAR4;
input VAR1;
endmodule
|
apache-2.0
|
gigglesninja/digital-system-design
|
Lab4/lab4dpath_part2.v
| 1,254 |
module MODULE1(VAR8,VAR20,VAR23,VAR3,clk);
input [9:0] VAR8,VAR20,VAR23;
input clk;
output [9:0] VAR3;
wire [11:0] VAR19, VAR10, VAR11, VAR13;
wire [23:0] VAR12, VAR16, VAR7;
reg [9:0] VAR18, VAR14, VAR2, VAR15, VAR4, VAR5;
always @(posedge clk) begin
VAR18 <= VAR8;
VAR14 <= VAR20;
VAR2 <= VAR23;
end
always @(posedge clk) begin
VAR15 <= VAR18;
VAR4 <= VAR14;
VAR5 <= VAR2;
end
assign VAR10 = {VAR15, 2'b00};
assign VAR11 = {VAR4, 2'b00};
assign VAR13 = {VAR5, 2'b00};
VAR6 VAR1 (.clk(clk), .VAR22(12'b110000000000), .VAR24(VAR10), .VAR21(VAR12));
VAR6 VAR17 (.clk(clk), .VAR22(12'b010100000000), .VAR24(VAR11), .VAR21(VAR16));
VAR6 VAR9 (.clk(clk), .VAR22(12'b110000000000), .VAR24(VAR13), .VAR21(VAR7));
assign VAR19 = VAR12[22:11] + VAR16[22:11] + VAR7[22:11];
assign VAR3 = VAR19[11:2];
endmodule
|
gpl-2.0
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/video/video_fetch.v
| 2,603 |
module MODULE1(
input wire clk,
input wire VAR4, input wire VAR14,
input wire VAR9,
input wire VAR3, input wire VAR5,
output reg VAR10,
input wire [15:0] VAR12, input wire VAR7, output reg VAR2,
output reg [63:0] VAR11
);
reg [3:0] VAR1;
reg [1:0] VAR6; reg VAR13;
reg [15:0] VAR8 [0:3];
always @(posedge clk)
if( VAR3 && VAR9 )
VAR2 <= 1'b1;
else if( VAR5 )
VAR2 <= 1'b0;
always @(posedge clk) if( VAR4 )
begin
if( VAR3 )
VAR1 <= 0;
end
else
VAR1 <= VAR1 + 1;
end
always @(posedge clk)
if( (VAR1==1) && VAR14 )
VAR10 <= 1'b1;
else
VAR10 <= 1'b0;
always @(posedge clk)
if( (VAR1==0) && VAR14 )
VAR13 <= 1'b1;
else
VAR13 <= 1'b0;
always @(posedge clk)
if( VAR13 )
VAR6 <= 0;
else if( VAR7 )
VAR6 <= VAR6 + 1;
always @(posedge clk) if( VAR7 )
VAR8[VAR6] <= VAR12;
always @(posedge clk) if( VAR10 )
begin
VAR11[ 7:0 ] <= VAR8[0][15:8 ];
VAR11[15:8 ] <= VAR8[0][ 7:0 ];
VAR11[23:16] <= VAR8[1][15:8 ];
VAR11[31:24] <= VAR8[1][ 7:0 ];
VAR11[39:32] <= VAR8[2][15:8 ];
VAR11[47:40] <= VAR8[2][ 7:0 ];
VAR11[55:48] <= VAR8[3][15:8 ];
VAR11[63:56] <= VAR8[3][ 7:0 ];
end
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/sdffrnq/gf180mcu_fd_sc_mcu9t5v0__sdffrnq_2.functional.pp.v
| 1,745 |
module MODULE1( VAR14, VAR15, VAR25, VAR19, VAR12, VAR22, VAR16, VAR6, VAR8 );
input VAR19, VAR25, VAR12, VAR14, VAR15, VAR16, VAR6, VAR8;
output VAR22;
not VAR13( VAR27, VAR12 );
wire VAR10;
not VAR9( VAR10, VAR25 );
wire VAR7;
not VAR4( VAR7, VAR14 );
wire VAR26;
and VAR20( VAR26, VAR10, VAR7 );
wire VAR18;
not VAR1( VAR18, VAR15 );
wire VAR11;
and VAR21( VAR11, VAR10, VAR18 );
wire VAR17;
and VAR5( VAR17, VAR18, VAR14 );
or VAR28( VAR3, VAR26, VAR11, VAR17 );
VAR24( VAR2, 1'b0, VAR27, VAR19, VAR3, VAR8 );
not VAR23( VAR22, VAR2 );
endmodule
|
apache-2.0
|
bunnie/novena-afe-hs-fpga
|
novena-afe-hs.srcs/sources_1/imports/imports/eim_burstcnt.v
| 1,568 |
module MODULE1(
input wire VAR15,
input wire [15:0] VAR16, input wire [2:0] VAR9, input wire VAR2, input wire VAR14, input wire VAR8,
output reg [15:0] VAR7
);
reg VAR3;
reg [15:0] VAR12;
reg VAR10;
reg [2:0] VAR6;
reg VAR1;
reg VAR11;
reg [15:0] VAR13;
reg [15:0] VAR4;
reg VAR5;
always @(posedge VAR15) begin
VAR12 <= VAR16;
VAR6 <= VAR9;
VAR10 <= VAR8;
VAR1 <= VAR14;
VAR11 <= VAR2;
if( VAR10 && VAR11 && ({VAR6, VAR12[15:12]} == 7'h4F) ) begin VAR3 <= 1'b1;
end else if( !VAR10 ) begin
VAR3 <= 1'b0;
end else begin
VAR3 <= VAR3;
end
if( !VAR3 ) begin
VAR4 <= VAR13;
VAR13 <= 16'h0;
end else begin
VAR13 <= VAR13 + 16'h1;
VAR4 <= VAR4;
end
VAR5 <= VAR3;
if( VAR5 & !VAR3 ) begin VAR7 <= VAR4 + 1'b1; end else begin
VAR7 <= VAR7;
end
end
endmodule
|
apache-2.0
|
mamijaz/RISC-V
|
src/riscv_pipeline/decode/DECODING_STAGE.v
| 11,167 |
module MODULE1 #(
parameter VAR14 = 32 ,
parameter VAR58 = 32 ,
parameter VAR39 = 5 ,
parameter VAR67 = 5 ,
parameter VAR60 = 3 ,
parameter VAR43 = 2 ,
parameter VAR6 = 3 ,
parameter VAR9 = 1'b1 ,
parameter VAR37 = 1'b0
) (
input VAR41 ,
input VAR22 ,
input VAR5 ,
input [VAR39 - 1 : 0] VAR28 ,
input [VAR58 - 1 : 0] VAR23 ,
input VAR16 ,
input [VAR58 - 1 : 0] VAR25 ,
input [VAR14 - 1 : 0] VAR7 ,
input VAR45 ,
output [VAR14 - 1 : 0] VAR17 ,
output [VAR39 - 1 : 0] VAR1 ,
output [VAR39 - 1 : 0] VAR72 ,
output [VAR39 - 1 : 0] VAR10 ,
output [VAR58 - 1 : 0] VAR38 ,
output [VAR58 - 1 : 0] VAR35 ,
output [VAR58 - 1 : 0] VAR54 ,
output [VAR67 - 1 : 0] VAR68 ,
output VAR11 ,
output VAR55 ,
output [VAR60 - 1 : 0] VAR70 ,
output [VAR43 - 1 : 0] VAR29 ,
output VAR48 ,
output VAR57
);
reg [VAR14 - 1 : 0] VAR42 ;
reg [VAR39 - 1 : 0] VAR15 ;
reg [VAR39 - 1 : 0] VAR53 ;
reg [VAR39 - 1 : 0] VAR4 ;
reg [VAR58 - 1 : 0] VAR32 ;
reg [VAR58 - 1 : 0] VAR51 ;
reg [VAR58 - 1 : 0] VAR50 ;
reg [VAR67 - 1 : 0] VAR24 ;
reg VAR40 ;
reg VAR27 ;
reg [VAR60 - 1 : 0] VAR46 ;
reg [VAR43 - 1 : 0] VAR8 ;
reg VAR31 ;
reg VAR44 ;
wire [VAR39 - 1 : 0] VAR18 ;
wire [VAR39 - 1 : 0] VAR19 ;
wire [VAR39 - 1 : 0] VAR33 ;
wire [VAR58 - 1 : 0] VAR12 ;
wire [VAR58 - 1 : 0] VAR62 ;
wire [VAR6 - 1 : 0] VAR30 ;
wire [VAR58 - 1 : 0] VAR20 ;
wire [VAR67 - 1 : 0] VAR56 ;
wire VAR21 ;
wire VAR3 ;
wire [VAR60 - 1 : 0] VAR59 ;
wire [VAR43 - 1 : 0] VAR52 ;
wire VAR64 ;
wire VAR66 ;
VAR69 VAR63(
.VAR25(VAR25),
.VAR2(VAR30),
.VAR1(VAR18),
.VAR72(VAR19),
.VAR47(VAR33),
.VAR68(VAR56),
.VAR11(VAR21),
.VAR55(VAR3),
.VAR70(VAR59),
.VAR29(VAR52),
.VAR48(VAR64),
.VAR49(VAR66)
);
VAR65 VAR36(
.VAR41(VAR41),
.VAR1(VAR18),
.VAR72(VAR19),
.VAR38(VAR12),
.VAR35(VAR62),
.VAR47(VAR28),
.VAR34(VAR23),
.VAR61(VAR16)
);
VAR26 VAR13(
.VAR71(VAR25[31:7]),
.VAR2(VAR30),
.VAR54(VAR20)
);
always@(posedge VAR41)
begin
if(VAR5 == VAR37)
begin
if(VAR22 == VAR37)
begin
if(VAR45 == VAR9)
begin
VAR42 <= VAR7 ;
VAR15 <= VAR18 ;
VAR53 <= VAR19 ;
VAR4 <= VAR33 ;
VAR32 <= VAR12 ;
VAR51 <= VAR62 ;
VAR50 <= VAR20 ;
VAR24 <= VAR56 ;
VAR40 <= VAR21 ;
VAR27 <= VAR3 ;
VAR46 <= VAR59 ;
VAR8 <= VAR52 ;
VAR31 <= VAR64 ;
VAR44 <= VAR66 ;
end
else
begin
VAR42 <= 32'b0 ;
VAR15 <= 5'b0 ;
VAR53 <= 5'b0 ;
VAR4 <= 5'b0 ;
VAR32 <= 32'b0 ;
VAR51 <= 32'b0 ;
VAR50 <= 32'b0 ;
VAR24 <= 5'b0 ;
VAR40 <= VAR37 ;
VAR27 <= VAR37 ;
VAR46 <= 3'b0 ;
VAR8 <= 2'b0 ;
VAR31 <= VAR37 ;
VAR44 <= VAR37 ;
end
end
end
else
begin
VAR42 <= 32'b0 ;
VAR15 <= 5'b0 ;
VAR53 <= 5'b0 ;
VAR4 <= 5'b0 ;
VAR32 <= 32'b0 ;
VAR51 <= 32'b0 ;
VAR50 <= 32'b0 ;
VAR24 <= 5'b0 ;
VAR40 <= VAR37 ;
VAR27 <= VAR37 ;
VAR46 <= 3'b0 ;
VAR8 <= 2'b0 ;
VAR31 <= VAR37 ;
VAR44 <= VAR37 ;
end
end
assign VAR17 = VAR42 ;
assign VAR1 = VAR15 ;
assign VAR72 = VAR53 ;
assign VAR10 = VAR4 ;
assign VAR38 = VAR32 ;
assign VAR35 = VAR51 ;
assign VAR54 = VAR50 ;
assign VAR68 = VAR24 ;
assign VAR11 = VAR40 ;
assign VAR55 = VAR27 ;
assign VAR70 = VAR46 ;
assign VAR29 = VAR8 ;
assign VAR48 = VAR31 ;
assign VAR57 = VAR44 ;
endmodule
|
bsd-2-clause
|
GREO/GNU-Radio
|
usrp/fpga/sdr_lib/sign_extend.v
| 1,138 |
module MODULE1 (in,out);
parameter VAR1=0; parameter VAR2=0;
input [VAR1-1:0] in;
output [VAR2-1:0] out;
assign out = {{(VAR2-VAR1){in[VAR1-1]}},in};
endmodule
|
gpl-3.0
|
Tommydag/CAN-Bus-Controller
|
Oneshot.v
| 1,322 |
module MODULE1(
input pulse,
input clk,
input rst,
output reg out
);
VAR6 out = 0;
parameter VAR2 = 2'b00, VAR5 = 2'b01, VAR3 = 2'b10;
reg[1:0] VAR1, VAR4;
always @ (posedge clk or posedge rst) begin
if(rst) begin
VAR4 <= VAR2;
end
else begin
VAR4 <= VAR1;
end
end
always @ (VAR4 or pulse) begin
if(VAR4 == VAR5) begin
VAR1 <= VAR3;
end
else if(VAR4 == VAR3) begin
if(pulse) begin
VAR1 <= VAR3;
end
else begin
VAR1 <= VAR2;
end
end
else if(pulse) begin
VAR1<= VAR5;
end
else begin
VAR1<= VAR2;
end
end
always @(VAR4 or rst) begin
if(rst)
out <= 1'b0;
end
else if(VAR4 == VAR5)
out <= 1'b1;
else
out <= 1'b0;
end
endmodule
|
mit
|
efabless/openlane
|
designs/usb_cdc_core/src/usbf_sie_tx.v
| 9,186 |
module MODULE1
(
input VAR3
,input VAR33
,input VAR1
,input VAR17
,input VAR18
,input VAR31
,input [ 7:0] VAR38
,input VAR12
,input VAR36
,input [ 7:0] VAR15
,input VAR40
,output [ 7:0] VAR27
,output VAR24
,output VAR32
,output VAR39
);
localparam VAR6 = 3;
localparam VAR14 = 3'd0;
localparam VAR28 = 3'd1;
localparam VAR7 = 3'd2;
localparam VAR16 = 3'd3;
localparam VAR23 = 3'd4;
localparam VAR26 = 3'd5;
localparam VAR8 = 3'd6;
reg [VAR6-1:0] VAR21;
reg [VAR6-1:0] VAR37;
reg VAR10;
reg VAR13;
reg VAR30;
always @ (posedge VAR3 or posedge VAR33)
if (VAR33)
begin
VAR13 <= 1'b0;
VAR30 <= 1'b0;
end
else if (!VAR1)
begin
VAR13 <= 1'b0;
VAR30 <= 1'b0;
end
else if (VAR31 && VAR32)
begin
case (VAR38)
begin
VAR13 <= 1'b1;
VAR30 <= VAR12 && (VAR36 == 1'b0) && VAR40;
end
default :
begin
VAR13 <= 1'b0;
VAR30 <= 1'b0;
end
endcase
end
else if (VAR37 == VAR16)
begin
VAR13 <= 1'b0;
VAR30 <= 1'b0;
end
assign VAR32 = (VAR21 == VAR14);
always @ *
begin
VAR37 = VAR21;
case (VAR21)
VAR14 :
begin
if (VAR17)
VAR37 = VAR8;
end
else if (VAR31)
VAR37 = VAR28;
end
VAR28 :
begin
if (VAR18)
begin
if (VAR30)
VAR37 = VAR16;
end
else if (VAR13)
VAR37 = VAR7;
end
else
VAR37 = VAR26;
end
end
VAR7 :
begin
if (VAR18)
begin
if (VAR40)
VAR37 = VAR16;
end
end
VAR16 :
begin
if (VAR18)
VAR37 = VAR23;
end
VAR23 :
begin
if (VAR18)
VAR37 = VAR26;
end
VAR26 :
begin
if (!VAR24 || VAR18)
VAR37 = VAR14;
end
VAR8 :
begin
if (!VAR17)
VAR37 = VAR14;
end
default :
;
endcase
if (!VAR1 && !VAR17)
VAR37 = VAR14;
end
always @ (posedge VAR3 or posedge VAR33)
if (VAR33)
VAR21 <= VAR14;
else
VAR21 <= VAR37;
reg VAR5;
reg [7:0] VAR2;
reg VAR35;
always @ *
begin
VAR5 = VAR36 & VAR13;
VAR2 = VAR15;
VAR35 = VAR40;
end
reg VAR44;
always @ *
begin
if (VAR21 == VAR7)
VAR44 = VAR18;
end
else if (VAR21 == VAR28 && VAR30)
VAR44 = VAR18;
else
VAR44 = 1'b0;
end
assign VAR39 = VAR44;
reg [15:0] VAR43;
wire [15:0] VAR34;
reg VAR9;
VAR25
VAR42
(
.VAR4(VAR43),
.VAR29(VAR27),
.VAR11(VAR34)
);
always @ (posedge VAR3 or posedge VAR33)
if (VAR33)
VAR43 <= 16'hFFFF;
else if (VAR21 == VAR14)
VAR43 <= 16'hFFFF;
else if (VAR21 == VAR7 && VAR24 && VAR18)
VAR43 <= VAR34;
reg VAR22;
reg [7:0] VAR19;
always @ (posedge VAR3 or posedge VAR33)
if (VAR33)
begin
VAR22 <= 1'b0;
VAR19 <= 8'b0;
VAR10 <= 1'b0;
end
else if (!VAR1)
begin
VAR22 <= 1'b0;
VAR19 <= 8'b0;
VAR10 <= 1'b0;
end
else if (VAR31 && VAR32)
begin
VAR22 <= 1'b1;
VAR19 <= VAR38;
VAR10 <= 1'b0;
end
else if (VAR18)
begin
VAR22 <= 1'b0;
VAR19 <= 8'b0;
VAR10 <= 1'b0;
end
reg VAR20;
reg [7:0] VAR41;
always @ *
begin
if (VAR21 == VAR8)
begin
VAR20 = 1'b1;
VAR41 = 8'b0;
end
else if (VAR21 == VAR16)
begin
VAR20 = 1'b1;
VAR41 = VAR43[7:0] ^ 8'hFF;
end
else if (VAR21 == VAR23)
begin
VAR20 = 1'b1;
VAR41 = VAR43[15:8] ^ 8'hFF;
end
else if (VAR21 == VAR7)
begin
VAR20 = VAR12;
VAR41 = VAR15;
end
else
begin
VAR20 = VAR22;
VAR41 = VAR19;
end
end
assign VAR24 = VAR20;
assign VAR27 = VAR41;
endmodule
|
apache-2.0
|
fbalakirev/red-pitaya-notes
|
cores/dna_reader_v1_0/dna_reader.v
| 1,838 |
module MODULE1
(
input wire VAR4,
input wire VAR18,
output wire [56:0] VAR23
);
localparam integer VAR24 = 16;
localparam integer VAR16 = 57;
reg VAR9, VAR6;
reg VAR5, VAR3;
reg VAR21, VAR14;
reg [VAR24-1:0] VAR22, VAR12;
reg [VAR16-1:0] VAR11, VAR8;
wire VAR17, VAR13;
assign VAR17 = VAR22 < 64*VAR16;
VAR15 VAR2 (
.VAR1(VAR13),
.VAR7(VAR22[5]),
.VAR10(1'b0),
.VAR20(VAR5),
.VAR19(VAR21)
);
always @(posedge VAR4)
begin
if(~VAR18)
begin
VAR9 <= 1'b0;
VAR5 <= 1'b0;
VAR21 <= 1'b0;
VAR22 <= {(VAR24){1'b0}};
VAR11 <= {(VAR16){1'b0}};
end
else
begin
VAR9 <= VAR6;
VAR5 <= VAR3;
VAR21 <= VAR14;
VAR22 <= VAR12;
VAR11 <= VAR8;
end
end
always @*
begin
VAR6 = VAR9;
VAR3 = VAR5;
VAR14 = VAR21;
VAR12 = VAR22;
VAR8 = VAR11;
if(~VAR9 & VAR17)
begin
VAR6 = 1'b1;
VAR3 = 1'b1;
end
if(VAR9)
begin
VAR12 = VAR22 + 1'b1;
end
if(&VAR22[5:0])
begin
VAR3 = 1'b0;
VAR14 = 1'b1;
VAR8 = {VAR11[VAR16-2:0], VAR13};
end
if(~VAR17)
begin
VAR6 = 1'b0;
VAR14 = 1'b0;
end
end
assign VAR23 = VAR11;
endmodule
|
mit
|
Darkin47/Zynq-TX-UTT
|
Vivado_HLS/convolution_2D/solution1/impl/verilog/doImgProc_KERNEL_BUS_s_axi.v
| 9,481 |
module MODULE1
VAR6 = 5,
VAR36 = 32
)(
input wire VAR67,
input wire VAR62,
input wire VAR24,
input wire [VAR6-1:0] VAR78,
input wire VAR59,
output wire VAR1,
input wire [VAR36-1:0] VAR26,
input wire [VAR36/8-1:0] VAR32,
input wire VAR80,
output wire VAR53,
output wire [1:0] VAR57,
output wire VAR60,
input wire VAR49,
input wire [VAR6-1:0] VAR76,
input wire VAR47,
output wire VAR82,
output wire [VAR36-1:0] VAR45,
output wire [1:0] VAR70,
output wire VAR38,
input wire VAR19,
input wire [3:0] VAR13,
input wire VAR51,
output wire [7:0] VAR75
);
localparam
VAR8 = 5'h10,
VAR74 = 5'h1f,
VAR61 = 2'd0,
VAR81 = 2'd1,
VAR11 = 2'd2,
VAR77 = 2'd0,
VAR31 = 2'd1,
VAR40 = 5;
reg [1:0] VAR16;
reg [1:0] VAR50;
reg [VAR40-1:0] VAR17;
wire [31:0] VAR25;
wire VAR15;
wire VAR69;
reg [1:0] VAR5;
reg [1:0] VAR58;
reg [31:0] VAR42;
wire VAR41;
wire [VAR40-1:0] VAR10;
wire [1:0] VAR30;
wire VAR20;
wire VAR12;
wire [3:0] VAR71;
wire [31:0] VAR37;
wire [31:0] VAR68;
wire [1:0] VAR39;
wire VAR72;
wire VAR43;
wire [3:0] VAR55;
wire [31:0] VAR73;
wire [31:0] VAR66;
reg VAR33;
reg VAR21;
reg [1:0] VAR35;
MODULE2 #(
.VAR23 ( 4 ),
.VAR54 ( 3 )
) VAR44 (
.VAR63 ( VAR67 ),
.VAR4 ( VAR30 ),
.VAR14 ( VAR20 ),
.VAR3 ( VAR12 ),
.VAR27 ( VAR71 ),
.d0 ( VAR37 ),
.VAR56 ( VAR68 ),
.VAR79 ( VAR67 ),
.VAR46 ( VAR39 ),
.VAR2 ( VAR72 ),
.VAR52 ( VAR43 ),
.VAR65 ( VAR55 ),
.d1 ( VAR73 ),
.VAR48 ( VAR66 )
);
assign VAR1 = (VAR16 == VAR61);
assign VAR53 = (VAR16 == VAR81);
assign VAR57 = 2'b00; assign VAR60 = (VAR16 == VAR11);
assign VAR25 = { {8{VAR32[3]}}, {8{VAR32[2]}}, {8{VAR32[1]}}, {8{VAR32[0]}} };
assign VAR15 = VAR59 & VAR1;
assign VAR69 = VAR80 & VAR53;
always @(posedge VAR67) begin
if (VAR62)
VAR16 <= VAR61;
end
else if (VAR24)
VAR16 <= VAR50;
end
always @ begin
case (VAR5)
VAR77:
if (VAR47)
VAR58 = VAR31;
end
else
VAR58 = VAR77;
VAR31:
if (VAR19 & VAR38)
VAR58 = VAR77;
else
VAR58 = VAR31;
default:
VAR58 = VAR77;
endcase
end
always @(posedge VAR67) begin
if (VAR24) begin
if (VAR41) begin
VAR42 <= 1'b0;
end
else if (VAR33) begin
VAR42 <= VAR66;
end
end
end
assign VAR30 = VAR13 >> 2;
assign VAR20 = VAR51;
assign VAR12 = 1'b0;
assign VAR71 = 1'b0;
assign VAR37 = 1'b0;
assign VAR75 = VAR68 >> (VAR35 * 8);
assign VAR39 = VAR41? VAR10[3:2] : VAR17[3:2];
assign VAR72 = VAR41 | (VAR21 & VAR80);
assign VAR43 = VAR21 & VAR80;
assign VAR55 = VAR32;
assign VAR73 = VAR26;
always @(posedge VAR67) begin
if (VAR62)
VAR33 <= 1'b0;
end
else if (VAR24) begin
if (VAR41 && VAR10 >= VAR8 && VAR10 <= VAR74)
VAR33 <= 1'b1;
end
else
VAR33 <= 1'b0;
end
end
always @(posedge VAR67) begin
if (VAR62)
VAR21 <= 1'b0;
end
else if (VAR24) begin
if (VAR15 && VAR78[VAR40-1:0] >= VAR8 && VAR78[VAR40-1:0] <= VAR74)
VAR21 <= 1'b1;
end
else if (VAR80)
VAR21 <= 1'b0;
end
end
always @(posedge VAR67) begin
if (VAR24) begin
if (VAR51)
VAR35 <= VAR13[1:0];
end
end
endmodule
module MODULE2
VAR23 = 4,
VAR54 = 256,
VAR22 = VAR7(VAR54)
) (
input wire VAR63,
input wire [VAR22-1:0] VAR4,
input wire VAR14,
input wire VAR3,
input wire [VAR23-1:0] VAR27,
input wire [VAR23*8-1:0] d0,
output reg [VAR23*8-1:0] VAR56,
input wire VAR79,
input wire [VAR22-1:0] VAR46,
input wire VAR2,
input wire VAR52,
input wire [VAR23-1:0] VAR65,
input wire [VAR23*8-1:0] d1,
output reg [VAR23*8-1:0] VAR48
);
reg [VAR23*8-1:0] VAR9[0:VAR54-1];
function integer VAR7;
input integer VAR18;
integer VAR64, VAR28;
begin
VAR64 = 1;
VAR28 = 2;
while (VAR28 < VAR18) begin
VAR64 = VAR64 + 1;
VAR28 = VAR28 * 2;
end
VAR7 = VAR64;
end
endfunction
always @(posedge VAR63) begin
if (VAR14) VAR56 <= VAR9[VAR4];
end
always @(posedge VAR79) begin
if (VAR2) VAR48 <= VAR9[VAR46];
end
genvar VAR34;
generate
for (VAR34 = 0; VAR34 < VAR23; VAR34 = VAR34 + 1) begin : VAR29
always @(posedge VAR63) begin
if (VAR14 & VAR3 & VAR27[VAR34]) begin
VAR9[VAR4][8*VAR34+7:8*VAR34] <= d0[8*VAR34+7:8*VAR34];
end
end
always @(posedge VAR79) begin
if (VAR2 & VAR52 & VAR65[VAR34]) begin
VAR9[VAR46][8*VAR34+7:8*VAR34] <= d1[8*VAR34+7:8*VAR34];
end
end
end
endgenerate
endmodule
|
gpl-3.0
|
Saucyz/explode
|
Hardware/Mod2/nios_system/synthesis/submodules/nios_system_nios2_qsys_0_jtag_debug_module_tck.v
| 8,741 |
module MODULE1 (
VAR33,
VAR37,
VAR27,
VAR3,
VAR29,
VAR28,
VAR30,
VAR10,
VAR25,
VAR26,
VAR32,
VAR20,
VAR2,
VAR6,
VAR8,
VAR23,
VAR11,
VAR16,
VAR21,
VAR22,
VAR5,
VAR12,
VAR31,
VAR40,
VAR4,
VAR24,
VAR36,
VAR13,
VAR35,
VAR18,
VAR15
)
;
output [ 1: 0] VAR36;
output VAR13;
output [ 37: 0] VAR35;
output VAR18;
output VAR15;
input [ 31: 0] VAR33;
input [ 31: 0] VAR37;
input VAR27;
input VAR3;
input VAR29;
input VAR28;
input VAR30;
input [ 1: 0] VAR10;
input VAR25;
input VAR26;
input VAR32;
input VAR20;
input VAR2;
input VAR6;
input VAR8;
input VAR23;
input [ 35: 0] VAR11;
input VAR16;
input [ 6: 0] VAR21;
input VAR22;
input VAR5;
input VAR12;
input VAR31;
input VAR40;
input VAR4;
input VAR24;
reg [ 2: 0] VAR19 ;
wire VAR38;
reg [ 1: 0] VAR36;
wire VAR13;
wire VAR17;
reg [ 37: 0] VAR35 ;
wire VAR18;
wire VAR15;
wire VAR7;
wire VAR34;
always @(posedge VAR6)
begin
if (VAR40)
case (VAR10)
2'b00: begin
VAR35[35] <= VAR38;
VAR35[34] <= VAR26;
VAR35[33] <= VAR2;
VAR35[32 : 1] <= VAR33;
VAR35[0] <= VAR17;
end
2'b01: begin
VAR35[35 : 0] <= VAR11;
VAR35[37] <= VAR16;
VAR35[36] <= VAR23;
end
2'b10: begin
VAR35[37] <= VAR31;
VAR35[36] <= VAR28;
VAR35[35] <= VAR29;
VAR35[34] <= VAR3;
VAR35[33] <= VAR27;
VAR35[32 : 1] <= VAR37;
VAR35[0] <= VAR12;
end
2'b11: begin
VAR35[15 : 12] <= 1'b0;
VAR35[11 : 2] <= VAR21;
VAR35[1] <= VAR5;
VAR35[0] <= VAR22;
end
endcase if (VAR4)
case (VAR19)
3'b000: begin
VAR35 <= {VAR8, VAR35[37 : 2], VAR8};
end
3'b001: begin
VAR35 <= {VAR8, VAR35[37 : 9], VAR8, VAR35[7 : 1]};
end
3'b010: begin
VAR35 <= {VAR8, VAR35[37 : 17], VAR8, VAR35[15 : 1]};
end
3'b011: begin
VAR35 <= {VAR8, VAR35[37 : 33], VAR8, VAR35[31 : 1]};
end
3'b100: begin
VAR35 <= {VAR8, VAR35[37], VAR8, VAR35[35 : 1]};
end
3'b101: begin
VAR35 <= {VAR8, VAR35[37 : 1]};
end
default: begin
VAR35 <= {VAR8, VAR35[37 : 2], VAR8};
end
endcase if (VAR24)
case (VAR10)
2'b00: begin
VAR19 <= 3'b100;
end
2'b01: begin
VAR19 <= 3'b101;
end
2'b10: begin
VAR19 <= 3'b101;
end
2'b11: begin
VAR19 <= 3'b010;
end
endcase end
assign VAR15 = VAR35[0];
assign VAR18 = VAR25;
assign VAR7 = VAR13;
VAR39 VAR1
(
.clk (VAR6),
.din (VAR30),
.dout (VAR38),
.VAR20 (VAR7)
);
assign VAR34 = VAR13;
VAR39 VAR14
(
.clk (VAR6),
.din (VAR32),
.dout (VAR17),
.VAR20 (VAR34)
);
always @(posedge VAR6 or negedge VAR13)
begin
if (VAR13 == 0)
VAR36 <= 2'b0;
end
else
VAR36 <= {VAR38, VAR17};
end
assign VAR13 = VAR20;
endmodule
|
mit
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_2/hdl/verilog/feedforward_dcmp_64ns_64ns_1_1.v
| 2,396 |
module MODULE1
VAR8 = 3,
VAR21 = 1,
VAR18 = 64,
VAR41 = 64,
VAR26 = 1
)(
input wire [VAR18-1:0] VAR16,
input wire [VAR41-1:0] VAR35,
input wire [4:0] VAR29,
output wire [VAR26-1:0] dout
);
localparam [4:0]
VAR38 = 5'b00001,
VAR2 = 5'b00010,
VAR12 = 5'b00011,
VAR3 = 5'b00100,
VAR23 = 5'b00101,
VAR30 = 5'b00110,
VAR5 = 5'b01000;
localparam [7:0]
VAR32 = 8'b00010100,
VAR36 = 8'b00100100,
VAR40 = 8'b00110100,
VAR7 = 8'b00001100,
VAR14 = 8'b00011100,
VAR31 = 8'b00101100,
VAR24 = 8'b00000100;
wire VAR34;
wire [63:0] VAR25;
wire VAR1;
wire [63:0] VAR19;
wire VAR10;
reg [7:0] VAR4;
wire VAR11;
wire [7:0] VAR37;
VAR28 VAR17 (
.VAR15 ( VAR34 ),
.VAR13 ( VAR25 ),
.VAR6 ( VAR1 ),
.VAR9 ( VAR19 ),
.VAR27 ( VAR10 ),
.VAR33 ( VAR4 ),
.VAR22 ( VAR11 ),
.VAR20 ( VAR37 )
);
assign VAR34 = 1'b1;
assign VAR25 = VAR16==='VAR39 ? 'b0 : VAR16;
assign VAR1 = 1'b1;
assign VAR19 = VAR35==='VAR39 ? 'b0 : VAR35;
assign VAR10 = 1'b1;
assign dout = VAR37[0];
always @(*) begin
case (VAR29)
VAR38 : VAR4 = VAR32;
VAR2 : VAR4 = VAR36;
VAR12 : VAR4 = VAR40;
VAR3 : VAR4 = VAR7;
VAR23 : VAR4 = VAR14;
VAR30 : VAR4 = VAR31;
VAR5 : VAR4 = VAR24;
default : VAR4 = VAR32;
endcase
end
endmodule
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.