repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_2.behavioral.v
| 1,170 |
module MODULE1( VAR4, VAR5, VAR3 );
input VAR4, VAR5;
output VAR3;
VAR2 VAR6(.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3));
VAR2 VAR1(.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3));
|
apache-2.0
|
sorgelig/Apogee_MIST
|
k580vt57.v
| 3,991 |
module MODULE1
(
input clk,
input VAR18,
input reset,
input [3:0] VAR4,
input [7:0] VAR29,
input [3:0] VAR5,
input VAR26,
input VAR15,
input VAR8,
output VAR9,
output [3:0] VAR20,
output [7:0] VAR34,
output [15:0] VAR3,
output VAR30,
output VAR17,
output VAR33,
output VAR2
);
parameter VAR11 = 0;
parameter VAR6 = 1;
parameter VAR1 = 2;
parameter VAR19 = 3;
parameter VAR27 = 4;
parameter VAR22 = 5;
parameter VAR13 = 6;
parameter VAR24 = 7;
reg [3:0] ack;
reg [2:0] state;
reg [1:0] VAR31;
reg [7:0] VAR12;
reg [3:0] VAR7;
reg [15:0] VAR25[3:0];
reg [15:0] VAR14[3:0];
reg VAR16,VAR23;
assign VAR20 = ack;
assign VAR9 = state!=VAR11;
assign VAR34 = {4'd0, VAR7};
assign VAR3 = VAR25[VAR31];
assign VAR30 = VAR14[VAR31][14]==0 || state!=VAR19;
assign VAR17 = VAR14[VAR31][15]==0 || (state!=VAR1 && state!=VAR19);
assign VAR33 = VAR14[VAR31][15]==0 || state!=VAR19;
assign VAR2 = VAR14[VAR31][14]==0 || (state!=VAR1 && state!=VAR19);
wire[3:0] VAR28 = VAR5 & VAR12[3:0];
always @(posedge clk or posedge reset) begin
if (reset) begin
state <= 0; VAR16 <= 0; VAR12 <= 0; VAR23 <= 1;
VAR7 <= 0; ack <= 0;
end else begin
VAR23 <= VAR26;
if (VAR26 && ~VAR23) begin
VAR16 <= ~(VAR16|VAR4[3]);
case({VAR16, VAR4})
5'b00000: VAR25[0][7:0] <= VAR29;
5'b00001: VAR14[0][7:0] <= VAR29;
5'b00010: VAR25[1][7:0] <= VAR29;
5'b00011: VAR14[1][7:0] <= VAR29;
5'b00100: begin VAR25[2][7:0] <= VAR29; if(VAR12[7]) VAR25[3][7:0] <= VAR29; end
5'b00101: begin VAR14[2][7:0] <= VAR29; if(VAR12[7]) VAR14[3][7:0] <= VAR29; end
5'b00110: VAR25[3][7:0] <= VAR29;
5'b00111: VAR14[3][7:0] <= VAR29;
5'b10000: VAR25[0][15:8] <= VAR29;
5'b10001: VAR14[0][15:8] <= VAR29;
5'b10010: VAR25[1][15:8] <= VAR29;
5'b10011: VAR14[1][15:8] <= VAR29;
5'b10100: begin VAR25[2][15:8] <= VAR29; if(VAR12[7]) VAR25[3][15:8] <= VAR29; end
5'b10101: begin VAR14[2][15:8] <= VAR29; if(VAR12[7]) VAR14[3][15:8] <= VAR29; end
5'b10110: VAR25[3][15:8] <= VAR29;
5'b10111: VAR14[3][15:8] <= VAR29;
5'b01000: {VAR16,VAR12} <= {1'b0,VAR29};
5'b11000: {VAR16,VAR12} <= {1'b0,VAR29};
default: ;
endcase
end
if(VAR18) begin
case (state)
VAR11: begin
if (|VAR28) state <= VAR6;
end
VAR6: begin
if (VAR8) state <= VAR1;
casex (VAR28[3:0])
4'VAR21: VAR31 <= 3;
4'VAR32: VAR31 <= 2;
4'VAR10: VAR31 <= 1;
4'b0001: VAR31 <= 0;
4'b0000: state <= VAR11;
endcase
end
VAR1: begin
state <= VAR19;
ack[VAR31] <= 1;
end
VAR19: begin
ack[VAR31] <= 0;
if(!VAR14[VAR31][13:0]) begin
VAR7[VAR31] <= 1;
if (VAR12[7] && VAR31==2) begin
VAR25[VAR31] <= VAR25[3];
VAR14[VAR31][13:0] <= VAR14[3][13:0];
end
end else begin
VAR25[VAR31] <= VAR25[VAR31]+1'b1;
VAR14[VAR31][13:0] <= VAR14[VAR31][13:0]+14'h3FFF;
end
state <= VAR27;
end
VAR27: begin
state <= |VAR28 ? VAR6 : VAR11;
end
endcase
end
end
end
endmodule
|
bsd-2-clause
|
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
|
general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/sqrt/sqrt_stub.v
| 1,429 |
module MODULE1(VAR1, VAR3,
VAR5, VAR2, VAR4)
;
input VAR1;
input VAR3;
input [15:0]VAR5;
output VAR2;
output [15:0]VAR4;
endmodule
|
mit
|
ipburbank/Raster-Laser-Projector
|
src/Raster_Laser_Projector/synthesis/submodules/altera_up_video_scaler_shrink.v
| 7,628 |
module MODULE1 (
clk,
reset,
VAR5,
VAR6,
VAR13,
VAR12,
VAR2,
VAR19,
VAR25,
VAR15,
VAR14,
VAR27
);
parameter VAR24 = 15; parameter VAR11 = 9; parameter VAR17 = 9;
parameter VAR22 = 640;
parameter VAR21 = 4'b0101;
parameter VAR26 = 4'b0000;
input clk;
input reset;
input [VAR24: 0] VAR5;
input VAR6;
input VAR13;
input VAR12;
input VAR2;
output VAR19;
output reg [VAR24: 0] VAR25;
output reg VAR15;
output reg VAR14;
output reg VAR27;
wire VAR23;
wire VAR20;
wire VAR3;
reg VAR1;
reg [VAR24: 0] VAR8;
reg VAR7;
reg VAR16;
reg valid;
reg [VAR11: 0] VAR4;
reg [VAR17: 0] VAR10;
reg [ 3: 0] VAR9;
reg [ 3: 0] VAR18;
always @(posedge clk)
begin
if (reset)
begin
VAR25 <= 'h0;
VAR15 <= 1'b0;
VAR14 <= 1'b0;
VAR27 <= 1'b0;
end
else if (VAR3)
begin
VAR25 <= VAR8;
VAR15 <= VAR7;
VAR14 <= VAR16;
VAR27 <= valid;
end
else if (VAR2 & VAR27)
begin
VAR25 <= 'h0;
VAR15 <= 1'b0;
VAR14 <= 1'b0;
VAR27 <= 1'b0;
end
end
always @(posedge clk)
if (reset)
VAR1 <= 1'b0;
else if (VAR20)
VAR1 <= 1'b0;
else if (VAR19)
VAR1 <= VAR1 | VAR6;
always @(posedge clk)
begin
if (reset)
begin
VAR8 <= 'h0;
VAR7 <= 1'b0;
VAR16 <= 1'b0;
valid <= 1'b0;
end
else if (VAR20)
begin
VAR8 <= VAR5;
VAR7 <= VAR6 | VAR1;
VAR16 <= VAR13;
valid <= VAR12;
end
else if (VAR19)
VAR16 <= VAR16 | VAR13;
end
always @(posedge clk)
begin
if (reset)
VAR4 <= 'h0;
end
else if (VAR19)
begin
if (VAR6 | (VAR4 == (VAR22 - 1)))
VAR4 <= 'h0;
end
else
VAR4 <= VAR4 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR10 <= 'h0;
end
else if (VAR19)
begin
if (VAR6)
VAR10 <= 'h0;
end
else if (VAR4 == (VAR22 - 1))
VAR10 <= VAR10 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR9 <= 4'b0000;
end
else if (VAR19)
begin
if (VAR6)
VAR9 <= VAR21;
end
else if (VAR4 == (VAR22 - 1))
VAR9 <= VAR21;
else
VAR9 <= {VAR9[2:0], VAR9[3]};
end
end
always @(posedge clk)
begin
if (reset)
VAR18 <= 4'b0000;
end
else if (VAR19)
begin
if (VAR6)
VAR18 <= VAR26;
end
else if (VAR4 == (VAR22 - 1))
VAR18 <= {VAR18[2:0], VAR18[3]};
end
end
assign VAR19 = VAR12 & (VAR23 | ~valid | VAR3);
assign VAR23 = VAR9[0] | VAR18[0];
assign VAR20 = VAR19 & ~VAR23;
assign VAR3 = ~VAR27 & VAR12 & ~VAR23;
endmodule
|
gpl-3.0
|
ehab93/MIPS-Processor
|
lib/mux_16to1.v
| 1,725 |
module MODULE1 (
input [3:0] VAR3,
input VAR23, VAR19, VAR15, VAR35, VAR31, VAR1, VAR6, VAR5, VAR36,VAR22, VAR33, VAR20, VAR37, VAR25, VAR21, VAR14,
output VAR8);
wire VAR27 , VAR7 , VAR29 , VAR38 , VAR26 , VAR34 , VAR32 , VAR24;
wire VAR9 , VAR17 , VAR28, VAR4, VAR18, VAR12, VAR2, VAR30;
not (VAR16, VAR3[0]);
not (VAR10, VAR3[1]);
not (VAR11, VAR3[2]);
not (VAR13, VAR3[3]);
and(VAR27 , VAR14 , VAR13 , VAR11 , VAR10 , VAR16 );
and(VAR7 , VAR21 , VAR13 , VAR11 , VAR10 , VAR3[0] );
and(VAR29 , VAR25 , VAR13 , VAR11 , VAR3[1] , VAR16 );
and(VAR38 , VAR37 , VAR13 , VAR11 , VAR3[1] , VAR3[0] );
and(VAR26 , VAR20 , VAR13 , VAR3[2] , VAR10 , VAR16 );
and(VAR34 , VAR33 , VAR13 , VAR3[2] , VAR10 , VAR3[0] );
and(VAR32 , VAR22 , VAR13 , VAR3[2] , VAR3[1] , VAR16 );
and(VAR24 , VAR36 , VAR13 , VAR3[2] , VAR3[1] , VAR3[0] );
and(VAR9 , VAR5 , VAR3[3] , VAR11 , VAR10 , VAR16 );
and(VAR17 , VAR6 , VAR3[3] , VAR11 , VAR10 , VAR3[0] );
and(VAR28, VAR1, VAR3[3] , VAR11 , VAR3[1] , VAR16 );
and(VAR4, VAR31, VAR3[3] , VAR11 , VAR3[1] , VAR3[0] );
and(VAR18, VAR35, VAR3[3] , VAR3[2] , VAR10 , VAR16 );
and(VAR12, VAR15, VAR3[3] , VAR3[2] , VAR10 , VAR3[0] );
and(VAR2, VAR19, VAR3[3] , VAR3[2] , VAR3[1] , VAR16 );
and(VAR30, VAR23, VAR3[3] , VAR3[2] , VAR3[1] , VAR3[0] );
or (VAR8, VAR27, VAR7, VAR29, VAR38, VAR26, VAR34, VAR32, VAR24, VAR9, VAR17, VAR28, VAR4, VAR18, VAR12, VAR2, VAR30);
endmodule
|
mit
|
walkthetalk/fsref
|
ip/axis_scaler/src/include/scaler_spliter.v
| 7,493 |
module MODULE1 # (
parameter integer VAR47 = 12,
parameter integer VAR39 = 12,
parameter integer VAR51 = 0 ,
parameter integer VAR23 = 0 ,
parameter integer VAR55 = 0 , parameter integer VAR6 = 2,
parameter integer VAR33 = 0
) (
input wire clk,
input wire VAR49,
input [VAR39-1:0] VAR24,
input wire enable,
input wire VAR34 ,
input wire VAR62 ,
input wire VAR54 ,
input wire [VAR47 + VAR39 :0] VAR2 ,
input wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR16,
input wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR5,
input wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR52,
input wire VAR30 ,
input wire VAR11 ,
input wire VAR50 ,
input wire [VAR47 + VAR39 :0] VAR69 ,
input wire VAR35 ,
input wire VAR1 ,
output wire VAR20 ,
output wire VAR64 ,
output wire VAR56 ,
output wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR45,
output wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR27,
output wire [VAR51 + VAR23 + VAR55 - 1 : 0] VAR31,
output wire VAR26 ,
output wire VAR53 ,
output wire VAR44 ,
output wire VAR18 ,
output wire VAR28 ,
output wire [VAR6 : 0] VAR38
);
localparam integer VAR41 = (1 << VAR6);
reg[VAR39:0] VAR22[VAR41-1:0];
always @ (posedge clk) begin
VAR22[0] <= (VAR24 * 1 + VAR24 * 0) / 4;
VAR22[1] <= (VAR24 * 2 + VAR24 * 1) / 4;
VAR22[2] <= (VAR24 * 4 + VAR24 * 1) / 4;
VAR22[3] <= (VAR24 * 8 - VAR24 * 1) / 4;
end
wire VAR43;
generate
if (VAR23) begin
wire [VAR23-1:0] VAR42;
wire [VAR23-1:0] VAR67;
assign VAR42 = VAR16[VAR23+VAR55-1:VAR55];
assign VAR67 = VAR5[VAR23+VAR55-1:VAR55];
assign VAR43 = (VAR42 == VAR67);
end
else if (VAR51) begin
wire [VAR51-1:0] VAR15;
wire [VAR51-1:0] VAR25;
assign VAR15 = VAR16[VAR51+VAR23+VAR55-1:VAR23+VAR55];
assign VAR25 = VAR5[VAR51+VAR23+VAR55-1:VAR23+VAR55];
assign VAR43 = (VAR15 == VAR25);
end
else if (VAR55) begin
wire [VAR47-1:0] VAR21;
wire [VAR47-1:0] VAR12;
assign VAR21 = VAR16[VAR55-1:0];
assign VAR12 = VAR5[VAR55-1:0];
assign VAR43 = (VAR21 == VAR12);
end
else begin
assign VAR43 = 1;
end
endgenerate
reg VAR17 ;
reg VAR58 ;
reg VAR7 ;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR68;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR60;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR4;
reg VAR36 ;
reg VAR9 ;
reg VAR32 ;
reg VAR59 ;
reg VAR70 ;
reg [VAR39 :0] VAR48 ;
always @ (posedge clk) begin
if (VAR49 == 1'b0)
VAR17 <= 0 ;
end
else if (enable) begin
VAR17 <= VAR34 ;
VAR58 <= VAR62 ;
VAR7 <= VAR54 ;
VAR68 <= VAR16;
VAR60 <= VAR5;
VAR4 <= VAR52;
VAR36 <= VAR30 ;
VAR9 <= VAR11 ;
VAR32 <= VAR50 ;
VAR59 <= VAR35 ;
VAR70 <= VAR1 ;
VAR48 <= (VAR43 ? 0 : (VAR2 - VAR69));
end
end
wire[VAR41-1:0] VAR14 ;
generate
genvar VAR8;
for (VAR8 = 0; VAR8 < VAR41; VAR8=VAR8+1) begin: VAR63
assign VAR14[VAR8] = (VAR48 <= VAR22[VAR8]);
end
endgenerate
reg VAR40 ;
reg VAR46 ;
reg VAR65 ;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR61;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR57;
reg [VAR51 + VAR23 + VAR47 - 1 : 0] VAR37;
reg VAR3 ;
reg VAR19 ;
reg VAR10 ;
reg VAR66 ;
reg VAR29 ;
reg [VAR6 : 0] VAR13 ;
always @ (posedge clk) begin
if (VAR49 == 1'b0)
VAR40 <= 0 ;
end
else if (enable) begin
VAR40 <= VAR17 ;
VAR46 <= VAR58 ;
VAR65 <= VAR7 ;
VAR61 <= VAR68;
VAR57 <= VAR60;
VAR37 <= VAR4;
VAR3 <= VAR36 ;
VAR19 <= VAR9 ;
VAR10 <= VAR32 ;
VAR66 <= VAR59 ;
VAR29 <= VAR70 ;
case (VAR14)
4'b1111: VAR13 <= 0;
4'b1110: VAR13 <= 1;
4'b1100: VAR13 <= 2;
4'b1000: VAR13 <= 3;
default: VAR13 <= 4;
endcase
end
end
assign VAR20 = VAR40 ;
assign VAR64 = VAR46 ;
assign VAR56 = VAR65 ;
assign VAR45 = VAR61;
assign VAR27 = VAR57;
assign VAR31 = VAR37;
assign VAR26 = VAR3 ;
assign VAR53 = VAR19 ;
assign VAR44 = VAR10 ;
assign VAR18 = VAR66 ;
assign VAR28 = VAR29 ;
assign VAR38 = VAR13 ;
endmodule
|
gpl-3.0
|
tau-tao/FPGAIPFilter
|
FPGA_CODE/JTAG_RW_PKT_PROC/clckctrl/synthesis/submodules/clckctrl_altclkctrl_0.v
| 4,250 |
module MODULE1
(
VAR20,
VAR14,
VAR16) ;
input VAR20;
input [3:0] VAR14;
output VAR16;
tri1 VAR20;
tri0 [3:0] VAR14;
wire VAR3;
wire [1:0] VAR6;
wire [1:0] VAR8;
wire [3:0] VAR2;
VAR10 VAR9
(
.VAR6(VAR8),
.VAR20(VAR20),
.VAR14(VAR2),
.VAR16(VAR3)
,
.VAR13(1'b1),
.VAR1(1'b1)
);
VAR9.VAR12 = "VAR5 VAR7",
VAR9.VAR21 = "VAR11 edge",
VAR9.VAR22 = "VAR10";
assign
VAR6 = {2{1'b0}},
VAR8 = {VAR6},
VAR2 = {VAR14},
VAR16 = VAR3;
endmodule
module MODULE2 (
VAR20,
VAR14,
VAR16);
input VAR20;
input VAR14;
output VAR16;
tri1 VAR20;
wire VAR15;
wire VAR16;
wire VAR18;
wire [3:0] VAR4;
wire [2:0] VAR19;
assign VAR16 = VAR15;
assign VAR18 = VAR14;
assign VAR4[3:0] = {VAR19, VAR18};
assign VAR19[2:0] = 3'h0;
MODULE1 MODULE1 (
.VAR20 (VAR20),
.VAR14 (VAR4),
.VAR16 (VAR15));
endmodule
|
bsd-3-clause
|
CospanDesign/nysa-verilog
|
verilog/wishbone/slave/wb_fpga_nes/rtl/cpu/apu/apu_div.v
| 2,988 |
module MODULE1
parameter VAR8 = 16
)
(
input VAR6, input VAR9, input VAR1, input VAR5, input [VAR8-1:0] VAR2, output VAR3 );
reg [VAR8-1:0] VAR7;
wire [VAR8-1:0] VAR4;
always @(posedge VAR6)
begin
if (VAR9)
VAR7 <= 0;
end
else
VAR7 <= VAR4;
end
assign VAR4 = (VAR5 || (VAR1 && (VAR7 == 0))) ? VAR2 :
(VAR1) ? VAR7 - 1'h1 : VAR7;
assign VAR3 = VAR1 && (VAR7 == 0);
endmodule
|
mit
|
peteasa/parallella-fpga
|
AdiHDLLib/library/common/up_drp_cntrl.v
| 6,269 |
module MODULE1 (
VAR8,
VAR17,
VAR25,
VAR29,
VAR5,
VAR13,
VAR24,
VAR28,
VAR11,
VAR26,
VAR3,
VAR20,
VAR15,
VAR1,
VAR7,
VAR30,
VAR19,
VAR4);
input VAR8;
input VAR17;
output VAR25;
output VAR29;
output [11:0] VAR5;
output [15:0] VAR13;
input [15:0] VAR24;
input VAR28;
input VAR11;
input VAR26;
input VAR3;
input VAR20;
input VAR15;
input [11:0] VAR1;
input [15:0] VAR7;
output [15:0] VAR30;
output VAR19;
output VAR4;
reg VAR23 = 'd0;
reg VAR18 = 'd0;
reg VAR6 = 'd0;
reg VAR25 = 'd0;
reg VAR29 = 'd0;
reg [11:0] VAR5 = 'd0;
reg [15:0] VAR13 = 'd0;
reg VAR21 = 'd0;
reg [15:0] VAR31 = 'd0;
reg VAR10 = 'd0;
reg VAR16 = 'd0;
reg VAR4 = 'd0;
reg VAR14 = 'd0;
reg VAR9 = 'd0;
reg VAR22 = 'd0;
reg VAR32 = 'd0;
reg VAR19 = 'd0;
reg [15:0] VAR30 = 'd0;
wire VAR2;
wire VAR27;
wire VAR12;
assign VAR2 = VAR18 ^ VAR6;
always @(posedge VAR8) begin
if (VAR17 == 1'b1) begin
VAR23 <= 'd0;
VAR18 <= 'd0;
VAR6 <= 'd0;
end else begin
VAR23 <= VAR20;
VAR18 <= VAR23;
VAR6 <= VAR18;
end
end
always @(posedge VAR8) begin
if (VAR2 == 1'b1) begin
VAR25 <= 1'b1;
VAR29 <= ~VAR15;
VAR5 <= VAR1;
VAR13 <= VAR7;
end else begin
VAR25 <= 1'b0;
VAR29 <= 1'b0;
VAR5 <= 12'd0;
VAR13 <= 16'd0;
end
end
always @(posedge VAR8) begin
VAR21 <= VAR28;
if ((VAR21 == 1'b0) && (VAR28 == 1'b1)) begin
VAR31 <= VAR24;
VAR10 <= ~VAR10;
end
end
assign VAR27 = VAR22 ^ VAR9;
assign VAR12 = VAR20 ^ VAR32;
always @(negedge VAR26 or posedge VAR3) begin
if (VAR26 == 1'b0) begin
VAR16 <= 'd0;
VAR4 <= 'd0;
VAR14 <= 'd0;
VAR9 <= 'd0;
VAR22 <= 'd0;
VAR32 <= 'd0;
VAR19 <= 'd0;
VAR30 <= 'd0;
end else begin
VAR16 <= VAR11;
VAR4 <= VAR16;
VAR14 <= VAR10;
VAR9 <= VAR14;
VAR22 <= VAR9;
VAR32 <= VAR20;
if (VAR27 == 1'b1) begin
VAR19 <= 1'b0;
end else if (VAR12 == 1'b1) begin
VAR19 <= 1'b1;
end
if (VAR27 == 1'b1) begin
VAR30 <= VAR31;
end
end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a221oi/sky130_fd_sc_ls__a221oi.blackbox.v
| 1,403 |
module MODULE1 (
VAR9 ,
VAR5,
VAR10,
VAR8,
VAR6,
VAR4
);
output VAR9 ;
input VAR5;
input VAR10;
input VAR8;
input VAR6;
input VAR4;
supply1 VAR1;
supply0 VAR7;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sedfxtp/sky130_fd_sc_hs__sedfxtp.behavioral.pp.v
| 2,517 |
module MODULE1 (
VAR17 ,
VAR9 ,
VAR22 ,
VAR8 ,
VAR13 ,
VAR2 ,
VAR1,
VAR21
);
output VAR17 ;
input VAR9 ;
input VAR22 ;
input VAR8 ;
input VAR13 ;
input VAR2 ;
input VAR1;
input VAR21;
wire VAR23 ;
reg VAR18 ;
wire VAR27 ;
wire VAR19 ;
wire VAR4;
wire VAR11;
wire VAR14;
wire VAR3 ;
wire VAR15 ;
wire VAR20 ;
wire VAR26 ;
wire VAR6 ;
wire VAR5 ;
VAR7 VAR10 (VAR3, VAR15, VAR4, VAR11 );
VAR7 VAR12 (VAR15 , VAR23, VAR27, VAR19 );
VAR16 VAR25 (VAR23 , VAR3, VAR14, VAR18, VAR1, VAR21);
assign VAR20 = ( VAR1 === 1'b1 );
assign VAR26 = ( VAR20 && ( VAR11 === 1'b0 ) && ( VAR19 === 1'b1 ) );
assign VAR6 = ( VAR20 && ( VAR11 === 1'b1 ) );
assign VAR5 = ( VAR20 && ( VAR19 === 1'b1 ) && ( VAR27 !== VAR4 ) );
buf VAR24 (VAR17 , VAR23 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/mux2/gf180mcu_fd_sc_mcu9t5v0__mux2_1.behavioral.v
| 1,620 |
module MODULE1( VAR2, VAR5, VAR3, VAR1 );
input VAR1, VAR5, VAR3;
output VAR2;
VAR4 VAR6(.VAR2(VAR2),.VAR5(VAR5),.VAR3(VAR3),.VAR1(VAR1));
VAR4 VAR7(.VAR2(VAR2),.VAR5(VAR5),.VAR3(VAR3),.VAR1(VAR1));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fill/sky130_fd_sc_ls__fill_8.v
| 1,840 |
module MODULE1 (
VAR4,
VAR5,
VAR6 ,
VAR3
);
input VAR4;
input VAR5;
input VAR6 ;
input VAR3 ;
VAR1 VAR2 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
module MODULE1 ();
supply1 VAR4;
supply0 VAR5;
supply1 VAR6 ;
supply0 VAR3 ;
VAR1 VAR2 ();
endmodule
|
apache-2.0
|
oblivioncth/DE0-Verilog-Processor
|
src/ALU_Cell_1bit.v
| 2,728 |
module MODULE1(
VAR33,
VAR35,
VAR18,
VAR17,
VAR30,
VAR5,
VAR31
);
input wire VAR33;
input wire VAR35;
input wire VAR18;
input wire VAR17;
input wire [4:0] VAR30;
output wire VAR5;
output wire VAR31;
wire [1:0] VAR12;
wire VAR16;
wire VAR26;
wire VAR28;
wire VAR8;
wire VAR27;
wire VAR1;
wire VAR25;
wire VAR34;
wire VAR29;
wire VAR7;
wire VAR3;
assign VAR25 = 0;
assign VAR34 = 1;
VAR20 VAR6(
.VAR32(VAR30[0]),
.VAR2(VAR30[1]),
.VAR9(VAR30[2]),
.VAR13(VAR30[3]),
.VAR15(VAR12),
.VAR24(VAR28));
VAR23 VAR10(
.VAR15(VAR30[3]),
.VAR32(VAR16),
.VAR2(VAR26),
.VAR24(VAR8));
VAR23 VAR14(
.VAR15(VAR30[4]),
.VAR32(VAR28),
.VAR2(VAR8),
.VAR24(VAR5));
VAR23 VAR22(
.VAR15(VAR30[3]),
.VAR32(VAR27),
.VAR2(VAR12[1]),
.VAR24(VAR31));
VAR23 VAR21(
.VAR15(VAR30[0]),
.VAR32(VAR12[1]),
.VAR2(VAR1),
.VAR24(VAR7));
assign VAR1 = ~VAR12[1];
VAR20 VAR19(
.VAR32(VAR25),
.VAR2(VAR34),
.VAR9(VAR12[0]),
.VAR13(VAR29),
.VAR15(VAR30[2:1]),
.VAR24(VAR3));
assign VAR29 = ~VAR12[0];
VAR23 VAR36(
.VAR15(VAR30[0]),
.VAR32(VAR17),
.VAR2(VAR18),
.VAR24(VAR26));
VAR11 VAR4(
.VAR35(VAR7),
.VAR33(VAR3),
.VAR17(VAR17),
.VAR15(VAR16),
.VAR31(VAR27));
assign VAR12[0] = VAR35;
assign VAR12[1] = VAR33;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfsbp/sky130_fd_sc_hdll__sdfsbp_1.v
| 2,631 |
module MODULE2 (
VAR1 ,
VAR13 ,
VAR3 ,
VAR6 ,
VAR11 ,
VAR10 ,
VAR7,
VAR2 ,
VAR12 ,
VAR5 ,
VAR4
);
output VAR1 ;
output VAR13 ;
input VAR3 ;
input VAR6 ;
input VAR11 ;
input VAR10 ;
input VAR7;
input VAR2 ;
input VAR12 ;
input VAR5 ;
input VAR4 ;
VAR9 VAR8 (
.VAR1(VAR1),
.VAR13(VAR13),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR1 ,
VAR13 ,
VAR3 ,
VAR6 ,
VAR11 ,
VAR10 ,
VAR7
);
output VAR1 ;
output VAR13 ;
input VAR3 ;
input VAR6 ;
input VAR11 ;
input VAR10 ;
input VAR7;
supply1 VAR2;
supply0 VAR12;
supply1 VAR5 ;
supply0 VAR4 ;
VAR9 VAR8 (
.VAR1(VAR1),
.VAR13(VAR13),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
jairov4/puj-ca-de1-audio-pump
|
ip/i2c_opencores/i2c_master_bit_ctrl.v
| 17,277 |
module MODULE1(
clk, rst, VAR43,
VAR27, VAR8, VAR15, VAR31, VAR41, VAR28, din, dout,
VAR13, VAR35, VAR18, VAR9, VAR37, VAR14
);
input clk;
input rst;
input VAR43;
input VAR8;
input [15:0] VAR27;
input [3:0] VAR15;
output VAR31; reg VAR31;
output VAR41; reg VAR41;
output VAR28; reg VAR28;
input din;
output dout;
reg dout;
input VAR13; output VAR35; output VAR18; reg VAR18;
input VAR9; output VAR37; output VAR14; reg VAR14;
reg VAR34, VAR39; reg VAR36; reg VAR22; reg VAR30; wire VAR40;
reg [15:0] VAR10;
reg [16:0] VAR12;
always @(posedge clk)
VAR36 <= VAR18;
assign VAR40 = VAR36 && !VAR34;
always @(posedge clk or negedge VAR43)
if(~VAR43)
begin
VAR10 <= 16'h0;
VAR30 <= 1'b1;
end
else if (rst)
begin
VAR10 <= 16'h0;
VAR30 <= 1'b1;
end
else if ( ~|VAR10 || ~VAR8)
if (~VAR40)
begin
VAR10 <= VAR27;
VAR30 <= 1'b1;
end
else
begin
VAR10 <= VAR10;
VAR30 <= 1'b0;
end
else
begin
VAR10 <= VAR10 - 16'h1;
VAR30 <= 1'b0;
end
reg VAR38, VAR24;
reg VAR19;
reg VAR6;
always @(posedge clk or negedge VAR43)
if (~VAR43)
begin
VAR34 <= 1'b1;
VAR39 <= 1'b1;
VAR38 <= 1'b1;
VAR24 <= 1'b1;
end
else if (rst)
begin
VAR34 <= 1'b1;
VAR39 <= 1'b1;
VAR38 <= 1'b1;
VAR24 <= 1'b1;
end
else
begin
VAR34 <= VAR13;
VAR39 <= VAR9;
VAR38 <= VAR34;
VAR24 <= VAR39;
end
always @(posedge clk or negedge VAR43)
if (~VAR43)
begin
VAR19 <= 1'b0;
VAR6 <= 1'b0;
end
else if (rst)
begin
VAR19 <= 1'b0;
VAR6 <= 1'b0;
end
else
begin
VAR19 <= ~VAR39 & VAR24 & VAR34;
VAR6 <= VAR39 & ~VAR24 & VAR34;
end
always @(posedge clk or negedge VAR43)
if(!VAR43)
VAR41 <= 1'b0;
else if (rst)
VAR41 <= 1'b0;
else
VAR41 <= (VAR19 | VAR41) & ~VAR6;
reg VAR23;
always @(posedge clk or negedge VAR43)
if (~VAR43)
VAR23 <= 1'b0;
else if (rst)
VAR23 <= 1'b0;
else if (VAR30)
VAR23 <= VAR15 == VAR7;
always @(posedge clk or negedge VAR43)
if (~VAR43)
VAR28 <= 1'b0;
else if (rst)
VAR28 <= 1'b0;
else
VAR28 <= (VAR22 & ~VAR39 & VAR14) | (|VAR12 & VAR6 & ~VAR23);
always @(posedge clk)
if(VAR34 & ~VAR38)
dout <= VAR39;
parameter [16:0] VAR44 = 17'b00000000000000000;
parameter [16:0] VAR11 = 17'b00000000000000001;
parameter [16:0] VAR42 = 17'b00000000000000010;
parameter [16:0] VAR1 = 17'b00000000000000100;
parameter [16:0] VAR5 = 17'b00000000000001000;
parameter [16:0] VAR3 = 17'b00000000000010000;
parameter [16:0] VAR25 = 17'b00000000000100000;
parameter [16:0] VAR2 = 17'b00000000001000000;
parameter [16:0] VAR4 = 17'b00000000010000000;
parameter [16:0] VAR32 = 17'b00000000100000000;
parameter [16:0] VAR21 = 17'b00000001000000000;
parameter [16:0] VAR33 = 17'b00000010000000000;
parameter [16:0] VAR17 = 17'b00000100000000000;
parameter [16:0] VAR20 = 17'b00001000000000000;
parameter [16:0] VAR45 = 17'b00010000000000000;
parameter [16:0] VAR26 = 17'b00100000000000000;
parameter [16:0] VAR16 = 17'b01000000000000000;
parameter [16:0] VAR29 = 17'b10000000000000000;
always @(posedge clk or negedge VAR43)
if (!VAR43)
begin
VAR12 <= VAR44;
VAR31 <= 1'b0;
VAR18 <= 1'b1;
VAR14 <= 1'b1;
VAR22 <= 1'b0;
end
else if (rst | VAR28)
begin
VAR12 <= VAR44;
VAR31 <= 1'b0;
VAR18 <= 1'b1;
VAR14 <= 1'b1;
VAR22 <= 1'b0;
end
else
begin
VAR31 <= 1'b0;
if (VAR30)
case (VAR12)
VAR44:
begin
case (VAR15)
VAR12 <= VAR11;
VAR12 <= VAR25;
VAR12 <= VAR45;
VAR12 <= VAR21;
default:
VAR12 <= VAR44;
endcase
VAR18 <= VAR18; VAR14 <= VAR14; VAR22 <= 1'b0; end
VAR11:
begin
VAR12 <= VAR42;
VAR18 <= VAR18; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR42:
begin
VAR12 <= VAR1;
VAR18 <= 1'b1; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR1:
begin
VAR12 <= VAR5;
VAR18 <= 1'b1; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR5:
begin
VAR12 <= VAR3;
VAR18 <= 1'b1; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR3:
begin
VAR12 <= VAR44;
VAR31 <= 1'b1;
VAR18 <= 1'b0; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR25:
begin
VAR12 <= VAR2;
VAR18 <= 1'b0; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR2:
begin
VAR12 <= VAR4;
VAR18 <= 1'b1; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR4:
begin
VAR12 <= VAR32;
VAR18 <= 1'b1; VAR14 <= 1'b0; VAR22 <= 1'b0; end
VAR32:
begin
VAR12 <= VAR44;
VAR31 <= 1'b1;
VAR18 <= 1'b1; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR21:
begin
VAR12 <= VAR33;
VAR18 <= 1'b0; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR33:
begin
VAR12 <= VAR17;
VAR18 <= 1'b1; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR17:
begin
VAR12 <= VAR20;
VAR18 <= 1'b1; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR20:
begin
VAR12 <= VAR44;
VAR31 <= 1'b1;
VAR18 <= 1'b0; VAR14 <= 1'b1; VAR22 <= 1'b0; end
VAR45:
begin
VAR12 <= VAR26;
VAR18 <= 1'b0; VAR14 <= din; VAR22 <= 1'b0; end
VAR26:
begin
VAR12 <= VAR16;
VAR18 <= 1'b1; VAR14 <= din; VAR22 <= 1'b1; end
VAR16:
begin
VAR12 <= VAR29;
VAR18 <= 1'b1; VAR14 <= din;
VAR22 <= 1'b1; end
VAR29:
begin
VAR12 <= VAR44;
VAR31 <= 1'b1;
VAR18 <= 1'b0; VAR14 <= din;
VAR22 <= 1'b0; end
default:
VAR12 <= VAR44;
endcase
end
assign VAR35 = 1'b0;
assign VAR37 = 1'b0;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nor2b/sky130_fd_sc_hd__nor2b.behavioral.v
| 1,489 |
module MODULE1 (
VAR4 ,
VAR5 ,
VAR10
);
output VAR4 ;
input VAR5 ;
input VAR10;
supply1 VAR11;
supply0 VAR12;
supply1 VAR9 ;
supply0 VAR7 ;
wire VAR6 ;
wire VAR8;
not VAR2 (VAR6 , VAR5 );
and VAR1 (VAR8, VAR6, VAR10 );
buf VAR3 (VAR4 , VAR8 );
endmodule
|
apache-2.0
|
jhoward321/pacman
|
usb_system/synthesis/submodules/usb_system_mm_interconnect_1.v
| 16,612 |
module MODULE1 (
input wire VAR8, input wire VAR94, input wire [21:0] VAR63, output wire VAR77, input wire [0:0] VAR70, input wire [3:0] VAR73, input wire VAR97, output wire [31:0] VAR10, output wire VAR18, input wire VAR59, input wire [31:0] VAR14, input wire VAR17, output wire [1:0] VAR96, output wire VAR29, output wire VAR68, input wire [31:0] VAR41, output wire [31:0] VAR30, output wire VAR99 );
wire VAR54; wire [2:0] VAR51; wire [31:0] VAR91; wire [21:0] VAR26; wire VAR65; wire VAR85; wire VAR16; wire [31:0] VAR47; wire VAR92; wire [3:0] VAR19; wire VAR98;
VAR33 #(
.VAR74 (22),
.VAR90 (32),
.VAR72 (1),
.VAR36 (4),
.VAR76 (22),
.VAR58 (3),
.VAR32 (1),
.VAR43 (1),
.VAR22 (0),
.VAR82 (0),
.VAR95 (0),
.VAR50 (1),
.VAR21 (1),
.VAR24 (1),
.VAR45 (0),
.VAR34 (0),
.VAR57 (4),
.VAR83 (1),
.VAR37 (0),
.VAR80 (0),
.VAR46 (0),
.VAR61 (0),
.VAR44 (0)
) VAR69 (
.clk (VAR8), .reset (VAR94), .VAR9 (VAR26), .VAR25 (VAR51), .VAR60 (VAR16), .VAR13 (VAR85), .VAR4 (VAR54), .VAR39 (VAR98), .VAR56 (VAR19), .VAR78 (VAR47), .VAR71 (VAR91), .VAR7 (VAR65), .VAR75 (VAR92), .VAR52 (VAR63), .VAR11 (VAR77), .VAR38 (VAR70), .VAR64 (VAR73), .VAR84 (VAR97), .VAR66 (VAR10), .VAR87 (VAR18), .VAR28 (VAR59), .VAR40 (VAR14), .VAR101 (VAR17), .VAR89 (1'b0), .VAR62 (1'b0), .VAR67 (1'b0), .VAR5 (1'b0), .VAR55 (), .VAR20 (1'b1), .VAR49 (2'b00), .VAR2 (), .VAR42 (), .VAR3 (1'b0), .VAR27 (1'b0), .VAR35 () );
VAR48 #(
.VAR74 (2),
.VAR90 (32),
.VAR31 (32),
.VAR72 (1),
.VAR36 (4),
.VAR1 (4),
.VAR76 (22),
.VAR58 (3),
.VAR88 (0),
.VAR21 (0),
.VAR24 (0),
.VAR81 (0),
.VAR45 (0),
.VAR34 (0),
.VAR57 (4),
.VAR83 (0),
.VAR37 (0),
.VAR80 (0),
.VAR46 (0),
.VAR12 (0),
.VAR93 (0),
.VAR53 (2),
.VAR100 (2),
.VAR86 (2),
.VAR15 (2)
) VAR79 (
.clk (VAR8), .reset (VAR94), .VAR9 (VAR26), .VAR25 (VAR51), .VAR60 (VAR16), .VAR13 (VAR85), .VAR4 (VAR54), .VAR39 (VAR98), .VAR56 (VAR19), .VAR78 (VAR47), .VAR71 (VAR91), .VAR7 (VAR65), .VAR75 (VAR92), .VAR52 (VAR96), .VAR28 (VAR29), .VAR84 (VAR68), .VAR66 (VAR41), .VAR40 (VAR30), .VAR67 (VAR99), .VAR62 (), .VAR89 (), .VAR38 (), .VAR64 (), .VAR87 (1'b0), .VAR11 (1'b0), .VAR23 (), .VAR5 (), .VAR20 (), .VAR55 (1'b0), .VAR101 (), .VAR6 (), .VAR49 (), .VAR2 (2'b00), .VAR42 (1'b0), .VAR3 (), .VAR27 (), .VAR35 (1'b0) );
endmodule
|
mit
|
FAST-Switch/fast
|
projects/SDTS/example/hw-src/sfp/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v
| 12,499 |
module MODULE1 (
address,
clk,
VAR101,
VAR2,
VAR58,
VAR96,
VAR126,
VAR117,
VAR23,
VAR19,
read,
VAR5,
VAR105,
VAR111,
reset,
VAR21,
VAR68,
VAR7,
write,
VAR37,
VAR98,
VAR31,
VAR54,
VAR13,
VAR121,
VAR71,
VAR45,
VAR46,
VAR42,
VAR51,
VAR33,
VAR72,
VAR20,
VAR113,
VAR6,
VAR17,
VAR88,
VAR57,
VAR10,
VAR15,
VAR77,
VAR74,
VAR3,
VAR30,
VAR53,
VAR107,
VAR130
);
parameter VAR90 = 32'VAR95 00000000; parameter VAR11 = 16'VAR95 0001 ; parameter VAR38 = 1; parameter VAR69 = 1'b0; parameter VAR103 = "VAR41"; parameter VAR49 = 1'b0; parameter VAR39 = 0; parameter VAR132 = 0; parameter VAR112 = 3;
output [7:0] VAR98;
output VAR31;
output VAR54;
output VAR13;
output VAR121;
output VAR71;
output VAR45;
output VAR46;
output VAR42;
output VAR51;
output VAR33;
output VAR72;
output [3:0] VAR20;
output VAR113;
output VAR6;
output VAR17;
output [15:0] VAR88;
output [16:0] VAR57;
output VAR10;
output VAR15;
output VAR77;
output VAR74;
output VAR3;
output VAR30;
output VAR53;
output VAR107;
output VAR130;
input [4:0] address;
input clk;
input [7:0] VAR101;
input VAR2;
input VAR58;
input VAR126;
input VAR96;
input [3:0] VAR117;
input VAR23;
input VAR19;
input read;
input VAR5;
input [3:0] VAR105;
input VAR111;
input reset;
input VAR21;
input VAR68;
input VAR7;
input write;
input [15:0] VAR37;
wire VAR65;
wire VAR64;
wire VAR12;
wire VAR28;
wire [7:0] VAR98;
wire VAR31;
wire VAR54;
wire VAR13;
wire VAR121;
wire VAR71;
wire VAR123;
wire VAR45;
wire VAR46;
wire VAR42;
wire VAR51;
wire VAR120;
wire VAR33;
wire VAR72;
wire [3:0] VAR20;
wire VAR113;
wire VAR6;
wire VAR100;
wire [7:0] VAR93;
wire VAR108;
wire [15:0] VAR88;
wire VAR134;
wire VAR10;
wire VAR119;
wire [7:0] VAR85;
wire VAR133;
wire VAR67;
wire VAR15;
wire VAR77;
wire VAR74;
wire VAR3;
wire VAR30;
wire VAR53;
wire [7:0] VAR50;
wire VAR55;
wire VAR107;
wire VAR130;
wire VAR124;
wire VAR1;
wire VAR91;
wire VAR114;
wire VAR44;
wire VAR109;
wire VAR27;
wire VAR8;
wire VAR29;
wire VAR70;
reg VAR56;
reg VAR59;
reg VAR35;
wire [16:0] VAR57;
always @(posedge clk or posedge VAR21)
begin
if (VAR21 == 1)
begin
VAR56 <= VAR21;
VAR59 <= VAR21;
VAR35 <= VAR21;
end
else
begin
VAR56 <= VAR21;
VAR59 <= VAR56;
VAR35 <= VAR59;
end
end
assign VAR65 = VAR35;
assign VAR64 = VAR68 | VAR35;
assign VAR12 = reset | VAR35;
assign VAR71 = VAR123;
assign VAR51 = VAR120;
VAR25 VAR47
(
.VAR52(VAR81),
.VAR27(VAR29),
.VAR8(VAR70),
.VAR98 (VAR98),
.VAR31 (VAR31),
.VAR54 (VAR54),
.VAR101 (VAR101),
.VAR2 (VAR2),
.VAR58 (VAR58),
.VAR13 (VAR13),
.VAR121 (VAR121),
.VAR71 (VAR123),
.VAR45 (VAR45),
.VAR46 (VAR46),
.VAR51 (VAR120),
.VAR33 (VAR33),
.VAR72 (VAR72),
.VAR20 (VAR20),
.VAR113 (VAR113),
.VAR6 (VAR6),
.VAR117 (VAR117),
.VAR23 (VAR23),
.VAR19 (VAR19),
.VAR127 (VAR1),
.VAR116 (address),
.VAR115 (VAR130),
.VAR106 (clk),
.VAR128 (VAR37),
.VAR83 (VAR88),
.VAR99 (read),
.VAR32 (write),
.VAR36 (VAR12),
.VAR21 (VAR65),
.VAR68 (VAR64),
.VAR10 (VAR10),
.VAR66 (VAR100),
.VAR85 (VAR93),
.VAR67 (VAR108),
.VAR124 (VAR124),
.VAR15 (VAR15),
.VAR77 (VAR77),
.VAR74 (VAR74),
.VAR3 (VAR3),
.VAR30(VAR30),
.VAR53(VAR53),
.VAR111(1'b0),
.VAR76 (VAR100),
.VAR50 (VAR50),
.VAR55 (VAR55)
);
VAR47.VAR90 = VAR90,
VAR47.VAR11 = VAR11,
VAR47.VAR38 = VAR38;
generate if (VAR69 == 1)
begin
assign VAR91 = VAR126;
assign VAR17 = VAR1;
end
else
begin
assign VAR91 = VAR1;
assign VAR17 = 1'b0;
end
endgenerate
VAR63 VAR89
(
.clk(VAR100),
.reset(VAR65),
.VAR48(VAR85),
.VAR82(VAR133),
.VAR110(VAR119),
.VAR102(VAR67),
.VAR129(VAR134),
.VAR87(VAR27),
.VAR135(VAR8),
.VAR94(VAR114),
.VAR60(VAR44),
.VAR43(VAR109),
.VAR78(VAR93),
.VAR26(VAR120),
.VAR4(VAR42),
.VAR118(VAR108),
.VAR79(VAR123),
.VAR62(VAR29),
.VAR16(VAR70),
.VAR104(VAR81)
) ;
VAR89.VAR103 = VAR103;
VAR122 VAR92
(
.VAR18 (VAR96),
.VAR86 (VAR91),
.VAR9 (VAR111),
.VAR5(VAR5),
.VAR105(VAR105),
.VAR57(VAR57),
.VAR84 (reset),
.VAR80 (VAR111),
.VAR22 (VAR67),
.VAR97 (VAR7),
.VAR40 (VAR85),
.VAR125 (VAR35),
.VAR119 (VAR119),
.VAR131 (VAR134),
.VAR44 (VAR44),
.VAR73 (VAR114),
.VAR14 (VAR124),
.VAR133 (VAR133),
.VAR76 (VAR100),
.VAR34 (VAR55),
.VAR61 (VAR50),
.VAR75 (VAR107),
.VAR24 (VAR35),
.VAR27(VAR27),
.VAR8(VAR8),
.VAR109(VAR109)
);
VAR92.VAR132 = VAR132,
VAR92.VAR39 = VAR39,
VAR92.VAR103 = VAR103;
endmodule
|
apache-2.0
|
fpgasystems/Centaur
|
rtl/fthread/afu.v
| 16,803 |
module MODULE1 #(parameter VAR20 = VAR27, parameter VAR58 = 1536,
parameter VAR53 = VAR44,
parameter VAR38 = VAR44,
parameter VAR41 = 4,
parameter VAR8 = 4,
parameter VAR56 = 1,
parameter VAR4 = 1
) (
input wire clk,
input wire VAR36,
input wire VAR10,
input wire VAR34,
input wire [VAR58-1:0] VAR22,
output wire VAR9,
output wire [VAR55*32-1:0] VAR45,
output wire VAR7,
output wire [57:0] VAR33,
output wire [VAR53-1:0] VAR57,
output wire VAR15,
input wire VAR52,
output wire [57:0] VAR48,
output wire [VAR38-1:0] VAR25,
output wire VAR28,
output wire [511:0] VAR49,
input wire VAR42,
input wire [VAR53-1:0] VAR50,
input wire [511:0] VAR23,
input wire VAR21,
output wire VAR46,
input wire VAR18,
input wire [VAR38-1:0] VAR19
);
generate
if (VAR20 == VAR16) begin
VAR1 VAR1(
.clk (clk),
.VAR36 (VAR36),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[1023:0]),
.VAR9 (VAR9),
.VAR45 (VAR45),
.VAR7 (VAR7),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
end
else if ((VAR20 == VAR6) | (VAR20 == VAR47) | (VAR20 == VAR13) ) begin
VAR24 #(.VAR26(VAR56),
.VAR3(VAR4))
VAR40(
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[1535:0]),
.VAR9 (VAR9),
.VAR45 (VAR45),
.VAR7 (VAR7),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
end
else if (VAR20 == VAR54) begin
VAR14 VAR14 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR17) begin
VAR5 VAR5 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR37) begin
VAR51 VAR51 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR35) begin
VAR30 VAR30 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[1535:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR43) begin
VAR2 VAR2 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR32) begin
VAR11 VAR11 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else if (VAR20 == VAR12) begin
VAR39 VAR39 (
.clk (clk),
.VAR10 (VAR10),
.VAR34 (VAR34),
.VAR22 (VAR22[511:0]),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR15 (VAR15),
.VAR52 (VAR52),
.VAR48 (VAR48),
.VAR25 (VAR25),
.VAR28 (VAR28),
.VAR49 (VAR49),
.VAR42 (VAR42),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR21 (VAR21),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR19 (VAR19)
);
assign VAR7 = 1'b0;
end
else begin
assign VAR15 = 1'b0;
assign VAR28 = 1'b0;
assign VAR31 = 1'b0;
assign VAR29 = 1'b0;
assign VAR7 = 1'b0;
end
endgenerate
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/out_switch.v
| 18,251 |
module MODULE1(
input clk,
input reset,
output [239:0] VAR24,
input [63:0] VAR48,
input [23:0] VAR34,
input VAR124,
input VAR108,
output VAR21,
input [1:0] VAR71,
input VAR96,
input VAR98,
output VAR14,
input VAR127,
input [63:0] VAR85,
input [23:0] VAR89,
input VAR17,
input VAR61,
output VAR113,
input [1:0] VAR139,
input VAR123,
input VAR91,
output VAR45,
input VAR138,
input [63:0] VAR110,
input [23:0] VAR90,
input VAR140,
input VAR106,
output VAR44,
input [1:0] VAR114,
input VAR37,
input VAR4,
output VAR59,
input VAR143,
input [63:0] VAR131,
input [23:0] VAR142,
input VAR128,
input VAR8,
output VAR100,
input [1:0] VAR84,
input VAR54,
input VAR129,
output VAR72,
input VAR87,
input [63:0] VAR20,
input [23:0] VAR118,
input VAR93,
input VAR25,
output VAR88,
input [1:0] VAR134,
input VAR41,
input VAR122,
output VAR13,
input VAR55,
input [63:0] VAR40,
input [23:0] VAR65,
input VAR109,
input VAR133,
output VAR70,
input [1:0] VAR83,
input VAR68,
input VAR92,
output VAR66,
input VAR36,
output reg [63:0] VAR80,
output reg [23:0] VAR28,
output reg VAR35,
output reg VAR81,
input VAR82,
output reg VAR67,
output reg VAR12,
input VAR18,
output reg VAR39,
output reg [63:0] VAR53,
output reg [23:0] VAR104,
output reg VAR135,
output reg VAR73,
input VAR69,
output reg VAR130,
output reg VAR2,
input VAR16,
output reg VAR22,
output reg [63:0] VAR1,
output reg [23:0] VAR23,
output reg VAR30,
output reg VAR42,
input VAR86,
output reg VAR76,
output reg VAR121,
input VAR117,
output reg VAR19,
output reg [63:0] VAR63,
output reg [23:0] VAR136,
output reg VAR116,
output reg VAR119,
input VAR15,
output reg VAR141,
output reg VAR27,
input VAR105,
output reg VAR46
);
reg [7:0] VAR58;
assign VAR21 = VAR58[0];
assign VAR113 = VAR58[1];
assign VAR44 = VAR58[2];
assign VAR100 = VAR58[3];
assign VAR88 = VAR58[4];
assign VAR70 = VAR58[5];
wire [7:0] VAR79;
assign VAR79[0] = VAR108;
assign VAR79[1] = VAR61;
assign VAR79[2] = VAR106;
assign VAR79[3] = VAR8;
assign VAR79[4] = VAR25;
assign VAR79[5] = VAR133;
wire [1:0] VAR102[7:0];
assign VAR102[0] = VAR71;
assign VAR102[1] = VAR139;
assign VAR102[2] = VAR114;
assign VAR102[3] = VAR84;
assign VAR102[4] = VAR134;
assign VAR102[5] = VAR83;
wire VAR137[7:0];
assign VAR137[0] = VAR127;
assign VAR137[1] = VAR138;
assign VAR137[2] = VAR143;
assign VAR137[3] = VAR87;
assign VAR137[4] = VAR55;
assign VAR137[5] = VAR36;
wire [63:0] VAR101 [7:0];
assign VAR101[0] = VAR48;
assign VAR101[1] = VAR85;
assign VAR101[2] = VAR110;
assign VAR101[3] = VAR131;
assign VAR101[4] = VAR20;
assign VAR101[5] = VAR40;
wire [23:0] VAR43 [7:0];
assign VAR43[0] = VAR34;
assign VAR43[1] = VAR89;
assign VAR43[2] = VAR90;
assign VAR43[3] = VAR142;
assign VAR43[4] = VAR118;
assign VAR43[5] = VAR65;
wire [7:0] VAR11;
assign VAR11[0] = VAR124;
assign VAR11[1] = VAR17;
assign VAR11[2] = VAR140;
assign VAR11[3] = VAR128;
assign VAR11[4] = VAR93;
assign VAR11[5] = VAR109;
wire [7:0] VAR29;
assign VAR29[0] = VAR96;
assign VAR29[1] = VAR123;
assign VAR29[2] = VAR37;
assign VAR29[3] = VAR54;
assign VAR29[4] = VAR41;
assign VAR29[5] = VAR68;
wire [7:0] VAR97;
assign VAR97[0] = VAR98;
assign VAR97[1] = VAR91;
assign VAR97[2] = VAR4;
assign VAR97[3] = VAR129;
assign VAR97[4] = VAR122;
assign VAR97[5] = VAR92;
reg VAR107;
reg VAR26;
reg VAR5;
reg VAR9;
reg [2:0] VAR31;
reg [2:0] VAR126;
reg [2:0] VAR56;
reg [2:0] VAR103;
reg [2:0] VAR47;
reg [2:0] VAR75;
reg [2:0] VAR51;
reg [2:0] VAR132;
wire [2:0] VAR10;
wire [2:0] VAR120;
wire [2:0] VAR38;
wire [2:0] VAR50;
assign VAR10 = (VAR31 == 3'b101) ? 0 : VAR31 + 1;
assign VAR120 = (VAR126 == 3'b101) ? 0 : VAR126 + 1;
assign VAR38 = (VAR56 == 3'b101) ? 0 : VAR56 + 1;
assign VAR50 = (VAR103 == 3'b101) ? 0 : VAR103 + 1;
wire [1:0] VAR74;
wire [1:0] VAR99;
wire [1:0] VAR112;
wire [1:0] VAR125;
assign VAR74 = VAR102[VAR31];
assign VAR99 = VAR102[VAR126];
assign VAR112 = VAR102[VAR56];
assign VAR125 = VAR102[VAR103];
wire VAR52;
wire VAR3;
wire VAR7;
wire VAR49;
assign VAR52 = VAR137[VAR31];
assign VAR3 = VAR137[VAR126];
assign VAR7 = VAR137[VAR56];
assign VAR49 = VAR137[VAR103];
parameter VAR94 = 3'b001,
VAR6 = 3'b010,
VAR78 = 3'b011,
VAR95 = 3'b100,
VAR33 = 3'b101;
reg [2:0] VAR111;
reg [2:0] VAR60;
reg [2:0] VAR115;
reg [2:0] VAR57;
reg [2:0] VAR77;
reg [2:0] VAR32;
reg [2:0] VAR64;
reg [2:0] VAR62;
always @(*)
begin
VAR58 = 0;
VAR47 = VAR31;
VAR60 = VAR111;
case(VAR111)
VAR94: begin
VAR39 = 0;
VAR81 = 0;
VAR107 = 0;
VAR60 = VAR6;
end
VAR6: begin
VAR81 = 0;
if(VAR79[VAR31]) begin
if(VAR74 == 2'b00) begin
VAR60 = VAR78;
end else begin
VAR47 = VAR10;
end
end else begin
VAR47 = VAR10;
end
end
VAR78: begin
VAR39 = VAR52;
VAR81 = 1;
if(VAR82) begin
VAR60 = VAR95;
end
end
VAR95: begin
VAR81 = 1;
if(VAR79[VAR31]) begin
VAR107 = 1;
VAR58[VAR31] = 1;
end else begin
VAR107 = 0;
VAR60 = VAR33;
end
end
VAR33: begin
VAR81 = 0;
if(!VAR82) begin
VAR58[VAR31] = 0;
VAR60 = VAR94;
end
end
default: begin
VAR39 = 0;
VAR81 = 0;
VAR107 = 0;
VAR60 = VAR94;
end
endcase
VAR75 = VAR126;
VAR57 = VAR115;
case(VAR115)
VAR94: begin
VAR22 = 0;
VAR73 = 0;
VAR26 = 0;
VAR57 = VAR6;
end
VAR6: begin
VAR73 = 0;
if(VAR79[VAR126]) begin
if(VAR99 == 2'b01) begin
VAR57 = VAR78;
end else begin
VAR75 = VAR120;
end
end else begin
VAR75 = VAR120;
end
end
VAR78: begin
VAR22 = VAR3;
VAR73 = 1;
if(VAR69) begin
VAR57 = VAR95;
end
end
VAR95: begin
VAR73 = 1;
if(VAR79[VAR126]) begin
VAR26 = 1;
VAR58[VAR126] = 1;
end else begin
VAR26 = 0;
VAR57 = VAR33;
end
end
VAR33: begin
VAR73 = 0;
if(!VAR69) begin
VAR58[VAR126] = 0;
VAR57 = VAR94;
end
end
default: begin
VAR22 = 0;
VAR73 = 0;
VAR26 = 0;
VAR57 = VAR94;
end
endcase
VAR51 = VAR56;
VAR32 = VAR77;
case(VAR77)
VAR94: begin
VAR19 = 0;
VAR42 = 0;
VAR5 = 0;
VAR32 = VAR6;
end
VAR6: begin
VAR42 = 0;
if(VAR79[VAR56]) begin
if(VAR112 == 2'b10) begin
VAR32 = VAR78;
end else begin
VAR51 = VAR38;
end
end else begin
VAR51 = VAR38;
end
end
VAR78: begin
VAR19 = VAR7;
VAR42 = 1;
if(VAR86) begin
VAR32 = VAR95;
end
end
VAR95: begin
VAR42 = 1;
if(VAR79[VAR56]) begin
VAR5 = 1;
VAR58[VAR56] = 1;
end else begin
VAR5 = 0;
VAR32 = VAR33;
end
end
VAR33: begin
VAR42 = 0;
if(!VAR86) begin
VAR58[VAR56] = 0;
VAR32 = VAR94;
end
end
default: begin
VAR19 = 0;
VAR42 = 0;
VAR5 = 0;
VAR32 = VAR94;
end
endcase
VAR132 = VAR103;
VAR62 = VAR64;
case(VAR64)
VAR94: begin
VAR46 = 0;
VAR119 = 0;
VAR9 = 0;
VAR62 = VAR6;
end
VAR6: begin
VAR119 = 0;
if(VAR79[VAR103]) begin
if(VAR125 == 2'b11) begin
VAR62 = VAR78;
end else begin
VAR132 = VAR50;
end
end else begin
VAR132 = VAR50;
end
end
VAR78: begin
VAR46 = VAR49;
VAR119 = 1;
if(VAR15) begin
VAR62 = VAR95;
end
end
VAR95: begin
VAR119 = 1;
if(VAR79[VAR103]) begin
VAR9 = 1;
VAR58[VAR103] = 1;
end else begin
VAR9 = 0;
VAR62 = VAR33;
end
end
VAR33: begin
VAR119 = 0;
if(!VAR15) begin
VAR58[VAR103] = 0;
VAR62 = VAR94;
end
end
default: begin
VAR46 = 0;
VAR119 = 0;
VAR9 = 0;
VAR62 = VAR94;
end
endcase
end
always @(posedge clk)
begin
if(reset) begin
VAR111 <= 0;
VAR115 <= 0;
VAR77 <= 0;
VAR64 <= 0;
VAR31 <= 0;
VAR126 <= 0;
VAR56 <= 0;
VAR103 <= 0;
end else begin
VAR111 <= VAR60;
VAR115 <= VAR57;
VAR77 <= VAR32;
VAR64 <= VAR62;
VAR31 <= VAR47;
VAR126 <= VAR75;
VAR56 <= VAR51;
VAR103 <= VAR132;
if(VAR107) begin
VAR80 <= VAR101[VAR31];
VAR28 <= VAR43[VAR31];
VAR35 <= VAR11[VAR31];
VAR67 <= VAR29[VAR31];
VAR12 <= VAR97[VAR31];
end
if(VAR26) begin
VAR53 <= VAR101[VAR126];
VAR104 <= VAR43[VAR126];
VAR135 <= VAR11[VAR126];
VAR130 <= VAR29[VAR126];
VAR2 <= VAR97[VAR126];
end
if(VAR5) begin
VAR1 <= VAR101[VAR56];
VAR23 <= VAR43[VAR56];
VAR30 <= VAR11[VAR56];
VAR76 <= VAR29[VAR56];
VAR121 <= VAR97[VAR56];
end
if(VAR9) begin
VAR63 <= VAR101[VAR103];
VAR136 <= VAR43[VAR103];
VAR116 <= VAR11[VAR103];
VAR141 <= VAR29[VAR103];
VAR27 <= VAR97[VAR103];
end
end
end
assign VAR14 = (VAR107 == 1'b1 && VAR31 == 3'b000) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b000) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b000) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b000) ? VAR105 : 0 ;
assign VAR45 = (VAR107 == 1'b1 && VAR31 == 3'b001) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b001) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b001) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b001) ? VAR105 : 0 ;
assign VAR59 = (VAR107 == 1'b1 && VAR31 == 3'b010) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b010) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b010) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b010) ? VAR105 : 0 ;
assign VAR72 = (VAR107 == 1'b1 && VAR31 == 3'b011) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b011) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b011) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b011) ? VAR105 : 0 ;
assign VAR13 = (VAR107 == 1'b1 && VAR31 == 3'b100) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b100) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b100) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b100) ? VAR105 : 0 ;
assign VAR66 = (VAR107 == 1'b1 && VAR31 == 3'b101) ? VAR18 :
(VAR26 == 1'b1 && VAR126 == 3'b101) ? VAR16 :
(VAR5 == 1'b1 && VAR56 == 3'b101) ? VAR117 :
(VAR9 == 1'b1 && VAR103 == 3'b101) ? VAR105 : 0 ;
assign VAR24[0] = VAR124;
assign VAR24[1] = VAR108;
assign VAR24[2] = VAR21;
assign VAR24[4:3] = VAR71;
assign VAR24[5] = VAR96;
assign VAR24[6] = VAR98;
assign VAR24[7] = VAR14;
assign VAR24[8] = VAR127;
assign VAR24[10] = VAR17;
assign VAR24[11] = VAR61;
assign VAR24[12] = VAR113;
assign VAR24[14:13] = VAR139;
assign VAR24[15] = VAR123;
assign VAR24[16] = VAR91;
assign VAR24[17] = VAR45;
assign VAR24[18] = VAR138;
assign VAR24[20] = VAR140;
assign VAR24[21] = VAR106;
assign VAR24[22] = VAR44;
assign VAR24[24:23] = VAR114;
assign VAR24[25] = VAR37;
assign VAR24[26] = VAR4;
assign VAR24[27] = VAR59;
assign VAR24[28] = VAR143;
assign VAR24[30] = VAR128;
assign VAR24[31] = VAR8;
assign VAR24[32] = VAR100;
assign VAR24[34:33] = VAR84;
assign VAR24[35] = VAR54;
assign VAR24[36] = VAR129;
assign VAR24[37] = VAR72;
assign VAR24[38] = VAR87;
assign VAR24[40] = VAR93;
assign VAR24[41] = VAR25;
assign VAR24[42] = VAR88;
assign VAR24[44:43] = VAR134;
assign VAR24[45] = VAR41;
assign VAR24[46] = VAR122;
assign VAR24[47] = VAR13;
assign VAR24[48] = VAR55;
assign VAR24[50] = VAR109;
assign VAR24[51] = VAR133;
assign VAR24[52] = VAR70;
assign VAR24[54:53] = VAR83;
assign VAR24[55] = VAR68;
assign VAR24[56] = VAR92;
assign VAR24[57] = VAR66;
assign VAR24[58] = VAR36;
assign VAR24[60] = VAR116;
assign VAR24[61] = VAR119;
assign VAR24[62] = VAR15;
assign VAR24[63] = VAR141;
assign VAR24[64] = VAR27;
assign VAR24[65] = VAR105;
assign VAR24[66] = VAR46;
assign VAR24[67] = VAR107;
assign VAR24[68] = VAR26;
assign VAR24[69] = VAR5;
assign VAR24[70] = VAR9;
assign VAR24[73:71] = VAR103;
assign VAR24[76:74] = VAR31;
assign VAR24[79:77] = VAR56;
assign VAR24[82:80] = VAR126;
assign VAR24[92:90] = VAR111;
assign VAR24[95:93] = VAR115;
assign VAR24[98:96] = VAR77;
assign VAR24[101:99] = VAR64;
assign VAR24[173:110] = VAR63;
endmodule
|
mit
|
AlvaroNaranjo/Embedded-Systems-Design
|
Automatic_Pet_Feeder/src/control.v
| 4,081 |
module MODULE1 (
input VAR17,
input VAR30,
input [3:0] VAR2,
input [3:0] VAR41,
output [17:0] VAR23,
output [7:6] VAR28,
output [2:0] VAR19,
output [6:0] VAR8,VAR24,VAR45,VAR27,VAR13,VAR15,VAR32,VAR37,
output VAR25,
output VAR11,
output VAR39,
output VAR40,
output VAR44,
inout [7:0] VAR20,
input VAR33,
inout VAR6,
inout VAR18,
inout VAR34,
inout VAR3,
output VAR7,
output VAR26,
output VAR29
);
wire VAR5;
VAR12 VAR22 (VAR17, 1, 1, VAR5);
wire VAR9;
VAR31 VAR36(.VAR35(VAR17),.VAR4(VAR9));
assign VAR25 = 1'b1;
assign VAR11 = 1'b1;
VAR42 VAR43(
.VAR16(VAR17),
.VAR10(VAR9),
.VAR21(VAR38),
.VAR1(VAR20),
.VAR39(VAR39),
.VAR14(VAR40),
.VAR44(VAR44)
);
begin
begin
|
gpl-2.0
|
sergev/vak-opensource
|
hardware/s3esk-openrisc/uart16550/raminfr.v
| 6,004 |
module MODULE1
(clk, VAR7, VAR9, VAR6, VAR1, VAR8);
parameter VAR4 = 4;
parameter VAR3 = 8;
parameter VAR5 = 16;
input clk;
input VAR7;
input [VAR4-1:0] VAR9;
input [VAR4-1:0] VAR6;
input [VAR3-1:0] VAR1;
output [VAR3-1:0] VAR8;
reg [VAR3-1:0] VAR2 [VAR5-1:0];
wire [VAR3-1:0] VAR8;
wire [VAR3-1:0] VAR1;
wire [VAR4-1:0] VAR9;
wire [VAR4-1:0] VAR6;
always @(posedge clk) begin
if (VAR7)
VAR2[VAR9] <= VAR1;
end
assign VAR8 = VAR2[VAR6];
endmodule
|
apache-2.0
|
AmeerAbdelhadi/2D-Binary-Content-Addressable-Memory-BCAM
|
bcam_reg.v
| 4,447 |
module MODULE1
reg [VAR10-1:0] VAR9;
always @
for (VAR3=0; VAR3<VAR10; VAR3=VAR3+1)
VAR6[VAR3] = (VAR7[VAR3] == {1'b1,VAR11});
VAR12 VAR13 (
.clk( clk ), .rst( rst ), .VAR4( VAR6 ), .VAR5( VAR8 ), .VAR2( VAR1 ) );
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dlygate4sd3/sky130_fd_sc_hd__dlygate4sd3.behavioral.v
| 1,405 |
module MODULE1 (
VAR9,
VAR7
);
output VAR9;
input VAR7;
supply1 VAR8;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR3 ;
wire VAR6;
buf VAR1 (VAR6, VAR7 );
buf VAR5 (VAR9 , VAR6 );
endmodule
|
apache-2.0
|
UA3MQJ/fpga-synth
|
modules/voice.v
| 2,366 |
module MODULE1(clk, VAR33, VAR6, VAR2, VAR26, VAR10, VAR43, VAR21, VAR41);
input wire clk;
input wire VAR33;
input wire [6:0] VAR6;
input wire [7:0] VAR26;
input wire [6:0] VAR10;
input wire [6:0] VAR43;
input wire [13:0] VAR2;
output wire [7:0] VAR41;
input wire [2:0] VAR21;
parameter VAR30 = 3'b000;
parameter VAR39 = 3'b001; parameter VAR35 = 3'b010;
parameter VAR7 = 3'b011;
parameter VAR19 = 3'b100;
parameter VAR22 = 3'b101;
parameter VAR9 = 3'b110;
parameter VAR3 = 3'b111;
wire [31:0] VAR17;
VAR29 VAR42(.clk(clk), .VAR6(VAR6), .VAR2(VAR2), .VAR26(VAR26), .VAR10(VAR10), .VAR43(VAR43), .VAR18(VAR17));
wire [31:0] VAR34;
VAR37 #(.VAR8(32)) VAR5(.clk(clk), .VAR18(VAR17), .VAR41(VAR34));
wire [7:0] VAR15 = VAR34[31:31-7];
wire [7:0] VAR12 = (VAR34[31:31-7] > 127) ? 8'b11111111 : 1'b00000000;
wire [7:0] VAR32 = (VAR15>8'd191) ? 7'd127 + ((VAR15 << 1) - 9'd511) :
(VAR15>8'd063) ? 8'd255 - ((VAR15 << 1) - 7'd127) : 7'd127 + (VAR15 << 1);
wire [7:0] VAR44;
VAR11 VAR38(.address(VAR34[31:31-7]),
.VAR31(VAR44),
.VAR40(clk));
wire [7:0] VAR23 = -VAR15;
wire [7:0] VAR13 = (VAR15 > 7'd127) ? -VAR15 : 8'd127 + VAR15;
reg [7:0] VAR4;
wire [7:0] VAR27 = VAR4;
wire [7:0] VAR36;
wire VAR16;
VAR24 VAR14(clk, 25'd1563, VAR16); reg [1:0] VAR1=2'b00;
always @(posedge clk) VAR1 <= {VAR1[0], VAR16};
wire VAR28 = (VAR1==2'b01);
VAR25 #(.VAR8(8)) VAR20(.clk(clk), .VAR41(VAR36));
always @(posedge clk) begin
if (VAR28) begin
VAR4 <= VAR36;
end
end
assign VAR41 = (VAR21 == VAR30) ? VAR15 :
(VAR21 == VAR39) ? VAR12 :
(VAR21 == VAR35) ? VAR32 :
(VAR21 == VAR7) ? VAR44 :
(VAR21 == VAR19) ? VAR23 :
(VAR21 == VAR22) ? VAR13 :
(VAR21 == VAR9) ? VAR27 : 8'd127;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
models/udp_pwrgood_l_pp_g/sky130_fd_sc_hd__udp_pwrgood_l_pp_g.blackbox.v
| 1,259 |
module MODULE1 (
VAR3,
VAR1 ,
VAR2
);
output VAR3;
input VAR1 ;
input VAR2 ;
endmodule
|
apache-2.0
|
jmassucco17/full_mips
|
processor/SingleCycleDatapath/Datapath.v
| 7,697 |
module MODULE1(input VAR51,
input VAR54);
wire[31:0] VAR106;
wire[31:0] VAR24;
VAR18 VAR104(
VAR51,
VAR54,
VAR106,
VAR24);
wire[31:0] VAR52;
wire[31:0] VAR110;
VAR31 VAR75(
VAR51,
VAR54,
VAR52,
VAR110);
assign VAR52 = VAR24;
wire[31:0] VAR5;
wire[31:0] VAR89;
VAR80 VAR69(VAR5,
VAR89);
assign VAR5 = VAR24;
wire[31:0] VAR73;
wire[31:0] VAR36;
wire[31:0] VAR72;
wire VAR82;
VAR29 VAR17(VAR73,
VAR36,
VAR82,
VAR72);
assign VAR106 = VAR72;
assign VAR73 = VAR89;
wire[4:0] VAR70;
wire[4:0] VAR12;
wire[4:0] VAR56;
wire VAR86;
VAR78 VAR77(
VAR70,
VAR12,
VAR86,
VAR56);
assign VAR70 = VAR110[20:16];
assign VAR12 = VAR110[15:11];
wire[4:0] VAR30;
wire[31:0] VAR109;
wire[4:0] VAR19;
wire[31:0] VAR45;
wire VAR53;
wire[4:0] VAR8;
reg[31:0] VAR55;
VAR46 VAR91(
VAR51,
VAR54,
VAR30,
VAR109,
VAR19,
VAR45,
VAR53,
VAR8,
VAR55);
assign VAR30 = VAR110[25:21];
assign VAR19 = VAR110[20:16];
assign VAR8 = VAR56;
wire[31:0] VAR33;
wire[31:0] VAR47;
wire[31:0] VAR28;
wire[31:0] VAR93;
wire[31:0] VAR87;
wire[1:0] VAR90;
VAR37 VAR66(
VAR33,
VAR47,
VAR28,
VAR93,
VAR90,
VAR87);
assign VAR33 = VAR45;
wire[31:0] VAR2;
wire[31:0] VAR68;
wire[3:0] VAR38;
wire[31:0] VAR84;
wire VAR94;
VAR74 alu(VAR2,
VAR68,
VAR38,
VAR84,
VAR94);
wire VAR95;
wire[31:0] VAR83;
wire[31:0] VAR49;
VAR27 VAR13(VAR2,
VAR68,
VAR38,
VAR95,
VAR83,
VAR49);
assign VAR2 = VAR109;
assign VAR68 = VAR87;
wire[31:0] VAR96;
wire VAR57;
wire[31:0] VAR59;
wire[31:0] VAR20;
VAR32 VAR42(
VAR51,
VAR54,
VAR96,
VAR57,
VAR59,
VAR20);
assign VAR96 = VAR84;
assign VAR59 = VAR45;
wire[31:0] VAR105;
wire[31:0] VAR88;
wire VAR26;
wire[31:0] VAR79;
VAR29 VAR61(
VAR105,
VAR88,
VAR26,
VAR79);
assign VAR105 = VAR84;
assign VAR88 = VAR20;
wire[15:0] VAR108;
wire[31:0] VAR35;
VAR4 VAR64(
VAR108,
VAR35);
assign VAR108 = VAR110[15:0];
wire[15:0] VAR16;
wire[31:0] VAR6;
VAR60 VAR7(
VAR16,
VAR6);
assign VAR16 = VAR110[15:0];
wire[31:0] VAR14;
wire[31:0] VAR97;
wire VAR100;
wire[31:0] VAR34;
VAR29 VAR63(
VAR14,
VAR97,
VAR100,
VAR34);
assign VAR14 = VAR35;
assign VAR97 = VAR6;
assign VAR47 = VAR34;
wire[31:0] VAR92;
wire[31:0] VAR76;
VAR39 VAR23(
VAR92,
VAR76);
assign VAR92 = VAR35;
wire[31:0] VAR65;
wire[31:0] VAR48;
wire[31:0] VAR44;
VAR67 VAR41(VAR65,
VAR48,
VAR44);
assign VAR65 = VAR76;
assign VAR48 = VAR89;
assign VAR36 = VAR44;
wire VAR9;
wire VAR3;
wire VAR107;
and VAR81(VAR107,
VAR9,
VAR3);
assign VAR3 = VAR94;
assign VAR82 = VAR107;
wire[4:0] VAR50;
wire[31:0] VAR21;
VAR1 VAR58(
VAR50,
VAR21);
assign VAR50 = VAR110[10:6];
assign VAR28 = VAR21;
wire[5:0] VAR102;
wire[5:0] VAR62;
wire VAR40;
wire VAR11;
wire[1:0] VAR101;
wire[3:0] VAR22;
wire VAR43;
wire VAR15;
wire VAR103;
wire VAR71;
wire VAR85;
wire VAR10;
wire VAR99;
VAR25 VAR98(
VAR102,
VAR62,
VAR40,
VAR11,
VAR101,
VAR22,
VAR43,
VAR15,
VAR103,
VAR71,
VAR85,
VAR10,
VAR99);
assign VAR102 = VAR110[31:26];
assign VAR62 = VAR110[5:0];
assign VAR86 = VAR40;
assign VAR53 = VAR11;
assign VAR90 = VAR101;
assign VAR38 = VAR22;
assign VAR9 = VAR43;
assign VAR57 = VAR15;
assign VAR26 = VAR103;
assign VAR95 = VAR85;
always@(VAR99, VAR10) begin
case({VAR99, VAR10})
2'b10: VAR55 = VAR49;
2'b01: VAR55 = VAR83;
default: VAR55 = VAR79;
endcase
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai222/gf180mcu_fd_sc_mcu9t5v0__oai222_2.functional.v
| 1,909 |
module MODULE1( VAR24, VAR21, VAR25, VAR16, VAR13, VAR2, VAR20 );
input VAR2, VAR20, VAR16, VAR13, VAR21, VAR25;
output VAR24;
wire VAR9;
not VAR3( VAR9, VAR2 );
wire VAR19;
not VAR18( VAR19, VAR20 );
wire VAR11;
and VAR7( VAR11, VAR9, VAR19 );
wire VAR10;
not VAR23( VAR10, VAR16 );
wire VAR14;
not VAR12( VAR14, VAR13 );
wire VAR5;
and VAR1( VAR5, VAR10, VAR14 );
wire VAR26;
not VAR4( VAR26, VAR21 );
wire VAR17;
not VAR8( VAR17, VAR25 );
wire VAR15;
and VAR22( VAR15, VAR26, VAR17 );
or VAR6( VAR24, VAR11, VAR5, VAR15 );
endmodule
|
apache-2.0
|
kevintownsend/R3
|
coregen/fifo_32x512.v
| 14,926 |
module MODULE2 (
clk, VAR40, rst, VAR17, VAR121, VAR93, dout, din
);
input clk;
input VAR40;
input rst;
output VAR17;
input VAR121;
output VAR93;
output [31 : 0] dout;
input [31 : 0] din;
wire VAR61;
wire VAR11;
wire \VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR72 ;
wire \VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR36 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR42 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR109 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR86 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR34 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<3>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<2>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<1>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<0>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<8>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<7>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<6>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<5>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<4>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<3>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<2>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<1>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<0>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<8>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<7>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<6>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<5>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<4>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<3>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<2>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<1>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<0>VAR68 ;
wire \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR92 ;
wire [0 : 0] \VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR107 ;
wire [0 : 0] \VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR51 ;
wire [4 : 0] \VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 ;
VAR56 VAR52 (
.VAR127(VAR61)
);
VAR7 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR20 (
.VAR18(clk),
.VAR77(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [0]),
.VAR12(VAR61),
.VAR94(rst),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR36 )
);
VAR83 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR6 (
.VAR18(clk),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR36 ),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [4])
);
VAR83 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR10 (
.VAR18(clk),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [4]),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [3])
);
VAR83 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR81 (
.VAR18(clk),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [3]),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [2])
);
VAR83 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR22 (
.VAR18(clk),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [2]),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [1])
);
VAR83 #(
.VAR19 ( 1'b0 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR30 (
.VAR18(clk),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [1]),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR91 [0])
);
VAR38 #(
.VAR23 ( 9'h001 ),
.VAR53 ( "VAR5" ),
.VAR35 ( 0 ),
.VAR41 ( "VAR123" ),
.VAR130 ( "VAR73" ),
.VAR66 ( 9'h002 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR28 (
.VAR129(VAR40),
.VAR16(VAR121),
.VAR58(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR107 [0]),
.VAR55(clk),
.VAR48(clk),
.VAR8
(\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR42 ),
.VAR112
(\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR109 ),
.VAR25(VAR17),
.VAR47(VAR93),
.VAR59(\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR86 ),
.VAR33(\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR34 ),
.VAR46({din[31], din[30], din[29], din[28], din[27], din[26], din[25], din[24], din[23], din[22], din[21], din[20], din[19], din[18], din[17],
din[16], din[15], din[14], din[13], din[12], din[11], din[10], din[9], din[8], din[7], din[6], din[5], din[4], din[3], din[2], din[1], din[0]}),
.VAR78({VAR61, VAR61, VAR61, VAR61}),
.VAR116({dout[31], dout[30], dout[29], dout[28], dout[27], dout[26], dout[25], dout[24], dout[23], dout[22], dout[21], dout[20], dout[19], dout[18],
dout[17], dout[16], dout[15], dout[14], dout[13], dout[12], dout[11], dout[10], dout[9], dout[8], dout[7], dout[6], dout[5], dout[4], dout[3], dout[2]
, dout[1], dout[0]}),
.VAR89({\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<3>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<2>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<1>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR115<0>VAR68 }),
.VAR104({\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<8>VAR68
, \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<7>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<6>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<5>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<4>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<3>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<2>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<1>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR100<0>VAR68 }),
.VAR32({\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<8>VAR68
, \VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<7>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<6>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<5>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<4>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<3>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<2>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<1>VAR68 ,
\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR118.VAR57/VAR122[1].VAR124/VAR103.VAR99/VAR105.VAR102<0>VAR68 })
);
VAR4 #(
.VAR19 ( 4'hE ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR44<1>1 (
.VAR74(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR36 ),
.VAR110(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR51 [0]),
.VAR39(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR107 [0])
);
VAR97 VAR1 (
.VAR126(VAR11)
);
VAR62 #(
.VAR19 ( 16'h001F ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR87 (
.VAR15(VAR61),
.VAR108(VAR61),
.VAR37(VAR11),
.VAR98(VAR61),
.VAR77(VAR11),
.VAR75(clk),
.VAR12(VAR61),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR72 ),
.VAR128(\VAR96/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR92 )
);
VAR85 #(
.VAR19 ( 1'b1 ))
\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR101 (
.VAR18(clk),
.VAR77(VAR11),
.VAR12(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR72 ),
.VAR125(\VAR95/VAR82/VAR119.VAR31/VAR90.VAR27/VAR69/VAR51 [0])
);
endmodule
module MODULE1 ();
parameter VAR29 = 100000;
parameter VAR60 = 0;
wire VAR24;
wire VAR45;
wire VAR49;
wire VAR64;
tri1 VAR76;
tri (weak1, strong0) VAR106 = VAR76;
wire VAR13;
wire VAR21;
reg VAR14;
reg VAR114;
reg VAR71;
wire VAR67;
wire VAR54;
wire VAR70;
wire VAR80;
wire VAR9;
reg VAR43;
reg VAR50;
reg VAR3;
reg VAR2;
reg VAR111;
reg VAR120 = 0;
reg VAR65 = 0 ;
reg VAR117 = 0;
reg VAR26 = 0;
reg VAR84 = 1'VAR88;
reg VAR113 = 1'VAR88;
reg VAR79 = 1'VAR88;
reg VAR63 = 1'VAR88;
assign (weak1, weak0) VAR24 = VAR14;
assign (weak1, weak0) VAR45 = VAR114;
assign (weak1, weak0) VAR64 = VAR71;
|
mit
|
gbraad/minimig-de1
|
bench/sram/qmem_master.v
| 4,085 |
module MODULE1 #(
parameter VAR3 = 32, parameter VAR7 = 32, parameter VAR11 = VAR7/8, parameter VAR9 = 10 )(
input wire clk, rst,
output reg VAR1,
output reg VAR8,
output reg [VAR11-1:0] sel,
output reg [VAR3-1:0] VAR2,
output reg [VAR7-1:0] VAR4,
input wire [VAR7-1:0] VAR6,
input wire ack,
input wire VAR5,
output reg VAR10
);
begin
begin
begin
begin
begin
begin
end
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/hbi/hbi_control.v
| 26,481 |
module MODULE1
(
input VAR113, input VAR112, input VAR10, input VAR103, input VAR75, input VAR65, input VAR120, input VAR114, input VAR12, input VAR107, input VAR9, input VAR98, input VAR125, input VAR28, input VAR40, input VAR41, input VAR33, input VAR6,
input VAR99, input VAR62, input VAR55, input VAR95, input VAR90, input VAR130 ,
input VAR82,
input VAR1, input VAR30,
input VAR59, input VAR74, input VAR50,
input [1:0] VAR87, input [3:0] VAR129,
input [31:2] VAR128,
input VAR71,
input VAR68,
input VAR80,
input VAR44,
input VAR119,
input VAR45, VAR122,
input VAR131,
output reg VAR108, output reg VAR63, output reg VAR21, output reg VAR32, output reg VAR94, output reg VAR106, output reg VAR77, output reg VAR23,
output reg VAR39, output reg VAR8, output reg VAR27, output reg VAR13,
output reg VAR121, output reg VAR64, output reg VAR85,
output reg VAR58, output reg VAR111,
output VAR43, output VAR51,
output VAR92, output VAR48, output VAR132,
output [31:2] VAR56, output [13:2] VAR52, output [8:2] VAR93,
output reg [25:2] VAR116,
output VAR70,
output reg VAR124 );
reg VAR37;
parameter VAR97=0, VAR81=1, VAR76=2,
VAR117=3, VAR60=4, VAR105=5;
parameter VAR38=0, VAR123=1, VAR18=2, VAR91=3;
parameter VAR101=0, VAR109=1, VAR83=2, VAR17=3,
VAR4=4, VAR78=5, VAR133=6, VAR79=7;
reg VAR84; wire VAR19;
reg VAR47;
reg [2:0] VAR126, VAR73;
reg [2:0] VAR66, VAR57;
reg [1:0] VAR26, VAR14;
reg VAR69, VAR36;
reg VAR34;
reg VAR61;
reg VAR118, VAR5, VAR42;
reg VAR72, VAR35;
reg VAR25;
reg VAR3;
reg VAR104;
reg VAR2;
reg VAR67;
reg VAR31, VAR100, VAR11;
reg VAR89;
reg VAR96;
reg VAR102;
reg VAR110;
reg VAR86;
reg VAR49;
reg VAR7;
reg VAR115;
reg VAR20, VAR53,
VAR127;
reg VAR88;
reg [31:2] VAR24;
reg VAR15;
wire VAR16;
wire VAR46;
wire VAR54;
wire VAR29;
wire VAR22;
assign VAR29 = VAR129[0];
assign VAR92 = VAR88;
assign VAR48 = VAR88;
assign VAR132 = VAR88;
assign VAR56 = VAR24;
assign VAR52 = VAR24[13:2];
assign VAR93 = VAR24[8:2];
always @ (posedge VAR113) begin
VAR47 <= VAR112;
VAR77 <= VAR47;
VAR20 <= VAR77;
VAR53 <= VAR20;
VAR127 <= VAR53;
VAR94 <= !(VAR103 || !VAR127 || VAR75);
VAR106 <= !(!VAR47 || VAR75);
end
always @ (posedge VAR113) VAR37 <= VAR120;
always @ (posedge VAR113)
if (VAR65 && !VAR120 && (!VAR63 || !VAR21)) VAR23 <= 1'b0;
else VAR23 <= 1'b1;
always @(posedge VAR113 or negedge VAR77) begin
if (!VAR77) begin
VAR39 <= 1'b1;
VAR8 <= 1'b1;
VAR32 <= 1'b1;
end else if (!VAR108 &&
((!VAR40 && !VAR90) ||
!VAR125 || !VAR41 || !VAR99 ||
!VAR12 || !VAR28)) begin
VAR39 <= 1'b0;
VAR8 <= 1'b0;
VAR32 <= 1'b0;
end else if (VAR65 && !VAR120 && (!VAR63 || !VAR21)) begin
VAR39 <= 1'b0;
VAR8 <= 1'b0;
VAR32 <= 1'b1;
end else if (!VAR23) begin
VAR39 <= 1'b1;
VAR8 <= 1'b1;
VAR32 <= 1'b1;
end end
always @ (posedge VAR113 or negedge VAR94) begin
if (!VAR94) begin
VAR27 <= 1'b1;
end else if (VAR16 || (VAR115 && VAR54) ||
VAR80) begin
VAR27 <= 1'b0;
end else begin
VAR27 <= 1'b1;
end
end
always @ (posedge VAR113) begin
VAR121 <= VAR27;
end
assign VAR16 = ~VAR108 & ~VAR29 &
(~VAR28 | ~VAR41 | ~VAR12 |
~VAR125 | (~VAR40 &&
!VAR90));
always @ (posedge VAR113 or negedge VAR94) begin
if (!VAR94) VAR115 <= 1'b0;
end
else if (VAR16) VAR115 <= 1'b1;
end
else if (!VAR54) VAR115 <= 1'b0;
end
always @ (posedge VAR113 or negedge VAR94) begin
if (!VAR94) begin
VAR13 <= 1'b1;
end else if (VAR80) begin
VAR13 <= 1'b0;
end else begin
VAR13 <= 1'b1;
end
end
always @* begin
VAR69 = 1'b1;
VAR36 = 1'b0;
VAR85 = 1'b1;
VAR110 = 1'b0;
VAR96 = 1'b0;
VAR73 = VAR126;
case (VAR126)
VAR97: begin
if (!VAR108 &&
(!VAR41 || (!VAR99 && VAR29) ||
!VAR125 || !VAR40)) begin
if (VAR61) begin
VAR96 = 1'b1;
VAR73 = VAR105;
end else if (!VAR40 && !VAR90)
VAR73 = VAR81;
end
else if (!VAR40 && VAR90 && VAR29) begin
VAR110 = 1'b1;
VAR73 = VAR117;
end else if (VAR40)
VAR73 = VAR81;
end end
VAR81: begin
if (!VAR37 && VAR130) begin
VAR85 = 1'b0; VAR36 = 1'b1; VAR73 = VAR76;
end
end
VAR76: begin
if (VAR82) begin
VAR69 = 1'b0;
if (VAR65) VAR73 = VAR97;
end
else begin VAR96 = 1'b1;
VAR73 = VAR105;
end end
end
VAR117: begin
if (!VAR37) begin
VAR85 = 1'b0; VAR73 = VAR60;
end
end
VAR60: begin
if (VAR130) begin VAR36 = 1'b1; VAR73 = VAR97;
end
end
VAR105: begin
if (VAR65) VAR73 = VAR97;
end
else VAR96 = 1'b1;
end
default: VAR73 = VAR97;
endcase
end
always @(posedge VAR113 or negedge VAR94) begin
if (!VAR94) begin
VAR126 <= VAR97;
VAR64 <= 1'b0;
VAR61 <= 1'b0;
end else begin
VAR126 <= VAR73;
VAR64 <= VAR36;
if (VAR82)
VAR61 <= 1'b0;
end
else if (VAR110)
VAR61 <= 1'b1;
end
end
always @* begin
VAR118 = 1'b1;
VAR25 = 1'b0;
VAR111 = 1'b1;
VAR31 = 1'b0;
VAR100 = 1'b0;
VAR102 = 1'b0;
VAR14 = VAR26;
case (VAR26)
VAR38: begin
if (!VAR108 && !VAR28) begin
if (!VAR1) begin VAR102 = 1'b1;
VAR14 = VAR18;
end else if (!VAR29) begin
if (VAR11) begin
if (VAR89) begin
VAR100 = 1'b1;
VAR14 = VAR91;
end else begin VAR102 = 1'b1;
VAR14 = VAR18;
end
end else VAR14 = VAR123;
end else VAR14 = VAR123;
end
end
VAR123: begin if (!VAR37) begin
VAR111 = 1'b0;
VAR25 = 1'b1; if (VAR29) begin
VAR118 = 1'b0; VAR102 = 1'b1;
VAR14 = VAR18;
end else begin VAR31 = 1'b1;
VAR102 = 1'b1; VAR14 = VAR18;
end
end
end
VAR18: begin if (VAR65) begin
VAR102 = 1'b0;
VAR14 = VAR38;
end else
VAR102 = 1'b1;
end
VAR91: begin if (!VAR37) begin
VAR118 = 1'b0; VAR102 = 1'b1;
VAR14 = VAR18;
end
end endcase
end
always @ (posedge VAR113 or negedge VAR94) begin
if (!VAR94 ) begin
VAR26 <= VAR38;
VAR58 <= 1'b0;
end else begin
VAR26 <= VAR14;
VAR58 <= VAR25;
end end
always @ (posedge VAR10 or negedge VAR94) begin
if(!VAR94) VAR5 <= 1'b0;
end
else if (VAR30) VAR5 <= !VAR5;
end
always @ (posedge VAR113 or negedge VAR94) begin
if(!VAR94) VAR89 <= 1'b0;
end
else if (VAR100) VAR89 <= 1'b0;
end
else if (VAR22) VAR89 <= 1'b1;
end
always @ (posedge VAR113) begin
VAR42 <= VAR5;
VAR72 <= VAR42;
VAR35 <= VAR72;
end
assign VAR22 = (VAR35 ^ VAR72);
always @(posedge VAR113 or negedge VAR94) begin
if (!VAR94) VAR11 <= 1'b0;
end
else if (VAR100) VAR11 <= 1'b0;
end
else if (VAR31) VAR11 <= 1'b1;
end
assign VAR54 = !(VAR65 && !VAR120 && (!VAR63 || !VAR21));
always @* begin
VAR3 = 1'b1;
VAR67 = 1'b1;
if (VAR65 && !VAR120 && !VAR63) VAR57 = VAR101;
end
else begin
VAR57 = VAR66;
case (VAR66)
VAR101: begin
if (!VAR108) begin
if (VAR29) begin
if ((!VAR74 && (VAR50)) ||
(!VAR107 && (VAR59)) ||
(!VAR44 && (((VAR24[7:2] >= 6'h00 && VAR24[7:2] < 6'h0a
&& !VAR45) || (VAR24[7:2] >= 6'h0a && VAR24[7:2] < 6'h14
&& !VAR122)))) ||
(!VAR119 && (VAR24[7:2] >= 6'h10 && VAR24[7:2] < 6'h1a
&& !VAR122))) begin VAR67 = 1'b0;
VAR57 = VAR133;
end
else if (!VAR6 || VAR131) begin
VAR67 = 1'b0;
VAR3 = 1'b0;
if (!VAR120)
VAR57 = VAR133;
end
else
VAR57 = VAR79;
end
else if (!VAR33 || (!VAR114 && VAR62))
begin VAR3 = 1'b0;
VAR57 = VAR109;
end
end else if (!VAR33 || !VAR114) begin VAR57 = VAR17;
end
end end
VAR109: begin
VAR3 = 1'b0;
if (!VAR120) begin
if (((!VAR65 && !VAR63) &&
(VAR87[1:0] != 2'b00 || VAR129[3:1] == 3'b001))) begin
VAR3 = 1'b1; VAR67 = 1'b0;
VAR57 = VAR133;
end
else if (!VAR6 || VAR131) begin
VAR67 = 1'b0;
VAR3 = 1'b1;
VAR57 = VAR133;
end else
VAR3 = 1'b0;
end end
VAR83: begin
VAR3 = 1'b0;
VAR57 = VAR109;
end
VAR17: begin
if (!VAR120) begin
VAR3 = 1'b0;
if (!VAR65 &&
(VAR87[1:0] != 2'b00 || VAR129[3:1] == 3'b001)) begin
VAR67 = 1'b0;
VAR57 = VAR133;
end else
VAR57 = VAR4;
end end
VAR4: begin
if (!VAR120)
VAR57 = VAR78;
end
else
VAR3 = 1'b0;
end
VAR78: begin VAR57 = VAR4;
VAR3 = 1'b0;
end
VAR133: begin
if (VAR65) begin
VAR67 = 1'b1;
VAR57 = VAR101;
end else
VAR67 = 1'b0;
end
VAR79: begin
if (!VAR120)
VAR57 = VAR101;
end
else begin
VAR67 = 1'b0;
VAR3 = 1'b0;
end end
default: VAR57 = VAR101;
endcase
end end
always @(posedge VAR113 or negedge VAR77) begin
if (!VAR77 ) VAR66 <= VAR101;
end
else VAR66 <= VAR57;
end
always @(posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR21 <= 1'b1;
end
else begin
if (!VAR67 || VAR102 || VAR96 || VAR68)
VAR21 <= 1'b0;
end
else
VAR21 <= 1'b1;
end end
assign VAR70 = (!VAR3 || !VAR69 ||
!VAR118 || VAR71);
always @(posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR63 <= 1'b1;
else if (VAR70)
VAR63 <= 1'b0;
else
VAR63 <= 1'b1;
end
assign VAR19 = (!VAR3 || VAR71);
always @(posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR84 <= 1'b1;
end
else if (VAR19)
VAR84 <= 1'b0;
else
VAR84 <= 1'b1;
end
always @ (posedge VAR113) VAR49 <= VAR65;
assign VAR43 = !(!VAR65 && VAR49);
always @ (posedge VAR113 or negedge VAR77)
if (!VAR77)
VAR108 <= 1'b1;
else
VAR108 <= VAR43;
assign VAR51 = !(!VAR120 && VAR29 && !VAR63 &&
(!VAR12 || !VAR99));
always @ (posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR88 <= 1'b0;
end
else
VAR88 <= !VAR51;
end
always @ (posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR7 <= 1'b0;
end
else if (!VAR120 && !VAR84 && VAR29)
VAR7 <= 1'b1;
else
VAR7 <= 1'b0;
end
always @ (posedge VAR113 or negedge VAR77) begin
if (!VAR77)
VAR24 <= 30'b0;
end
else if (!VAR43)
VAR24 <= VAR128[31:2];
else if ((!VAR29 &&
((VAR19 && VAR84) || (!VAR84 && !VAR120 && VAR19))) || (VAR7))
VAR24 <= VAR24 + 1'b1;
end
always @ (posedge VAR113 or negedge VAR77) begin
if (!VAR77) VAR116 <= 24'b0;
end
else if ((VAR29 && !VAR63 && !VAR120)
|| (!VAR29 && ((VAR19 && VAR84)
|| (!VAR84 && !VAR120 && VAR19))))
VAR116 <= VAR116 + 1'b1;
else if (!VAR43)
VAR116 <= VAR128[25:2]; end
always @ (posedge VAR113 or negedge VAR77) begin
if (!VAR77) VAR124 <= 1'b0;
end
else if (
(
(VAR88 || !VAR67) && (!VAR44 &&
(VAR24[7:2] >= 6'h00 && VAR24[7:2] < 6'h14)) ||
(!VAR119 &&
VAR24[7:2] >= 6'h10 && VAR24[7:2] < 6'h1a))
) VAR124 <= 1'b1;
else
VAR124 <= 1'b0;
end
endmodule
|
gpl-3.0
|
takeshineshiro/fpga_linear_128
|
HW_SW.v
| 6,503 |
module MODULE1 (
address,
VAR34,
VAR16);
input [7:0] address;
input VAR34;
output [127:0] VAR16;
wire [127:0] VAR6;
wire [127:0] VAR16 = VAR6[127:0];
VAR2 VAR10 (
.VAR4 (VAR34),
.VAR12 (address),
.VAR53 (VAR6),
.VAR11 (1'b0),
.VAR50 (1'b0),
.VAR29 (1'b1),
.VAR19 (1'b0),
.VAR21 (1'b0),
.VAR17 (1'b1),
.VAR13 (1'b1),
.VAR9 (1'b1),
.VAR32 (1'b1),
.VAR44 (1'b1),
.VAR27 (1'b1),
.VAR36 (1'b1),
.VAR20 ({128{1'b1}}),
.VAR41 (1'b1),
.VAR51 (),
.VAR15 (),
.VAR14 (1'b1),
.VAR47 (1'b1),
.VAR42 (1'b0),
.VAR18 (1'b0));
VAR10.VAR26 = "VAR8",
VAR10.VAR45 = "VAR28",
VAR10.VAR23 = "VAR28",
VAR10.VAR7 = "VAR25.VAR43",
VAR10.VAR35 = "VAR24 VAR31",
VAR10.VAR22 = "VAR1=VAR5,VAR38=VAR25",
VAR10.VAR39 = "VAR2",
VAR10.VAR33 = 256,
VAR10.VAR48 = "VAR49",
VAR10.VAR30 = "VAR8",
VAR10.VAR52 = "VAR37",
VAR10.VAR40 = 8,
VAR10.VAR46 = 128,
VAR10.VAR3 = 1;
endmodule
|
mit
|
DougFirErickson/parallella-hw
|
boards/archive/gen1.1/fpga/hdl/fpgacfg.v
| 20,334 |
module MODULE1 (
VAR51, VAR11, VAR110, VAR52,
VAR39, VAR29, VAR60,
VAR69, VAR95, VAR84,
VAR79, VAR2, VAR66, VAR18,
VAR17, VAR63, VAR54, VAR132,
VAR123, VAR96,
VAR46, VAR5, reset, VAR10, VAR70,
VAR13, VAR36, VAR45,
VAR100, VAR8, VAR16,
VAR102, VAR4, VAR101, VAR88,
VAR30, VAR7, VAR65, VAR78,
VAR38, VAR15
);
input VAR46;
input VAR5;
input reset;
input VAR10;
input VAR70;
input [1:0] VAR13;
input [3:0] VAR36;
input [31:0] VAR45;
input [31:0] VAR100;
input [31:0] VAR8;
input VAR16;
input VAR102;
input VAR4;
input VAR101;
input [1:0] VAR88;
input [3:0] VAR30;
input [31:0] VAR7;
input [31:0] VAR65;
input [31:0] VAR78;
input VAR38;
input VAR15;
output VAR51;
output VAR11;
output VAR110;
output VAR52;
output [1:0] VAR39;
output [3:0] VAR29;
output [31:0] VAR60;
output [31:0] VAR69;
output [31:0] VAR95;
output VAR84;
output VAR79;
output VAR2;
output VAR66;
output [1:0] VAR18;
output [3:0] VAR17;
output [31:0] VAR63;
output [31:0] VAR54;
output [31:0] VAR132;
output VAR123;
output VAR96;
reg VAR133;
reg [31:0] VAR93;
reg [31:0] VAR32;
reg [31:0] VAR108;
reg [31:0] VAR111;
reg [31:0] VAR83;
reg [31:0] VAR99;
reg [31:0] VAR43;
reg VAR103;
reg [1:0] VAR134;
reg [3:0] VAR41;
reg [31:0] VAR3;
reg [31:0] VAR20;
reg [6:0] VAR113;
reg [31:0] VAR61;
reg [31:0] VAR89;
reg [11:0] VAR25;
reg VAR112;
wire VAR82;
wire VAR130;
wire VAR35;
wire VAR75;
wire VAR92;
wire VAR129;
wire VAR31;
wire VAR26;
wire VAR57;
wire VAR118;
wire [31:0] VAR124;
wire VAR48;
wire VAR6;
wire VAR64;
wire VAR105;
wire VAR9;
wire VAR116;
wire VAR107;
wire VAR115;
wire VAR106;
wire VAR109;
wire VAR14;
wire VAR126;
wire VAR56;
wire VAR91;
wire VAR28;
wire [30:0] VAR19;
wire [30:0] VAR87;
wire VAR49;
wire VAR21;
wire VAR131;
wire VAR27;
wire VAR23;
wire [1:0] VAR80;
wire VAR58;
wire [3:0] VAR37;
wire [1:0] VAR119;
wire VAR86;
wire VAR117;
wire VAR22;
wire VAR33;
wire VAR34;
wire VAR72;
wire [6:0] VAR76;
wire [6:0] VAR12;
wire [6:0] VAR47;
wire VAR40;
wire [31:0] VAR68;
wire VAR24;
wire VAR74;
wire [31:0] VAR77;
wire [31:0] VAR128;
wire VAR55;
wire VAR44;
wire VAR94;
wire VAR122;
wire VAR127;
wire [3:0] VAR90;
wire [11:0] VAR120;
assign VAR122 = VAR93[27];
assign VAR127 = VAR93[26];
assign VAR90[3:0] = VAR93[23:20];
assign VAR120[11:0] = VAR93[19:8];
assign VAR122 = 1'b1;
assign VAR127 = 1'b0;
assign VAR90[3:0] = 4'b0000;
assign VAR120[11:0] = {(12){1'b0}};
assign VAR82 =
(VAR7[31:8] == {VAR97,VAR50, 4'b0000,VAR81});
assign VAR130 = VAR4 & VAR82;
assign VAR35 = VAR130 & VAR101;
assign VAR75 = VAR130 & ~VAR101;
assign VAR48 = VAR130 &
(VAR7[7:2] == VAR42);
assign VAR6 = VAR48 & VAR101;
assign VAR64 = VAR48 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR93[31:0] <= {(32){1'b0}};
else if(VAR6)
VAR93[31:0] <= VAR78[31:0];
assign VAR37[3:0] = VAR93[31:28];
assign VAR119[1:0] = VAR93[2:1];
assign VAR86 = VAR93[0];
assign VAR92 = VAR130 &
(VAR7[7:2] == VAR67);
assign VAR129 = VAR92 & VAR101 & ~VAR123;
VAR125 VAR125 (.out (VAR31),
.VAR121 (VAR5),
.in (VAR129),
.VAR98 (VAR46),
.reset (1'b0));
assign VAR26 = |(VAR43[31:0]);
always @ (posedge VAR5)
if(VAR31)
VAR133 <= 1'b1;
else if(VAR26)
VAR133 <= 1'b0;
assign VAR51 = VAR43[31];
assign VAR11 = VAR43[31];
always @ (posedge VAR5)
if(VAR133)
VAR43[31:0] <= {(32){1'b1}};
else
VAR43[31:0] <= {VAR43[30:0],1'b0};
assign VAR57 = VAR130 &
(VAR7[7:2] == VAR71);
assign VAR118 = VAR57 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR32[31:0] = VAR62;
assign VAR105 = VAR130 &
(VAR7[7:2] == VAR114);
assign VAR9 = VAR105 & VAR101;
assign VAR116 = VAR105 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR108[31:0] <= {(32){1'b0}};
else if(VAR9)
VAR108[31:0] <= VAR78[31:0];
assign VAR107 = VAR130 &
(VAR7[7:2] == VAR85);
assign VAR115 = VAR107 & VAR101;
assign VAR106 = VAR107 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR111[31:0] <= {(32){1'b0}};
else if(VAR115)
VAR111[31:0] <= VAR78[31:0];
assign VAR109 = VAR130 &
(VAR7[7:2] == VAR53);
assign VAR14 = VAR109 & VAR101;
assign VAR126 = VAR109 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR83[31:0] <= {(32){1'b0}};
else if(VAR14)
VAR83[31:0] <= {(32){1'b0}};
else if(VAR58)
VAR83[31:0] <= {VAR45[31:2],VAR80[1:0]};
assign VAR80[1:0] = (VAR83[1:0] == 2'b11) ? 2'b11 :
VAR83[1:0] + 2'b01;
assign VAR58 = VAR10 & ~VAR131;
assign VAR56 = VAR130 &
(VAR7[7:2] == VAR59);
assign VAR91 = VAR56 & VAR101;
assign VAR28 = VAR56 & ~VAR101;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR99[31:0] <= VAR104;
else if(VAR91)
VAR99[31:0] <= VAR78[31:0];
assign VAR124[31:0] = VAR64 ? VAR93[31:0] :
VAR118 ? VAR32[31:0] :
VAR116 ? VAR108[31:0] :
VAR106 ? VAR111[31:0] :
VAR126 ? VAR83[31:0] :
VAR28 ? VAR99[31:0] :
{(32){1'b0}};
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR103 <= 1'b0;
else
VAR103 <= VAR75 | (VAR103 & VAR38);
always @ (posedge VAR46)
if(VAR75 & ~VAR103)
begin
VAR134[1:0] <= VAR88[1:0];
VAR41[3:0] <= VAR30[3:0];
VAR3[31:0] <= VAR65[31:0];
VAR20[31:0] <= VAR124[31:0];
end
assign VAR117 = VAR110 & ~VAR52;
assign VAR22 = ~(VAR103 | VAR38) &
(VAR10 & VAR23 | VAR74);
assign VAR33 = VAR117 & ~(&(VAR113[6:0]));
assign VAR34 = VAR22 & (|(VAR113[6:0]));
assign VAR72 = VAR33 ^ VAR34;
assign VAR76[6:0] = VAR113[6:0] + {{(6){1'b0}},1'b1};
assign VAR12[6:0] = VAR113[6:0] - {{(6){1'b0}},1'b1};
assign VAR47[6:0] = VAR33 ? VAR76[6:0] :
VAR12[6:0];
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR113[6:0] <= {(7){1'b0}};
else if(VAR72)
VAR113[6:0] <= VAR47[6:0];
assign VAR40 = |(VAR113[6:0]);
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR61[31:0] <= {(32){1'b1}};
else if(VAR117 | VAR22)
VAR61[31:0] <= VAR99[31:0];
else if(VAR24)
VAR61[31:0] <= VAR68[31:0];
assign VAR24 = VAR86 & VAR40 &
|(VAR61[31:0]);
assign VAR68[31:0] = VAR61[31:0] - {{(31){1'b0}},1'b1};
always @ (posedge VAR46)
if(VAR117)
begin
VAR89[31:0] <= VAR69[31:0];
VAR25[11:0] <= VAR60[31:20];
end
assign VAR77[31:0] = VAR89[31:0];
assign VAR128[31:0] = {VAR25[11:0],20'h0dead};
assign VAR74 = VAR86 & ~(|(VAR61[31:0]));
assign VAR19[30:0] = {1'b0,VAR45[31:2]} -
{1'b0,VAR108[31:2]};
assign VAR87[30:0] = {1'b0,VAR45[31:2]} -
{1'b0,VAR111[31:2]};
assign VAR49 = ~VAR19[30] & VAR87[30];
assign VAR21 = VAR19[30] | ~VAR87[30];
assign VAR131 = VAR23 | ~VAR27 &
(VAR49 & (VAR119[1:0] == 2'b01) |
VAR21 & (VAR119[1:0] == 2'b10) |
(VAR119[1:0] == 2'b00));
assign VAR27 = VAR70 &
(VAR45[31:20] == VAR73);
assign VAR23 = VAR27 & VAR1;
assign VAR110 = VAR4 & ~VAR82;
assign VAR52 = VAR101;
assign VAR39[1:0] = VAR88[1:0];
assign VAR60[31:0] = VAR7[31:0];
assign VAR95[31:0] = VAR78[31:0];
assign VAR29[3:0] = VAR30[3:0] | VAR37[3:0] |
{(4){VAR94}} & VAR90[3:0];
assign VAR69[31:0] = VAR94 ?
{VAR120[11:0],VAR65[19:0]} :
VAR65[31:0];
assign VAR84 = VAR38 | VAR103;
assign VAR79 = VAR15 | VAR103;
assign VAR94 = VAR101 & VAR127 &
~(VAR88[1:0] == 2'b11) &
(VAR7[28:26] == 3'b010);
assign VAR2 = VAR103 |
VAR74 |
VAR10 & VAR131;
assign VAR66 = VAR103 |
VAR74 |
VAR70;
assign VAR54[31:0] = VAR100[31:0];
assign VAR18[1:0] = VAR103 ? VAR134[1:0] :
VAR13[1:0];
assign VAR17[3:0] = VAR103 ? VAR41[3:0] :
VAR36[3:0];
assign VAR63[31:0] = VAR103 ? VAR3[31:0] :
VAR74 ? VAR77[31:0] :
VAR45[31:0];
assign VAR132[31:0] = VAR103 ? VAR20[31:0] :
VAR74 ? VAR128[31:0] :
VAR8[31:0];
assign VAR123 = VAR16 & ~VAR35;
assign VAR96 = VAR102 & ~VAR75;
assign VAR55 = (VAR25[8:6] == 3'b001) |
(VAR25[8:6] == 3'b010);
assign VAR44 = VAR55 &
VAR10 & VAR27 &
~VAR84;
always @ (posedge VAR46 or posedge reset)
if(reset)
VAR112 <= 1'b0;
else if(VAR44)
VAR112 <= ~VAR112;
assign VAR1 = ~VAR55 | VAR112 |
VAR122;
endmodule
|
gpl-3.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
Literature_KOA/ecp/quadblk.v
| 1,082 |
module MODULE1(VAR14, sel, VAR15);
input wire [232:0] VAR14;
input wire [3:0] sel;
output reg [232:0] VAR15;
wire [232:0] d1;
wire [232:0] d2;
wire [232:0] d3;
wire [232:0] d4;
wire [232:0] d5;
wire [232:0] d6;
wire [232:0] d7;
wire [232:0] d8;
wire [232:0] d9;
wire [232:0] d10;
wire [232:0] d11;
wire [232:0] d12;
wire [232:0] d13;
wire [232:0] d14;
VAR4 VAR10(VAR14, d1);
VAR4 VAR12(d1, d2);
VAR4 VAR7(d2, d3);
VAR4 VAR3(d3, d4);
VAR4 VAR11(d4, d5);
VAR4 VAR16(d5, d6);
VAR4 VAR5(d6, d7);
VAR4 VAR8(d7, d8);
VAR4 VAR1(d8, d9);
VAR4 VAR6(d9, d10);
VAR4 VAR18(d10, d11);
VAR4 VAR2(d11, d12);
VAR4 VAR13(d12, d13);
VAR4 VAR9(d13, d14);
always @(sel or
d1 or d2 or d3 or d4 or d5 or d6 or d7 or d8 or d9 or d10 or d11 or d12 or d13 or d14)
case (sel)
4'd1: VAR15 <= d1;
4'd2: VAR15 <= d2;
4'd3: VAR15 <= d3;
4'd4: VAR15 <= d4;
4'd5: VAR15 <= d5;
4'd6: VAR15 <= d6;
4'd7: VAR15 <= d7;
4'd8: VAR15 <= d8;
4'd9: VAR15 <= d9;
4'd10: VAR15 <= d10;
4'd11: VAR15 <= d11;
4'd12: VAR15 <= d12;
4'd13: VAR15 <= d13;
4'd14: VAR15 <= d14;
default: VAR15<= 233'VAR17;
endcase
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dff_ps_pp_pg_n/sky130_fd_sc_hs__udp_dff_ps_pp_pg_n.symbol.v
| 1,478 |
module MODULE1 (
input VAR6 ,
output VAR2 ,
input VAR4 ,
input VAR7 ,
input VAR3,
input VAR5 ,
input VAR1
);
endmodule
|
apache-2.0
|
jz0229/open-ephys-pcie
|
kc705-host-firmware/Sources/Verilog/MISO_phase_selector.v
| 6,863 |
module MODULE1(
input wire [3:0] VAR1, input wire [73:0] VAR3, output reg [15:0] VAR2 );
always @ begin
case (VAR1)
0: VAR2 <= {VAR3[2], VAR3[6], VAR3[10], VAR3[14], VAR3[18], VAR3[22], VAR3[26], VAR3[30], VAR3[34], VAR3[38], VAR3[42], VAR3[46], VAR3[50], VAR3[54], VAR3[58], VAR3[62]};
1: VAR2 <= {VAR3[3], VAR3[7], VAR3[11], VAR3[15], VAR3[19], VAR3[23], VAR3[27], VAR3[31], VAR3[35], VAR3[39], VAR3[43], VAR3[47], VAR3[51], VAR3[55], VAR3[59], VAR3[63]};
2: VAR2 <= {VAR3[4], VAR3[8], VAR3[12], VAR3[16], VAR3[20], VAR3[24], VAR3[28], VAR3[32], VAR3[36], VAR3[40], VAR3[44], VAR3[48], VAR3[52], VAR3[56], VAR3[60], VAR3[64]};
3: VAR2 <= {VAR3[5], VAR3[9], VAR3[13], VAR3[17], VAR3[21], VAR3[25], VAR3[29], VAR3[33], VAR3[37], VAR3[41], VAR3[45], VAR3[49], VAR3[53], VAR3[57], VAR3[61], VAR3[65]};
4: VAR2 <= {VAR3[6], VAR3[10], VAR3[14], VAR3[18], VAR3[22], VAR3[26], VAR3[30], VAR3[34], VAR3[38], VAR3[42], VAR3[46], VAR3[50], VAR3[54], VAR3[58], VAR3[62], VAR3[66]};
5: VAR2 <= {VAR3[7], VAR3[11], VAR3[15], VAR3[19], VAR3[23], VAR3[27], VAR3[31], VAR3[35], VAR3[39], VAR3[43], VAR3[47], VAR3[51], VAR3[55], VAR3[59], VAR3[63], VAR3[67]};
6: VAR2 <= {VAR3[8], VAR3[12], VAR3[16], VAR3[20], VAR3[24], VAR3[28], VAR3[32], VAR3[36], VAR3[40], VAR3[44], VAR3[48], VAR3[52], VAR3[56], VAR3[60], VAR3[64], VAR3[68]};
7: VAR2 <= {VAR3[9], VAR3[13], VAR3[17], VAR3[21], VAR3[25], VAR3[29], VAR3[33], VAR3[37], VAR3[41], VAR3[45], VAR3[49], VAR3[53], VAR3[57], VAR3[61], VAR3[65], VAR3[69]};
8: VAR2 <= {VAR3[10], VAR3[14], VAR3[18], VAR3[22], VAR3[26], VAR3[30], VAR3[34], VAR3[38], VAR3[42], VAR3[46], VAR3[50], VAR3[54], VAR3[58], VAR3[62], VAR3[66], VAR3[70]};
9: VAR2 <= {VAR3[11], VAR3[15], VAR3[19], VAR3[23], VAR3[27], VAR3[31], VAR3[35], VAR3[39], VAR3[43], VAR3[47], VAR3[51], VAR3[55], VAR3[59], VAR3[63], VAR3[67], VAR3[71]};
10: VAR2 <= {VAR3[12], VAR3[16], VAR3[20], VAR3[24], VAR3[28], VAR3[32], VAR3[36], VAR3[40], VAR3[44], VAR3[48], VAR3[52], VAR3[56], VAR3[60], VAR3[64], VAR3[68], VAR3[72]};
11: VAR2 <= {VAR3[13], VAR3[17], VAR3[21], VAR3[25], VAR3[29], VAR3[33], VAR3[37], VAR3[41], VAR3[45], VAR3[49], VAR3[53], VAR3[57], VAR3[61], VAR3[65], VAR3[69], VAR3[73]};
default: VAR2 <= {VAR3[13], VAR3[17], VAR3[21], VAR3[25], VAR3[29], VAR3[33], VAR3[37], VAR3[41], VAR3[45], VAR3[49], VAR3[53], VAR3[57], VAR3[61], VAR3[65], VAR3[69], VAR3[73]};
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o2111a/sky130_fd_sc_ls__o2111a.functional.v
| 1,492 |
module MODULE1 (
VAR10 ,
VAR5,
VAR4,
VAR2,
VAR7,
VAR9
);
output VAR10 ;
input VAR5;
input VAR4;
input VAR2;
input VAR7;
input VAR9;
wire VAR1 ;
wire VAR6;
or VAR11 (VAR1 , VAR4, VAR5 );
and VAR8 (VAR6, VAR2, VAR7, VAR1, VAR9);
buf VAR3 (VAR10 , VAR6 );
endmodule
|
apache-2.0
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/slaveController/fifoMux.v
| 6,363 |
module MODULE1 (
VAR22,
VAR18,
VAR12,
VAR16,
VAR3,
VAR23,
VAR26,
VAR4,
VAR24,
VAR14,
VAR8,
VAR10,
VAR13,
VAR25,
VAR1,
VAR6,
VAR5,
VAR2,
VAR20,
VAR21,
VAR9,
VAR19,
VAR11,
VAR7,
VAR15,
VAR17
);
input [3:0] VAR22;
input VAR18;
output VAR12;
output VAR16;
output VAR3;
output VAR23;
output [7:0] VAR26;
input [7:0] VAR4;
input [7:0] VAR24;
input [7:0] VAR14;
input [7:0] VAR8;
output VAR10;
input VAR13;
input VAR25;
input VAR1;
input VAR6;
input VAR5;
output VAR2;
output VAR20;
output VAR21;
output VAR9;
output VAR19;
input VAR11;
input VAR7;
input VAR15;
input VAR17;
wire [3:0] VAR22;
wire VAR18;
reg VAR12;
reg VAR16;
reg VAR3;
reg VAR23;
reg [7:0] VAR26;
wire [7:0] VAR4;
wire [7:0] VAR24;
wire [7:0] VAR14;
wire [7:0] VAR8;
reg VAR10;
wire VAR13;
wire VAR25;
wire VAR1;
wire VAR6;
wire VAR5;
reg VAR2;
reg VAR20;
reg VAR21;
reg VAR9;
reg VAR19;
wire VAR11;
wire VAR7;
wire VAR15;
wire VAR17;
always @(VAR22 or
VAR18 or
VAR5 or
VAR4 or
VAR24 or
VAR14 or
VAR8 or
VAR13 or
VAR25 or
VAR1 or
VAR6 or
VAR11 or
VAR7 or
VAR15 or
VAR17)
begin
case (VAR22[1:0])
2'b00: begin
VAR12 <= VAR18;
VAR16 <= 1'b0;
VAR3 <= 1'b0;
VAR23 <= 1'b0;
VAR26 <= VAR4;
VAR10 <= VAR13;
VAR2 <= VAR5;
VAR20 <= 1'b0;
VAR21 <= 1'b0;
VAR9 <= 1'b0;
VAR19 <= VAR11;
end
2'b01: begin
VAR12 <= 1'b0;
VAR16 <= VAR18;
VAR3 <= 1'b0;
VAR23 <= 1'b0;
VAR26 <= VAR24;
VAR10 <= VAR25;
VAR2 <= 1'b0;
VAR20 <= VAR5;
VAR21 <= 1'b0;
VAR9 <= 1'b0;
VAR19 <= VAR7;
end
2'b10: begin
VAR12 <= 1'b0;
VAR16 <= 1'b0;
VAR3 <= VAR18;
VAR23 <= 1'b0;
VAR26 <= VAR14;
VAR10 <= VAR1;
VAR2 <= 1'b0;
VAR20 <= 1'b0;
VAR21 <= VAR5;
VAR9 <= 1'b0;
VAR19 <= VAR15;
end
2'b11: begin
VAR12 <= 1'b0;
VAR16 <= 1'b0;
VAR3 <= 1'b0;
VAR23 <= VAR18;
VAR26 <= VAR8;
VAR10 <= VAR6;
VAR2 <= 1'b0;
VAR20 <= 1'b0;
VAR21 <= 1'b0;
VAR9 <= VAR5;
VAR19 <= VAR17;
end
endcase
end
endmodule
|
gpl-3.0
|
HSID/Sora
|
FPGA/MIMO/rtl/Sora_RCB.v
| 61,652 |
module MODULE1
parameter VAR196 = 0
)
(
output wire [(VAR326 - 1):0] VAR56,
output wire [(VAR326 - 1):0] VAR242,
input wire [(VAR326 - 1):0] VAR193,
input wire [(VAR326 - 1):0] VAR121,
input wire VAR73,
input wire VAR50,
input wire VAR170,
input VAR308,
input wire VAR32,
input wire VAR353,
input wire VAR312,
input wire VAR355,
inout wire [63:0] VAR261,
output wire [12:0] VAR1,
output wire [1:0] VAR280,
output wire VAR103,
output wire VAR137,
output wire VAR152,
output wire VAR168,
output wire VAR134,
output wire VAR21,
output wire VAR116,
output wire [7:0] VAR283,
inout wire [7:0] VAR98,
inout wire [7:0] VAR187,
output wire [1:0] VAR178,
output wire [1:0] VAR202,
output VAR38,
output VAR11,
input VAR351,
input VAR138,
output VAR75,
output VAR346,
input VAR81,
input VAR363,
input [3:0] VAR357,
input [3:0] VAR148,
output [3:0] VAR305,
output [3:0] VAR150,
input VAR323,
input VAR256,
output VAR169,
output VAR120,
output VAR374,
output VAR154,
input VAR16,
input VAR211,
output VAR359,
output VAR287,
input VAR194,
input VAR348,
input [3:0] VAR225,
input [3:0] VAR36,
output [3:0] VAR57,
output [3:0] VAR110,
input VAR15,
input VAR92,
output VAR291,
output VAR216,
output VAR252,
output VAR26,
input VAR212,
input VAR222,
output VAR232,
output VAR321,
input VAR107,
input VAR71,
input [3:0] VAR339,
input [3:0] VAR267,
output [3:0] VAR52,
output [3:0] VAR95,
input VAR22,
input VAR300,
output VAR27,
output VAR320,
output VAR85,
output VAR361,
input VAR258,
input VAR4,
output VAR227,
output VAR34,
input VAR115,
input VAR58,
input [3:0] VAR218,
input [3:0] VAR344,
output [3:0] VAR118,
output [3:0] VAR223,
input VAR133,
input VAR104,
output VAR173,
output VAR88,
output [31:0] VAR68,
output [31:0] VAR324,
output wire VAR160,
output wire VAR333,
output wire VAR347,
output wire VAR306,
output wire VAR272,
output wire VAR82,
output wire VAR317,
output wire VAR239,
output wire VAR184,
output wire VAR155
);
wire VAR204;
wire VAR292;
wire VAR294;
wire VAR41;
wire [12:0] VAR17;
reg VAR254;
reg [12:0] VAR108;
reg [2:0] VAR295;
reg [2:0] VAR369;
wire VAR313;
wire VAR250;
wire VAR275;
wire VAR224;
wire VAR220;
wire VAR91;
wire VAR372;
wire VAR126;
wire VAR113;
wire VAR364;
wire VAR373;
wire VAR197;
wire [(VAR209 - 1):0] VAR151;
wire VAR310;
wire VAR122;
wire VAR201;
wire VAR174;
wire VAR343;
wire VAR76;
wire VAR185;
wire [(VAR322 - 1):0] VAR23;
wire [(VAR18 - 1):0] VAR140;
wire [(VAR163 - 1):0] VAR12;
wire VAR186;
wire VAR66;
wire VAR61;
wire VAR139;
wire VAR144;
wire VAR67;
wire VAR131;
wire [(VAR322 - 1):0] VAR260;
wire [(VAR18 - 1):0] VAR338;
wire [(VAR29 - 1):0] VAR237;
wire [(VAR200 - 1):0] VAR37;
wire [(VAR72 - 1):0] VAR136;
wire [(VAR200 - 1):0] VAR157;
wire [(VAR72 - 1):0] VAR77;
wire [(VAR200 - 1):0] VAR273;
wire [(VAR72 - 1):0] VAR109;
wire VAR371;
wire [(VAR248 - 1):0] VAR123;
wire [(VAR248 - 1):0] VAR83;
wire [(VAR278 - 1):0] VAR124;
wire [(VAR248/8 - 1):0] VAR45;
wire [(VAR245 - 1):0] VAR30;
wire VAR303;
wire VAR24;
wire VAR128;
wire VAR158;
wire VAR208;
wire VAR89;
wire VAR62;
wire VAR13;
wire VAR301;
wire VAR7;
wire VAR182;
wire VAR132;
wire VAR281;
wire VAR146;
wire VAR102;
wire [7 : 0] VAR55;
wire [7 : 0] VAR180;
wire [2 : 0] VAR94;
wire VAR177;
wire VAR206;
wire VAR46;
wire VAR377;
wire [(VAR125 - 1):0] VAR270;
wire [(VAR142 - 1):0] VAR127;
wire [(VAR311 - 1):0] VAR316;
wire [(VAR262 - 1):0] VAR368;
wire [(VAR188 - 1):0] VAR381;
wire [(VAR188 - 1):0] VAR176;
wire [(VAR188 - 1):0] VAR366;
wire [(VAR188 - 1):0] VAR217;
wire [(VAR188 - 1):0] VAR64;
wire [(VAR188 - 1):0] VAR293;
wire [127:0] VAR159;
wire [127:0] VAR349;
wire VAR48;
wire VAR70;
wire VAR238;
wire [30:0] VAR329;
wire [2:0] VAR96;
wire VAR43;
wire VAR277;
wire [127:0] VAR243;
wire VAR342;
wire [2:0] VAR315;
wire [27:6] VAR171;
wire VAR9;
wire VAR304;
wire VAR251;
reg [31:0] VAR192;
reg [31:0] VAR271;
wire [63:0] VAR167;
wire VAR356;
wire VAR129;
wire VAR336;
wire [31:0] VAR53;
wire VAR111;
wire VAR354;
wire VAR191;
wire [63:0] VAR207;
wire VAR265;
wire VAR101;
wire VAR59;
wire [31:0] VAR119;
wire VAR162;
wire VAR14;
wire VAR156;
wire [63:0] VAR3;
wire VAR166;
wire VAR269;
wire VAR40;
wire [31:0] VAR6;
wire VAR288;
wire VAR247;
wire [63:0] VAR284;
wire VAR274;
wire VAR179;
wire VAR90;
wire [31:0] VAR183;
wire VAR161;
wire VAR289;
wire [31:0] VAR10;
wire VAR268;
wire [31:0] VAR331;
wire VAR210;
wire [31:0] VAR352;
wire VAR130;
wire [31:0] VAR221;
wire VAR235;
wire VAR195;
wire VAR370;
wire VAR74;
wire VAR367;
wire [31:0] VAR198;
wire VAR105;
wire VAR375;
wire [31:0] VAR380;
wire VAR226;
wire VAR249;
wire [31:0] VAR296;
wire VAR285;
wire VAR60;
wire [31:0] VAR112;
wire VAR149;
wire VAR190;
wire VAR117;
wire VAR54;
wire VAR165;
wire VAR28;
wire VAR233;
wire VAR114;
wire [27:6] VAR189;
wire [2:0] VAR20;
wire VAR100;
wire VAR330;
wire [27:6] VAR78;
wire [2:0] VAR51;
wire VAR199;
wire VAR69;
wire [27:6] VAR39;
wire [2:0] VAR42;
wire VAR153;
wire VAR327;
wire [27:6] VAR147;
wire [2:0] VAR358;
wire VAR240;
wire [31:0] VAR49;
wire [31:0] VAR379;
wire [31:0] VAR246;
wire [6:0] VAR106;
wire VAR376;
wire VAR236;
wire [31:0] VAR307;
wire [7:0] VAR259;
wire VAR350;
wire [31:0] VAR229;
wire [6:0] VAR80;
wire VAR263;
wire VAR257;
wire [31:0] VAR337;
wire [7:0] VAR135;
wire VAR378;
wire [31:0] VAR25;
wire [6:0] VAR175;
wire VAR141;
wire VAR298;
wire [31:0] VAR214;
wire [7:0] VAR230;
wire VAR282;
wire [31:0] VAR279;
wire [6:0] VAR362;
wire VAR231;
wire VAR332;
wire [31:0] VAR241;
wire [7:0] VAR19;
wire VAR93;
wire [39:0] VAR87;
wire VAR228;
wire VAR2;
wire [39:0] VAR79;
wire VAR164;
wire VAR325;
wire [39:0] VAR276;
wire VAR63;
wire VAR203;
wire [39:0] VAR319;
wire VAR341;
wire [31:0] VAR253;
wire [31:0] VAR215;
wire [31:0] VAR290;
wire [8:0] VAR360;
reg VAR334;
wire VAR302;
wire VAR244;
wire VAR335;
wire VAR234;
assign VAR17[12:0] = {VAR127[7:0],
VAR316[4:0]};
always@(posedge VAR294)begin
VAR295[2:0] <= VAR217[7:5];
VAR369[2:0] <= VAR217[14:12];
VAR108[12:0] <= VAR17[12:0];
end
assign VAR155 = 1'b0;
assign VAR184 = 1'b0;
VAR35 VAR318 (.VAR286(VAR204), .VAR143(VAR73), .VAR5(VAR50));
VAR31 VAR33 (.VAR286(VAR292), .VAR143(VAR170));
VAR145 VAR297 (.VAR286(VAR82), .VAR143(VAR302));
VAR145 VAR365 (.VAR286(VAR272), .VAR143( VAR84 & (~VAR373) ) );
VAR145 VAR219 (.VAR286(VAR317), .VAR143(VAR117));
VAR145 VAR266(.VAR286(VAR239), .VAR143(VAR334));
VAR145 VAR345 (.VAR286(VAR333), .VAR143(~VAR302));
VAR145 VAR340 (.VAR286(VAR160),
.VAR143( (~VAR84) | VAR373 ) );
VAR145 VAR97(.VAR286(VAR347), .VAR143(~VAR117));
VAR145 VAR99(.VAR286(VAR306), .VAR143(~VAR334));
assign VAR62 = ~VAR13;
always@(posedge VAR294)
VAR254 <= ~VAR364;
wire [35:0] VAR47;
VAR213 VAR8(.VAR47(VAR47));
wire VAR181;
VAR86 VAR65(
.rst(VAR254),
.VAR314(VAR28),
.VAR54(VAR54),
.VAR309() );
VAR255 VAR44
(
.VAR143 (VAR32),
.VAR5 (VAR353),
.VAR286 (VAR165)
);
VAR172 VAR299
(
.VAR286 (VAR28),
.VAR143 (VAR165)
);
reg [27:0] VAR264;
always@(posedge VAR195) begin
if (VAR254 | VAR224) begin
VAR264 <= 28'h0000000;
VAR334 <= 1'b0;
end else begin
if (VAR268) VAR264 <= VAR264 + 28'h0000001;
if (VAR264 == 28'h14FB180) begin VAR264 <= 28'h0000000;
VAR334 <= ~VAR334;
end
end
end
reg [31:0] VAR328;
reg VAR205;
end else
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dfstp/sky130_fd_sc_hd__dfstp_2.v
| 2,273 |
module MODULE1 (
VAR7 ,
VAR8 ,
VAR5 ,
VAR2,
VAR10 ,
VAR6 ,
VAR3 ,
VAR4
);
output VAR7 ;
input VAR8 ;
input VAR5 ;
input VAR2;
input VAR10 ;
input VAR6 ;
input VAR3 ;
input VAR4 ;
VAR1 VAR9 (
.VAR7(VAR7),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR7 ,
VAR8 ,
VAR5 ,
VAR2
);
output VAR7 ;
input VAR8 ;
input VAR5 ;
input VAR2;
supply1 VAR10;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR4 ;
VAR1 VAR9 (
.VAR7(VAR7),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a21bo/sky130_fd_sc_ms__a21bo_2.v
| 2,318 |
module MODULE1 (
VAR4 ,
VAR2 ,
VAR6 ,
VAR1,
VAR8,
VAR10,
VAR9 ,
VAR5
);
output VAR4 ;
input VAR2 ;
input VAR6 ;
input VAR1;
input VAR8;
input VAR10;
input VAR9 ;
input VAR5 ;
VAR7 VAR3 (
.VAR4(VAR4),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR4 ,
VAR2 ,
VAR6 ,
VAR1
);
output VAR4 ;
input VAR2 ;
input VAR6 ;
input VAR1;
supply1 VAR8;
supply0 VAR10;
supply1 VAR9 ;
supply0 VAR5 ;
VAR7 VAR3 (
.VAR4(VAR4),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
alexforencich/xfcp
|
lib/eth/rtl/eth_mac_1g_gmii.v
| 6,905 |
module MODULE1 #
(
parameter VAR23 = "VAR33",
parameter VAR67 = "VAR60",
parameter VAR37 = "VAR65",
parameter VAR52 = 1,
parameter VAR17 = 64
)
(
input wire VAR38,
input wire VAR22,
output wire VAR47,
output wire VAR32,
output wire VAR11,
output wire VAR16,
input wire [7:0] VAR21,
input wire VAR4,
output wire VAR46,
input wire VAR73,
input wire VAR68,
output wire [7:0] VAR24,
output wire VAR69,
output wire VAR53,
output wire VAR58,
input wire VAR40,
input wire [7:0] VAR55,
input wire VAR70,
input wire VAR5,
input wire VAR18,
output wire VAR45,
output wire [7:0] VAR36,
output wire VAR31,
output wire VAR19,
output wire VAR61,
output wire VAR59,
output wire VAR39,
output wire [1:0] VAR54,
input wire [7:0] VAR30
);
wire [7:0] VAR50;
wire VAR35;
wire VAR43;
wire [7:0] VAR15;
wire VAR26;
wire VAR48;
reg [1:0] VAR7 = 2'b10;
reg VAR12 = 1'b0;
reg [1:0] VAR34 = 2'd0;
always @(posedge VAR11) begin
VAR34 <= {VAR34[0], VAR12};
end
reg [1:0] VAR14 = 2'd0;
always @(posedge VAR47) begin
VAR14 <= {VAR14[0], VAR12};
end
reg [2:0] VAR71 = 3'd0;
always @(posedge VAR47) begin
VAR71 <= VAR71 + 3'd1;
end
reg [2:0] VAR25 = 3'd0;
always @(posedge VAR38) begin
VAR25 <= {VAR25[1:0], VAR71[2]};
end
reg [6:0] VAR62 = 0;
reg [1:0] VAR41 = 0;
always @(posedge VAR38) begin
if (VAR22) begin
VAR62 <= 0;
VAR41 <= 0;
VAR7 <= 2'b10;
VAR12 <= 1'b0;
end else begin
VAR62 <= VAR62 + 1;
if (VAR25[1] ^ VAR25[2]) begin
VAR41 <= VAR41 + 1;
end
if (&VAR62) begin
VAR62 <= 0;
VAR41 <= 0;
VAR7 <= 2'b00;
VAR12 <= 1'b1;
end
if (&VAR41) begin
VAR62 <= 0;
VAR41 <= 0;
if (VAR62[6:5]) begin
VAR7 <= 2'b01;
VAR12 <= 1'b1;
end else begin
VAR7 <= 2'b10;
VAR12 <= 1'b0;
end
end
end
end
assign VAR54 = VAR7;
VAR29 #(
.VAR23(VAR23),
.VAR67(VAR67),
.VAR37(VAR37)
)
VAR27 (
.clk(VAR38),
.rst(VAR22),
.VAR6(VAR47),
.VAR57(VAR32),
.VAR50(VAR50),
.VAR35(VAR35),
.VAR43(VAR43),
.VAR42(VAR11),
.VAR64(VAR16),
.VAR15(VAR15),
.VAR26(VAR26),
.VAR48(VAR48),
.VAR66(VAR40),
.VAR49(VAR55),
.VAR44(VAR70),
.VAR72(VAR5),
.VAR13(VAR18),
.VAR28(VAR45),
.VAR56(VAR36),
.VAR8(VAR31),
.VAR63(VAR19),
.VAR1(VAR12)
);
VAR3 #(
.VAR52(VAR52),
.VAR17(VAR17)
)
VAR2 (
.VAR11(VAR11),
.VAR16(VAR16),
.VAR47(VAR47),
.VAR32(VAR32),
.VAR21(VAR21),
.VAR4(VAR4),
.VAR46(VAR46),
.VAR73(VAR73),
.VAR68(VAR68),
.VAR24(VAR24),
.VAR69(VAR69),
.VAR53(VAR53),
.VAR58(VAR58),
.VAR55(VAR50),
.VAR70(VAR35),
.VAR5(VAR43),
.VAR36(VAR15),
.VAR31(VAR26),
.VAR19(VAR48),
.VAR51(1'b1),
.VAR10(1'b1),
.VAR20(VAR14[1]),
.VAR9(VAR34[1]),
.VAR61(VAR61),
.VAR59(VAR59),
.VAR39(VAR39),
.VAR30(VAR30)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nor4bb/sky130_fd_sc_lp__nor4bb.functional.v
| 1,427 |
module MODULE1 (
VAR6 ,
VAR9 ,
VAR8 ,
VAR3,
VAR7
);
output VAR6 ;
input VAR9 ;
input VAR8 ;
input VAR3;
input VAR7;
wire VAR5 ;
wire VAR1;
nor VAR4 (VAR5 , VAR9, VAR8 );
and VAR2 (VAR1, VAR5, VAR3, VAR7);
buf VAR10 (VAR6 , VAR1 );
endmodule
|
apache-2.0
|
ipburbank/Raster-Laser-Projector
|
src/Raster_Laser_Projector/synthesis/submodules/altera_up_RGB_to_YCrCb_converter.v
| 14,692 |
module MODULE1 (
clk,
VAR9,
reset,
VAR64,
VAR66,
VAR7,
VAR48,
VAR32,
VAR57,
VAR38,
VAR62,
VAR68,
VAR28,
VAR30,
VAR35,
VAR10,
VAR53
);
input clk;
input VAR9;
input reset;
input [ 7: 0] VAR64;
input [ 7: 0] VAR66;
input [ 7: 0] VAR7;
input VAR48;
input VAR32;
input VAR57;
input VAR38;
output reg [ 7: 0] VAR62;
output reg [ 7: 0] VAR68;
output reg [ 7: 0] VAR28;
output reg VAR30;
output reg VAR35;
output reg VAR10;
output reg VAR53;
wire [35: 0] VAR39;
wire [35: 0] VAR67;
wire [35: 0] VAR1;
wire [35: 0] VAR26;
wire [35: 0] VAR17;
wire [35: 0] VAR45;
wire [35: 0] VAR60;
wire [35: 0] VAR25;
wire [35: 0] VAR22;
wire [10: 0] VAR50;
wire [10: 0] VAR59;
wire [10: 0] VAR18;
reg [ 7: 0] VAR5;
reg [ 7: 0] VAR52;
reg [ 7: 0] VAR41;
reg [10: 0] VAR8;
reg [10: 0] VAR54;
reg [10: 0] VAR21;
reg [10: 0] VAR63;
reg [10: 0] VAR40;
reg [10: 0] VAR43;
reg [10: 0] VAR23;
reg [10: 0] VAR29;
reg [10: 0] VAR33;
reg [ 1: 0] VAR44;
reg [ 1: 0] VAR20;
reg [ 1: 0] VAR55;
reg [ 1: 0] VAR24;
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR62 <= 8'h00;
VAR68 <= 8'h00;
VAR28 <= 8'h00;
end
else if (VAR9)
begin
if (VAR50[10] == 1'b1) VAR62 <= 8'h00;
end
else if ((VAR50[9] | VAR50[8]) == 1'b1) VAR62 <= 8'hFF;
end
else
VAR62 <= VAR50[ 7: 0];
if (VAR59[10] == 1'b1) VAR68 <= 8'h00;
else if ((VAR59[9] | VAR59[8]) == 1'b1) VAR68 <= 8'hFF;
else
VAR68 <= VAR59[ 7: 0];
if (VAR18[10] == 1'b1) VAR28 <= 8'h00;
else if ((VAR18[9] | VAR18[8]) == 1'b1) VAR28 <= 8'hFF;
else
VAR28 <= VAR18[ 7: 0];
end
end
always @ (posedge clk)
begin
if (VAR9)
begin
VAR30 <= VAR44[1];
VAR35 <= VAR20[1];
VAR10 <= VAR55[1];
VAR53 <= VAR24[1];
end
end
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR5 <= 8'h00;
VAR52 <= 8'h00;
VAR41 <= 8'h00;
end
else if (VAR9)
begin
VAR5 <= VAR64;
VAR52 <= VAR66;
VAR41 <= VAR7;
end
end
always @ (posedge clk)
begin
if (reset == 1'b1)
begin
VAR8 <= 11'h000;
VAR54 <= 11'h000;
VAR21 <= 11'h000;
VAR63 <= 11'h000;
VAR40 <= 11'h000;
VAR43 <= 11'h000;
VAR23 <= 11'h000;
VAR29 <= 11'h000;
VAR33 <= 11'h000;
end
else if (VAR9)
begin
VAR8 <= VAR39[25:15];
VAR54 <= VAR67[25:15];
VAR21 <= VAR1[25:15];
VAR63 <= VAR26[25:15];
VAR40 <= VAR17[25:15];
VAR43 <= VAR45[25:15];
VAR23 <= VAR60[25:15];
VAR29 <= VAR25[25:15];
VAR33 <= VAR22[25:15];
end
end
always @(posedge clk)
begin
if (reset)
begin
VAR44 <= 2'h0;
VAR20 <= 2'h0;
VAR55 <= 2'h0;
VAR24 <= 2'h0;
end
else if (VAR9)
begin
VAR44[1] <= VAR44[0];
VAR20[1] <= VAR20[0];
VAR55[1] <= VAR55[0];
VAR24[1] <= VAR24[0];
VAR44[0] <= VAR48;
VAR20[0] <= VAR32;
VAR55[0] <= VAR57;
VAR24[0] <= VAR38;
end
end
assign VAR50 = 11'd16 + VAR8 + VAR54 + VAR21;
assign VAR59 = 11'd128 + VAR23 - VAR29 - VAR33;
assign VAR18 = 11'd128 - VAR63 - VAR40 + VAR43;
VAR13 VAR3 (
.VAR65 ({10'h000, VAR5}),
.VAR12 (18'h020E5),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR39),
.sum (1'b0)
);
VAR3.VAR37 = 18,
VAR3.VAR51 = 18,
VAR3.VAR34 = 36,
VAR3.VAR70 = 1,
VAR3.VAR46 = "VAR36",
VAR3.VAR2 = "VAR15",
VAR3.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR6 (
.VAR65 ({10'h000, VAR52}),
.VAR12 (18'h04083),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR67),
.sum (1'b0)
);
VAR6.VAR37 = 18,
VAR6.VAR51 = 18,
VAR6.VAR34 = 36,
VAR6.VAR70 = 1,
VAR6.VAR46 = "VAR36",
VAR6.VAR2 = "VAR15",
VAR6.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR16 (
.VAR65 ({10'h000, VAR41}),
.VAR12 (18'h00C8D),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR1),
.sum (1'b0)
);
VAR16.VAR37 = 18,
VAR16.VAR51 = 18,
VAR16.VAR34 = 36,
VAR16.VAR70 = 1,
VAR16.VAR46 = "VAR36",
VAR16.VAR2 = "VAR15",
VAR16.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR47 (
.VAR65 ({10'h000, VAR5}),
.VAR12 (18'h03831),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR60),
.sum (1'b0)
);
VAR47.VAR37 = 18,
VAR47.VAR51 = 18,
VAR47.VAR34 = 36,
VAR47.VAR70 = 1,
VAR47.VAR46 = "VAR36",
VAR47.VAR2 = "VAR15",
VAR47.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR49 (
.VAR65 ({10'h000, VAR52}),
.VAR12 (18'h02F1B),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR25),
.sum (1'b0)
);
VAR49.VAR37 = 18,
VAR49.VAR51 = 18,
VAR49.VAR34 = 36,
VAR49.VAR70 = 1,
VAR49.VAR46 = "VAR36",
VAR49.VAR2 = "VAR15",
VAR49.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR58 (
.VAR65 ({10'h000, VAR41}),
.VAR12 (18'h00917),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR22),
.sum (1'b0)
);
VAR58.VAR37 = 18,
VAR58.VAR51 = 18,
VAR58.VAR34 = 36,
VAR58.VAR70 = 1,
VAR58.VAR46 = "VAR36",
VAR58.VAR2 = "VAR15",
VAR58.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR27 (
.VAR65 ({10'h000, VAR5}),
.VAR12 (18'h012F2),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR26),
.sum (1'b0)
);
VAR27.VAR37 = 18,
VAR27.VAR51 = 18,
VAR27.VAR34 = 36,
VAR27.VAR70 = 1,
VAR27.VAR46 = "VAR36",
VAR27.VAR2 = "VAR15",
VAR27.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR69 (
.VAR65 ({10'h000, VAR52}),
.VAR12 (18'h0253F),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR17),
.sum (1'b0)
);
VAR69.VAR37 = 18,
VAR69.VAR51 = 18,
VAR69.VAR34 = 36,
VAR69.VAR70 = 1,
VAR69.VAR46 = "VAR36",
VAR69.VAR2 = "VAR15",
VAR69.VAR56 = "VAR31=VAR11,VAR42=5";
VAR13 VAR4 (
.VAR65 ({10'h000, VAR41}),
.VAR12 (18'h03831),
.VAR14 (1'b0),
.VAR9 (1'b1),
.VAR19 (1'b0),
.VAR61 (VAR45),
.sum (1'b0)
);
VAR4.VAR37 = 18,
VAR4.VAR51 = 18,
VAR4.VAR34 = 36,
VAR4.VAR70 = 1,
VAR4.VAR46 = "VAR36",
VAR4.VAR2 = "VAR15",
VAR4.VAR56 = "VAR31=VAR11,VAR42=5";
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor4b/sky130_fd_sc_ls__nor4b.symbol.v
| 1,323 |
module MODULE1 (
input VAR7 ,
input VAR8 ,
input VAR1 ,
input VAR9,
output VAR3
);
supply1 VAR5;
supply0 VAR4;
supply1 VAR6 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
Cognoscan/BoostDSP
|
verilog/src/smallFilters/SmallHpf2nd.v
| 3,909 |
module MODULE1 #(
parameter VAR4 = 8, parameter VAR13 = 8, parameter VAR8 = 16, parameter VAR10 = 1 )
(
input clk, input rst, input en, input signed [VAR8-1:0] VAR7, output signed [VAR8-1:0] VAR6 );
reg signed [VAR8+VAR4-1:0] VAR11;
reg signed [VAR8+VAR13-1:0] VAR9;
reg signed [VAR8-1:0] VAR12;
wire signed [VAR8-1:0] VAR2;
wire signed [VAR8-1:0] VAR5;
wire signed [VAR8+VAR4:0] VAR3;
wire signed [VAR8+VAR13:0] VAR1;
assign VAR3 = VAR11 + VAR6;
assign VAR1 = VAR9 + VAR2;
always @(posedge clk) begin
if (rst) begin
VAR12 <= 'd0;
VAR11 <= 'd0;
VAR9 <= 'd0;
end
else if (en) begin
VAR12 <= VAR7 - VAR2 - VAR5;
if (VAR10) begin
VAR11 <= (^VAR3[VAR8+VAR4-:2])
? {VAR3[VAR8+VAR4], {(VAR8+VAR4-1){VAR3[VAR8+VAR4-1]}}}
: VAR3;
VAR9 <= (^VAR1[VAR8+VAR13-:2])
? {VAR1[VAR8+VAR13], {(VAR8+VAR13-1){VAR1[VAR8+VAR13-1]}}}
: VAR1;
end
else begin
VAR11 <= VAR3;
VAR9 <= VAR1;
end
end
end
assign VAR6 = VAR12;
assign VAR2 = VAR11 >>> VAR4;
assign VAR5 = VAR9 >>> VAR13;
endmodule
|
apache-2.0
|
alexforencich/xfcp
|
lib/eth/lib/axis/rtl/axis_stat_counter.v
| 12,301 |
module MODULE1 #
(
parameter VAR37 = 64,
parameter VAR67 = (VAR37>8),
parameter VAR60 = (VAR37/8),
parameter VAR11 = 1,
parameter VAR69 = 16,
parameter VAR24 = 1,
parameter VAR39 = 32,
parameter VAR66 = 1,
parameter VAR58 = 32,
parameter VAR46 = 1,
parameter VAR16 = 32
)
(
input wire clk,
input wire rst,
input wire [VAR60-1:0] VAR36,
input wire VAR14,
input wire VAR70,
input wire VAR29,
output wire [7:0] VAR5,
output wire VAR23,
input wire VAR2,
output wire VAR7,
output wire VAR28,
input wire [VAR69-1:0] VAR22,
input wire VAR8,
output wire VAR15
);
localparam VAR38 = (VAR69 + 7) / 8;
localparam VAR12 = (VAR39 + 7) / 8;
localparam VAR19 = (VAR58 + 7) / 8;
localparam VAR31 = (VAR16 + 7) / 8;
localparam VAR10 = VAR38 + VAR12 + VAR19 + VAR31;
localparam [1:0]
VAR34 = 2'd0,
VAR25 = 2'd1;
reg [1:0] VAR41 = VAR34, VAR56;
reg [VAR39-1:0] VAR61 = 0, VAR62;
reg [VAR58-1:0] VAR54 = 0, VAR42;
reg [VAR16-1:0] VAR20 = 0, VAR26;
reg VAR33 = 1'b0, VAR1;
reg VAR64;
reg [VAR17(VAR10)-1:0] VAR52 = 0, VAR45;
reg [VAR39-1:0] VAR3 = 0;
reg [VAR58-1:0] VAR30 = 0;
reg [VAR16-1:0] VAR13 = 0;
reg VAR68 = 1'b0;
reg [7:0] VAR49;
reg VAR21;
reg VAR63 = 1'b0;
reg VAR44;
reg VAR4;
wire VAR48;
assign VAR15 = VAR68;
integer VAR51, VAR35, VAR55;
always @* begin
VAR56 = VAR34;
VAR62 = VAR61;
VAR42 = VAR54;
VAR26 = VAR20;
VAR1 = VAR33;
VAR49 = 8'd0;
VAR21 = 1'b0;
VAR44 = 1'b0;
VAR4 = 1'b0;
VAR64 = 1'b0;
VAR45 = VAR52;
case (VAR41)
VAR34: begin
if (VAR8) begin
VAR64 = 1'b1;
VAR62 = 0;
VAR42 = 0;
VAR26 = 0;
VAR45 = 0;
if (VAR63) begin
VAR45 = 1;
if (VAR11) begin
VAR49 = VAR22[(VAR38-1)*8 +: 8];
end else if (VAR24) begin
VAR49 = VAR61[(VAR12-1)*8 +: 8];
end else if (VAR66) begin
VAR49 = VAR54[(VAR19-1)*8 +: 8];
end else if (VAR46) begin
VAR49 = VAR20[(VAR31-1)*8 +: 8];
end
VAR21 = 1'b1;
end
VAR56 = VAR25;
end else begin
VAR56 = VAR34;
end
end
VAR25: begin
if (VAR63) begin
VAR56 = VAR25;
VAR45 = VAR52 + 1;
VAR21 = 1'b1;
VAR51 = 0;
if (VAR11) begin
for (VAR35 = VAR38-1; VAR35 >= 0; VAR35 = VAR35 - 1) begin
if (VAR52 == VAR51) begin
VAR49 = VAR22[VAR35*8 +: 8];
end
VAR51 = VAR51 + 1;
end
end
if (VAR24) begin
for (VAR35 = VAR12-1; VAR35 >= 0; VAR35 = VAR35 - 1) begin
if (VAR52 == VAR51) begin
VAR49 = VAR3[VAR35*8 +: 8];
end
VAR51 = VAR51 + 1;
end
end
if (VAR66) begin
for (VAR35 = VAR19-1; VAR35 >= 0; VAR35 = VAR35 - 1) begin
if (VAR52 == VAR51) begin
VAR49 = VAR30[VAR35*8 +: 8];
end
VAR51 = VAR51 + 1;
end
end
if (VAR46) begin
for (VAR35 = VAR31-1; VAR35 >= 0; VAR35 = VAR35 - 1) begin
if (VAR52 == VAR51) begin
VAR49 = VAR13[VAR35*8 +: 8];
end
VAR51 = VAR51 + 1;
end
end
if (VAR52 == VAR51-1) begin
VAR44 = 1'b1;
VAR56 = VAR34;
end
end else begin
VAR56 = VAR25;
end
end
endcase
VAR62 = VAR62 + (VAR67 ? VAR60 : 1);
if (VAR70 && VAR14) begin
if (VAR67) begin
VAR55 = 0;
for (VAR35 = 0; VAR35 <= VAR60; VAR35 = VAR35 + 1) begin
if (VAR36 == ({VAR60{1'b1}}) >> (VAR60-VAR35)) VAR55 = VAR35;
end
VAR42 = VAR42 + VAR55;
end else begin
VAR42 = VAR42 + 1;
end
if (VAR29) begin
VAR1 = 1'b0;
end else if (!VAR33) begin
VAR26 = VAR26 + 1;
VAR1 = 1'b1;
end
end
end
always @(posedge clk) begin
if (rst) begin
VAR41 <= VAR34;
VAR61 <= 0;
VAR54 <= 0;
VAR20 <= 0;
VAR33 <= 1'b0;
VAR52 <= 0;
VAR68 <= 1'b0;
end else begin
VAR41 <= VAR56;
VAR61 <= VAR62;
VAR54 <= VAR42;
VAR20 <= VAR26;
VAR33 <= VAR1;
VAR52 <= VAR45;
VAR68 <= VAR56 != VAR34;
end
if (VAR64) begin
VAR3 <= VAR61;
VAR30 <= VAR54;
VAR13 <= VAR20;
end
end
reg [7:0] VAR53 = 8'd0;
reg VAR40 = 1'b0, VAR32;
reg VAR18 = 1'b0;
reg VAR9 = 1'b0;
reg [7:0] VAR6 = 8'd0;
reg VAR47 = 1'b0, VAR65;
reg VAR50 = 1'b0;
reg VAR57 = 1'b0;
reg VAR59;
reg VAR43;
reg VAR27;
assign VAR5 = VAR53;
assign VAR23 = VAR40;
assign VAR7 = VAR18;
assign VAR28 = VAR9;
assign VAR48 = VAR2 || (!VAR47 && (!VAR40 || !VAR21));
always @* begin
VAR32 = VAR40;
VAR65 = VAR47;
VAR59 = 1'b0;
VAR43 = 1'b0;
VAR27 = 1'b0;
if (VAR63) begin
if (VAR2 || !VAR40) begin
VAR32 = VAR21;
VAR59 = 1'b1;
end else begin
VAR65 = VAR21;
VAR43 = 1'b1;
end
end else if (VAR2) begin
VAR32 = VAR47;
VAR65 = 1'b0;
VAR27 = 1'b1;
end
end
always @(posedge clk) begin
if (rst) begin
VAR40 <= 1'b0;
VAR63 <= 1'b0;
VAR47 <= 1'b0;
end else begin
VAR40 <= VAR32;
VAR63 <= VAR48;
VAR47 <= VAR65;
end
if (VAR59) begin
VAR53 <= VAR49;
VAR18 <= VAR44;
VAR9 <= VAR4;
end else if (VAR27) begin
VAR53 <= VAR6;
VAR18 <= VAR50;
VAR9 <= VAR57;
end
if (VAR43) begin
VAR6 <= VAR49;
VAR50 <= VAR44;
VAR57 <= VAR4;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dfrbp/sky130_fd_sc_ls__dfrbp.behavioral.v
| 2,313 |
module MODULE1 (
VAR5 ,
VAR20 ,
VAR12 ,
VAR9 ,
VAR21
);
output VAR5 ;
output VAR20 ;
input VAR12 ;
input VAR9 ;
input VAR21;
supply1 VAR22;
supply0 VAR6;
supply1 VAR11 ;
supply0 VAR17 ;
wire VAR1 ;
wire VAR16 ;
reg VAR3 ;
wire VAR2 ;
wire VAR15;
wire VAR10 ;
wire VAR14 ;
wire VAR7 ;
wire VAR4 ;
not VAR13 (VAR16 , VAR15 );
VAR8 VAR18 (VAR1 , VAR2, VAR10, VAR16, VAR3, VAR22, VAR6);
assign VAR7 = ( VAR14 && ( VAR15 === 1'b1 ) );
assign VAR4 = ( VAR14 && ( VAR21 === 1'b1 ) );
buf VAR23 (VAR5 , VAR1 );
not VAR19 (VAR20 , VAR1 );
endmodule
|
apache-2.0
|
csail-csg/riscy-OOO
|
procs/asic/bluespec_verilog/RWire.v
| 1,527 |
module MODULE1(VAR5, VAR1, VAR2, VAR4);
parameter VAR3 = 1;
input [VAR3 - 1 : 0] VAR2;
input VAR4;
output [VAR3 - 1 : 0] VAR5;
output VAR1;
assign VAR5 = VAR2;
assign VAR1 = VAR4;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand4bb/sky130_fd_sc_hdll__nand4bb.pp.blackbox.v
| 1,365 |
module MODULE1 (
VAR7 ,
VAR9 ,
VAR2 ,
VAR4 ,
VAR5 ,
VAR3,
VAR6,
VAR1 ,
VAR8
);
output VAR7 ;
input VAR9 ;
input VAR2 ;
input VAR4 ;
input VAR5 ;
input VAR3;
input VAR6;
input VAR1 ;
input VAR8 ;
endmodule
|
apache-2.0
|
bigeagle/riffa
|
fpga/riffa_hdl/offset_flag_to_one_hot.v
| 2,660 |
module MODULE1
parameter VAR3 = 4
)
(
input [VAR2(VAR3)-1:0] VAR1,
input VAR4,
output [VAR3-1:0] VAR5
);
assign VAR5 = {{(VAR3-1){1'b0}},VAR4} << VAR1;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sregsbp/sky130_fd_sc_lp__sregsbp.functional.pp.v
| 2,232 |
module MODULE1 (
VAR9 ,
VAR3 ,
VAR10 ,
VAR16 ,
VAR21 ,
VAR1 ,
VAR8,
VAR22 ,
VAR13 ,
VAR20 ,
VAR12
);
output VAR9 ;
output VAR3 ;
input VAR10 ;
input VAR16 ;
input VAR21 ;
input VAR1 ;
input VAR8;
input VAR22 ;
input VAR13 ;
input VAR20 ;
input VAR12 ;
wire VAR19 ;
wire VAR11 ;
wire VAR4;
not VAR14 (VAR11 , VAR8 );
VAR18 VAR2 (VAR4, VAR16, VAR21, VAR1 );
VAR15 VAR6 VAR5 (VAR19 , VAR4, VAR10, VAR11, , VAR22, VAR13);
buf VAR7 (VAR9 , VAR19 );
not VAR17 (VAR3 , VAR19 );
endmodule
|
apache-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_007.v
| 1,470 |
module MODULE2 (
VAR8,
VAR7
);
input [31:0] VAR8;
output [31:0]
VAR7;
wire [31:0]
VAR12,
VAR10,
VAR1,
VAR9,
VAR13,
VAR5,
VAR6,
VAR3;
assign VAR12 = VAR8;
assign VAR3 = VAR6 << 5;
assign VAR1 = VAR10 - VAR12;
assign VAR10 = VAR12 << 5;
assign VAR9 = VAR1 << 4;
assign VAR13 = VAR1 + VAR9;
assign VAR6 = VAR13 + VAR5;
assign VAR5 = VAR1 << 1;
assign VAR7 = VAR3;
endmodule
module MODULE1(
VAR8,
VAR7,
clk
);
input [31:0] VAR8;
output [31:0] VAR7;
reg [31:0] VAR7;
input clk;
reg [31:0] VAR11;
wire [30:0] VAR4;
always @(posedge clk) begin
VAR11 <= VAR8;
VAR7 <= VAR4;
end
MODULE2 MODULE1(
.VAR8(VAR11),
.VAR7(VAR4)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dlygate4sd1/sky130_fd_sc_hdll__dlygate4sd1.symbol.v
| 1,330 |
module MODULE1 (
input VAR3,
output VAR4
);
supply1 VAR2;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
m13253/riscade
|
hdl/src/step_ex_cpt.v
| 2,123 |
module MODULE1(clk, rst, VAR3, VAR2, VAR24,
VAR25,
VAR15, VAR19, VAR21, VAR7, VAR17, VAR20, VAR5, VAR11,
VAR18, VAR6, VAR13, VAR9, VAR12, VAR8, VAR10, VAR23);
input clk;
input rst;
input VAR3;
output VAR2;
input[3:0] VAR24;
input[7:0] VAR25;
output[7:0] VAR15, VAR19, VAR21, VAR7, VAR17, VAR20, VAR5, VAR11;
output VAR18, VAR6, VAR13, VAR9, VAR12, VAR8, VAR10, VAR23;
reg VAR4;
assign VAR2 = VAR4 ? 1'b0 : 1'VAR26;
reg state;
wire[7:0] VAR22;
reg VAR1;
assign VAR22 = VAR1 ? VAR25 : 8'VAR26;
assign VAR15 = VAR22;
assign VAR19 = VAR22;
assign VAR21 = VAR22;
assign VAR7 = VAR22;
assign VAR17 = VAR22;
assign VAR20 = VAR22;
assign VAR5 = VAR22;
assign VAR11 = VAR22;
reg VAR16[15:0];
assign VAR18 = VAR16[0] ? 1'b0 : 1'VAR26;
assign VAR6 = VAR16[1] ? 1'b0 : 1'VAR26;
assign VAR13 = VAR16[2] ? 1'b0 : 1'VAR26;
assign VAR9 = VAR16[3] ? 1'b0 : 1'VAR26;
assign VAR12 = VAR16[4] ? 1'b0 : 1'VAR26;
assign VAR8 = VAR16[5] ? 1'b0 : 1'VAR26;
assign VAR10 = VAR16[10] ? 1'b0 : 1'VAR26;
assign VAR23 = VAR16[15] ? 1'b0 : 1'VAR26;
integer VAR14;
always @(negedge rst or posedge clk)
if(!rst) begin
VAR4 <= 0;
VAR1 <= 0;
for(VAR14 = 0; VAR14 < 16; VAR14 = VAR14+1)
VAR16[VAR14] <= 0;
state <= 0;
end else if(!VAR3) begin
VAR4 <= 0;
VAR1 <= 1;
for(VAR14 = 0; VAR14 < 16; VAR14 = VAR14+1)
VAR16[VAR14] <= 0;
state <= 1;
end else if(state) begin
VAR4 <= 1;
VAR1 <= 1;
for(VAR14 = 0; VAR14 < 16; VAR14 = VAR14+1)
VAR16[VAR14] <= 0;
VAR16[VAR24] <= 1;
state <= 0;
end else begin
VAR4 <= 0;
VAR1 <= 0;
for(VAR14 = 0; VAR14 < 16; VAR14 = VAR14+1)
VAR16[VAR14] <= 0;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21ba/sky130_fd_sc_hdll__o21ba.behavioral.v
| 1,571 |
module MODULE1 (
VAR12 ,
VAR4 ,
VAR7 ,
VAR5
);
output VAR12 ;
input VAR4 ;
input VAR7 ;
input VAR5;
supply1 VAR2;
supply0 VAR10;
supply1 VAR13 ;
supply0 VAR3 ;
wire VAR8 ;
wire VAR6;
nor VAR11 (VAR8 , VAR4, VAR7 );
nor VAR1 (VAR6, VAR5, VAR8 );
buf VAR9 (VAR12 , VAR6 );
endmodule
|
apache-2.0
|
smithe0/GestureControlInterface
|
DE2Component_FLASH/niosII_system/synthesis/submodules/niosII_system_jtag_uart_0.v
| 17,294 |
module MODULE3 (
clk,
VAR3,
VAR38,
VAR27,
VAR52,
VAR20,
VAR54
)
;
output VAR27;
output [ 7: 0] VAR52;
output VAR20;
output [ 5: 0] VAR54;
input clk;
input [ 7: 0] VAR3;
input VAR38;
wire VAR27;
wire [ 7: 0] VAR52;
wire VAR20;
wire [ 5: 0] VAR54;
always @(posedge clk)
begin
if (VAR38)
("%VAR15", VAR3);
end
assign VAR54 = {6{1'b0}};
assign VAR52 = {8{1'b0}};
assign VAR27 = 1'b0;
assign VAR20 = 1'b1;
endmodule
module MODULE4 (
clk,
VAR4,
VAR3,
VAR38,
VAR10,
VAR27,
VAR52,
VAR20,
VAR54
)
;
output VAR27;
output [ 7: 0] VAR52;
output VAR20;
output [ 5: 0] VAR54;
input clk;
input VAR4;
input [ 7: 0] VAR3;
input VAR38;
input VAR10;
wire VAR27;
wire [ 7: 0] VAR52;
wire VAR20;
wire [ 5: 0] VAR54;
MODULE3 VAR57
(
.clk (clk),
.VAR27 (VAR27),
.VAR3 (VAR3),
.VAR38 (VAR38),
.VAR52 (VAR52),
.VAR20 (VAR20),
.VAR54 (VAR54)
);
endmodule
module MODULE5 (
clk,
VAR22,
VAR24,
VAR56,
VAR34,
VAR30,
VAR32
)
;
output VAR56;
output [ 7: 0] VAR34;
output VAR30;
output [ 5: 0] VAR32;
input clk;
input VAR22;
input VAR24;
reg [ 31: 0] VAR51;
wire VAR56;
reg VAR35;
wire [ 7: 0] VAR34;
wire VAR37;
wire [ 31: 0] VAR49;
wire [ 6: 0] VAR39;
wire VAR30;
wire [ 5: 0] VAR32;
always @(posedge clk or negedge VAR24)
begin
if (VAR24 == 0)
begin
VAR51 <= 32'h0;
VAR35 <= 1'b0;
end
else
begin
VAR35 <= VAR22;
if (VAR35)
VAR51 <= VAR51 - 1'b1;
if (VAR37)
VAR51 <= VAR49;
end
end
assign VAR56 = VAR51 == 32'b0;
assign VAR30 = VAR51 > 7'h40;
assign VAR39 = (VAR30) ? 7'h40 : VAR51;
assign VAR32 = VAR39[5 : 0];
assign VAR37 = 1'b0;
assign VAR49 = 32'b0;
assign VAR34 = 8'b0;
endmodule
module MODULE2 (
clk,
VAR4,
VAR22,
VAR24,
VAR11,
VAR43,
VAR56,
VAR34,
VAR30,
VAR32
)
;
output VAR56;
output [ 7: 0] VAR34;
output VAR30;
output [ 5: 0] VAR32;
input clk;
input VAR4;
input VAR22;
input VAR24;
input [ 7: 0] VAR11;
input VAR43;
wire VAR56;
wire [ 7: 0] VAR34;
wire VAR30;
wire [ 5: 0] VAR32;
MODULE5 VAR26
(
.clk (clk),
.VAR56 (VAR56),
.VAR22 (VAR22),
.VAR34 (VAR34),
.VAR30 (VAR30),
.VAR32 (VAR32),
.VAR24 (VAR24)
);
endmodule
module MODULE1 (
VAR19,
VAR14,
VAR47,
VAR53,
VAR8,
clk,
VAR24,
VAR2,
VAR45,
VAR29,
VAR50,
VAR46
)
;
output VAR2;
output [ 31: 0] VAR45;
output VAR29;
output VAR50;
output VAR46;
input VAR19;
input VAR14;
input VAR47;
input VAR53;
input [ 31: 0] VAR8;
input clk;
input VAR24;
reg VAR16;
wire VAR44;
wire VAR2;
wire [ 31: 0] VAR45;
reg VAR29;
reg VAR50;
reg VAR42;
reg VAR36;
wire VAR56;
wire VAR27;
wire VAR4;
wire VAR22;
wire [ 7: 0] VAR34;
wire [ 7: 0] VAR3;
reg VAR38;
reg VAR17;
reg VAR48;
wire VAR9;
wire VAR5;
reg VAR12;
wire [ 7: 0] VAR52;
wire VAR21;
reg VAR25;
wire VAR10;
reg VAR18;
reg VAR46;
wire VAR30;
wire [ 5: 0] VAR32;
reg VAR40;
reg VAR6;
reg VAR23;
reg VAR7;
reg VAR33;
wire [ 7: 0] VAR11;
reg VAR28;
wire VAR13;
wire VAR1;
wire VAR20;
wire [ 5: 0] VAR54;
reg VAR41;
wire VAR43;
assign VAR10 = VAR21 & ~VAR20;
assign VAR43 = VAR13 & ~VAR30;
assign VAR4 = ~VAR24;
MODULE4 VAR31
(
.clk (clk),
.VAR27 (VAR27),
.VAR4 (VAR4),
.VAR3 (VAR3),
.VAR38 (VAR38),
.VAR52 (VAR52),
.VAR10 (VAR10),
.VAR20 (VAR20),
.VAR54 (VAR54)
);
MODULE2 VAR55
(
.clk (clk),
.VAR56 (VAR56),
.VAR4 (VAR4),
.VAR22 (VAR22),
.VAR34 (VAR34),
.VAR30 (VAR30),
.VAR32 (VAR32),
.VAR24 (VAR24),
.VAR11 (VAR11),
.VAR43 (VAR43)
);
assign VAR9 = VAR17 & VAR42;
assign VAR5 = VAR48 & (VAR12 | VAR36);
assign VAR2 = VAR9 | VAR5;
assign VAR44 = VAR1 | VAR13;
always @(posedge clk or negedge VAR24)
begin
if (VAR24 == 0)
VAR12 <= 1'b0;
end
else if (VAR1 & ~VAR56)
VAR12 <= 1'b1;
else if (VAR18)
VAR12 <= 1'b0;
end
always @(posedge clk or negedge VAR24)
begin
if (VAR24 == 0)
begin
VAR25 <= 1'b0;
VAR28 <= 1'b1;
end
else
begin
VAR25 <= VAR21 & ~VAR20;
VAR28 <= ~VAR30;
end
end
always @(posedge clk or negedge VAR24)
begin
if (VAR24 == 0)
begin
VAR42 <= 1'b0;
VAR36 <= 1'b0;
VAR38 <= 1'b0;
VAR40 <= 1'b0;
VAR18 <= 1'b0;
VAR17 <= 1'b0;
VAR48 <= 1'b0;
VAR16 <= 1'b0;
VAR41 <= 1'b0;
VAR29 <= 1'b1;
end
else
begin
VAR42 <= {VAR27,VAR54} <= 8;
VAR36 <= (7'h40 - {VAR30,VAR32}) <= 8;
VAR38 <= 1'b0;
VAR18 <= 1'b0;
VAR29 <= ~(VAR14 & (~VAR53 | ~VAR47) & VAR29);
if (VAR44)
VAR16 <= 1'b1;
if (VAR14 & ~VAR53 & VAR29)
if (VAR19)
begin
VAR48 <= VAR8[0];
VAR17 <= VAR8[1];
if (VAR8[10] & ~VAR44)
VAR16 <= 1'b0;
end
else
begin
VAR38 <= ~VAR27;
VAR41 <= VAR27;
end
if (VAR14 & ~VAR47 & VAR29)
begin
if (~VAR19)
VAR40 <= ~VAR56;
VAR18 <= ~VAR19;
end
end
end
assign VAR3 = VAR8[7 : 0];
assign VAR22 = (VAR14 & ~VAR47 & VAR29 & ~VAR19) ? ~VAR56 : 1'b0;
assign VAR45 = VAR18 ? { {9{1'b0}},VAR30,VAR32,VAR40,VAR41,~VAR27,~VAR56,1'b0,VAR16,VAR9,VAR5,VAR34 } : { {9{1'b0}},(7'h40 - {VAR27,VAR54}),VAR40,VAR41,~VAR27,~VAR56,1'b0,VAR16,VAR9,VAR5,{6{1'b0}},VAR17,VAR48 };
always @(posedge clk or negedge VAR24)
begin
if (VAR24 == 0)
VAR46 <= 0;
end
else
VAR46 <= ~VAR27;
end
always @(posedge clk)
begin
VAR33 <= 1'b0;
VAR7 <= 1'b0;
VAR23 <= VAR28 ? VAR52 : {8{VAR25}};
VAR6 <= 1'b0;
end
assign VAR21 = VAR6;
assign VAR13 = VAR7;
assign VAR11 = VAR23;
assign VAR1 = VAR33;
always @(VAR56)
begin
VAR50 = ~VAR56;
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/clkdlybuf4s50/sky130_fd_sc_hd__clkdlybuf4s50.functional.pp.v
| 1,866 |
module MODULE1 (
VAR3 ,
VAR4 ,
VAR12,
VAR8,
VAR5 ,
VAR10
);
output VAR3 ;
input VAR4 ;
input VAR12;
input VAR8;
input VAR5 ;
input VAR10 ;
wire VAR1 ;
wire VAR11;
buf VAR2 (VAR1 , VAR4 );
VAR6 VAR7 (VAR11, VAR1, VAR12, VAR8);
buf VAR9 (VAR3 , VAR11 );
endmodule
|
apache-2.0
|
efabless/openlane
|
designs/y_dct/src/y_dct.v
| 32,954 |
module MODULE1(clk, rst, enable, VAR128,
VAR41, VAR87, VAR7, VAR104, VAR189, VAR39, VAR6, VAR62,
VAR249, VAR178, VAR66, VAR267, VAR101, VAR118, VAR56, VAR207,
VAR57, VAR272, VAR154, VAR13, VAR152, VAR304, VAR112, VAR83,
VAR141, VAR168, VAR131, VAR175, VAR99, VAR299, VAR123, VAR220,
VAR289, VAR188, VAR195, VAR81, VAR291, VAR214, VAR119, VAR69,
VAR162, VAR70, VAR95, VAR58, VAR72, VAR160, VAR55, VAR155,
VAR251, VAR243, VAR303, VAR229, VAR196, VAR221, VAR38, VAR24,
VAR302, VAR88, VAR193, VAR287, VAR107, VAR179, VAR266, VAR22,
VAR16);
input clk;
input rst;
input enable;
input [7:0] VAR128;
output [10:0] VAR41, VAR87, VAR7, VAR104;
output [10:0] VAR189, VAR39, VAR6, VAR62;
output [10:0] VAR249, VAR178, VAR66, VAR267;
output [10:0] VAR101, VAR118, VAR56, VAR207;
output [10:0] VAR57, VAR272, VAR154, VAR13;
output [10:0] VAR152, VAR304, VAR112, VAR83;
output [10:0] VAR141, VAR168, VAR131, VAR175;
output [10:0] VAR99, VAR299, VAR123, VAR220;
output [10:0] VAR289, VAR188, VAR195, VAR81;
output [10:0] VAR291, VAR214, VAR119, VAR69;
output [10:0] VAR162, VAR70, VAR95, VAR58;
output [10:0] VAR72, VAR160, VAR55, VAR155;
output [10:0] VAR251, VAR243, VAR303, VAR229;
output [10:0] VAR196, VAR221, VAR38, VAR24;
output [10:0] VAR302, VAR88, VAR193, VAR287;
output [10:0] VAR107, VAR179, VAR266, VAR22;
output VAR16;
integer VAR271, VAR100, VAR161, VAR8, VAR1, VAR206, VAR71, VAR74, VAR226, VAR117, VAR42, VAR292, VAR12, VAR201;
integer VAR35, VAR242, VAR240, VAR47, VAR116, VAR97, VAR300, VAR34, VAR18, VAR108, VAR245, VAR241, VAR29, VAR76;
reg [24:0] VAR137;
reg [24:0] VAR61, VAR228, VAR234, VAR169, VAR270, VAR202, VAR285, VAR286, VAR5;
reg [31:0] VAR278, VAR147, VAR115, VAR279;
reg [31:0] VAR30, VAR199, VAR252;
reg [31:0] VAR156, VAR109, VAR59, VAR40;
reg [31:0] VAR105, VAR177, VAR129, VAR210;
reg [31:0] VAR45, VAR223, VAR209, VAR197;
reg [31:0] VAR79, VAR227, VAR208, VAR15;
reg [31:0] VAR225, VAR231, VAR247, VAR143;
reg [31:0] VAR52, VAR36, VAR232, VAR224;
reg [31:0] VAR19, VAR295, VAR211, VAR288;
reg [31:0] VAR144, VAR135, VAR114, VAR4;
reg [31:0] VAR293, VAR28, VAR31, VAR92;
reg [31:0] VAR172, VAR274, VAR174, VAR305;
reg [31:0] VAR111, VAR121, VAR203, VAR25;
reg [31:0] VAR85, VAR280, VAR139, VAR82;
reg [31:0] VAR282, VAR21, VAR37, VAR257;
reg [31:0] VAR238, VAR268, VAR122, VAR9;
reg [31:0] VAR125, VAR77, VAR140, VAR84;
reg [31:0] VAR215, VAR32, VAR218, VAR110;
reg [26:0] VAR277, VAR33, VAR133, VAR49, VAR157, VAR94, VAR256, VAR96;
reg [26:0] VAR130, VAR64, VAR259, VAR187, VAR212, VAR166, VAR254, VAR93;
reg [26:0] VAR145, VAR184, VAR44, VAR269, VAR255, VAR146, VAR237, VAR233;
reg [26:0] VAR294, VAR186, VAR265, VAR219, VAR73, VAR275, VAR75, VAR51;
reg [26:0] VAR246, VAR290, VAR106, VAR297, VAR142, VAR217, VAR54, VAR253;
reg [26:0] VAR113, VAR276, VAR284, VAR65, VAR244, VAR17, VAR235, VAR151;
reg [26:0] VAR283, VAR102, VAR10, VAR230, VAR281, VAR170, VAR14, VAR136;
reg [26:0] VAR80, VAR63, VAR78, VAR306, VAR176, VAR11, VAR149, VAR263;
reg [31:0] VAR91, VAR126, VAR158, VAR185, VAR90, VAR222;
reg [31:0] VAR148, VAR68, VAR181, VAR258;
reg [12:0] VAR165, VAR2, VAR200, VAR159;
reg [12:0] VAR134, VAR46, VAR132, VAR127;
reg [24:0] VAR248, VAR262, VAR26, VAR260;
reg [24:0] VAR150, VAR198, VAR3;
reg [24:0] VAR98, VAR48;
reg [24:0] VAR27, VAR120;
reg [24:0] VAR213, VAR239;
reg [24:0] VAR194, VAR167;
reg [24:0] VAR89, VAR163;
reg [24:0] VAR53, VAR182;
reg [24:0] VAR173, VAR124;
reg [10:0] VAR41, VAR87, VAR7, VAR104;
reg [10:0] VAR189, VAR39, VAR6, VAR62;
reg [10:0] VAR249, VAR178, VAR66, VAR267;
reg [10:0] VAR101, VAR118, VAR56, VAR207;
reg [10:0] VAR57, VAR272, VAR154, VAR13;
reg [10:0] VAR152, VAR304, VAR112, VAR83;
reg [10:0] VAR141, VAR168, VAR131, VAR175;
reg [10:0] VAR99, VAR299, VAR123, VAR220;
reg [10:0] VAR289, VAR188, VAR195, VAR81;
reg [10:0] VAR291, VAR214, VAR119, VAR69;
reg [10:0] VAR162, VAR70, VAR95, VAR58;
reg [10:0] VAR72, VAR160, VAR55, VAR155;
reg [10:0] VAR251, VAR243, VAR303, VAR229;
reg [10:0] VAR196, VAR221, VAR38, VAR24;
reg [10:0] VAR302, VAR88, VAR193, VAR287;
reg [10:0] VAR107, VAR179, VAR266, VAR22;
reg [2:0] VAR23;
reg [2:0] VAR192, VAR250;
reg VAR205, VAR191, VAR190, VAR236, VAR153, VAR171, VAR86, VAR216, VAR16;
reg VAR261, VAR20;
reg [7:0] VAR60;
integer VAR180, VAR298, VAR183, VAR43;
integer VAR301, VAR138, VAR103;
integer VAR204, VAR264, VAR164, VAR67;
integer VAR296, VAR273, VAR50;
always @(posedge clk)
begin VAR271 = 5793; VAR100 = 8035; VAR161 = 6811; VAR8 = 4551; VAR1 = 1598; VAR206 = -1598; VAR71 = -4551; VAR74 = -6811; VAR226 = -8035; VAR117 = 7568; VAR42 = 3135; VAR292 = -3135; VAR12 = -7568; VAR201 = -5793; end
always @(posedge clk)
begin VAR35 = 5793; VAR242 = 8035; VAR240 = 6811; VAR47 = 4551; VAR116 = 1598; VAR97 = -1598; VAR300 = -4551; VAR34 = -6811; VAR18 = -8035; VAR108 = 7568; VAR245 = 3135; VAR241 = -3135; VAR29 = -7568; VAR76 = -5793; end
always @(posedge clk)
begin
if (rst) begin
VAR156 <= 0; VAR109 <= 0; VAR59 <= 0; VAR40 <= 0;
VAR105 <= 0; VAR177 <= 0; VAR129 <= 0; VAR210 <= 0;
VAR45 <= 0; VAR223 <= 0; VAR209 <= 0; VAR197 <= 0;
VAR79 <= 0; VAR227 <= 0; VAR208 <= 0; VAR15 <= 0;
VAR225 <= 0; VAR231 <= 0; VAR247 <= 0; VAR143 <= 0;
VAR52 <= 0; VAR36 <= 0; VAR232 <= 0; VAR224 <= 0;
VAR19 <= 0; VAR295 <= 0; VAR211 <= 0; VAR288 <= 0;
VAR144 <= 0; VAR135 <= 0; VAR114 <= 0; VAR4 <= 0;
VAR293 <= 0; VAR28 <= 0; VAR31 <= 0; VAR92 <= 0;
VAR172 <= 0; VAR274 <= 0; VAR174 <= 0; VAR305 <= 0;
VAR111 <= 0; VAR121 <= 0; VAR203 <= 0; VAR25 <= 0;
VAR85 <= 0; VAR280 <= 0; VAR139 <= 0; VAR82 <= 0;
VAR282 <= 0; VAR21 <= 0; VAR37 <= 0; VAR257 <= 0;
VAR238 <= 0; VAR268 <= 0; VAR122 <= 0; VAR9 <= 0;
VAR125 <= 0; VAR77 <= 0; VAR140 <= 0; VAR84 <= 0;
VAR215 <= 0; VAR32 <= 0; VAR218 <= 0; VAR110 <= 0;
end
else if (VAR216 & VAR86) begin
VAR156 <= VAR185 * VAR35; VAR109 <= VAR185 * VAR204;
VAR59 <= VAR185 * VAR264; VAR40 <= VAR185 * VAR164;
VAR105 <= VAR185 * VAR67; VAR177 <= VAR185 * VAR296;
VAR129 <= VAR185 * VAR273; VAR210 <= VAR185 * VAR50;
VAR45 <= VAR126 * VAR35; VAR223 <= VAR126 * VAR204;
VAR209 <= VAR126 * VAR264; VAR197 <= VAR126 * VAR164;
VAR79 <= VAR126 * VAR67; VAR227 <= VAR126 * VAR296;
VAR208 <= VAR126 * VAR273; VAR15 <= VAR126 * VAR50;
VAR225 <= VAR90 * VAR35; VAR231 <= VAR90 * VAR204;
VAR247 <= VAR90 * VAR264; VAR143 <= VAR90 * VAR164;
VAR52 <= VAR90 * VAR67; VAR36 <= VAR90 * VAR296;
VAR232 <= VAR90 * VAR273; VAR224 <= VAR90 * VAR50;
VAR19 <= VAR222 * VAR35; VAR295 <= VAR222 * VAR204;
VAR211 <= VAR222 * VAR264; VAR288 <= VAR222 * VAR164;
VAR144 <= VAR222 * VAR67; VAR135 <= VAR222 * VAR296;
VAR114 <= VAR222 * VAR273; VAR4 <= VAR222 * VAR50;
VAR293 <= VAR148 * VAR35; VAR28 <= VAR148 * VAR204;
VAR31 <= VAR148 * VAR264; VAR92 <= VAR148 * VAR164;
VAR172 <= VAR148 * VAR67; VAR274 <= VAR148 * VAR296;
VAR174 <= VAR148 * VAR273; VAR305 <= VAR148 * VAR50;
VAR111 <= VAR68 * VAR35; VAR121 <= VAR68 * VAR204;
VAR203 <= VAR68 * VAR264; VAR25 <= VAR68 * VAR164;
VAR85 <= VAR68 * VAR67; VAR280 <= VAR68 * VAR296;
VAR139 <= VAR68 * VAR273; VAR82 <= VAR68 * VAR50;
VAR282 <= VAR181 * VAR35; VAR21 <= VAR181 * VAR204;
VAR37 <= VAR181 * VAR264; VAR257 <= VAR181 * VAR164;
VAR238 <= VAR181 * VAR67; VAR268 <= VAR181 * VAR296;
VAR122 <= VAR181 * VAR273; VAR9 <= VAR181 * VAR50;
VAR125 <= VAR258 * VAR35; VAR77 <= VAR258 * VAR204;
VAR140 <= VAR258 * VAR264; VAR84 <= VAR258 * VAR164;
VAR215 <= VAR258 * VAR67; VAR32 <= VAR258 * VAR296;
VAR218 <= VAR258 * VAR273; VAR110 <= VAR258 * VAR50;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR277 <= 0; VAR33 <= 0; VAR133 <= 0; VAR49 <= 0; VAR157 <= 0; VAR94 <= 0; VAR256 <= 0; VAR96 <= 0;
VAR130 <= 0; VAR64 <= 0; VAR259 <= 0; VAR187 <= 0; VAR212 <= 0; VAR166 <= 0; VAR254 <= 0; VAR93 <= 0;
VAR145 <= 0; VAR184 <= 0; VAR44 <= 0; VAR269 <= 0; VAR255 <= 0; VAR146 <= 0; VAR237 <= 0; VAR233 <= 0;
VAR294 <= 0; VAR186 <= 0; VAR265 <= 0; VAR219 <= 0; VAR73 <= 0; VAR275 <= 0; VAR75 <= 0; VAR51 <= 0;
VAR246 <= 0; VAR290 <= 0; VAR106 <= 0; VAR297 <= 0; VAR142 <= 0; VAR217 <= 0; VAR54 <= 0; VAR253 <= 0;
VAR113 <= 0; VAR276 <= 0; VAR284 <= 0; VAR65 <= 0; VAR244 <= 0; VAR17 <= 0; VAR235 <= 0; VAR151 <= 0;
VAR283 <= 0; VAR102 <= 0; VAR10 <= 0; VAR230 <= 0; VAR281 <= 0; VAR170 <= 0; VAR14 <= 0; VAR136 <= 0;
VAR80 <= 0; VAR63 <= 0; VAR78 <= 0; VAR306 <= 0; VAR176 <= 0; VAR11 <= 0; VAR149 <= 0; VAR263 <= 0;
end
else if (VAR86 & VAR192 == 1) begin
VAR277 <= 0; VAR33 <= 0; VAR133 <= 0; VAR49 <= 0;
VAR157 <= 0; VAR94 <= 0; VAR256 <= 0; VAR96 <= 0;
VAR130 <= 0; VAR64 <= 0; VAR259 <= 0; VAR187 <= 0;
VAR212 <= 0; VAR166 <= 0; VAR254 <= 0; VAR93 <= 0;
VAR145 <= 0; VAR184 <= 0; VAR44 <= 0; VAR269 <= 0;
VAR255 <= 0; VAR146 <= 0; VAR237 <= 0; VAR233 <= 0;
VAR294 <= 0; VAR186 <= 0; VAR265 <= 0; VAR219 <= 0;
VAR73 <= 0; VAR275 <= 0; VAR75 <= 0; VAR51 <= 0;
VAR246 <= 0; VAR290 <= 0; VAR106 <= 0; VAR297 <= 0;
VAR142 <= 0; VAR217 <= 0; VAR54 <= 0; VAR253 <= 0;
VAR113 <= 0; VAR276 <= 0; VAR284 <= 0; VAR65 <= 0;
VAR244 <= 0; VAR17 <= 0; VAR235 <= 0; VAR151 <= 0;
VAR283 <= 0; VAR102 <= 0; VAR10 <= 0; VAR230 <= 0;
VAR281 <= 0; VAR170 <= 0; VAR14 <= 0; VAR136 <= 0;
VAR80 <= 0; VAR63 <= 0; VAR78 <= 0; VAR306 <= 0;
VAR176 <= 0; VAR11 <= 0; VAR149 <= 0; VAR263 <= 0;
end
else if (enable & VAR261) begin
VAR277 <= VAR156 + VAR277; VAR33 <= VAR109 + VAR33; VAR133 <= VAR59 + VAR133; VAR49 <= VAR40 + VAR49;
VAR157 <= VAR105 + VAR157; VAR94 <= VAR177 + VAR94; VAR256 <= VAR129 + VAR256; VAR96 <= VAR210 + VAR96;
VAR130 <= VAR45 + VAR130; VAR64 <= VAR223 + VAR64; VAR259 <= VAR209 + VAR259; VAR187 <= VAR197 + VAR187;
VAR212 <= VAR79 + VAR212; VAR166 <= VAR227 + VAR166; VAR254 <= VAR208 + VAR254; VAR93 <= VAR15 + VAR93;
VAR145 <= VAR225 + VAR145; VAR184 <= VAR231 + VAR184; VAR44 <= VAR247 + VAR44; VAR269 <= VAR143 + VAR269;
VAR255 <= VAR52 + VAR255; VAR146 <= VAR36 + VAR146; VAR237 <= VAR232 + VAR237; VAR233 <= VAR224 + VAR233;
VAR294 <= VAR19 + VAR294; VAR186 <= VAR295 + VAR186; VAR265 <= VAR211 + VAR265; VAR219 <= VAR288 + VAR219;
VAR73 <= VAR144 + VAR73; VAR275 <= VAR135 + VAR275; VAR75 <= VAR114 + VAR75; VAR51 <= VAR4 + VAR51;
VAR246 <= VAR293 + VAR246; VAR290 <= VAR28 + VAR290; VAR106 <= VAR31 + VAR106; VAR297 <= VAR92 + VAR297;
VAR142 <= VAR172 + VAR142; VAR217 <= VAR274 + VAR217; VAR54 <= VAR174 + VAR54; VAR253 <= VAR305 + VAR253;
VAR113 <= VAR111 + VAR113; VAR276 <= VAR121 + VAR276; VAR284 <= VAR203 + VAR284; VAR65 <= VAR25 + VAR65;
VAR244 <= VAR85 + VAR244; VAR17 <= VAR280 + VAR17; VAR235 <= VAR139 + VAR235; VAR151 <= VAR82 + VAR151;
VAR283 <= VAR282 + VAR283; VAR102 <= VAR21 + VAR102; VAR10 <= VAR37 + VAR10; VAR230 <= VAR257 + VAR230;
VAR281 <= VAR238 + VAR281; VAR170 <= VAR268 + VAR170; VAR14 <= VAR122 + VAR14; VAR136 <= VAR9 + VAR136;
VAR80 <= VAR125 + VAR80; VAR63 <= VAR77 + VAR63; VAR78 <= VAR140 + VAR78; VAR306 <= VAR84 + VAR306;
VAR176 <= VAR215 + VAR176; VAR11 <= VAR32 + VAR11; VAR149 <= VAR218 + VAR149; VAR263 <= VAR110 + VAR263;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR41 <= 0; VAR87 <= 0; VAR7 <= 0; VAR104 <= 0;
VAR189 <= 0; VAR39 <= 0; VAR6 <= 0; VAR62 <= 0;
VAR249 <= 0; VAR178 <= 0; VAR66 <= 0; VAR267 <= 0;
VAR101 <= 0; VAR118 <= 0; VAR56 <= 0; VAR207 <= 0;
VAR57 <= 0; VAR272 <= 0; VAR154 <= 0; VAR13 <= 0;
VAR152 <= 0; VAR304 <= 0; VAR112 <= 0; VAR83 <= 0;
VAR141 <= 0; VAR168 <= 0; VAR131 <= 0; VAR175 <= 0;
VAR99 <= 0; VAR299 <= 0; VAR123 <= 0; VAR220 <= 0;
VAR289 <= 0; VAR188 <= 0; VAR195 <= 0; VAR81 <= 0;
VAR291 <= 0; VAR214 <= 0; VAR119 <= 0; VAR69 <= 0;
VAR162 <= 0; VAR70 <= 0; VAR95 <= 0; VAR58 <= 0;
VAR72 <= 0; VAR160 <= 0; VAR55 <= 0; VAR155 <= 0;
VAR251 <= 0; VAR243 <= 0; VAR303 <= 0; VAR229 <= 0;
VAR196 <= 0; VAR221 <= 0; VAR38 <= 0; VAR24 <= 0;
VAR302 <= 0; VAR88 <= 0; VAR193 <= 0; VAR287 <= 0;
VAR107 <= 0; VAR179 <= 0; VAR266 <= 0; VAR22 <= 0;
end
else if (VAR20 & VAR192 == 0) begin
VAR41 <= VAR277[15] ? VAR277[26:16] + 1 : VAR277[26:16];
VAR87 <= VAR33[15] ? VAR33[26:16] + 1 : VAR33[26:16];
VAR7 <= VAR133[15] ? VAR133[26:16] + 1 : VAR133[26:16];
VAR104 <= VAR49[15] ? VAR49[26:16] + 1 : VAR49[26:16];
VAR189 <= VAR157[15] ? VAR157[26:16] + 1 : VAR157[26:16];
VAR39 <= VAR94[15] ? VAR94[26:16] + 1 : VAR94[26:16];
VAR6 <= VAR256[15] ? VAR256[26:16] + 1 : VAR256[26:16];
VAR62 <= VAR96[15] ? VAR96[26:16] + 1 : VAR96[26:16];
VAR249 <= VAR130[15] ? VAR130[26:16] + 1 : VAR130[26:16];
VAR178 <= VAR64[15] ? VAR64[26:16] + 1 : VAR64[26:16];
VAR66 <= VAR259[15] ? VAR259[26:16] + 1 : VAR259[26:16];
VAR267 <= VAR187[15] ? VAR187[26:16] + 1 : VAR187[26:16];
VAR101 <= VAR212[15] ? VAR212[26:16] + 1 : VAR212[26:16];
VAR118 <= VAR166[15] ? VAR166[26:16] + 1 : VAR166[26:16];
VAR56 <= VAR254[15] ? VAR254[26:16] + 1 : VAR254[26:16];
VAR207 <= VAR93[15] ? VAR93[26:16] + 1 : VAR93[26:16];
VAR57 <= VAR145[15] ? VAR145[26:16] + 1 : VAR145[26:16];
VAR272 <= VAR184[15] ? VAR184[26:16] + 1 : VAR184[26:16];
VAR154 <= VAR44[15] ? VAR44[26:16] + 1 : VAR44[26:16];
VAR13 <= VAR269[15] ? VAR269[26:16] + 1 : VAR269[26:16];
VAR152 <= VAR255[15] ? VAR255[26:16] + 1 : VAR255[26:16];
VAR304 <= VAR146[15] ? VAR146[26:16] + 1 : VAR146[26:16];
VAR112 <= VAR237[15] ? VAR237[26:16] + 1 : VAR237[26:16];
VAR83 <= VAR233[15] ? VAR233[26:16] + 1 : VAR233[26:16];
VAR141 <= VAR294[15] ? VAR294[26:16] + 1 : VAR294[26:16];
VAR168 <= VAR186[15] ? VAR186[26:16] + 1 : VAR186[26:16];
VAR131 <= VAR265[15] ? VAR265[26:16] + 1 : VAR265[26:16];
VAR175 <= VAR219[15] ? VAR219[26:16] + 1 : VAR219[26:16];
VAR99 <= VAR73[15] ? VAR73[26:16] + 1 : VAR73[26:16];
VAR299 <= VAR275[15] ? VAR275[26:16] + 1 : VAR275[26:16];
VAR123 <= VAR75[15] ? VAR75[26:16] + 1 : VAR75[26:16];
VAR220 <= VAR51[15] ? VAR51[26:16] + 1 : VAR51[26:16];
VAR289 <= VAR246[15] ? VAR246[26:16] + 1 : VAR246[26:16];
VAR188 <= VAR290[15] ? VAR290[26:16] + 1 : VAR290[26:16];
VAR195 <= VAR106[15] ? VAR106[26:16] + 1 : VAR106[26:16];
VAR81 <= VAR297[15] ? VAR297[26:16] + 1 : VAR297[26:16];
VAR291 <= VAR142[15] ? VAR142[26:16] + 1 : VAR142[26:16];
VAR214 <= VAR217[15] ? VAR217[26:16] + 1 : VAR217[26:16];
VAR119 <= VAR54[15] ? VAR54[26:16] + 1 : VAR54[26:16];
VAR69 <= VAR253[15] ? VAR253[26:16] + 1 : VAR253[26:16];
VAR162 <= VAR113[15] ? VAR113[26:16] + 1 : VAR113[26:16];
VAR70 <= VAR276[15] ? VAR276[26:16] + 1 : VAR276[26:16];
VAR95 <= VAR284[15] ? VAR284[26:16] + 1 : VAR284[26:16];
VAR58 <= VAR65[15] ? VAR65[26:16] + 1 : VAR65[26:16];
VAR72 <= VAR244[15] ? VAR244[26:16] + 1 : VAR244[26:16];
VAR160 <= VAR17[15] ? VAR17[26:16] + 1 : VAR17[26:16];
VAR55 <= VAR235[15] ? VAR235[26:16] + 1 : VAR235[26:16];
VAR155 <= VAR151[15] ? VAR151[26:16] + 1 : VAR151[26:16];
VAR251 <= VAR283[15] ? VAR283[26:16] + 1 : VAR283[26:16];
VAR243 <= VAR102[15] ? VAR102[26:16] + 1 : VAR102[26:16];
VAR303 <= VAR10[15] ? VAR10[26:16] + 1 : VAR10[26:16];
VAR229 <= VAR230[15] ? VAR230[26:16] + 1 : VAR230[26:16];
VAR196 <= VAR281[15] ? VAR281[26:16] + 1 : VAR281[26:16];
VAR221 <= VAR170[15] ? VAR170[26:16] + 1 : VAR170[26:16];
VAR38 <= VAR14[15] ? VAR14[26:16] + 1 : VAR14[26:16];
VAR24 <= VAR136[15] ? VAR136[26:16] + 1 : VAR136[26:16];
VAR302 <= VAR80[15] ? VAR80[26:16] + 1 : VAR80[26:16];
VAR88 <= VAR63[15] ? VAR63[26:16] + 1 : VAR63[26:16];
VAR193 <= VAR78[15] ? VAR78[26:16] + 1 : VAR78[26:16];
VAR287 <= VAR306[15] ? VAR306[26:16] + 1 : VAR306[26:16];
VAR107 <= VAR176[15] ? VAR176[26:16] + 1 : VAR176[26:16];
VAR179 <= VAR11[15] ? VAR11[26:16] + 1 : VAR11[26:16];
VAR266 <= VAR149[15] ? VAR149[26:16] + 1 : VAR149[26:16];
VAR22 <= VAR263[15] ? VAR263[26:16] + 1 : VAR263[26:16];
end
end
always @(posedge clk)
begin
if (rst)
VAR16 <= 0;
end
else if (!VAR216)
VAR16 <= 0;
end
else if (VAR20 == 0 | VAR192)
VAR16 <= 0;
else if (VAR20 & VAR192 == 0)
VAR16 <= 1;
end
always @(posedge clk)
begin
if (rst)
VAR137 <= 0;
end
else if (enable)
VAR137 <= VAR128 * VAR271;
end
always @(posedge clk)
begin
if (rst)
VAR61 <= 0;
end
else if (VAR23 == 1 & enable == 1)
VAR61 <= VAR137;
else if (enable)
VAR61 <= VAR137 + VAR61;
end
always @(posedge clk)
begin
if (rst) begin
VAR278 <= 0;
VAR147 <= 0;
VAR115 <= 0;
VAR279 <= 0;
VAR30 <= 0;
VAR199 <= 0;
VAR252 <= 0;
end
else if (!VAR216) begin
VAR278 <= 0;
VAR147 <= 0;
VAR115 <= 0;
VAR279 <= 0;
VAR30 <= 0;
VAR199 <= 0;
VAR252 <= 0;
end
else if (VAR216) begin
VAR278 <= VAR60 * VAR180;
VAR147 <= VAR60 * VAR298;
VAR115 <= VAR60 * VAR183;
VAR279 <= VAR60 * VAR43;
VAR30 <= VAR60 * VAR301;
VAR199 <= VAR60 * VAR138;
VAR252 <= VAR60 * VAR103;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR228 <= 0;
VAR234 <= 0;
VAR169 <= 0;
VAR270 <= 0;
VAR202 <= 0;
VAR285 <= 0;
VAR286 <= 0;
end
else if (!VAR216) begin
VAR228 <= 0;
VAR234 <= 0;
VAR169 <= 0;
VAR270 <= 0;
VAR202 <= 0;
VAR285 <= 0;
VAR286 <= 0;
end
else if (VAR216) begin
VAR228 <= VAR278 + VAR228;
VAR234 <= VAR147 + VAR234;
VAR169 <= VAR115 + VAR169;
VAR270 <= VAR279 + VAR270;
VAR202 <= VAR30 + VAR202;
VAR285 <= VAR199 + VAR285;
VAR286 <= VAR252 + VAR286;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR23 <= 0; VAR191 <= 0; VAR190 <= 0; VAR236 <= 0;
VAR153 <= 0; VAR171 <= 0; VAR86 <= 0; VAR261 <= 0;
VAR20 <= 0;
end
else if (!enable) begin
VAR23 <= 0; VAR191 <= 0; VAR190 <= 0; VAR236 <= 0;
VAR153 <= 0; VAR171 <= 0; VAR86 <= 0; VAR261 <= 0;
VAR20 <= 0;
end
else if (enable) begin
VAR23 <= VAR23 + 1; VAR191 <= VAR205; VAR190 <= VAR191;
VAR236 <= VAR190; VAR153 <= VAR236; VAR171 <= VAR153;
VAR86 <= VAR171; VAR261 <= VAR86; VAR20 <= VAR261;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR205 <= 0;
end
else if (VAR23 != 7 | !enable) begin
VAR205 <= 0;
end
else if (VAR23 == 7) begin
VAR205 <= 1;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR192 <= 0;
VAR250 <= 0;
end
else if (!enable) begin
VAR192 <= 0;
VAR250 <= 0;
end
else if (VAR205 == 1) begin
VAR192 <= VAR192 + 1;
VAR250 <= VAR250 + 1;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR5 <= 0;
end
else if (VAR191 & VAR216) begin
VAR5 <= VAR61 - 25'd5932032;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR248 <= 0; VAR98 <= 0;
VAR262 <= 0; VAR27 <= 0;
VAR26 <= 0; VAR213 <= 0;
VAR260 <= 0; VAR194 <= 0;
VAR150 <= 0; VAR89 <= 0;
VAR198 <= 0; VAR53 <= 0;
VAR3 <= 0; VAR173 <= 0;
end
else if (!VAR216) begin
VAR248 <= 0; VAR98 <= 0;
VAR262 <= 0; VAR27 <= 0;
VAR26 <= 0; VAR213 <= 0;
VAR260 <= 0; VAR194 <= 0;
VAR150 <= 0; VAR89 <= 0;
VAR198 <= 0; VAR53 <= 0;
VAR3 <= 0; VAR173 <= 0;
end
else if (VAR190 & VAR216) begin
VAR248 <= VAR228; VAR98 <= VAR248;
VAR262 <= VAR234; VAR27 <= VAR262;
VAR26 <= VAR169; VAR213 <= VAR26;
VAR260 <= VAR270; VAR194 <= VAR260;
VAR150 <= VAR202; VAR89 <= VAR150;
VAR198 <= VAR285; VAR53 <= VAR198;
VAR3 <= VAR286; VAR173 <= VAR3;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR48 <= 0; VAR120 <= 0;
VAR239 <= 0; VAR167 <= 0;
VAR163 <= 0; VAR182 <= 0;
VAR124 <= 0;
end
else if (VAR236 & VAR216) begin
VAR48 <= VAR248 - VAR98;
VAR120 <= VAR262 - VAR27;
VAR239 <= VAR26 - VAR213;
VAR167 <= VAR260 - VAR194;
VAR163 <= VAR150 - VAR89;
VAR182 <= VAR198 - VAR53;
VAR124 <= VAR3 - VAR173;
end
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR180 <= VAR100;
3'b001: VAR180 <= VAR161;
3'b010: VAR180 <= VAR8;
3'b011: VAR180 <= VAR1;
3'b100: VAR180 <= VAR206;
3'b101: VAR180 <= VAR71;
3'b110: VAR180 <= VAR74;
3'b111: VAR180 <= VAR226;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR298 <= VAR117;
3'b001: VAR298 <= VAR42;
3'b010: VAR298 <= VAR292;
3'b011: VAR298 <= VAR12;
3'b100: VAR298 <= VAR12;
3'b101: VAR298 <= VAR292;
3'b110: VAR298 <= VAR42;
3'b111: VAR298 <= VAR117;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR183 <= VAR161;
3'b001: VAR183 <= VAR206;
3'b010: VAR183 <= VAR226;
3'b011: VAR183 <= VAR71;
3'b100: VAR183 <= VAR8;
3'b101: VAR183 <= VAR100;
3'b110: VAR183 <= VAR1;
3'b111: VAR183 <= VAR74;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR43 <= VAR271;
3'b001: VAR43 <= VAR201;
3'b010: VAR43 <= VAR201;
3'b011: VAR43 <= VAR271;
3'b100: VAR43 <= VAR271;
3'b101: VAR43 <= VAR201;
3'b110: VAR43 <= VAR201;
3'b111: VAR43 <= VAR271;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR301 <= VAR8;
3'b001: VAR301 <= VAR226;
3'b010: VAR301 <= VAR1;
3'b011: VAR301 <= VAR161;
3'b100: VAR301 <= VAR74;
3'b101: VAR301 <= VAR206;
3'b110: VAR301 <= VAR100;
3'b111: VAR301 <= VAR71;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR138 <= VAR42;
3'b001: VAR138 <= VAR12;
3'b010: VAR138 <= VAR117;
3'b011: VAR138 <= VAR292;
3'b100: VAR138 <= VAR292;
3'b101: VAR138 <= VAR117;
3'b110: VAR138 <= VAR12;
3'b111: VAR138 <= VAR42;
endcase
end
always @(posedge clk)
begin
case (VAR23)
3'b000: VAR103 <= VAR1;
3'b001: VAR103 <= VAR71;
3'b010: VAR103 <= VAR161;
3'b011: VAR103 <= VAR226;
3'b100: VAR103 <= VAR100;
3'b101: VAR103 <= VAR74;
3'b110: VAR103 <= VAR8;
3'b111: VAR103 <= VAR206;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR204 <= VAR18;
3'b001: VAR204 <= VAR242;
3'b010: VAR204 <= VAR240;
3'b011: VAR204 <= VAR47;
3'b100: VAR204 <= VAR116;
3'b101: VAR204 <= VAR97;
3'b110: VAR204 <= VAR300;
3'b111: VAR204 <= VAR34;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR264 <= VAR108;
3'b001: VAR264 <= VAR108;
3'b010: VAR264 <= VAR245;
3'b011: VAR264 <= VAR241;
3'b100: VAR264 <= VAR29;
3'b101: VAR264 <= VAR29;
3'b110: VAR264 <= VAR241;
3'b111: VAR264 <= VAR245;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR164 <= VAR34;
3'b001: VAR164 <= VAR240;
3'b010: VAR164 <= VAR97;
3'b011: VAR164 <= VAR18;
3'b100: VAR164 <= VAR300;
3'b101: VAR164 <= VAR47;
3'b110: VAR164 <= VAR242;
3'b111: VAR164 <= VAR116;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR67 <= VAR35;
3'b001: VAR67 <= VAR35;
3'b010: VAR67 <= VAR76;
3'b011: VAR67 <= VAR76;
3'b100: VAR67 <= VAR35;
3'b101: VAR67 <= VAR35;
3'b110: VAR67 <= VAR76;
3'b111: VAR67 <= VAR76;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR296 <= VAR300;
3'b001: VAR296 <= VAR47;
3'b010: VAR296 <= VAR18;
3'b011: VAR296 <= VAR116;
3'b100: VAR296 <= VAR240;
3'b101: VAR296 <= VAR34;
3'b110: VAR296 <= VAR97;
3'b111: VAR296 <= VAR242;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR273 <= VAR245;
3'b001: VAR273 <= VAR245;
3'b010: VAR273 <= VAR29;
3'b011: VAR273 <= VAR108;
3'b100: VAR273 <= VAR241;
3'b101: VAR273 <= VAR241;
3'b110: VAR273 <= VAR108;
3'b111: VAR273 <= VAR29;
endcase
end
always @(posedge clk)
begin
case (VAR250)
3'b000: VAR50 <= VAR97;
3'b001: VAR50 <= VAR116;
3'b010: VAR50 <= VAR300;
3'b011: VAR50 <= VAR240;
3'b100: VAR50 <= VAR18;
3'b101: VAR50 <= VAR242;
3'b110: VAR50 <= VAR34;
3'b111: VAR50 <= VAR47;
endcase
end
always @(posedge clk)
begin
if (rst) begin
VAR60 <= 0;
VAR165 <= 0; VAR2 <= 0; VAR200 <= 0; VAR159 <= 0;
VAR134 <= 0; VAR46 <= 0; VAR132 <= 0; VAR127 <= 0;
VAR91 <= 0; VAR126 <= 0; VAR90 <= 0; VAR222 <= 0;
VAR148 <= 0; VAR68 <= 0; VAR181 <= 0; VAR258 <= 0;
VAR158 <= 0; VAR185 <= 0;
end
else if (enable) begin
VAR60 <= VAR128;
VAR165 <= VAR5[11] ? VAR5[24:12] + 1 : VAR5[24:12];
VAR91[31:13] <= VAR165[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR91[12:0] <= VAR165;
VAR158 <= VAR91;
VAR185 <= VAR158;
VAR2 <= VAR48[11] ? VAR48[24:12] + 1 : VAR48[24:12];
VAR126[31:13] <= VAR2[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR126[12:0] <= VAR2;
VAR200 <= VAR120[11] ? VAR120[24:12] + 1 : VAR120[24:12];
VAR90[31:13] <= VAR200[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR90[12:0] <= VAR200;
VAR159 <= VAR239[11] ? VAR239[24:12] + 1 : VAR239[24:12];
VAR222[31:13] <= VAR159[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR222[12:0] <= VAR159;
VAR134 <= VAR167[11] ? VAR167[24:12] + 1 : VAR167[24:12];
VAR148[31:13] <= VAR134[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR148[12:0] <= VAR134;
VAR46 <= VAR163[11] ? VAR163[24:12] + 1 : VAR163[24:12];
VAR68[31:13] <= VAR46[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR68[12:0] <= VAR46;
VAR132 <= VAR182[11] ? VAR182[24:12] + 1 : VAR182[24:12];
VAR181[31:13] <= VAR132[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR181[12:0] <= VAR132;
VAR127 <= VAR124[11] ? VAR124[24:12] + 1 : VAR124[24:12];
VAR258[31:13] <= VAR127[12] ? 21'b111111111111111111111 : 21'b000000000000000000000;
VAR258[12:0] <= VAR127;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR216 <= 0;
end
else begin
VAR216 <= enable;
end
end
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sctag/rtl/sctag_tagl_dp.v
| 4,582 |
module MODULE1(
VAR47, VAR29, VAR34, VAR48,
VAR16, VAR15, VAR32, VAR9, VAR25,
VAR42, VAR39, VAR6, VAR17, VAR24, VAR5
);
input [VAR31-1:0] VAR16; input [VAR31-1:0] VAR15;input [VAR31-1:0] VAR32;input [VAR31-1:0] VAR9;input [VAR31-1:0] VAR25;input [VAR31-1:0] VAR42;
input VAR39;
input VAR6,VAR17;
output VAR47;
output [5:0] VAR29;
output [VAR31-1:0] VAR34;
output [VAR31-1:0] VAR48;
input [2:0] VAR24;
input [2:0] VAR5;
wire [VAR31-1:0] VAR26;
wire [VAR31-1:0] VAR44;
wire [VAR31-1:0] VAR40;
wire [VAR31-1:0] VAR8;
wire [VAR31-1:0] VAR21;
wire [VAR31-1:0] VAR3;
VAR38 VAR43 ( .VAR19(VAR29[0]), .VAR18({4'b0,VAR16[VAR31-1:0]}));
VAR4 #(VAR31) VAR35 (.din(VAR16[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR26[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
VAR38 VAR11 ( .VAR19(VAR29[1]), .VAR18({4'b0,VAR15[VAR31-1:0]}));
VAR4 #(VAR31) VAR36 (.din(VAR15[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR44[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
VAR38 VAR27 ( .VAR19(VAR29[2]), .VAR18({4'b0,VAR32[VAR31-1:0]}));
VAR4 #(VAR31) VAR28 (.din(VAR32[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR40[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
VAR46 #(VAR31) VAR10 (.dout (VAR34[VAR31-1:0]),
.VAR45(VAR26[VAR31-1:0]),
.VAR41(VAR44[VAR31-1:0]),
.VAR14(VAR40[VAR31-1:0]),
.VAR22(VAR24[0]),
.VAR33(VAR24[1]),
.VAR23(VAR24[2]));
VAR46 #(VAR31) VAR30 (.dout (VAR48[VAR31-1:0]),
.VAR45(VAR8[VAR31-1:0]),
.VAR41(VAR21[VAR31-1:0]),
.VAR14(VAR3[VAR31-1:0]),
.VAR22(VAR5[0]),
.VAR33(VAR5[1]),
.VAR23(VAR5[2]));
VAR38 VAR2 ( .VAR19(VAR29[3]), .VAR18({4'b0,VAR9[VAR31-1:0]}));
VAR4 #(VAR31) VAR37 (.din(VAR9[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR8[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
VAR38 VAR1 ( .VAR19(VAR29[4]), .VAR18({4'b0,VAR25[VAR31-1:0]}));
VAR4 #(VAR31) VAR20 (.din(VAR25[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR21[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
VAR38 VAR7 ( .VAR19(VAR29[5]), .VAR18({4'b0,VAR42[VAR31-1:0]}));
VAR4 #(VAR31) VAR12 (.din(VAR42[VAR31-1:0]), .clk(VAR39),
.VAR13(VAR3[VAR31-1:0]), .VAR17(VAR17), .VAR6(), .VAR47());
endmodule
|
gpl-2.0
|
jbelloncastro/amber_arm
|
hw/vlog/system/system.v
| 33,444 |
module MODULE1
(
input VAR28,
input VAR384,
input VAR1,
input VAR365,
output VAR82,
output VAR377,
input VAR371,
inout [15:0] VAR22,
output [12:0] VAR310,
output [2:0] VAR35,
output VAR109,
output VAR63,
output VAR275,
output VAR93,
output VAR357,
output VAR338,
output [1:0] VAR284,
inout [1:0] VAR152,
inout [1:0] VAR78,
output VAR116,
output VAR151,
inout VAR257,
input VAR45,
output [3:0] VAR122,
output VAR196,
output VAR363,
input VAR199,
input [3:0] VAR213,
input VAR369,
input VAR120,
input VAR254,
input VAR34,
inout VAR337,
output VAR208,
output VAR322,
output [3:0] VAR224
);
wire VAR341; wire VAR249; wire VAR160;
wire VAR123;
wire [2:0] VAR171;
wire [29:0] VAR209;
wire VAR201;
wire [15:0] VAR51;
wire [127:0] VAR40;
wire [127:0] VAR134;
wire VAR216;
wire VAR255;
wire VAR148;
wire VAR133;
wire VAR193;
wire VAR244;
wire VAR41;
wire VAR58;
wire VAR8;
localparam VAR282 = 2;
localparam VAR292 = 9;
localparam VAR206 = 128;
localparam VAR183 = 16;
localparam VAR206 = 32;
localparam VAR183 = 4;
wire [31:0] VAR162 [VAR282-1:0];
wire [VAR183-1:0] VAR143 [VAR282-1:0];
wire [VAR282-1:0] VAR129 ;
wire [VAR206-1:0] VAR71 [VAR282-1:0];
wire [VAR206-1:0] VAR235 [VAR282-1:0];
wire [VAR282-1:0] VAR309 ;
wire [VAR282-1:0] VAR167 ;
wire [VAR282-1:0] VAR164 ;
wire [VAR282-1:0] VAR56 ;
wire [31:0] VAR157 [VAR292-1:0];
wire [VAR183-1:0] VAR117 [VAR292-1:0];
wire [VAR292-1:0] VAR256 ;
wire [VAR206-1:0] VAR215 [VAR292-1:0];
wire [VAR206-1:0] VAR60 [VAR292-1:0];
wire [VAR292-1:0] VAR145 ;
wire [VAR292-1:0] VAR270 ;
wire [VAR292-1:0] VAR346 ;
wire [VAR292-1:0] VAR195 ;
wire [31:0] VAR140;
wire [3:0] VAR156;
wire VAR301;
wire [31:0] VAR91;
wire [31:0] VAR306;
wire VAR324;
wire VAR368;
wire VAR288;
wire VAR62;
wire [31:0] VAR68;
wire [3:0] VAR24;
wire VAR141;
wire [31:0] VAR21;
wire [31:0] VAR166;
wire VAR290;
wire VAR70;
wire VAR329;
wire VAR150;
wire VAR11;
wire VAR259;
wire VAR187;
wire VAR248;
wire VAR132;
wire VAR118;
wire VAR185;
wire [2:0] VAR307;
VAR262 VAR84 (
.VAR142 ( VAR28 ),
.VAR189 ( VAR384 ),
.VAR173 ( VAR1 ),
.VAR29 ( VAR133 ),
.VAR281 ( VAR249 ),
.VAR87 ( VAR341 ),
.VAR25 ( VAR160 )
);
VAR12 VAR387 (
.VAR361 ( VAR341 ),
.VAR75 ( VAR11 ),
.VAR46 ( VAR259 ),
.VAR260 ( VAR244 ),
.VAR291 ( VAR162 [1] ),
.VAR13 ( VAR143 [1] ),
.VAR186 ( VAR129 [1] ),
.VAR344 ( VAR235[1] ),
.VAR194 ( VAR71[1] ),
.VAR61 ( VAR309 [1] ),
.VAR197 ( VAR167 [1] ),
.VAR302 ( VAR164 [1] ),
.VAR136 ( VAR56 [1] )
);
VAR124 VAR135 (
.VAR233 ( VAR341 ),
.VAR15 ( VAR249 ),
.VAR286 ( VAR68 [11:2] ),
.VAR320 ( VAR24 ),
.VAR265 ( VAR141 ),
.VAR335 ( VAR290 ),
.VAR304 ( VAR70 ),
.VAR80 ( VAR329 ),
.VAR95 ( VAR166 ),
.VAR352 ( VAR21 ),
.VAR159 ( VAR150 ),
.VAR354 ( VAR140 ),
.VAR103 ( VAR156 ),
.VAR163 ( VAR301 ),
.VAR308 ( VAR91 ),
.VAR311 ( VAR306 ),
.VAR317 ( VAR324 ),
.VAR378 ( VAR368 ),
.VAR180 ( VAR288 ),
.VAR111 ( VAR62 ),
.VAR45 ( VAR45 ),
.VAR122 ( VAR122 ),
.VAR196 ( VAR196 ),
.VAR363 ( VAR363 ),
.VAR199 ( VAR199 ),
.VAR213 ( VAR213 ),
.VAR369 ( VAR369 ),
.VAR120 ( VAR120 ),
.VAR254 ( VAR254 ),
.VAR34 ( VAR34 ),
.VAR41 ( VAR41 ),
.VAR208 ( VAR208 ),
.VAR58 ( VAR58 ),
.VAR8 ( VAR8 ),
.VAR273 ( VAR187 )
);
VAR86 VAR165 (
VAR16 VAR165 (
.VAR367 ( VAR41 ),
.VAR230 ( VAR337 ),
.VAR44 ( VAR58 ),
.VAR2 ( ~VAR8 )
);
assign VAR244 = VAR133 && !VAR249;
generate
if (VAR206 == 32) begin : VAR355
VAR355 VAR238 (
.VAR321 ( VAR341 ),
.VAR32 ( VAR157 [1] ),
.VAR217 ( VAR117 [1] ),
.VAR203 ( VAR256 [1] ),
.VAR194 ( VAR60[1] ),
.VAR344 ( VAR215[1] ),
.VAR252 ( VAR145 [1] ),
.VAR174 ( VAR270 [1] ),
.VAR106 ( VAR346 [1] ),
.VAR69 ( VAR195 [1] )
);
end
else begin : VAR362
VAR362 VAR238 (
.VAR321 ( VAR341 ),
.VAR32 ( VAR157 [1] ),
.VAR217 ( VAR117 [1] ),
.VAR203 ( VAR256 [1] ),
.VAR194 ( VAR60[1] ),
.VAR344 ( VAR215[1] ),
.VAR252 ( VAR145 [1] ),
.VAR174 ( VAR270 [1] ),
.VAR106 ( VAR346 [1] ),
.VAR69 ( VAR195 [1] )
);
end
endgenerate
VAR313 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR316 (
.VAR361 ( VAR341 ),
.VAR360 ( VAR118 ),
.VAR289 ( VAR365 ),
.VAR179 ( VAR82 ),
.VAR383 ( VAR377 ),
.VAR188 ( VAR371 ),
.VAR32 ( VAR157 [3] ),
.VAR217 ( VAR117 [3] ),
.VAR203 ( VAR256 [3] ),
.VAR194 ( VAR60[3] ),
.VAR344 ( VAR215[3] ),
.VAR252 ( VAR145 [3] ),
.VAR174 ( VAR270 [3] ),
.VAR106 ( VAR346 [3] ),
.VAR69 ( VAR195 [3] )
);
VAR313 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR272 (
.VAR361 ( VAR341 ),
.VAR360 ( VAR185 ),
.VAR289 ( 1'd1 ),
.VAR179 ( ),
.VAR383 ( ),
.VAR188 ( 1'd1 ),
.VAR32 ( VAR157 [4] ),
.VAR217 ( VAR117 [4] ),
.VAR203 ( VAR256 [4] ),
.VAR194 ( VAR60[4] ),
.VAR344 ( VAR215[4] ),
.VAR252 ( VAR145 [4] ),
.VAR174 ( VAR270 [4] ),
.VAR106 ( VAR346 [4] ),
.VAR69 ( VAR195 [4] )
);
VAR376 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR258 (
.VAR361 ( VAR341 ),
.VAR112 ( VAR248 ),
.VAR48 ( VAR132 ),
.VAR97 ( VAR193 ),
.VAR32 ( VAR157 [5] ),
.VAR217 ( VAR117 [5] ),
.VAR203 ( VAR256 [5] ),
.VAR194 ( VAR60[5] ),
.VAR344 ( VAR215[5] ),
.VAR252 ( VAR145 [5] ),
.VAR174 ( VAR270 [5] ),
.VAR106 ( VAR346 [5] ),
.VAR69 ( VAR195 [5] ),
.VAR340 ( VAR224 ),
.VAR191 ( VAR322 )
);
VAR42 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR26 (
.VAR361 ( VAR341 ),
.VAR72 ( VAR307 ),
.VAR32 ( VAR157 [6] ),
.VAR217 ( VAR117 [6] ),
.VAR203 ( VAR256 [6] ),
.VAR194 ( VAR60[6] ),
.VAR344 ( VAR215[6] ),
.VAR252 ( VAR145 [6] ),
.VAR174 ( VAR270 [6] ),
.VAR106 ( VAR346 [6] ),
.VAR69 ( VAR195 [6] )
);
VAR114 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR274 (
.VAR361 ( VAR341 ),
.VAR112 ( VAR11 ),
.VAR48 ( VAR259 ),
.VAR14 ( VAR118 ),
.VAR388 ( VAR185 ),
.VAR138 ( VAR187 ),
.VAR366 ( VAR248 ),
.VAR178 ( VAR132 ),
.VAR385 ( VAR307 ),
.VAR32 ( VAR157 [7] ),
.VAR217 ( VAR117 [7] ),
.VAR203 ( VAR256 [7] ),
.VAR194 ( VAR60[7] ),
.VAR344 ( VAR215[7] ),
.VAR252 ( VAR145 [7] ),
.VAR174 ( VAR270 [7] ),
.VAR106 ( VAR346 [7] ),
.VAR69 ( VAR195 [7] )
);
assign VAR133 = 1'd1;
VAR347 VAR312 (
.VAR223 ( VAR341 ),
.VAR386 ( VAR193 ),
.VAR115 ( VAR157 [2] ),
.VAR66 ( VAR117 [2] ),
.VAR54 ( VAR256 [2] ),
.VAR318 ( VAR60[2] ),
.VAR294 ( VAR215[2] ),
.VAR18 ( VAR145 [2] ),
.VAR37 ( VAR270 [2] ),
.VAR50 ( VAR346 [2] ),
.VAR287 ( VAR195 [2] )
);
VAR104 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR219(
.VAR361 ( VAR341 ),
.VAR153 ( VAR123 ),
.VAR158 ( VAR171 ),
.VAR283 ( VAR209 ),
.VAR100 ( VAR255 ),
.VAR374 ( VAR148 ),
.VAR200 ( VAR201 ),
.VAR358 ( VAR51 ),
.VAR225 ( VAR40 ),
.VAR119 ( VAR134 ),
.VAR168 ( VAR216 ),
.VAR101 ( VAR193 ),
.VAR32 ( VAR157 [2] ),
.VAR217 ( VAR117 [2] ),
.VAR203 ( VAR256 [2] ),
.VAR194 ( VAR60[2] ),
.VAR344 ( VAR215[2] ),
.VAR252 ( VAR145 [2] ),
.VAR174 ( VAR270 [2] ),
.VAR106 ( VAR346 [2] ),
.VAR69 ( VAR195 [2] )
);
VAR236 VAR245 (
.VAR110 ( VAR22 ),
.VAR127 ( VAR310 ),
.VAR67 ( VAR35 ),
.VAR175 ( VAR109 ),
.VAR81 ( VAR63 ),
.VAR79 ( VAR275 ),
.VAR261 ( VAR93 ),
.VAR202 ( VAR357 ),
.VAR279 ( VAR338 ),
.VAR299 ( VAR284[1] ),
.VAR39 ( VAR284[0] ),
.VAR257 ( VAR257 ),
.VAR131 ( VAR152[1] ),
.VAR20 ( VAR152[0] ),
.VAR319 ( VAR78[1] ),
.VAR331 ( VAR78[0] ),
.VAR212 ( VAR116 ),
.VAR325 ( VAR151 ),
.VAR359 ( VAR160 ),
.VAR251 ( VAR28 ), .VAR250 ( ),
.VAR207 ( ),
.VAR353 ( VAR133 ),
.VAR285 ( VAR341 ),
.VAR123 ( VAR123 ),
.VAR171 ( VAR171 ),
.VAR348 ( 6'd0 ),
.VAR209 ( VAR209 ),
.VAR240 ( ),
.VAR255 ( VAR255 ),
.VAR5 ( VAR341 ),
.VAR201 ( VAR201 ),
.VAR51 ( VAR51 ),
.VAR40 ( VAR40 ),
.VAR148 ( VAR148 ),
.VAR57 ( ),
.VAR59 ( ),
.VAR375 ( ),
.VAR17 ( ),
.VAR247 ( VAR341 ),
.VAR49 ( 1'd1 ),
.VAR134 ( VAR134 ),
.VAR253 ( ),
.VAR216 ( VAR216 ),
.VAR170 ( ),
.VAR181 ( ),
.VAR221 ( )
);
VAR139 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR64 (
.VAR321 ( VAR341 ),
.VAR52 ( VAR162 [0] ),
.VAR83 ( VAR143 [0] ),
.VAR330 ( VAR129 [0] ),
.VAR43 ( VAR235 [0] ),
.VAR298 ( VAR71 [0] ),
.VAR31 ( VAR309 [0] ),
.VAR300 ( VAR167 [0] ),
.VAR379 ( VAR164 [0] ),
.VAR176 ( VAR56 [0] ),
.VAR364 ( VAR162 [1] ),
.VAR77 ( VAR143 [1] ),
.VAR65 ( VAR129 [1] ),
.VAR280 ( VAR235 [1] ),
.VAR303 ( VAR71 [1] ),
.VAR184 ( VAR309 [1] ),
.VAR182 ( VAR167 [1] ),
.VAR327 ( VAR164 [1] ),
.VAR204 ( VAR56 [1] ),
.VAR326 ( VAR157 [0] ),
.VAR370 ( VAR117 [0] ),
.VAR228 ( VAR256 [0] ),
.VAR19 ( VAR60 [0] ),
.VAR144 ( VAR215 [0] ),
.VAR74 ( VAR145 [0] ),
.VAR333 ( VAR270 [0] ),
.VAR128 ( VAR346 [0] ),
.VAR30 ( VAR195 [0] ),
.VAR85 ( VAR157 [1] ),
.VAR125 ( VAR117 [1] ),
.VAR27 ( VAR256 [1] ),
.VAR137 ( VAR60 [1] ),
.VAR76 ( VAR215 [1] ),
.VAR147 ( VAR145 [1] ),
.VAR130 ( VAR270 [1] ),
.VAR33 ( VAR346 [1] ),
.VAR328 ( VAR195 [1] ),
.VAR38 ( VAR157 [2] ),
.VAR205 ( VAR117 [2] ),
.VAR243 ( VAR256 [2] ),
.VAR336 ( VAR60 [2] ),
.VAR218 ( VAR215 [2] ),
.VAR345 ( VAR145 [2] ),
.VAR192 ( VAR270 [2] ),
.VAR94 ( VAR346 [2] ),
.VAR146 ( VAR195 [2] ),
.VAR263 ( VAR157 [3] ),
.VAR276 ( VAR117 [3] ),
.VAR169 ( VAR256 [3] ),
.VAR23 ( VAR60 [3] ),
.VAR305 ( VAR215 [3] ),
.VAR342 ( VAR145 [3] ),
.VAR155 ( VAR270 [3] ),
.VAR10 ( VAR346 [3] ),
.VAR53 ( VAR195 [3] ),
.VAR269 ( VAR157 [4] ),
.VAR380 ( VAR117 [4] ),
.VAR373 ( VAR256 [4] ),
.VAR382 ( VAR60 [4] ),
.VAR296 ( VAR215 [4] ),
.VAR36 ( VAR145 [4] ),
.VAR89 ( VAR270 [4] ),
.VAR241 ( VAR346 [4] ),
.VAR222 ( VAR195 [4] ),
.VAR232 ( VAR157 [5] ),
.VAR231 ( VAR117 [5] ),
.VAR339 ( VAR256 [5] ),
.VAR149 ( VAR60 [5] ),
.VAR98 ( VAR215 [5] ),
.VAR154 ( VAR145 [5] ),
.VAR96 ( VAR270 [5] ),
.VAR350 ( VAR346 [5] ),
.VAR4 ( VAR195 [5] ),
.VAR295 ( VAR157 [6] ),
.VAR126 ( VAR117 [6] ),
.VAR381 ( VAR256 [6] ),
.VAR198 ( VAR60 [6] ),
.VAR172 ( VAR215 [6] ),
.VAR349 ( VAR145 [6] ),
.VAR323 ( VAR270 [6] ),
.VAR297 ( VAR346 [6] ),
.VAR266 ( VAR195 [6] ),
.VAR246 ( VAR157 [7] ),
.VAR177 ( VAR117 [7] ),
.VAR229 ( VAR256 [7] ),
.VAR234 ( VAR60 [7] ),
.VAR214 ( VAR215 [7] ),
.VAR220 ( VAR145 [7] ),
.VAR334 ( VAR270 [7] ),
.VAR271 ( VAR346 [7] ),
.VAR372 ( VAR195 [7] )
);
VAR105 #(
.VAR206 ( VAR206 ),
.VAR183 ( VAR183 )
)
VAR351 (
.VAR332 ( VAR162 [0] ),
.VAR314 ( VAR143 [0] ),
.VAR343 ( VAR129 [0] ),
.VAR293 ( VAR235 [0] ),
.VAR55 ( VAR71 [0] ),
.VAR107 ( VAR309 [0] ),
.VAR277 ( VAR167 [0] ),
.VAR90 ( VAR164 [0] ),
.VAR268 ( VAR56 [0] ),
.VAR226 ( VAR157 [0] ),
.VAR237 ( VAR117 [0] ),
.VAR227 ( VAR256 [0] ),
.VAR161 ( VAR145 [0] ),
.VAR210 ( VAR270 [0] ),
.VAR99 ( VAR346 [0] ),
.VAR278 ( VAR215 [0] ),
.VAR88 ( VAR60 [0] ),
.VAR102 ( VAR195 [0] ),
.VAR242 ( VAR140 ),
.VAR113 ( VAR156 ),
.VAR108 ( VAR301 ),
.VAR267 ( VAR91 ),
.VAR239 ( VAR306 ),
.VAR92 ( VAR324 ),
.VAR356 ( VAR368 ),
.VAR6 ( VAR288 ),
.VAR190 ( VAR62 ),
.VAR7 ( VAR68 ),
.VAR315 ( VAR24 ),
.VAR73 ( VAR141 ),
.VAR211 ( VAR21 ),
.VAR9 ( VAR166 ),
.VAR121 ( VAR290 ),
.VAR3 ( VAR70 ),
.VAR264 ( VAR329 ),
.VAR47 ( VAR150 )
);
endmodule
|
lgpl-3.0
|
donnaware/AGC
|
rtl/de0/modules/ng_INT.v
| 6,656 |
module MODULE1(
input VAR22, input VAR11, input VAR39, input VAR44, input [100:0] VAR7, input [ 15:0] VAR8, output [ 15:0] VAR40, output VAR18 );
VAR36 VAR37(.VAR25({VAR18,VAR23,VAR1,VAR39,VAR24,VAR21,VAR2,VAR4,VAR35,VAR38,VAR27,VAR43,VAR8[15],VAR8[14],VAR15,VAR17 }));
assign VAR18 = !(VAR12 & VAR32 & VAR6 & VAR41);
wire VAR45 = VAR7[VAR33(VAR45)]; wire VAR24 = VAR7[VAR33(VAR24)]; wire VAR17 = VAR7[VAR33(VAR17)]; wire VAR21 = VAR7[VAR33(VAR21)]; wire VAR2 = VAR7[VAR33(VAR2)]; wire VAR4 = VAR7[VAR33(VAR4)]; wire VAR35 = VAR7[VAR33(VAR35)]; wire VAR15 = VAR7[VAR33(VAR15)];
reg VAR23; reg VAR1; reg VAR38; reg VAR27; reg VAR43; reg [2:0] VAR3;
reg VAR16; always@(posedge VAR44)
VAR16 <= (~VAR16 & !(VAR21 & VAR45)) | (VAR16 & VAR2);
always@(negedge VAR44) VAR23 <= VAR16;
reg VAR28; wire VAR13 = (VAR8[15] ^ VAR8[14]) & ~VAR35;
always@(posedge VAR44)
if(!VAR45) VAR28 <= 1'b0;
else VAR28 <= (~VAR28 & VAR13) | (VAR28 & VAR4);
always@(negedge VAR44) VAR1 <= VAR28;
wire VAR12 = !VAR23;
wire VAR32 = !VAR1;
wire VAR14 = !(VAR44 & !VAR45); wire VAR42 = VAR19[0] & VAR14; reg VAR10; always@(posedge VAR22 or negedge VAR42) if(!VAR42) VAR10 <= 1'b0; else VAR10 <= 1'b1;
always@(negedge VAR22 or negedge VAR42) VAR38 <= VAR10;
wire VAR34 = VAR19[1] & VAR14; reg VAR5; always@(posedge VAR11 or negedge VAR34) if(!VAR34) VAR5 <= 1'b0; else VAR5 <= 1'b1;
always@(negedge VAR11 or negedge VAR34) VAR27 <= VAR5;
reg VAR30;
always@(posedge VAR39 or negedge VAR20) if(!VAR20) VAR30 <= 1'b0; else if(VAR39) VAR30 <= 1'b1;
always@(negedge VAR39 or negedge VAR20) VAR43 <= VAR30;
wire VAR20 = VAR14 & VAR19[2];
wire [2:0] VAR26 = {VAR38, VAR27, VAR43}; reg [2:0] VAR29; always @(VAR26) begin
casex(VAR26)
3'VAR31 : VAR29 <= 3'b001; 3'VAR9 : VAR29 <= 3'b011; 3'b001 : VAR29 <= 3'b100; default : VAR29 <= 3'b111; endcase
end
wire VAR6 = |VAR26;
always @(posedge VAR44) begin
if(!VAR45) VAR3 <= 3'h0;
end
else if(!VAR17) VAR3 <= 3'h0;
else if(!VAR24) VAR3 <= VAR29;
end
wire VAR41 = !(|VAR3);
assign VAR40 = {11'b00000100000,VAR3,2'b00};
reg [2:0] VAR19; always @(posedge VAR44) begin
if(!VAR15) begin
case(VAR29)
3'b001 : VAR19 <= 3'b110; 3'b011 : VAR19 <= 3'b101; 3'b100 : VAR19 <= 3'b011; default: VAR19 <= 3'b111; endcase
end
else VAR19 <= 3'b111;
end
endmodule
|
gpl-3.0
|
zaqwes8811/spec-emb
|
soc-guitar-tuner/tuner/fsm/base_fsm.v
| 3,821 |
module MODULE1(
input clk, VAR18, input [VAR8-1:0] VAR27,
input VAR20,
output reg VAR28,
output reg VAR11,
output reg [11:0] VAR26,
output reg irq,
output VAR22,
output VAR5
);
reg VAR19;
reg VAR25;
reg VAR7;
reg VAR9, VAR24, VAR1;
reg VAR10;
reg VAR15;
reg VAR4;
reg [VAR8-1:0] VAR3;
reg [5:0] VAR2;
reg [5:0] VAR29;
reg addr;
reg [2:0] VAR17;
reg [3:0] VAR13;
reg [3:0] VAR6;
reg [11:0] VAR16;
localparam VAR23 = 2'b00,
VAR12 = 2'b01,
VAR14 = 2'b10,
VAR21 = 2'b11;
|
mit
|
timtian090/Playground
|
UVM/UVMPlayground/Lab3/Lab3-Project/EECS301_Lab3_TopLevel.v
| 3,789 |
module MODULE1
parameter VAR23 = 80000000, parameter VAR12 = 10000000, parameter VAR42 = 1000, parameter VAR14 = 10 )
(
input VAR20,
output [9:0] VAR26,
output [6:0] VAR39,
output [6:0] VAR19,
output [6:0] VAR25,
output [6:0] VAR37,
output [6:0] VAR10,
output [6:0] VAR16,
input [3:0] VAR17,
input [1:0] VAR9
);
localparam VAR28 = 50000000;
assign VAR26 = 10'h000;
wire [3:0] VAR38;
genvar VAR30;
generate
begin
for (VAR30 = 0; VAR30 < 4; VAR30=VAR30+1)
begin : VAR11
VAR4
.VAR40( VAR28 ),
.VAR23( VAR23 ) )
VAR2
(
.VAR17( VAR17[VAR30] ),
.VAR1( VAR38[VAR30] ),
.VAR29( VAR20 )
);
end
end
endgenerate
wire [1:0] VAR8;
generate
begin
for (VAR30 = 0; VAR30 < 2; VAR30=VAR30+1)
begin : VAR21
VAR36
.VAR40( VAR28 ),
.VAR7( VAR12 ), .VAR31( 1'b0 )
)
VAR35
(
.VAR33( VAR9[VAR30] ),
.VAR45( VAR8[VAR30] ),
.VAR29( VAR20 )
);
end
end
endgenerate
wire [15:0] VAR32 [1:0];
generate
begin
for (VAR30 = 0; VAR30 < 2; VAR30=VAR30+1)
begin : VAR27
VAR44
.VAR40( VAR28 ),
.VAR34( 16 ),
.VAR42( VAR42 ),
.VAR14( VAR14 )
)
VAR5
(
.VAR18( VAR38[VAR30*2] ),
.VAR15( VAR38[VAR30*2+1] ),
.VAR41( VAR32[VAR30] ),
.VAR29( VAR20 )
);
end
end
endgenerate
VAR24 VAR13
(
.VAR3( VAR8[0] ),
.VAR22( VAR8[1] ),
.VAR6( VAR32[0] ),
.VAR43( VAR32[1] ),
.VAR39( VAR39 ),
.VAR19( VAR19 ),
.VAR25( VAR25 ),
.VAR37( VAR37 ),
.VAR10( VAR10 ),
.VAR16( VAR16 ),
.VAR29( VAR20 )
);
endmodule
|
mit
|
asicguy/gplgpu
|
hdl/mc_graph/mc.v
| 20,093 |
module MODULE1
(
input VAR210,
input [7:0] VAR75,
input [2:0] VAR105,
input VAR34,
input [1:0] VAR93,
input [7:0] VAR204,
input [3:0] VAR174,
input [VAR16-1:0] VAR66,
input VAR104,
input [(VAR16*8)-1:0] VAR138,
input [(VAR16*4)-1:0] VAR87,
input [2:0] VAR74,
input [31:0] VAR186,
input [3:0] VAR150,
input [1:0] VAR106,
input VAR36,
input VAR134,
input [3:0] VAR26,
input [31:0] VAR144,
input VAR185,
input VAR126,
input VAR226,
input VAR147,
input [2:0] VAR230,
input [31:0] VAR33,
input [VAR16*8-1:0] VAR189,
input [27:0] VAR28,
input VAR81,
input [4:0] VAR6,
input VAR32,
input VAR59,
input [VAR16-1:0] VAR195,
input [VAR16*8-1:0] VAR46,
input [22:0] VAR84,
input VAR124,
input VAR98,
input VAR21,
input VAR161,
input VAR10,
input [20:0] VAR178,
input [4:0] VAR141,
input [11:0] VAR83,
input [9:0] VAR67,
input [11:0] VAR187,
input VAR116,
input VAR63,
input VAR211,
input VAR85,
input [17:0] VAR38,
input [3:0] VAR199,
input [31:0] VAR202,
input [31:0] VAR119,
input [5:0] VAR222,
input VAR23,
input VAR48,
input VAR97,
input [31:0] VAR214,
output VAR12,
output VAR31,
output VAR128,
output VAR207,
output VAR61,
output VAR191,
output VAR30,
output VAR155,
output VAR1,
output VAR77,
output VAR17,
output [1:0] VAR183,
output reg [(VAR16*8)-1:0] VAR198,
output VAR180,
output VAR152,
output VAR4,
output VAR139,
output VAR208,
output VAR196,
output [31:0] VAR15,
output VAR169,
output VAR45,
output VAR24,
output VAR166,
output [24:0] VAR157,
output VAR9,
output VAR164,
output VAR86,
output [VAR16*8-1:0] VAR54,
output [VAR16-1:0] VAR220,
output [5:0] VAR184,
input VAR88,
input [VAR16*8-1:0] VAR172,
input VAR136,
input VAR176,
output [3:0] VAR132
);
localparam
VAR145 = 3'h0,
VAR99 = 3'h1,
VAR111 = 3'h2,
VAR19 = 3'h3,
VAR22 = 3'h4,
VAR18 = 3'h5,
VAR112 = 3'h6;
wire [66:0] VAR114, VAR203;
wire [4:0] VAR165, VAR108;
wire [31:0] VAR146;
wire [1:0] VAR225, VAR29;
wire VAR153;
wire [27:0] VAR8;
wire [4:0] VAR193;
wire VAR73;
wire VAR131;
wire [(VAR16*8)-1:0] VAR181;
wire [(VAR16*8)-1:0] VAR121, VAR223;
wire [(VAR16*4)-1:0] VAR127;
wire VAR91;
wire VAR224;
wire VAR107;
wire [VAR16-1:0] VAR11;
wire [VAR16-1:0] VAR41;
wire [31:0] VAR2, VAR37;
wire VAR171; wire VAR160; wire VAR149; wire VAR122;
wire VAR100;
wire [3:0] VAR216;
wire VAR62;
wire [2:0] VAR190;
wire [31:0] VAR5;
wire [1:0] VAR89;
wire VAR20;
wire VAR51, VAR173, VAR76;
wire VAR65;
wire VAR158;
wire [22:0] VAR40; wire [1:0] VAR96; wire VAR25; wire VAR50; wire VAR167;
wire VAR212;
wire VAR110;
wire VAR120;
wire VAR209;
wire VAR133;
wire VAR151;
wire VAR215; wire [4:0] VAR188;
wire [20:0] VAR142;
wire VAR206;
wire VAR123;
wire [17:0] VAR175;
wire VAR130;
wire [31:0] VAR47;
wire [3:0] VAR7;
wire VAR3;
wire VAR221;
wire [6:0] VAR137;
wire VAR118;
always @(posedge VAR32) VAR198 <= VAR172;
assign VAR77 = VAR151;
VAR200 #
(
.VAR16 (VAR16)
)
VAR163
(
.VAR32 (VAR32),
.VAR59 (VAR59),
.VAR8 (VAR8),
.VAR193 (VAR193),
.VAR73 (VAR73),
.VAR126 (VAR20),
.VAR14 (VAR37),
.VAR216 (VAR216),
.VAR225 (VAR29),
.VAR125 (~VAR100),
.VAR146 (VAR146),
.VAR122 (VAR122),
.VAR115 (VAR203),
.VAR205 (VAR108),
.VAR171 (VAR171),
.VAR40 (VAR40),
.VAR96 (VAR96),
.VAR25 (VAR25),
.VAR50 (VAR50),
.VAR215 (VAR215),
.VAR188 (VAR188),
.VAR142 (VAR142),
.VAR116 (VAR116),
.VAR123 (VAR123),
.VAR175 (VAR175),
.VAR130 (VAR130),
.VAR119 (VAR119),
.VAR222 (VAR222),
.VAR23 (VAR23),
.VAR169 (VAR169),
.VAR214 (VAR214),
.VAR48 (VAR48),
.VAR97 (VAR97),
.VAR24 (VAR24),
.VAR88 (VAR88),
.VAR136 (VAR136),
.VAR176 (VAR176),
.VAR157 (VAR157),
.VAR9 (VAR9),
.VAR164 (VAR164),
.VAR86 (VAR86),
.VAR184 (VAR184),
.VAR131 (VAR131),
.VAR153 (VAR153),
.VAR167 (VAR167),
.VAR212 (VAR212),
.VAR51 (VAR51),
.VAR120 (VAR31),
.VAR179 (VAR191),
.VAR133 (VAR45),
.VAR113 (VAR166),
.VAR151 (VAR151),
.VAR58 (VAR158),
.VAR209 (VAR180),
.VAR118 (VAR118),
.VAR206 (VAR206),
.VAR3 (VAR3),
.VAR221 (VAR221),
.VAR65 (VAR65),
.VAR110 (VAR110),
.VAR132 (VAR132),
.VAR137 (VAR137),
.VAR173 (VAR173),
.VAR76 (VAR76),
.VAR70 (VAR114),
.VAR165 (VAR165),
.VAR139 (VAR139)
);
VAR217 VAR56
(
.VAR32 (VAR32),
.VAR59 (VAR59),
.VAR210 (VAR210),
.VAR167 (VAR167),
.VAR84 (VAR84),
.VAR124 (VAR124),
.VAR98 (VAR98),
.VAR168 (VAR151),
.VAR156 (VAR110),
.VAR40 (VAR40),
.VAR1 (VAR1),
.VAR77 (), .VAR17 (VAR17),
.VAR183 (VAR183),
.VAR96 (VAR96),
.VAR25 (VAR25),
.VAR50 (VAR50)
);
VAR117 VAR103
(
.VAR32 (VAR32),
.VAR59 (VAR59),
.VAR21 (VAR21),
.VAR161 (VAR161),
.VAR212 (VAR212),
.VAR10 (VAR10),
.VAR178 (VAR178),
.VAR141 (VAR141),
.VAR83 (VAR83),
.VAR67 (VAR67),
.VAR187 (VAR187),
.VAR152 (VAR152),
.VAR215 (VAR215),
.VAR188 (VAR188),
.VAR142 (VAR142)
);
VAR182 VAR148
(
.VAR32 (VAR32),
.VAR63 (VAR63),
.VAR59 (VAR59),
.VAR211 (VAR211),
.VAR85 (VAR85),
.VAR38 (VAR38),
.VAR199 (VAR199),
.VAR202 (VAR202),
.VAR206 (VAR206),
.VAR3 (VAR3),
.VAR221 (VAR221),
.VAR198 (VAR198[31:0]),
.VAR176 (VAR176),
.VAR123 (VAR123),
.VAR175 (VAR175),
.VAR130 (VAR130),
.VAR208 (VAR208),
.VAR196 (VAR196),
.VAR47 (VAR47),
.VAR7 (VAR7),
.VAR15 (VAR15)
);
VAR57 #
(
.VAR16 (VAR16)
)
VAR82
(
.VAR32 (VAR32),
.VAR59 (VAR59),
.VAR115 (VAR114),
.VAR65 (VAR65),
.VAR68 (VAR88),
.VAR194 (VAR132),
.VAR46 (VAR46),
.VAR138 (VAR121),
.VAR87 (VAR127),
.VAR189 (VAR223),
.VAR15 (VAR47),
.VAR195 (VAR195),
.VAR66 (VAR11),
.VAR228 (VAR41),
.VAR7 (VAR7),
.VAR181 (VAR181),
.VAR72 (1'b0), .VAR165 (VAR165),
.VAR76 (VAR76),
.VAR118 (VAR118),
.VAR198 (VAR198),
.VAR44 (VAR54),
.VAR177 (VAR220),
.VAR5 (VAR5),
.VAR190 (VAR190),
.VAR89 (VAR89)
);
wire VAR192 = VAR134 | (VAR74[2] & VAR74[0]);
VAR95 #
(.VAR16 (VAR16)
) VAR69
(
.VAR126 (VAR126),
.VAR144 (VAR144),
.VAR185 (VAR185),
.VAR150 (VAR150),
.VAR36 (VAR36),
.VAR134 (VAR192),
.VAR31 (VAR31),
.VAR59 (VAR59),
.VAR32 (VAR32),
.VAR149 (VAR149),
.VAR226 (VAR226),
.VAR52 (VAR62),
.VAR12 (VAR12),
.VAR128 (VAR128),
.VAR207 (VAR207),
.VAR61 (VAR61),
.VAR225 (VAR225),
.VAR2 (VAR2),
.VAR4 (VAR4),
.VAR91 (VAR91),
.VAR224 (VAR224),
.VAR107 (VAR107)
);
VAR219
(
.VAR13 (141),
.VAR162 (128),
.VAR154 (7),
.VAR42 (128)
)
VAR79
(
.VAR94 (VAR32),
.VAR43 (~VAR59),
.VAR35 ({VAR33, VAR147, VAR226, VAR230,
VAR126, VAR2, VAR150,
VAR225,
VAR93, VAR106, VAR174, VAR105,
VAR204, VAR75,
VAR26, VAR186, VAR74,
VAR34}),
.VAR201 (VAR153),
.VAR92 (VAR62),
.VAR213 (VAR100),
.VAR129 (),
.VAR140 ({VAR146, VAR108,
VAR20, VAR37, VAR216,
VAR29,
VAR203}),
.VAR101 ()
);
VAR90 VAR79
(
.VAR94 (VAR32),
.VAR43 (~VAR59),
.VAR35 ({VAR33, VAR147, VAR226, VAR230,
VAR126, VAR2, VAR150,
VAR225,
VAR93, VAR106, VAR174, VAR105,
VAR204, VAR75,
VAR26, VAR186, VAR74,
VAR34}),
.VAR201 (VAR153),
.VAR92 (VAR62),
.VAR213 (VAR100),
.VAR129 (),
.VAR140 ({VAR146, VAR108,
VAR20, VAR37, VAR216,
VAR29,
VAR203}),
.VAR101 ()
);
VAR71 #
(
.VAR16 (VAR16)
) VAR80
(
.VAR73 (VAR73),
.VAR8 (VAR8),
.VAR193 (VAR193),
.VAR81 (VAR81),
.VAR6 (VAR6),
.VAR28 (VAR28),
.VAR155 (VAR155),
.VAR191 (VAR191),
.VAR30 (VAR30),
.VAR131 (VAR131),
.VAR210 (VAR210),
.VAR59 (VAR59),
.VAR32 (VAR32)
);
VAR39 #
(
.VAR16 (VAR16)
) VAR109
(
.VAR32 (VAR32),
.VAR59 (VAR59),
.VAR159 (VAR138),
.VAR27 (VAR87),
.VAR78 (VAR189),
.VAR197 (VAR66),
.VAR229 (VAR158),
.VAR31 (VAR91),
.VAR102 (VAR107),
.VAR227 (VAR224),
.VAR173 (VAR173),
.VAR51 (VAR51),
.VAR76 (VAR76),
.VAR88 (VAR88),
.VAR198 (VAR198),
.VAR49 (VAR74),
.VAR135 (VAR186),
.VAR190 (VAR190), .VAR5 (VAR5),
.VAR231 (VAR106),
.VAR143 (VAR89),
.VAR181 (VAR181),
.VAR53 (VAR121),
.VAR64 (VAR127),
.VAR218 (VAR223),
.VAR55 (VAR11),
.VAR170 (VAR41),
.VAR160 (VAR160),
.VAR149 (VAR149),
.VAR60 (VAR171),
.VAR122 (VAR122),
.VAR137 (VAR137)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a221o/sky130_fd_sc_hd__a221o.symbol.v
| 1,394 |
module MODULE1 (
input VAR6,
input VAR9,
input VAR3,
input VAR4,
input VAR10,
output VAR1
);
supply1 VAR8;
supply0 VAR5;
supply1 VAR7 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
eecsninja/duinocube-core
|
common/tile_reg_decoder.v
| 4,425 |
module MODULE1(VAR53,
VAR9,
VAR46,
VAR29,
VAR11,
VAR36,
VAR37,
VAR38,
VAR55,
VAR4,
VAR31,
VAR23,
VAR18,
VAR52,
VAR2,
VAR20,
VAR57,
VAR12,
VAR25,
VAR58,
VAR16,
VAR26);
input [1:0] VAR53;
input [VAR43-1:0] VAR9;
wire [VAR28-1:0]
VAR5[VAR24-1:0];
genvar VAR39;
generate
for (VAR39 = 0; VAR39 < VAR24; VAR39 = VAR39 + 1) begin: VAR48
assign VAR5[VAR39] =
VAR9[(VAR39 + 1) * VAR28 - 1:
VAR39 * VAR28];
end
endgenerate
wire [VAR51-1:0] VAR44 [VAR35-1:0];
generate
for (VAR39 = 0; VAR39 < VAR35; VAR39 = VAR39 + 1) begin: VAR32
assign VAR44[VAR39] =
VAR5[VAR53][(VAR39+1)*VAR51-1:
VAR39*VAR51];
end
endgenerate
output VAR46 ;
output VAR29 ;
output VAR11 ;
output VAR36 ;
output VAR37 ;
output VAR38 ;
output VAR55 ;
output VAR4 ;
output VAR31 ;
output VAR23 ;
output VAR18;
output [VAR40-1:0] VAR52;
output [VAR6-1:0] VAR2;
output [VAR51-1:0] VAR20 ;
output [VAR51-1:0] VAR57 ;
output [VAR17:0] VAR12 ;
output [VAR51-1:0] VAR25 ;
output [VAR51-1:0] VAR58 ;
output [VAR51-1:0] VAR16 ;
output [VAR51-1:0] VAR26 ;
assign VAR46 = VAR44[VAR47][VAR3];
assign VAR29 = VAR44[VAR47][VAR19];
assign VAR11 = VAR44[VAR47][VAR54];
assign VAR36 = VAR44[VAR47][VAR8];
assign VAR37 = VAR44[VAR47][VAR7];
assign VAR38 = VAR44[VAR47][VAR41];
assign VAR55 = VAR44[VAR47][VAR13];
assign VAR4 = VAR44[VAR47][VAR34];
assign VAR31 = VAR44[VAR47][VAR27];
assign VAR23 = VAR44[VAR47][VAR30];
assign VAR18 = VAR44[VAR47][VAR42];
assign VAR52 = VAR44[VAR45][VAR21:VAR49];
assign VAR2 = VAR44[VAR45][VAR50:VAR15];
assign VAR20 = VAR44[VAR47];
assign VAR57 = VAR44[VAR45];
assign VAR12 =
VAR18 ? (VAR44[VAR1] << VAR33)
: VAR44[VAR1];
assign VAR25 = VAR44[VAR10];
assign VAR58 = VAR44[VAR56];
assign VAR16 = VAR44[VAR14];
assign VAR26 = VAR44[VAR22];
endmodule
|
gpl-3.0
|
kyzhai/NUNY
|
src/hardware/six_new2_bb.v
| 5,008 |
module MODULE1 (
address,
VAR2,
VAR1);
input [9:0] address;
input VAR2;
output [11:0] VAR1;
tri1 VAR2;
endmodule
|
gpl-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/dma_queue/nf2_dma_sync.v
| 4,537 |
module MODULE1
parameter VAR14 = 4)
(
output reg [VAR14-1:0] VAR12,
output reg [VAR14-1:0] VAR15,
output VAR42,
output VAR39,
input VAR40,
input [VAR4 +3:0] VAR31,
output VAR11,
input VAR23,
output [VAR4 +2:0] VAR36,
input [VAR14-1:0] VAR43,
input [VAR14-1:0] VAR37,
output VAR17,
output [VAR4 +3:0] VAR26,
input VAR22,
output VAR25,
output VAR41,
input VAR34,
input [VAR4 +2:0] VAR21,
input VAR2,
input VAR35,
input VAR20,
input VAR6
);
reg [VAR14-1:0] VAR33;
reg [VAR14-1:0] VAR7;
always @(posedge VAR2)
if (VAR35) begin
VAR33 <= 'VAR8 0;
VAR7 <= 'VAR8 0;
VAR12 <= 'VAR8 0;
VAR15 <= 'VAR8 0;
end
else begin
VAR33 <= VAR43;
VAR7 <= VAR37;
VAR12 <= VAR33;
VAR15 <= VAR7;
end
VAR5 #(.VAR1(VAR4 +4),
.VAR13(3),
.VAR44(5),
.VAR38(3))
VAR19 (
.VAR9 ( VAR42 ),
.VAR32 ( VAR39 ),
.VAR10 ( VAR31 ),
.VAR27 ( VAR40 ),
.VAR29 ( VAR2 ),
.VAR24 ( ~VAR35 ),
.VAR30 ( VAR26 ),
.VAR28 ( VAR17 ),
.VAR3 ( ),
.VAR46 ( VAR22 ),
.VAR16 ( VAR20 ),
.VAR45 ( ~VAR6 )
);
VAR5 #(.VAR1(VAR4 +3),
.VAR13(3),
.VAR44(5),
.VAR38(3))
VAR18 (
.VAR9 ( VAR25 ),
.VAR32 ( VAR41 ),
.VAR10 ( VAR21 ),
.VAR27 ( VAR34 ),
.VAR29 ( VAR20 ),
.VAR24 ( ~VAR6 ),
.VAR30 ( VAR36 ),
.VAR28 ( VAR11 ),
.VAR3 ( ),
.VAR46 ( VAR23 ),
.VAR16 ( VAR2 ),
.VAR45 ( ~VAR35 )
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/tapmet1/sky130_fd_sc_ms__tapmet1.pp.blackbox.v
| 1,230 |
module MODULE1 (
VAR2,
VAR3,
VAR4 ,
VAR1
);
input VAR2;
input VAR3;
input VAR4 ;
input VAR1 ;
endmodule
|
apache-2.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/mem/cabac_mvd_top_2p_18xMB_X_TOTAL.v
| 2,627 |
module MODULE1(
clk ,
VAR18 ,
VAR16 ,
VAR3 ,
VAR14 ,
VAR7 ,
VAR4
);
input clk ; input VAR18 ; input [VAR6-1:0] VAR16 ; input VAR3 ; input [VAR6-1:0] VAR14 ; input [2*(VAR20+1)-1:0] VAR7 ;
output [2*(VAR20+1)-1:0] VAR4 ;
VAR8 #(.VAR11(VAR6), .VAR1(18))
VAR13 (
.VAR15 ( clk ),
.VAR12 ( ~VAR18 ),
.VAR17 ( VAR16 ),
.VAR2 ( VAR4 ),
.VAR21 ( clk ),
.VAR10 ( ~VAR3 ),
.VAR9 ( ~VAR3 ),
.VAR5 ( VAR14 ),
.VAR19 ( VAR7 )
);
endmodule
|
gpl-3.0
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_cpu_top.v
| 20,014 |
module MODULE1(
output [VAR55-1:0] VAR126,
output VAR57 ,
output VAR94,
output VAR48,
output VAR128,
output VAR5,
output VAR2 ,
output VAR51 ,
output VAR32,
output VAR40,
input [VAR55-1:0] VAR47,
output VAR64,
output [VAR55-1:0] VAR143,
output VAR123,
output [3-1:0] VAR31,
output VAR153,
output VAR44 ,
output VAR169 ,
output VAR163,
output [32-1:0] VAR22 ,
input [32-1:0] VAR27 ,
input [VAR55-1:0] VAR95 ,
input [32-1:0] VAR42,
input VAR10,
input VAR120,
input VAR63,
input VAR172,
input VAR30,
input VAR6,
input [VAR4-1:0] VAR179,
input VAR69,
input VAR60,
input VAR11,
input VAR113,
input VAR136,
output VAR65,
input [VAR28-1:0] VAR24,
input VAR168,
input [VAR39-1:0] VAR34,
input [VAR39/8-1:0] VAR154,
output VAR62,
input VAR129,
output VAR177 ,
output [VAR39-1:0] VAR98,
output VAR119,
input [VAR36-1:0] VAR84,
input VAR67,
input [VAR39-1:0] VAR140,
input [VAR39/8-1:0] VAR18,
output VAR159,
input VAR1,
output VAR56 ,
output [VAR39-1:0] VAR147,
output VAR59,
input VAR45,
output [VAR72-1:0] VAR86,
output VAR176,
output [VAR39-1:0] VAR16,
output [VAR39/8-1:0] VAR49,
input VAR83,
output VAR21,
input VAR170 ,
input [VAR39-1:0] VAR110,
output VAR148,
input VAR91,
output [VAR72-1:0] VAR156,
output VAR81,
output [VAR39-1:0] VAR29,
output [VAR39/8-1:0] VAR25,
input VAR108,
output VAR93,
input VAR37 ,
input [VAR39-1:0] VAR66,
output VAR15,
input VAR134,
output [VAR72-1:0] VAR3,
output VAR85,
output [VAR39-1:0] VAR145,
output [VAR39/8-1:0] VAR8,
input VAR174,
output VAR13,
input VAR114 ,
input [VAR39-1:0] VAR41,
output VAR100,
input VAR88,
output [VAR72-1:0] VAR105,
output VAR61,
output [VAR39-1:0] VAR38,
output [VAR39/8-1:0] VAR137,
input VAR101,
output VAR54,
input VAR35 ,
input [VAR39-1:0] VAR132,
output VAR74,
input VAR23,
output [VAR72-1:0] VAR138,
output VAR152,
output [VAR39-1:0] VAR104,
output [VAR39/8-1:0] VAR133,
input VAR162,
output VAR157,
input VAR9 ,
input [VAR39-1:0] VAR111,
input VAR7,
input clk,
input VAR33
);
wire VAR14;
wire VAR166 ;
wire VAR103 ;
wire [VAR80-1:0] VAR106;
wire [VAR131-1:0] VAR112 ;
wire [VAR73-1:0] VAR75 ;
wire VAR92;
wire VAR125 ;
wire VAR71 ;
wire [VAR122-1:0] VAR124;
wire [VAR165-1:0] VAR171 ;
wire [VAR53-1:0] VAR144 ;
wire VAR89 ;
wire VAR118 ;
wire VAR79 ;
wire VAR164 ;
wire VAR173;
wire [VAR72-1:0] VAR76;
wire VAR149;
wire [VAR72-1:0] VAR19;
wire VAR115;
wire [VAR72-1:0] VAR135;
wire VAR20;
wire VAR161;
wire [VAR72-1:0] VAR160;
assign VAR68 = 1'b0;
assign VAR89 = 1'b0;
assign VAR118 = 1'b0;
assign VAR79 = 1'b0;
assign VAR164 = 1'b0;
assign VAR173 = 1'b1;
assign VAR76 = VAR58;
assign VAR173 = 1'b0;
assign VAR149 = 1'b1;
assign VAR19 = VAR142;
assign VAR149 = 1'b0;
assign VAR115 = 1'b1;
assign VAR135 = VAR43;
assign VAR115 = 1'b0;
assign VAR20 = 1'b1;
assign VAR20 = 1'b0;
assign VAR161 = 1'b1;
assign VAR160 = VAR146;
assign VAR161 = 1'b0;
VAR150 #(.VAR50(1)) VAR121(
.VAR126 (VAR126),
.VAR57 (VAR57 ),
.VAR94 (VAR94),
.VAR48 (VAR48),
.VAR128 (VAR128),
.VAR5 (VAR5),
.VAR2 (VAR2 ),
.VAR51 (VAR51 ),
.VAR40 (VAR40),
.VAR47(VAR47),
.VAR64 (VAR64 ),
.VAR143 (VAR143 ),
.VAR123 (VAR123 ),
.VAR31 (VAR31 ),
.VAR153 (VAR153 ),
.VAR44 (VAR44 ),
.VAR169 (VAR169 ),
.VAR163 (VAR163),
.VAR22 (VAR22 ),
.VAR27 (VAR27 ),
.VAR95 (VAR95 ),
.VAR42 (VAR42 ),
.VAR10 (VAR10),
.VAR120 (VAR120),
.VAR63 (VAR63),
.VAR172 (VAR172),
.VAR30 (VAR30),
.VAR179 (VAR179),
.VAR6 (VAR6),
.VAR69 (VAR69),
.VAR60 (VAR60),
.VAR11 (VAR11),
.VAR65 (VAR65),
.VAR24 (VAR24 ),
.VAR168 (VAR168 ),
.VAR34 (VAR34),
.VAR154 (VAR154),
.VAR62 (VAR62),
.VAR129 (VAR129),
.VAR177 (VAR177 ),
.VAR98 (VAR98),
.VAR119 (VAR119),
.VAR84 (VAR84 ),
.VAR67 (VAR67 ),
.VAR140 (VAR140),
.VAR18 (VAR18),
.VAR159 (VAR159),
.VAR1 (VAR1),
.VAR56 (VAR56 ),
.VAR147 (VAR147),
.VAR76 (VAR76),
.VAR173 (VAR173),
.VAR59 (VAR59),
.VAR45 (VAR45),
.VAR86 (VAR86 ),
.VAR176 (VAR176 ),
.VAR16 (VAR16),
.VAR49 (VAR49),
.VAR12 (),
.VAR117 (),
.VAR102 (),
.VAR83 (VAR83),
.VAR21 (VAR21),
.VAR170 (VAR170 ),
.VAR68 (VAR68 ),
.VAR110 (VAR110),
.VAR135 (VAR135),
.VAR115 (VAR115),
.VAR148 (VAR148),
.VAR91 (VAR91),
.VAR156 (VAR156 ),
.VAR81 (VAR81 ),
.VAR29 (VAR29),
.VAR25 (VAR25),
.VAR78 (),
.VAR82 (),
.VAR90 (),
.VAR108 (VAR108),
.VAR93 (VAR93),
.VAR37 (VAR37 ),
.VAR79 (VAR79 ),
.VAR66 (VAR66),
.VAR19 (VAR19),
.VAR149 (VAR149),
.VAR15 (VAR15),
.VAR134 (VAR134),
.VAR3 (VAR3 ),
.VAR85 (VAR85 ),
.VAR145 (VAR145),
.VAR8 (VAR8),
.VAR77 (),
.VAR155 (),
.VAR97 (),
.VAR174 (VAR174),
.VAR13 (VAR13),
.VAR114 (VAR114 ),
.VAR118 (VAR118 ),
.VAR41 (VAR41),
.VAR160 (VAR160),
.VAR100 (VAR100),
.VAR88 (VAR88),
.VAR105 (VAR105 ),
.VAR61 (VAR61 ),
.VAR38 (VAR38),
.VAR137 (VAR137),
.VAR87 (),
.VAR52 (),
.VAR127 (),
.VAR101 (VAR101),
.VAR54 (VAR54),
.VAR35 (VAR35 ),
.VAR89 (VAR89 ),
.VAR132 (VAR132),
.VAR74 (VAR74),
.VAR23 (VAR23),
.VAR138 (VAR138 ),
.VAR152 (VAR152 ),
.VAR104 (VAR104),
.VAR133 (VAR133),
.VAR107 (),
.VAR99 (),
.VAR175 (),
.VAR70 (),
.VAR141 (),
.VAR162 (VAR162),
.VAR157 (VAR157),
.VAR9 (VAR9 ),
.VAR164(VAR164 ),
.VAR111 (VAR111),
.VAR103 (VAR103 ),
.VAR106 (VAR106),
.VAR112 (VAR112 ),
.VAR75 (VAR75 ),
.VAR139 (VAR139),
.VAR167 (VAR167 ),
.VAR14(VAR14),
.VAR71 (VAR71 ),
.VAR124 (VAR124),
.VAR171 (VAR171 ),
.VAR144 (VAR144 ),
.VAR151 (VAR151),
.VAR158 (VAR158 ),
.VAR92(VAR92),
.VAR7 (VAR7),
.clk (clk )
);
VAR109 VAR178(
.VAR26 (VAR136),
.VAR116 (VAR130),
.VAR125 (VAR125 ),
.VAR71 (VAR71 ),
.VAR124 (VAR124),
.VAR171 (VAR171 ),
.VAR144 (VAR144 ),
.VAR151 (VAR151),
.VAR158 (VAR158 ),
.VAR92(VAR92),
.VAR17 (VAR136),
.VAR96 (VAR46),
.VAR166 (VAR166 ),
.VAR103 (VAR103 ),
.VAR106 (VAR106),
.VAR112 (VAR112 ),
.VAR75 (VAR75 ),
.VAR139 (VAR139),
.VAR167 (VAR167 ),
.VAR14(VAR14),
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/ebufn/sky130_fd_sc_lp__ebufn.blackbox.v
| 1,278 |
module MODULE1 (
VAR1 ,
VAR6 ,
VAR4
);
output VAR1 ;
input VAR6 ;
input VAR4;
supply1 VAR7;
supply0 VAR2;
supply1 VAR5 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
argonnexraydetector/RoachFirmPy
|
ANLYellowBlocks/mkid_dacadc_4x/pcores/dac_mkid_4x_interface_v2_00_a/hdl/verilog/dac_mkid_4x_interface.v
| 26,858 |
module MODULE1(
input VAR122, input VAR41,
output VAR141, output VAR153,
output VAR22,
output VAR43,
output VAR86,
output VAR138,
output VAR103,
output VAR118,
output [15:0] VAR60, output [15:0] VAR32,
output [15:0] VAR100,
output [15:0] VAR99,
output VAR62,
output VAR181,
output VAR91,
output VAR137,
output VAR27,
input VAR23,
output VAR157, output VAR161, output VAR14, output VAR29, output VAR178,
input [15:0] VAR6, input [15:0] VAR177,
input [15:0] VAR37,
input [15:0] VAR16,
input [15:0] VAR139,
input [15:0] VAR75,
input [15:0] VAR30,
input [15:0] VAR106,
input VAR150, input VAR167,
input VAR19, input VAR8,
input VAR78,
input VAR159,
input VAR151 );
parameter VAR95 =1;
wire VAR154[15:0];
wire VAR124[15:0];
wire clk;
wire VAR170;
VAR33 #(
.VAR49("VAR45"))
VAR172 (
.VAR136(VAR62),
.VAR102(VAR19)
);
VAR33 #(.VAR49("VAR45")) VAR131 (
.VAR136(VAR181),
.VAR102(VAR8)
);
VAR33 #(.VAR49("VAR45")) VAR101 (
.VAR136(VAR91),
.VAR102(VAR78)
);
VAR33 #(.VAR49("VAR45")) VAR1 (
.VAR136(VAR137),
.VAR102(VAR159)
);
VAR33 #(.VAR49("VAR45")) VAR84 (
.VAR136(VAR27),
.VAR102(VAR151)
);
VAR140 #(.VAR49("VAR169"))
VAR116
(
.VAR136(VAR86),
.VAR66(VAR138),
.VAR102(VAR150)
);
VAR140 #(.VAR49("VAR169"))
VAR48
(
.VAR136(VAR103),
.VAR66(VAR118),
.VAR102(VAR167)
);
genvar VAR146;
generate
for (VAR146 =0; VAR146<16; VAR146 = VAR146 + 1)
begin: VAR18
VAR17 #(
.VAR46("VAR25"),
.VAR183("VAR133"),
.VAR111(4),
.VAR127(1),
.VAR128(1'b0),
.VAR10(1'b0),
.VAR55("VAR45"), .VAR59(0),
.VAR44("VAR163"),
.VAR168(1'b0),
.VAR24(1'b0),
.VAR107(1)
)
VAR5 (
.VAR39(), .VAR21(VAR154[VAR146]), .VAR56(), .VAR145(), .VAR38(), .VAR93(), .VAR147(clk), .VAR129(VAR170), .VAR61(1'b1), .VAR40(1'b0), .VAR80(1'b1), .VAR2(VAR6[VAR146]),
.VAR85(VAR177[VAR146]),
.VAR26(VAR37[VAR146]),
.VAR114(VAR16[VAR146]),
.VAR160(1'b0),
.VAR171(1'b0),
.VAR110(1'b0), .VAR68(1'b0), .VAR34(1'b0),
.VAR121(1'b1),
.VAR13(1'b1),
.VAR156(1'b1)
);
VAR140 #(.VAR49("VAR169"))
VAR7 (
.VAR136(VAR60[VAR146]),
.VAR66(VAR32[VAR146]),
.VAR102(VAR154[VAR146])
);
end
endgenerate
generate
for (VAR146 =0; VAR146<16; VAR146 = VAR146 + 1)
begin: VAR182
VAR17 #(
.VAR46("VAR25"),
.VAR183("VAR133"),
.VAR111(4),
.VAR127(1),
.VAR128(1'b0),
.VAR10(1'b0),
.VAR55("VAR45"), .VAR59(0),
.VAR44("VAR163"),
.VAR168(1'b0),
.VAR24(1'b0),
.VAR107(1)
)
VAR135 (
.VAR39(), .VAR21(VAR124[VAR146]), .VAR56(), .VAR145(), .VAR38(), .VAR93(), .VAR147(clk), .VAR129(VAR170), .VAR61(1'b1), .VAR40(1'b0), .VAR80(1'b1), .VAR2(VAR139[VAR146]), .VAR85(VAR75[VAR146]),
.VAR26(VAR30[VAR146]),
.VAR114(VAR106[VAR146]),
.VAR160(1'b0),
.VAR171(1'b0),
.VAR110(1'b0), .VAR68(1'b0), .VAR34(1'b0),
.VAR121(1'b1),
.VAR13(1'b1),
.VAR156(1'b1)
);
VAR140 #(.VAR49("VAR169"))
VAR115 (
.VAR136(VAR100[VAR146]),
.VAR66(VAR99[VAR146]),
.VAR102(VAR124[VAR146])
);
end
endgenerate
VAR17 #(
.VAR46("VAR25"),
.VAR183("VAR133"),
.VAR111(4),
.VAR127(1),
.VAR128(1'b0),
.VAR10(1'b0),
.VAR55("VAR45"), .VAR59(0),
.VAR44("VAR163"),
.VAR168(1'b0),
.VAR24(1'b0),
.VAR107(1)
)
VAR3 (
.VAR39(), .VAR21(VAR42), .VAR56(), .VAR145(), .VAR38(), .VAR93(), .VAR147(clk), .VAR129(VAR170), .VAR61(1'b1), .VAR40(1'b0), .VAR80(1'b1), .VAR2(1'b0), .VAR85(1'b1),
.VAR26(1'b0),
.VAR114(1'b1),
.VAR160(1'b0),
.VAR171(1'b0),
.VAR110(1'b0), .VAR68(1'b0), .VAR34(1'b0),
.VAR121(1'b1),
.VAR13(1'b1),
.VAR156(1'b1)
);
VAR17 #(
.VAR46("VAR25"),
.VAR183("VAR133"),
.VAR111(4),
.VAR127(1),
.VAR128(1'b0),
.VAR10(1'b0),
.VAR55("VAR45"), .VAR59(0),
.VAR44("VAR163"),
.VAR168(1'b0),
.VAR24(1'b0),
.VAR107(1)
)
VAR98 (
.VAR39(), .VAR21(VAR31), .VAR56(), .VAR145(), .VAR38(), .VAR93(), .VAR147(clk), .VAR129(VAR170), .VAR61(1'b1), .VAR40(1'b0), .VAR80(1'b1), .VAR2(1'b0),
.VAR85(1'b1),
.VAR26(1'b0),
.VAR114(1'b1),
.VAR160(1'b0),
.VAR171(1'b0),
.VAR110(1'b0), .VAR68(1'b0), .VAR34(1'b0),
.VAR121(1'b1),
.VAR13(1'b1),
.VAR156(1'b1)
);
VAR140 #(.VAR49("VAR169"))
VAR155 (
.VAR136(VAR141),
.VAR66(VAR153),
.VAR102(VAR42)
);
VAR140 #(.VAR49("VAR169"))
VAR174 (
.VAR136(VAR22),
.VAR66(VAR43),
.VAR102(VAR31)
);
generate
if(VAR95==1)
begin
VAR20 #(
.VAR49("VAR169")
)
VAR179 (
.VAR136(VAR105), .VAR102(VAR122), .VAR112(VAR41) );
VAR76 VAR134 (
.VAR136(VAR117), .VAR102(VAR105) );
VAR76 VAR165 (
.VAR136(VAR170), .VAR102(VAR175) );
VAR76 VAR143 (
.VAR136(clk), .VAR102(VAR65) );
VAR76 VAR69 (
.VAR136(VAR73), .VAR102(VAR88) );
VAR76 VAR166 (
.VAR136(VAR70), .VAR102(VAR104) );
VAR76 VAR113 (
.VAR136(VAR173), .VAR102(VAR148) );
assign VAR157 = VAR170; assign VAR161 = VAR73; assign VAR14 = VAR70; assign VAR29 = VAR173;
VAR94 #(
.VAR97("VAR130"), .VAR149(8.0), .VAR50(0.0), .VAR119(3.906), .VAR89(8.0), .VAR83(0.5),
.VAR72(0.5),
.VAR54(0.5),
.VAR152(0.5),
.VAR63(0.5),
.VAR74(0.5),
.VAR82(0.5),
.VAR81(0.0), .VAR126(90.0),
.VAR162(180.0),
.VAR67(270.0),
.VAR35(0.0), .VAR125(0.0),
.VAR28(0.0),
.VAR142(8), .VAR57(8),
.VAR176(8),
.VAR79(4), .VAR92(8),
.VAR36(8),
.VAR90("VAR109"), .VAR4("VAR109"), .VAR120(2), .VAR12(0.0), .VAR9("VAR109") )
VAR52 (
.VAR180(VAR175), .VAR15(), .VAR96(VAR88), .VAR132(), .VAR58(VAR104), .VAR77(), .VAR158(VAR148), .VAR53(), .VAR108(VAR65), .VAR164(), .VAR47(), .VAR144(), .VAR64(), .VAR71(VAR178), .VAR123(VAR117), .VAR51(1'b0), .VAR40(1'b0), .VAR11(VAR170) );
end
endgenerate
generate
if(VAR95==0)
begin
VAR76 VAR87 (
.VAR136(VAR117), .VAR102(VAR23) );
VAR76 VAR143 (
.VAR136(clk), .VAR102(VAR65) );
VAR76 VAR165 (
.VAR136(VAR170), .VAR102(VAR175) );
VAR76 VAR69 (
.VAR136(VAR73), .VAR102(VAR88) );
VAR76 VAR166 (
.VAR136(VAR70), .VAR102(VAR104) );
VAR76 VAR113 (
.VAR136(VAR173), .VAR102(VAR148) );
assign VAR157 = VAR170; assign VAR161 = VAR73; assign VAR14 = VAR70; assign VAR29 = VAR173;
VAR94 #(
.VAR97("VAR130"), .VAR149(8.0), .VAR50(0.0), .VAR119(7.812), .VAR89(8.0), .VAR83(0.5),
.VAR72(0.5),
.VAR54(0.5),
.VAR152(0.5),
.VAR63(0.5),
.VAR74(0.5),
.VAR82(0.5),
.VAR81(0.0),
.VAR126(90.0),
.VAR162(180.0),
.VAR67(270.0),
.VAR35(0.0),
.VAR125(0.0),
.VAR28(0.0),
.VAR142(8), .VAR57(8),
.VAR176(8),
.VAR79(4), .VAR92(1),
.VAR36(1),
.VAR90("VAR109"), .VAR4("VAR109"), .VAR120(1), .VAR12(0.0), .VAR9("VAR109") )
VAR52 (
.VAR180(VAR175), .VAR15(), .VAR96(VAR88), .VAR132(), .VAR58(VAR104), .VAR77(), .VAR158(VAR148), .VAR53(), .VAR108(VAR65), .VAR164(), .VAR47(), .VAR144(), .VAR64(), .VAR71(VAR178), .VAR123(VAR117), .VAR51(1'b0), .VAR40(1'b0), .VAR11(VAR170) );
end
endgenerate
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/ha/sky130_fd_sc_hs__ha.functional.pp.v
| 2,098 |
module MODULE1 (
VAR8,
VAR2,
VAR6,
VAR7 ,
VAR16 ,
VAR10
);
input VAR8;
input VAR2;
output VAR6;
output VAR7 ;
input VAR16 ;
input VAR10 ;
wire VAR5 ;
wire VAR11;
wire VAR4 ;
wire VAR3 ;
and VAR13 (VAR5 , VAR16, VAR10 );
VAR9 VAR1 (VAR11, VAR5, VAR8, VAR2);
buf VAR14 (VAR6 , VAR11 );
xor VAR12 (VAR4 , VAR10, VAR16 );
VAR9 VAR15 (VAR3 , VAR4, VAR8, VAR2 );
buf VAR17 (VAR7 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a21oi/sky130_fd_sc_hd__a21oi.functional.v
| 1,420 |
module MODULE1 (
VAR3 ,
VAR8,
VAR4,
VAR1
);
output VAR3 ;
input VAR8;
input VAR4;
input VAR1;
wire VAR9 ;
wire VAR7;
and VAR6 (VAR9 , VAR8, VAR4 );
nor VAR5 (VAR7, VAR1, VAR9 );
buf VAR2 (VAR3 , VAR7 );
endmodule
|
apache-2.0
|
SoCdesign/audiomixer
|
ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_hdmi_tx_16b_v1_00_a/hdl/verilog/cf_add.v
| 5,282 |
module MODULE1 (
clk,
VAR24,
VAR21,
VAR12,
VAR30,
VAR19,
VAR4,
VAR9);
parameter VAR20 = 16;
parameter VAR18 = VAR20 - 1;
input clk;
input [24:0] VAR24;
input [24:0] VAR21;
input [24:0] VAR12;
input [24:0] VAR30;
output [ 7:0] VAR19;
input [VAR18:0] VAR4;
output [VAR18:0] VAR9;
reg [VAR18:0] VAR15 = 'd0;
reg [24:0] VAR23 = 'd0;
reg [24:0] VAR17 = 'd0;
reg [24:0] VAR8 = 'd0;
reg [24:0] VAR11 = 'd0;
reg [VAR18:0] VAR29 = 'd0;
reg [24:0] VAR7 = 'd0;
reg [24:0] VAR2 = 'd0;
reg [VAR18:0] VAR1 = 'd0;
reg [24:0] VAR10 = 'd0;
reg [VAR18:0] VAR9 = 'd0;
reg [ 7:0] VAR19 = 'd0;
wire [24:0] VAR13;
wire [24:0] VAR14;
wire [24:0] VAR27;
wire [24:0] VAR5;
wire [24:0] VAR25;
wire [24:0] VAR31;
wire [24:0] VAR3;
wire [24:0] VAR26;
wire [24:0] VAR6;
wire [24:0] VAR28;
wire [24:0] VAR16;
wire [24:0] VAR22;
assign VAR13 = {1'b0, VAR24[23:0]};
assign VAR14 = ~VAR13 + 1'b1;
assign VAR27 = (VAR24[24] == 1'b1) ? VAR14 : VAR13;
assign VAR5 = {1'b0, VAR21[23:0]};
assign VAR25 = ~VAR5 + 1'b1;
assign VAR31 = (VAR21[24] == 1'b1) ? VAR25 : VAR5;
assign VAR3 = {1'b0, VAR12[23:0]};
assign VAR26 = ~VAR3 + 1'b1;
assign VAR6 = (VAR12[24] == 1'b1) ? VAR26 : VAR3;
assign VAR28 = {1'b0, VAR30[23:0]};
assign VAR16 = ~VAR28 + 1'b1;
assign VAR22 = (VAR30[24] == 1'b1) ? VAR16 : VAR28;
always @(posedge clk) begin
VAR15 <= VAR4;
VAR23 <= VAR27;
VAR17 <= VAR31;
VAR8 <= VAR6;
VAR11 <= VAR22;
end
always @(posedge clk) begin
VAR29 <= VAR15;
VAR7 <= VAR23 + VAR17;
VAR2 <= VAR8 + VAR11;
end
always @(posedge clk) begin
VAR1 <= VAR29;
VAR10 <= VAR7 + VAR2;
end
always @(posedge clk) begin
VAR9 <= VAR1;
if (VAR10[24] == 1'b1) begin
VAR19 <= 8'h00;
end else if (VAR10[23:20] == 'd0) begin
VAR19 <= VAR10[19:12];
end else begin
VAR19 <= 8'hff;
end
end
endmodule
|
mit
|
doug65536/crtc
|
fifo.v
| 1,713 |
module MODULE1(
clk,
reset,
VAR5,
VAR6,
VAR18,
VAR11,
VAR13,
VAR14,
VAR2
);
parameter VAR7 = 32;
parameter VAR1 = 4;
localparam VAR10 = {1'b1, {(VAR1){1'b0}}};
input clk;
input reset;
input VAR5;
input VAR6;
input [VAR7-1:0] VAR18;
output [VAR7-1:0] VAR11;
output VAR13;
output VAR14;
output VAR2;
reg [VAR7-1:0] VAR16[0:VAR10-1];
reg [VAR1-1:0] VAR8;
reg [VAR1-1:0] VAR20;
reg [VAR1:0] VAR17;
wire [VAR1-1:0] VAR4 = VAR8 + 1'b1;
wire [VAR1-1:0] VAR3 = VAR20 + 1'b1;
wire [VAR1:0] VAR12 = VAR17 + 1'b1;
wire [VAR1:0] VAR21 = VAR17 - 1'b1;
reg VAR19;
reg VAR9;
reg VAR15;
always @(posedge clk)
begin
VAR19 <= VAR16[VAR8];
VAR9 <= |VAR17;
VAR15 <= ~VAR17[VAR1];
end
assign VAR13 = VAR15;
assign VAR14 = VAR9;
assign VAR11 = VAR19;
assign VAR13 = ~VAR17[VAR1];
assign VAR14 = |VAR17;
assign VAR11 = VAR16[VAR8];
always @(posedge clk or posedge reset)
begin
if (reset)
begin
VAR20 <= 1'b0;
VAR8 <= 1'b0;
VAR17 <= 1'b0;
end
else if (VAR6 & VAR5)
begin
VAR16[VAR20] <= VAR18;
VAR8 <= VAR4;
VAR20 <= VAR3;
end
else if (VAR5)
begin
VAR16[VAR20] <= VAR18;
VAR20 <= VAR3;
VAR17 <= VAR12;
end
else if (VAR6)
begin
VAR8 <= VAR4;
VAR17 <= VAR21;
end
end
endmodule
|
mit
|
eda-globetrotter/MarcheProcessor
|
wwp/datamem.v
| 2,546 |
module MODULE1 (VAR3,VAR1,VAR4,clk,VAR2);
output [0:127] VAR3;
input [0:127] VAR1;
input [0:31] VAR4;
input clk;
input [0:1] VAR2;
reg [0:127] VAR3;
reg [127:0] MODULE1 [255:0];
begin
begin
begin
begin
begin
begin
|
mit
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/serialInterfaceEngine/SIETransmitter.v
| 24,331 |
module MODULE1 (VAR67, VAR17, VAR38, VAR59, VAR7, VAR35, VAR13, VAR65, VAR64, VAR26, VAR70, VAR51, VAR81, VAR77, VAR96, VAR9, VAR41, VAR82, VAR22, VAR122, VAR76, VAR33, VAR3, VAR108, clk, VAR60, VAR75, VAR114, rst, VAR43);
input [15:0] VAR17;
input VAR38;
input [4:0] VAR7;
input VAR35;
input [1:0] VAR64;
input [1:0] VAR26;
input [7:0] VAR70;
input [7:0] VAR51;
input VAR77;
input VAR76;
input VAR33;
input clk;
input VAR60;
input VAR75;
input rst;
output VAR67;
output VAR59;
output VAR13;
output [7:0] VAR65;
output VAR81;
output [7:0] VAR96;
output VAR9;
output [7:0] VAR41;
output VAR82;
output [1:0] VAR22;
output VAR122;
output VAR3;
output VAR108;
output VAR114;
output VAR43;
reg VAR67, VAR6;
wire [15:0] VAR17;
wire VAR38;
reg VAR59, VAR78;
wire [4:0] VAR7;
wire VAR35;
reg VAR13, VAR40;
reg [7:0] VAR65, VAR86;
wire [1:0] VAR64;
wire [1:0] VAR26;
wire [7:0] VAR70;
wire [7:0] VAR51;
reg VAR81, VAR124;
wire VAR77;
reg [7:0] VAR96, VAR105;
reg VAR9, VAR111;
reg [7:0] VAR41, VAR56;
reg VAR82, VAR66;
reg [1:0] VAR22, VAR68;
reg VAR122, VAR125;
wire VAR76;
wire VAR33;
reg VAR3, VAR89;
reg VAR108, VAR42;
wire clk;
wire VAR60;
wire VAR75;
reg VAR114, VAR24;
wire rst;
reg VAR43, VAR84;
reg [7:0]VAR21, VAR90;
reg [7:0]VAR88, VAR102;
reg [2:0]VAR47, VAR29;
reg [15:0]VAR98, VAR128;
reg [5:0] VAR44;
reg [5:0] VAR112;
always @ (VAR51 or VAR70 or VAR60 or VAR47 or VAR88 or VAR17 or VAR7 or VAR26 or VAR98 or VAR64 or VAR21 or VAR77 or VAR76 or VAR33 or VAR75 or VAR38 or VAR35 or VAR114 or VAR41 or VAR96 or VAR22 or VAR82 or VAR3 or VAR108 or VAR43 or VAR65 or VAR59 or VAR13 or VAR67 or VAR81 or VAR9 or VAR122 or VAR44)
begin : VAR39
VAR112 <= VAR44;
VAR24 <= VAR114;
VAR56 <= VAR41;
VAR105 <= VAR96;
VAR68 <= VAR22;
VAR66 <= VAR82;
VAR89 <= VAR3;
VAR42 <= VAR108;
VAR84 <= VAR43;
VAR86 <= VAR65;
VAR78 <= VAR59;
VAR40 <= VAR13;
VAR6 <= VAR67;
VAR124 <= VAR81;
VAR102 <= VAR88;
VAR90 <= VAR21;
VAR29 <= VAR47;
VAR128 <= VAR98;
VAR111 <= VAR9;
VAR125 <= VAR122;
case (VAR44)
VAR112 <= VAR23;
begin
VAR24 <= 1'b0;
VAR56 <= 8'h00;
VAR105 <= 8'h00;
VAR68 <= 2'b00;
VAR66 <= VAR12;
VAR89 <= 1'b0;
VAR42 <= 1'b0;
VAR84 <= 1'b0;
VAR86 <= 8'h00;
VAR78 <= 1'b0;
VAR40 <= 1'b0;
VAR6 <= 1'b0;
VAR124 <= 1'b0;
VAR102 <= 8'h00;
VAR90 <= 8'h00;
VAR29 <= 3'h0;
VAR128 <= 16'h0000;
VAR111 <= 1'b0;
VAR125 <= 1'b0;
VAR112 <= VAR23;
end
if ((VAR21 == VAR121) && (VAR88[3:0] == VAR46 || VAR88[3:0] == VAR134))
begin
VAR112 <= VAR133;
VAR111 <= 1'b1;
end
else if (VAR21 == VAR121)
VAR112 <= VAR133;
end
else if (VAR21 == VAR48)
begin
VAR112 <= VAR91;
VAR89 <= 1'b1;
end
else if (VAR21 == VAR93)
begin
VAR112 <= VAR18;
VAR89 <= 1'b1;
end
else if (VAR21 == VAR97)
VAR112 <= VAR119;
else if (VAR21 == VAR61)
begin
VAR112 <= VAR62;
VAR89 <= 1'b1;
VAR128 <= 16'h0000;
VAR125 <= 1'b0;
end
begin
VAR124 <= 1'b1;
if (VAR77 == 1'b1)
begin
VAR112 <= VAR132;
VAR102 <= VAR51;
VAR90 <= VAR70;
VAR124 <= 1'b0;
VAR111 <= VAR60;
VAR125 <= VAR60;
end
end
begin
VAR42 <= 1'b0;
VAR29 <= VAR47 + 1'b1;
if (VAR47 == 3'h7)
begin
VAR112 <= VAR23;
VAR89 <= 1'b0;
end
else
VAR112 <= VAR53;
end
begin
VAR29 <= 3'h0;
if (VAR76 == 1'b1)
VAR112 <= VAR55;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR15;
VAR68 <= VAR88[1:0];
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
VAR112 <= VAR55;
begin
VAR24 <= 1'b0;
if (VAR88[1:0] == VAR54)
VAR112 <= VAR5;
end
else if (VAR88[1:0] == VAR109)
VAR112 <= VAR63;
else if (VAR88[1:0] == VAR80)
VAR112 <= VAR117;
else if (VAR88[1:0] == VAR30)
VAR112 <= VAR27;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR25;
VAR24 <= 1'b1;
VAR56 <= VAR123;
VAR105 <= VAR118;
end
begin
VAR24 <= 1'b0;
VAR112 <= VAR45;
end
begin
VAR24 <= 1'b0;
VAR112 <= VAR23;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR83;
VAR24 <= 1'b1;
VAR56 <= ~VAR17[7:0];
VAR105 <= VAR113;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR2;
VAR24 <= 1'b1;
VAR56 <= ~VAR17[15:8];
VAR105 <= VAR94;
end
if (VAR21 == VAR1)
VAR112 <= VAR34;
else
VAR112 <= VAR106;
begin
VAR24 <= 1'b0;
VAR112 <= VAR101;
end
begin
VAR86 <= VAR88;
VAR6 <= 1'b1;
VAR112 <= VAR92;
end
begin
VAR124 <= 1'b1;
if (VAR77 == 1'b1)
begin
VAR112 <= VAR73;
VAR102 <= VAR51;
VAR90 <= VAR70;
VAR124 <= 1'b0;
end
end
begin
VAR6 <= 1'b0;
if (VAR75 == 1'b1)
begin
VAR112 <= VAR130;
VAR24 <= 1'b1;
VAR56 <= VAR88;
VAR105 <= VAR113;
end
end
if (VAR38 == 1'b1)
VAR112 <= VAR20;
begin
VAR24 <= 1'b0;
VAR84 <= 1'b0;
VAR112 <= VAR101;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR131;
VAR24 <= 1'b1;
VAR56 <= VAR88;
VAR105 <= VAR113;
VAR84 <= 1'b1;
end
begin
VAR24 <= 1'b0;
VAR112 <= VAR23;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR87;
VAR24 <= 1'b1;
VAR56 <= VAR88;
VAR105 <= VAR94;
end
begin
VAR24 <= 1'b0;
VAR112 <= VAR23;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR74;
VAR24 <= 1'b1;
VAR56 <= VAR88;
if (VAR88[3:0] == VAR134)
VAR105 <= VAR99;
end
else
VAR105 <= VAR94;
end
begin
VAR24 <= 1'b0;
VAR112 <= VAR14;
end
begin
VAR86 <= VAR88;
VAR40 <= 1'b1;
VAR78 <= 1'b1;
VAR112 <= VAR52;
end
begin
VAR124 <= 1'b1;
if (VAR77 == 1'b1)
begin
VAR112 <= VAR104;
VAR102 <= VAR51;
VAR90 <= VAR70;
VAR124 <= 1'b0;
end
end
begin
VAR78 <= 1'b0;
if (VAR75 == 1'b1)
begin
VAR112 <= VAR115;
VAR24 <= 1'b1;
VAR56 <= VAR88;
VAR105 <= VAR113;
end
end
if (VAR35 == 1'b1)
VAR112 <= VAR129;
begin
VAR24 <= 1'b0;
VAR112 <= VAR23;
end
begin
VAR86 <= VAR88;
VAR40 <= 1'b0;
VAR78 <= 1'b1;
VAR112 <= VAR4;
end
begin
VAR124 <= 1'b1;
if (VAR77 == 1'b1)
begin
VAR112 <= VAR57;
VAR102 <= VAR51;
VAR90 <= VAR70;
VAR124 <= 1'b0;
end
end
begin
VAR78 <= 1'b0;
if (VAR75 == 1'b1)
begin
VAR112 <= VAR95;
VAR24 <= 1'b1;
VAR56 <= {~VAR7, VAR88[2:0] };
VAR105 <= VAR94;
end
end
if (VAR35 == 1'b1)
VAR112 <= VAR37;
begin
VAR24 <= 1'b0;
VAR84 <= 1'b0;
VAR112 <= VAR71;
end
if (VAR75 == 1'b1)
begin
VAR112 <= VAR32;
VAR24 <= 1'b1;
VAR56 <= VAR88;
VAR105 <= VAR113;
VAR84 <= 1'b1;
end
begin
VAR42 <= 1'b0;
if (VAR98 == VAR36)
VAR112 <= VAR110;
end
else
VAR112 <= VAR8;
end
begin
VAR42 <= 1'b0;
VAR112 <= VAR120;
end
begin
VAR42 <= 1'b0;
VAR89 <= 1'b0;
VAR112 <= VAR23;
VAR125 <= VAR60;
end
begin
VAR42 <= 1'b0;
VAR112 <= VAR11;
end
begin
VAR42 <= 1'b0;
VAR112 <= VAR49;
end
if (VAR76 == 1'b1)
VAR112 <= VAR69;
if (VAR33 == 1'b1)
begin
VAR112 <= VAR10;
VAR68 <= VAR26;
VAR66 <= VAR31;
VAR42 <= 1'b1;
VAR128 <= VAR98 + 1'b1;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR58;
VAR68 <= VAR100;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
VAR112 <= VAR69;
if (VAR33 == 1'b1)
begin
VAR112 <= VAR19;
VAR68 <= VAR100;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR126;
VAR68 <= VAR64;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR107;
VAR68 <= VAR64;
VAR66 <= VAR12;
VAR42 <= 1'b1;
end
if (VAR76 == 1'b1)
VAR112 <= VAR127;
begin
VAR42 <= 1'b0;
VAR112 <= VAR72;
end
begin
VAR42 <= 1'b0;
VAR112 <= VAR28;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR16;
VAR68 <= VAR100;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
begin
VAR42 <= 1'b0;
VAR89 <= 1'b0;
VAR112 <= VAR23;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR50;
VAR68 <= VAR100;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
if (VAR33 == 1'b1)
begin
VAR112 <= VAR116;
VAR68 <= VAR64;
VAR66 <= VAR31;
VAR42 <= 1'b1;
end
endcase
end
always @ (posedge clk)
begin : VAR103
if (rst)
VAR44 <= VAR85;
end
else
VAR44 <= VAR112;
end
always @ (posedge clk)
begin : VAR79
if (rst)
begin
VAR88 <= 8'h00;
VAR21 <= 8'h00;
VAR47 <= 3'h0;
VAR98 <= 16'h0000;
VAR114 <= 1'b0;
VAR41 <= 8'h00;
VAR96 <= 8'h00;
VAR22 <= 2'b00;
VAR82 <= VAR12;
VAR3 <= 1'b0;
VAR108 <= 1'b0;
VAR43 <= 1'b0;
VAR65 <= 8'h00;
VAR59 <= 1'b0;
VAR13 <= 1'b0;
VAR67 <= 1'b0;
VAR81 <= 1'b0;
VAR9 <= 1'b0;
VAR122 <= 1'b0;
end
else
begin
VAR88 <= VAR102;
VAR21 <= VAR90;
VAR47 <= VAR29;
VAR98 <= VAR128;
VAR114 <= VAR24;
VAR41 <= VAR56;
VAR96 <= VAR105;
VAR22 <= VAR68;
VAR82 <= VAR66;
VAR3 <= VAR89;
VAR108 <= VAR42;
VAR43 <= VAR84;
VAR65 <= VAR86;
VAR59 <= VAR78;
VAR13 <= VAR40;
VAR67 <= VAR6;
VAR81 <= VAR124;
VAR9 <= VAR111;
VAR122 <= VAR125;
end
end
endmodule
|
gpl-3.0
|
rkrajnc/minimig-de1
|
bench/sdm/sdm.v
| 4,925 |
module MODULE1
(
input clk, input [14:0] VAR26, input [14:0] VAR22, output reg VAR40=0, output reg VAR37=0 );
localparam VAR4 = 15;
localparam VAR9 = 2;
localparam VAR14 = 4;
localparam VAR21 = 2;
localparam VAR32 = 5;
wire [VAR4+2+0 -1:0] VAR3, VAR5;
reg [VAR4+2+0 -1:0] VAR2=0, VAR23=0;
wire [VAR4+VAR21+2-1:0] VAR10, VAR1;
wire [VAR4+VAR32+2-1:0] VAR20, VAR24;
reg [VAR4+VAR21+2-1:0] VAR25=0, VAR18=0;
reg [VAR4+VAR32+2-1:0] VAR7=0, VAR29=0;
wire [VAR4+VAR32+3-1:0] VAR19, VAR15;
reg [24-1:0] VAR17 = 24'h654321;
reg [19-1:0] VAR39 = 19'h12345;
reg [24-1:0] VAR27=0, VAR28=0, VAR42=0;
always @ (posedge clk) begin
if (&VAR17)
VAR17 <= 24'h654321;
end
else
VAR17 <= {VAR17[22:0], ~(VAR17[23] ^ VAR17[22] ^ VAR17[21] ^ VAR17[16])};
end
always @ (posedge clk) begin
if (&VAR39)
VAR39 <= 19'h12345;
end
else
VAR39 <= {VAR39[17:0], ~(VAR39[18] ^ VAR39[17] ^ VAR39[16] ^ VAR39[13] ^ VAR39[0])};
end
always @ (posedge clk) begin
VAR27 <= VAR17 + {5'b0, VAR39};
VAR28 <= VAR27;
VAR42 <= VAR27 - VAR28;
end
localparam VAR12=4; reg [VAR12+0-1:0] VAR36 = 0;
always @ (posedge clk) VAR36 <= VAR36 + 'd1;
reg [VAR4+0-1:0] VAR6=0, VAR38=0;
reg [VAR4+0-1:0] VAR13=0, VAR34=0;
wire [VAR4+1-1:0] VAR31, VAR11;
reg [VAR4+VAR12-1:0] VAR35=0, VAR16=0;
wire [VAR4+0-1:0] VAR41, VAR30;
assign VAR31 = {VAR6[VAR4-1], VAR6} - {VAR38[VAR4-1], VAR38}; assign VAR11 = {VAR13[VAR4-1], VAR13} - {VAR34[VAR4-1], VAR34}; always @ (posedge clk) begin
if (~|VAR36) begin
VAR38 <= VAR6;
VAR6 <= VAR26; VAR34 <= VAR13;
VAR13 <= VAR22; VAR35 <= {VAR6[VAR4-1], VAR6, {VAR12{1'b0}}};
VAR16 <= {VAR13[VAR4-1], VAR13, {VAR12{1'b0}}};
end else begin
VAR35 <= VAR35 + {{VAR12{VAR31[VAR4+1-1]}}, VAR31};
VAR16 <= VAR16 + {{VAR12{VAR11[VAR4+1-1]}}, VAR11};
end
end
assign VAR41 = VAR35[VAR4+VAR12-1:VAR12];
assign VAR30 = VAR16[VAR4+VAR12-1:VAR12];
wire [VAR4+2-1:0] VAR8, VAR33;
assign VAR8 = {VAR41[VAR4-1], VAR41, 1'b0} + {{(2){VAR41[VAR4-1]}}, VAR41};
assign VAR33 = {VAR30[VAR4-1], VAR30, 1'b0} + {{(2){VAR30[VAR4-1]}}, VAR30};
assign VAR10 = {{(VAR21){VAR8[VAR4+2-1]}}, VAR8} - {{(VAR21){VAR3[VAR4+2-1]}}, VAR3} + VAR25;
assign VAR1 = {{(VAR21){VAR33[VAR4+2-1]}}, VAR33} - {{(VAR21){VAR5[VAR4+2-1]}}, VAR5} + VAR18;
assign VAR20 = {{(VAR32-VAR21){VAR10[VAR4+VAR21+2-1]}}, VAR10} - {{(VAR32){VAR3[VAR4+2-1]}}, VAR3} - {{(VAR32+1){VAR2[VAR4+2-1]}}, VAR2[VAR4+2-1:1]} + VAR7;
assign VAR24 = {{(VAR32-VAR21){VAR1[VAR4+VAR21+2-1]}}, VAR1} - {{(VAR32){VAR5[VAR4+2-1]}}, VAR5} - {{(VAR32+1){VAR23[VAR4+2-1]}}, VAR23[VAR4+2-1:1]} + VAR29;
always @ (posedge clk) begin
VAR25 <= VAR10;
VAR18 <= VAR1;
VAR7 <= VAR20;
VAR29 <= VAR24;
end
assign VAR19 = {VAR7[VAR4+VAR32+2-1], VAR7} + {{(VAR4+VAR32+3-VAR14){VAR42[VAR14-1]}}, VAR42[VAR14-1:0]};
assign VAR15 = {VAR29[VAR4+VAR32+2-1], VAR29} + {{(VAR4+VAR32+3-VAR14){VAR42[VAR14-1]}}, VAR42[VAR14-1:0]};
assign VAR3 = VAR19[VAR4+VAR32+3-1] ? {1'b1, {(VAR4+2-1){1'b0}}} : {1'b0, {(VAR4+2-1){1'b1}}};
assign VAR5 = VAR15[VAR4+VAR32+3-1] ? {1'b1, {(VAR4+2-1){1'b0}}} : {1'b0, {(VAR4+2-1){1'b1}}};
always @ (posedge clk) begin
VAR2 <= (&VAR3) ? VAR3 : VAR3+1;
VAR23 <= (&VAR5) ? VAR5 : VAR5+1;
end
always @ (posedge clk) begin
VAR40 <= (~|VAR8) ? ~VAR40 : ~VAR3[VAR4+2-1];
VAR37 <= (~|VAR33) ? ~VAR37 : ~VAR5[VAR4+2-1];
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o211a/sky130_fd_sc_hs__o211a_4.v
| 2,221 |
module MODULE1 (
VAR4 ,
VAR1 ,
VAR2 ,
VAR3 ,
VAR8 ,
VAR9,
VAR5
);
output VAR4 ;
input VAR1 ;
input VAR2 ;
input VAR3 ;
input VAR8 ;
input VAR9;
input VAR5;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR4 ,
VAR1,
VAR2,
VAR3,
VAR8
);
output VAR4 ;
input VAR1;
input VAR2;
input VAR3;
input VAR8;
supply1 VAR9;
supply0 VAR5;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/sha256_dsp48e1.v
| 11,257 |
module MODULE3 (
input clk,
input [511:0] VAR79,
input [255:0] VAR43,
output reg [255:0] VAR55,
output [31:0] VAR153
);
localparam VAR25 = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2};
wire [31:0] VAR101, VAR155;
wire [47:0] VAR3;
MODULE5 MODULE3 (
.VAR46 (VAR43[VAR42(7)]),
.VAR76 (VAR79[VAR42(0)]),
.VAR124 (32'h428a2f98),
.VAR81 (VAR101),
.VAR150 (VAR155)
);
MODULE6 MODULE4 (
.clk (clk),
.VAR36 ({16'd0, VAR101}),
.VAR124 ({16'd0, VAR155[30:0], 1'b0}),
.VAR163 (),
.VAR73 (),
.VAR114 (VAR3)
);
reg [511:0] VAR133, VAR94, VAR35;
genvar VAR24;
generate
for (VAR24 = 0; VAR24 < 64; VAR24 = VAR24 + 1) begin : VAR44
wire [511:0] VAR84;
wire [47:0] VAR96;
wire [223:0] state;
wire [511:0] VAR152;
wire [47:0] VAR23;
wire [223:0] VAR5;
if (VAR24 == 0)
assign VAR84 = VAR133;
end
else
assign VAR84 = VAR44[VAR24-1].VAR152;
if (VAR24 == 0)
assign VAR96 = VAR3;
else
assign VAR96 = VAR44[VAR24-1].VAR23;
if (VAR24 == 0)
assign state = VAR43[223:0];
else
assign state = VAR44[VAR24-1].VAR5;
MODULE4 # (.VAR4 (VAR25[VAR42((126 - VAR24) & 63)])) VAR131 (
.clk (clk),
.VAR79 (VAR84),
.VAR16 (VAR96),
.VAR43 (state),
.VAR152 (VAR152),
.VAR23 (VAR23),
.VAR5 (VAR5)
);
end
endgenerate
assign VAR153 = VAR44[60].VAR5[VAR42(4)];
reg [31:0] VAR29, VAR128, VAR113, VAR64;
always @ (posedge clk)
begin
{VAR35, VAR94, VAR133} <= {VAR94, VAR133, VAR79};
VAR29 <= VAR44[62].VAR5[VAR42(6)];
{VAR64, VAR113, VAR128} <= {VAR113, VAR128, VAR29};
VAR55[VAR42(7)] <= VAR64 + VAR43[VAR42(7)];
VAR55[VAR42(6)] <= VAR44[63].VAR5[VAR42(6)] + VAR43[VAR42(6)];
VAR55[VAR42(5)] <= VAR44[63].VAR5[VAR42(5)] + VAR43[VAR42(5)];
VAR55[VAR42(4)] <= VAR44[63].VAR5[VAR42(4)] + VAR43[VAR42(4)];
VAR55[VAR42(3)] <= VAR44[63].VAR5[VAR42(3)] + VAR43[VAR42(3)];
VAR55[VAR42(2)] <= VAR44[63].VAR5[VAR42(2)] + VAR43[VAR42(2)];
VAR55[VAR42(1)] <= VAR44[63].VAR5[VAR42(1)] + VAR43[VAR42(1)];
VAR55[VAR42(0)] <= VAR44[63].VAR5[VAR42(0)] + VAR43[VAR42(0)];
end
endmodule
module MODULE5 (
input [31:0] VAR46,
input [31:0] VAR76,
input [31:0] VAR124,
output [31:0] VAR81,
output [31:0] VAR150
);
assign VAR81 = VAR46 ^ VAR76 ^ VAR124;
assign VAR150 = VAR136(VAR46, VAR76, VAR124);
endmodule
module MODULE2 (
input [31:0] VAR46,
input [31:0] VAR76,
input [31:0] VAR124,
input [31:0] VAR27,
output [31:0] VAR81,
output [31:0] VAR150
);
wire [31:0] VAR141, VAR170;
MODULE5 MODULE2 (.VAR46 (VAR46), .VAR76 (VAR76), .VAR124 (VAR124), .VAR81 (VAR141), .VAR150 (VAR170));
MODULE5 final (.VAR46 (VAR141), .VAR76 ({VAR170[30:0], 1'b0}), .VAR124 (VAR27), .VAR81 (VAR81), .VAR150 (VAR150));
endmodule
module MODULE4 # (
parameter [31:0] VAR4 = 32'd0
) (
input clk,
input [511:0] VAR79,
input [47:0] VAR16,
input [223:0] VAR43,
output [511:0] VAR152,
output [47:0] VAR23,
output [223:0] VAR5
);
reg [511:0] VAR45;
reg [479:0] VAR18, VAR80, VAR117;
wire [31:0] VAR86; wire [31:0] VAR137; wire [47:0] VAR54;
VAR86 VAR56 (VAR45[VAR42(1)], VAR86);
VAR137 VAR38 (VAR18[VAR42(13)], VAR137);
MODULE6 MODULE5 (
.clk (clk),
.VAR36 ({16'd0,VAR86}),
.VAR124 ({16'd0,VAR45[VAR42(0)]}),
.VAR163 (),
.VAR73 (),
.VAR114 (VAR54)
);
MODULE6 # (.VAR135(7'b0011111)) MODULE7 (
.clk (clk),
.VAR36 ({16'd0,VAR137}),
.VAR124 ({16'd0,VAR18[VAR42(8)]}),
.VAR163 (VAR54),
.VAR73 (VAR152[VAR42(15)]),
.VAR114 ()
);
always @ (posedge clk)
begin
VAR45 <= VAR79;
{VAR117, VAR80, VAR18} <= {VAR80, VAR18, VAR45[511:32]};
end
assign VAR152[479:0] = VAR117;
reg [31:0] VAR122, VAR30, VAR106, d0, VAR172, VAR67, VAR87, VAR104, VAR14, VAR85;
reg [63:0] VAR88, VAR125, VAR174, VAR143, VAR37;
reg [95:0] VAR40, VAR156, VAR123, VAR70, VAR66;
wire [31:0] VAR75;
wire [31:0] VAR33;
wire [31:0] VAR119;
wire [31:0] VAR2;
wire [31:0] VAR101, VAR155;
wire [47:0] VAR41, VAR91;
wire [31:0] VAR164, VAR60;
VAR119 VAR12 (VAR40[VAR42(0)], VAR119);
VAR75 VAR50 (VAR43[VAR42(4)], VAR75);
VAR33 VAR31 (VAR43[VAR42(4)], VAR43[VAR42(5)], VAR43[VAR42(6)], VAR33);
VAR2 VAR22 (VAR40[VAR42(0)], VAR40[VAR42(1)], VAR40[VAR42(2)], VAR2);
MODULE6 # (.VAR135(7'b0011111)) VAR166 (
.clk (clk),
.VAR36 ({16'd0,VAR75}),
.VAR124 ({16'd0,VAR33}),
.VAR163 (VAR16),
.VAR73 (VAR41),
.VAR114 (VAR91)
);
MODULE6 # (.VAR135(7'b0011111)) VAR116 (
.clk (clk),
.VAR36 ({16'd0,VAR119}),
.VAR124 ({16'd0,VAR2}),
.VAR163 (VAR91),
.VAR73 (VAR164),
.VAR114 ()
);
MODULE6 MODULE6 (
.clk (clk),
.VAR36 ({16'd0,VAR172}),
.VAR124 (VAR41),
.VAR163 (),
.VAR73 (VAR5[VAR42(4)]),
.VAR114 ()
);
MODULE5 MODULE3 (
.VAR46 (VAR30),
.VAR76 (VAR18[VAR42(0)]),
.VAR124 (VAR4),
.VAR81 (VAR101),
.VAR150 (VAR155)
);
MODULE6 MODULE4 (
.clk (clk),
.VAR36 ({16'd0,VAR14}),
.VAR124 ({16'd0,VAR85[30:0],1'b0}),
.VAR163 (),
.VAR73 (),
.VAR114 (VAR23)
);
always @ (posedge clk)
begin
{VAR14, VAR85} <= {VAR101, VAR155};
{VAR106, VAR30, VAR122} <= {VAR30, VAR122, VAR43[VAR42(6)]};
{VAR37, VAR143, VAR174, VAR125, VAR88} <= {VAR143, VAR174, VAR125, VAR88, VAR43[VAR42(5)], VAR43[VAR42(4)]};
{VAR66, VAR70, VAR123, VAR156, VAR40} <= {VAR70, VAR123, VAR156, VAR40, VAR43[VAR42(2)], VAR43[VAR42(1)], VAR43[VAR42(0)]};
{VAR172, d0} <= {d0, VAR43[VAR42(3)]};
{VAR87, VAR67} <= {VAR67, VAR164};
end
assign {VAR5[VAR42(6)], VAR5[VAR42(5)]} = VAR143;
assign {VAR5[VAR42(3)], VAR5[VAR42(2)], VAR5[VAR42(1)]} = VAR70;
assign VAR5[VAR42(0)] = VAR67;
endmodule
module MODULE1 (
input clk,
input [511:0] VAR79,
output [511:0] VAR152
);
reg [479:0] VAR45, VAR18, VAR80;
wire [31:0] VAR86 = VAR98(VAR79[VAR42(1)]);
wire [31:0] VAR137 = VAR53(VAR79[VAR42(14)]);
wire [31:0] sum, VAR139, VAR160;
MODULE2 MODULE1 (
.VAR46 (VAR86),
.VAR76 (VAR137),
.VAR124 (VAR79[VAR42(0)]),
.VAR27 (VAR79[VAR42(9)]),
.VAR81 (sum),
.VAR150 (VAR139)
);
MODULE6 MODULE7 (
.clk (clk),
.VAR36 (sum),
.VAR124 ({VAR139[30:0], 1'b0}),
.VAR163 (),
.VAR73 (VAR152[VAR42(15)]),
.VAR114 ()
);
always @ (posedge clk)
begin
VAR45 <= VAR79[511:32];
VAR18 <= VAR45;
end
assign VAR152[479:0] = VAR18;
endmodule
module MODULE6 # (
parameter VAR135 = 7'b0001111
) (
input clk,
input [47:0] VAR163,
input [47:0] VAR36,
input [47:0] VAR124,
output [47:0] VAR73,
output [47:0] VAR114
);
VAR99 #(
.VAR169("VAR115"),
.VAR151("VAR115"),
.VAR171("VAR68"),
.VAR32("VAR89"),
.VAR71("VAR109"),
.VAR52(48'h3fffffffffff),
.VAR165(48'h000000000000),
.VAR138("VAR52"),
.VAR62("VAR165"),
.VAR82("VAR168"),
.VAR140(1),
.VAR118(0),
.VAR10(1),
.VAR92(1),
.VAR69(1),
.VAR129(1),
.VAR111(1),
.VAR7(1),
.VAR11(1),
.VAR90(0),
.VAR100(1),
.VAR57(0),
.VAR58(1),
.VAR15(1),
.VAR148("VAR39")
) VAR162 (
.VAR78(),
.VAR95(),
.VAR59(),
.VAR110(),
.VAR146(VAR114),
.VAR147(),
.VAR142(),
.VAR103(),
.VAR47(),
.VAR34(),
.VAR21(VAR73),
.VAR112(),
.VAR121(),
.VAR49(1'b0),
.VAR28(1'b0),
.VAR6(VAR163),
.VAR127(4'b0000),
.VAR26(3'b000),
.VAR1(1'b1),
.VAR145(clk),
.VAR97(5'b00000),
.VAR135(VAR135),
.VAR77(1'b0),
.VAR63(VAR36[47:18]),
.VAR17(VAR36[17:0]),
.VAR72(VAR124),
.VAR74(1'b0),
.VAR126(),
.VAR108(1'b1),
.VAR120(1'b1),
.VAR173(1'b0),
.VAR149(1'b1),
.VAR51(1'b1),
.VAR167(1'b1),
.VAR48(1'b1),
.VAR154(1'b1),
.VAR8(1'b1),
.VAR102(1'b0),
.VAR130(1'b0),
.VAR134(1'b1),
.VAR105(1'b0),
.VAR83(1'b0),
.VAR65(1'b0),
.VAR158(1'b0),
.VAR13(1'b0),
.VAR157(1'b0),
.VAR144(1'b0),
.VAR107(1'b0),
.VAR161(1'b0)
);
endmodule
|
gpl-3.0
|
nyaxt/dmix
|
csr_spi.v
| 12,691 |
module MODULE2(
input wire [7:0] VAR47,
output wire VAR26,
output wire VAR9,
output wire VAR34,
output wire [7:0] VAR13,
output wire VAR41,
output wire VAR60,
output wire [3:0] VAR49);
assign VAR34 = VAR47[7];
function [7:0] VAR78(
input [1:0] VAR12);
begin
case (VAR12)
2'b00: VAR78 = 8'd0;
2'b01: VAR78 = 8'd1;
2'b10: VAR78 = 8'd4;
2'b11: VAR78 = 8'd16;
endcase
end
endfunction
assign VAR13 = VAR78(VAR47[6:5]);
assign VAR26 = VAR47[6:5] == 2'b00 && VAR47[3:0] != 4'hf;
assign VAR41 = VAR47[4] == 1'b1;
assign VAR60 = VAR47[4] == 1'b0;
assign VAR9 = VAR47[6:5] == 2'b00 && VAR47[3:0] == 4'hf;
assign VAR49 = VAR47[3:0];
endmodule
module MODULE1 #(
parameter VAR39 = 8,
parameter VAR7 = 3,
parameter VAR14 = 5,
parameter VAR40 = VAR39*32,
parameter VAR8 = 16*8,
parameter VAR81 = VAR7*VAR14,
parameter VAR45 = VAR7*192,
parameter VAR72 = VAR45
)(
input wire clk,
input wire rst,
input wire VAR57,
output wire VAR53,
input wire VAR46,
input wire VAR55,
output wire [(VAR40-1):0] VAR43,
output wire VAR23,
input wire [(VAR8-1):0] VAR65,
output wire [(VAR8-1):0] VAR10,
input wire [(VAR81-1):0] VAR32,
input wire [(VAR45-1):0] VAR54,
input wire [(VAR72-1):0] VAR66,
output wire [31:0] VAR20,
output wire [31:0] VAR64,
output wire VAR25,
output wire [27:0] VAR3,
output wire [31:0] VAR50,
output wire VAR85,
output wire VAR84,
input wire [31:0] VAR18,
input wire VAR83,
input wire VAR74);
wire VAR71;
wire [7:0] VAR52;
wire VAR27;
wire VAR35;
wire [7:0] VAR16;
VAR62 VAR62(
.clk(clk),
.VAR57(VAR57), .VAR53(VAR53), .VAR46(VAR46), .VAR55(VAR55),
.VAR70(VAR71),
.VAR4(VAR52), .VAR28(VAR27),
.VAR17(VAR16), .VAR75(VAR35));
wire VAR19;
wire VAR67;
wire VAR77;
wire VAR44;
wire VAR21;
wire [7:0] VAR37;
wire [3:0] VAR2;
MODULE2 MODULE2(
.VAR47(VAR52),
.VAR26(VAR19),
.VAR9(VAR67),
.VAR34(VAR77),
.VAR13(VAR37),
.VAR41(VAR44), .VAR60(VAR21),
.VAR49(VAR2));
reg VAR87;
reg [7:0] VAR73;
reg [19:0] VAR51;
reg [31:0] VAR80;
reg [31:0] VAR33;
reg [31:0] VAR48;
wire [11:0] VAR58;
wire VAR24;
wire [7:0] VAR56;
reg [15:0] VAR86;
localparam VAR76 = 0;
localparam VAR1 = 1;
localparam VAR63 = 2;
localparam VAR29 = 3;
localparam VAR68 = 4;
localparam VAR22 = 5;
localparam VAR42 = 6;
localparam VAR69 = 7;
localparam VAR36 = 8;
localparam VAR15 = 9;
localparam VAR61 = 10;
localparam VAR38 = 11;
localparam VAR5 = 12;
localparam VAR79 = 13;
localparam VAR11 = 14;
reg [1:0] VAR88; reg [1:0] VAR30;
reg [7:0] VAR6;
assign VAR16 = VAR6;
reg VAR82;
assign VAR35 = VAR82;
always @(posedge clk) begin
if (rst) begin
VAR86 <= VAR76;
VAR6 <= 8'h00;
VAR82 <= 0;
VAR87 <= 1'b0;
VAR51 <= 20'b0;
VAR80 <= 32'b0;
VAR48 <= 32'b0;
VAR30 <= 2'h0;
end else begin
case (VAR86)
VAR76: begin
if (VAR27) begin
VAR87 <= VAR77;
VAR73 <= VAR37;
VAR51 <= {VAR2, 16'h0};
VAR30 <= 2'h0;
VAR82 <= 1;
if (VAR19) begin
VAR6 <= 8'h90;
VAR86 <= VAR76;
end else if (VAR67) begin
VAR6 <= 8'h91;
VAR86 <= VAR1;
end else if (VAR21) begin
VAR6 <= 8'hcc;
VAR86 <= VAR63;
end else if (VAR44) begin
VAR6 <= 8'hca;
VAR86 <= VAR22;
end else begin
VAR6 <= 8'h90;
VAR86 <= VAR76;
end
end else begin
VAR82 <= 0;
VAR86 <= VAR76;
end
end
VAR1: begin
if (VAR27) begin
VAR87 <= VAR77;
VAR73 <= VAR37;
VAR88 <= 2'h3;
VAR86 <= VAR15;
VAR6 <= 8'hc0;
VAR82 <= 1;
end else begin
VAR86 <= VAR1;
VAR82 <= 0;
end
end
VAR63: begin
if (VAR27) begin
VAR51 <= {VAR51[19:16], VAR52[7:0], 8'h0};
VAR6 <= 8'had;
VAR82 <= 1;
VAR86 <= VAR29;
end else begin
VAR82 <= 0;
VAR86 <= VAR63;
end
end
VAR29: begin
VAR82 <= 0;
if (VAR27) begin
VAR86 <= VAR68;
end else begin
VAR86 <= VAR29;
end
end
VAR68: begin
VAR6 <= VAR56;
VAR82 <= 1;
VAR51[19:8] <= VAR51[19:8] + 1;
if (VAR73 != 8'h01) begin
VAR73 <= VAR73 - 1;
VAR86 <= VAR29;
end else begin
VAR86 <= VAR76;
end
end
VAR22: begin
if (VAR27) begin
VAR6 <= 8'ha0;
VAR82 <= 1;
VAR51 <= {VAR51[19:16], VAR52[7:0], 8'b0};
VAR86 <= VAR42;
end else begin
VAR82 <= 0;
VAR86 <= VAR22;
end
end
VAR42: begin
if (VAR27) begin
VAR6 <= 8'ha1;
VAR82 <= 1;
VAR51 <= {VAR51[19:16], VAR51[15:8], VAR52[7:0]};
VAR86 <= VAR69;
end else begin
VAR82 <= 0;
VAR86 <= VAR42;
end
end
VAR69: begin
if (VAR27) begin
VAR6 <= {4'hd, 2'b00, VAR30};
VAR82 <= 1'b1;
VAR48 <= {VAR48[23:0], VAR52[7:0]};
if (VAR30 == 2'h3)
VAR86 <= VAR36;
end
else
VAR86 <= VAR69;
VAR30 <= VAR30 + 1;
end else begin
VAR82 <= 1'b0;
VAR86 <= VAR69;
end
end
VAR36: begin
VAR82 <= 1'b0;
VAR51 <= VAR51 + 1;
if (VAR73 != 8'h01) begin
VAR73 <= VAR73 - 1;
VAR86 <= VAR69;
end else begin
VAR86 <= VAR76;
end
end
VAR15: begin
if (VAR27) begin
VAR6 <= {4'ha, 2'b00, VAR88};
VAR82 <= 1;
VAR80 <= {VAR80[23:0], VAR52[7:0]};
VAR88 <= VAR88 - 1;
if (VAR88 != 4'h0) begin
VAR86 <= VAR15;
end else begin
VAR86 <= VAR61;
end
end else begin
VAR82 <= 1'b0;
VAR86 <= VAR15;
end
end
VAR61: begin
VAR82 <= 1'b0;
if (VAR74)
VAR86 <= VAR61;
end
else
VAR86 <= VAR38;
end
VAR38: begin
VAR82 <= 1'b0;
VAR86 <= VAR5;
end
VAR5: begin
VAR82 <= 1'b0;
if (VAR83) begin
VAR33 <= VAR18;
VAR86 <= VAR79;
end else begin
VAR86 <= VAR5;
end
end
VAR79: begin
if (VAR27) begin
VAR48 <= {VAR48[23:0], VAR52[7:0]};
VAR30 <= VAR30 + 1;
if (VAR30 == 4'h3)
VAR86 <= VAR11;
end
else
VAR86 <= VAR79;
case (VAR30)
2'h3: VAR6 <= VAR33[7:0];
2'h2: VAR6 <= VAR33[15:8];
2'h1: VAR6 <= VAR33[23:16];
2'h0: VAR6 <= VAR33[31:24];
endcase
VAR82 <= 1;
end else begin
VAR82 <= 0;
VAR86 <= VAR79;
end
end
VAR11: begin
VAR82 <= 1'b0;
VAR80 <= VAR80 + 1;
if (VAR73 != 8'h01) begin
VAR73 <= VAR73 - 1;
VAR86 <= VAR61;
end else begin
VAR86 <= VAR76;
end
end
default: begin
VAR82 <= 0;
VAR86 <= VAR76;
end
endcase
end
end
VAR59 #(.VAR39(VAR39), .VAR7(VAR7)) VAR59(
.clk(clk), .rst(rst),
.VAR31(VAR58), .VAR75(VAR24), .VAR17(VAR52), .VAR4(VAR56),
.VAR43(VAR43),
.VAR23(VAR23), .VAR65(VAR65), .VAR10(VAR10),
.VAR32(VAR32), .VAR54(VAR54), .VAR66(VAR66));
assign VAR58 = VAR51[19:8];
assign VAR24 = VAR86 == VAR29 && VAR27 == 1'b1 && VAR87 == 1'b1;
assign VAR20 = {12'b0, VAR51[19:0]};
assign VAR64 = VAR48;
assign VAR25 = (VAR86 == VAR36);
assign VAR3 = VAR80[27:0];
assign VAR50 = VAR48;
assign VAR85 = (VAR87 == 1'b1 && VAR86 == VAR11) ? 1'b1 : 1'b0;
assign VAR84 = (VAR86 == VAR38) ? 1'b1 : 1'b0;
endmodule
|
mit
|
muraj/trv_proc
|
rtl/pipe_mult.v
| 2,718 |
module MODULE1
parameter VAR3 = 32,
parameter VAR12 = 8
)
(
input wire clk,
input wire rst,
input wire en,
input wire [VAR3-1:0] VAR17,
input wire [VAR3-1:0] VAR18,
output wire [VAR3-1:0] VAR21,
output wire VAR7
);
wire [VAR12-2:0] VAR10;
wire [VAR3*(VAR12-1)-1:0] VAR14, VAR20, VAR16;
wire [VAR3-1:0] VAR13, VAR2;
MODULE2 #(VAR3, VAR3 / VAR12) VAR6 [VAR12-1:0]
(
.clk(clk), .rst(rst),
.en({VAR10, en}),
.VAR9({VAR20, VAR18}),
.VAR1({VAR14, VAR17}),
.VAR22({VAR16, {VAR3{1'b0}}}),
.VAR7({VAR7, VAR10}),
.VAR23({VAR13, VAR20}),
.VAR24({VAR2, VAR14}),
.VAR15({VAR21, VAR16})
);
endmodule
module MODULE2
parameter VAR3 = 32,
parameter VAR4 = 8
)
(
input wire clk,
input wire rst,
input wire en,
input wire [VAR3-1:0] VAR9, VAR1, VAR22,
output wire VAR7,
output wire [VAR3-1:0] VAR23, VAR24, VAR15
);
wire [VAR3-1:0] VAR19;
reg [VAR3-1:0] VAR5, VAR8, VAR11;
reg VAR25;
assign VAR19 = VAR1[VAR4-1:0] * VAR9;
assign VAR23 = VAR5;
assign VAR24 = VAR8;
assign VAR15 = VAR11;
assign VAR7 = VAR25;
always @(posedge clk) begin
VAR25 <= rst ? 0 : en;
VAR5 <= VAR9 << VAR4;
VAR8 <= VAR1 >> VAR4;
VAR11 <= VAR22 + VAR19;
end
endmodule
|
mit
|
plindstroem/oh
|
elink/hdl/etx_arbiter.v
| 5,821 |
module MODULE1 (
VAR28, VAR1, VAR32, VAR15, VAR31, VAR34,
clk, reset, VAR36, VAR11, VAR25, VAR22,
VAR38, VAR10, VAR29, VAR4, VAR37,
VAR8, VAR9
);
parameter VAR33 = 104;
parameter VAR23 = 0;
input clk;
input reset;
input VAR36;
input [VAR33-1:0] VAR11;
output VAR28;
input VAR25;
input [VAR33-1:0] VAR22;
output VAR1;
input VAR38;
input [VAR33-1:0] VAR10;
output VAR32;
input VAR29;
input VAR4;
input VAR37;
input VAR8;
input [3:0] VAR9;
output VAR15;
output [VAR33-1:0] VAR31;
output VAR34;
reg VAR15;
reg [VAR33-1:0] VAR31;
reg VAR34;
wire [3:0] VAR17;
wire [3:0] VAR12;
wire VAR5;
wire [VAR33-1:0] VAR24;
wire VAR19;
wire VAR6;
wire VAR7;
wire VAR27;
wire VAR35;
wire VAR16;
wire [VAR33-1:0] VAR3;
wire [VAR33-1:0] VAR26;
wire [VAR33-1:0] VAR14;
wire VAR18;
assign VAR17[3:0] = VAR8 ? VAR9[3:0] :
VAR22[7:4];
assign VAR3[VAR33-1:0] = {VAR22[VAR33-1:8],
VAR17[3:0],
VAR22[3:0]};
assign VAR12[3:0] = VAR8 ? VAR9[3:0] :
VAR11[7:4];
assign VAR26[VAR33-1:0] = {VAR11[VAR33-1:8],
VAR12[3:0],
VAR11[3:0]};
VAR20 #(.VAR21(3)) VAR30 (.VAR13({VAR19,
VAR6,
VAR7 }),
.VAR2({VAR27,
VAR35,
VAR16
}),
.request({VAR38,
VAR25,
VAR36
})
);
assign VAR14[VAR33-1:0] =({(VAR33){VAR7}} & VAR26[VAR33-1:0]) |
({(VAR33){VAR6}} & VAR3[VAR33-1:0]) |
({(VAR33){VAR19}} & VAR10[VAR33-1:0]);
assign VAR28 = VAR4 |
VAR37;
assign VAR1 = VAR29 |
VAR37 |
VAR35;
assign VAR32 = VAR4 |
VAR37 |
VAR27;
assign VAR5 = (VAR7 & ~VAR28) |
(VAR6 & ~VAR1) |
(VAR19 & ~VAR32);
assign VAR18 = VAR14[1];
always @ (posedge clk)
if (reset)
begin
VAR15 <= 1'b0;
VAR34 <= 1'b0;
end
else if (VAR5 & (VAR18 & ~VAR4) | (~VAR18 & ~VAR29))
begin
VAR15 <= VAR5;
VAR34 <= VAR19;
end
always @ (posedge clk)
if (VAR5 & (VAR18 & ~VAR4) | (~VAR18 & ~VAR29))
VAR31[VAR33-1:0] <= VAR14[VAR33-1:0];
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/o22ai/sky130_fd_sc_hvl__o22ai.pp.symbol.v
| 1,380 |
module MODULE1 (
input VAR9 ,
input VAR8 ,
input VAR2 ,
input VAR1 ,
output VAR7 ,
input VAR6 ,
input VAR3,
input VAR5,
input VAR4
);
endmodule
|
apache-2.0
|
samialabri/DE0_Nano_LCD
|
LCD.v
| 3,002 |
module MODULE1 (
input clk,
input [7:0] VAR12,
output reg [7:0] VAR1,
output reg VAR10,
output reg VAR3,
output VAR7,
output VAR2,
output VAR13,
output VAR11
);
assign VAR7 = state[0];
assign VAR2 = state[1];
assign VAR13 = state[2];
assign VAR11 = state[3];
localparam VAR4 = 4'b0001;
localparam VAR9 = 4'b0010;
localparam VAR8 = 4'b0100;
reg [3:0] state = VAR4;
integer VAR6 = 0 ;
integer VAR14 = 0 ;
reg [7:0] VAR5 [0:5];
begin
begin
begin
begin
begin
end
begin
end
begin
begin
begin
begin
begin
end
begin
end
begin
begin
begin
begin
begin
end
begin
end
begin
begin
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nor2/gf180mcu_fd_sc_mcu7t5v0__nor2_1.functional.v
| 1,040 |
module MODULE1( VAR4, VAR1, VAR5 );
input VAR5, VAR4;
output VAR1;
wire VAR3;
not VAR2( VAR3, VAR5 );
wire VAR6;
not VAR8( VAR6, VAR4 );
and VAR7( VAR1, VAR3, VAR6 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or2/sky130_fd_sc_lp__or2_m.v
| 2,072 |
module MODULE2 (
VAR7 ,
VAR4 ,
VAR9 ,
VAR3,
VAR5,
VAR2 ,
VAR1
);
output VAR7 ;
input VAR4 ;
input VAR9 ;
input VAR3;
input VAR5;
input VAR2 ;
input VAR1 ;
VAR8 VAR6 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR7,
VAR4,
VAR9
);
output VAR7;
input VAR4;
input VAR9;
supply1 VAR3;
supply0 VAR5;
supply1 VAR2 ;
supply0 VAR1 ;
VAR8 VAR6 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
AnAtomInTheUniverse/578_project_col_panic
|
final_verilog/src/router_wrap.v
| 12,165 |
module MODULE1
(clk, reset, VAR46, VAR19, VAR7, VAR10,
VAR61, VAR84, VAR18, VAR34, VAR3);
localparam VAR75 = VAR44 * VAR15;
localparam VAR20 = VAR75 * VAR16;
localparam VAR14 = VAR37(VAR20);
localparam VAR26
= (VAR69 + VAR62 - 1) / VAR62;
localparam VAR85 = VAR51(VAR26, VAR17);
localparam VAR74 = VAR37(VAR85);
localparam VAR52 = VAR17 * VAR74;
localparam VAR2
= (VAR5 == VAR22) ?
(VAR5 == VAR35) ?
(VAR5 == VAR50) ?
-1;
localparam VAR43
= ((VAR2 == VAR67) ||
(VAR2 == VAR53)) ?
2 :
(VAR2 == VAR66) ?
(VAR85 - 1) :
-1;
localparam VAR89
= VAR17 * VAR43 + VAR62;
localparam VAR21
= (VAR63 == VAR38) ? (1 + VAR14) :
-1;
localparam VAR54 = VAR9 ? 1 : 0;
localparam VAR65
= (VAR1 == VAR87) ?
(1 + VAR14 + 1 + 1) :
(VAR1 == VAR42) ?
(1 + VAR14 + 1) :
(VAR1 == VAR41) ?
(1 + VAR14 + 1) :
-1;
localparam VAR57
= VAR54 + VAR65 + VAR24;
wire [0:VAR57-1] VAR45;
wire VAR30;
assign VAR45 = VAR19[(VAR89-1)*VAR57:VAR89*VAR57-1];
assign VAR30 = VAR45[0];
reg [9:0] VAR31;
reg [9:0] VAR76;
output reg [10:0] VAR18;
output reg VAR34;
output reg VAR3;
always @(posedge clk, posedge reset) begin
if (reset)
VAR76 <= 0;
end
else begin
if (VAR76 == 1000)
VAR76 <= 0;
end
else begin
VAR76 <= VAR76 + 1;
end
end
end
always @(posedge clk, posedge reset) begin
if (reset)
VAR31 <= 0;
end
else begin
if (VAR76 == 1000)
VAR31 <= 0;
end
else if (VAR30)
VAR31 <= VAR31 + 1;
end
end
always @(posedge clk, posedge reset) begin
if (reset)
VAR34 <= 0;
end
else begin
if (VAR76 == 1000 && VAR31 >= 700) begin
VAR34 <= 1;
end
else if (VAR18 == 0 && VAR76 == 1000 && VAR31 < 700 && VAR34) begin
VAR34 <= 0;
end
end
end
always @(posedge clk, posedge reset) begin
if (reset) begin
VAR18 <= 2002;
VAR3 <= 0;
end
else begin
if (VAR34 && VAR18 != 0)
VAR18 <= VAR18 - 1;
end
else if (VAR18 == 0 && VAR76 == 1000 && VAR31 >= 700 && VAR34) begin
VAR3 <= 1;
end
else if (VAR18 == 0 && VAR76 == 1000 && VAR31 < 700 && VAR34)
VAR18 <= 2002;
end
end
reg [3:0] counter;
wire VAR59, VAR79;
assign VAR59 = VAR19[0];
assign VAR79 = VAR19[1];
always @(posedge clk) begin
if (reset)
counter <= 0;
end
else begin
if (VAR59 && !VAR79)
counter <= counter + 1;
end
else if (!VAR59 && VAR79 && counter > 0)
counter <= counter - 1;
end
end
input clk;
input reset;
input [0:VAR52-1] VAR46;
input [0:VAR89*VAR57-1] VAR19;
output [0:VAR89*VAR21-1] VAR7;
wire [0:VAR89*VAR21-1] VAR7;
output [0:VAR89*VAR57-1] VAR10;
wire [0:VAR89*VAR57-1] VAR10;
input [0:VAR89*VAR21-1] VAR61;
output VAR84;
wire VAR84;
generate
case(VAR11)
begin
VAR39
.VAR85(VAR85),
.VAR17(VAR17),
.VAR62(VAR62),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR63(VAR63),
.VAR72(VAR72),
.VAR29(VAR29),
.VAR12(VAR12),
.VAR9(VAR9),
.VAR24(VAR24),
.VAR28(VAR28),
.VAR36(VAR36),
.VAR81(VAR81),
.VAR70(VAR70),
.VAR4(VAR4),
.VAR80(VAR80),
.VAR82(VAR82),
.VAR73(VAR73),
.VAR23(VAR23),
.VAR49(VAR49),
.VAR32(VAR68),
.VAR60(VAR60),
.VAR86(VAR86))
VAR56
(.clk(clk),
.reset(reset),
.VAR46(VAR46),
.VAR19(VAR19),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR61(VAR61),
.VAR84(VAR84));
end
begin
VAR6
.VAR44(VAR44),
.VAR15(VAR15),
.VAR16(VAR16),
.VAR85(VAR85),
.VAR17(VAR17),
.VAR62(VAR62),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR63(VAR63),
.VAR72(VAR72),
.VAR29(VAR29),
.VAR12(VAR12),
.VAR9(VAR9),
.VAR24(VAR24),
.VAR28(VAR28),
.VAR36(VAR36),
.VAR81(VAR81),
.VAR70(VAR70),
.VAR80(VAR80),
.VAR71(VAR71),
.VAR82(VAR82),
.VAR27(VAR27),
.VAR73(VAR73),
.VAR23(VAR23),
.VAR8(VAR8),
.VAR78(VAR78),
.VAR33(VAR33),
.VAR77(VAR77),
.VAR68(VAR68),
.VAR58(VAR58),
.VAR60(VAR60),
.VAR86(VAR86))
VAR48
(.clk(clk),
.reset(reset),
.VAR46(VAR46),
.VAR19(VAR19),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR61(VAR61),
.VAR84(VAR84));
end
begin
VAR40
.VAR44(VAR44),
.VAR15(VAR15),
.VAR16(VAR16),
.VAR85(VAR85),
.VAR17(VAR17),
.VAR62(VAR62),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR63(VAR63),
.VAR72(VAR72),
.VAR29(VAR29),
.VAR12(VAR12),
.VAR9(VAR9),
.VAR24(VAR24),
.VAR28(VAR28),
.VAR36(VAR36),
.VAR25(VAR25),
.VAR81(VAR81),
.VAR70(VAR70),
.VAR80(VAR80),
.VAR71(VAR71),
.VAR82(VAR82),
.VAR27(VAR27),
.VAR73(VAR73),
.VAR23(VAR23),
.VAR64(VAR64),
.VAR88(VAR88),
.VAR55(VAR55),
.VAR13(VAR13),
.VAR49(VAR49),
.VAR8(VAR8),
.VAR68(VAR68),
.VAR33(VAR33),
.VAR47(VAR47),
.VAR60(VAR60),
.VAR86(VAR86))
VAR83
(.clk(clk),
.reset(reset),
.VAR46(VAR46),
.VAR19(VAR19),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR61(VAR61),
.VAR84(VAR84));
end
endcase
endgenerate
endmodule
|
gpl-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/subsys/e203_subsys_plic.v
| 8,218 |
module MODULE1(
input VAR112,
output VAR9,
input [VAR157-1:0] VAR85,
input VAR12,
input [VAR127-1:0] VAR101,
input [VAR127/8-1:0] VAR186,
output VAR8,
input VAR108,
output VAR172,
output [VAR127-1:0] VAR84,
output VAR111,
input VAR15,
input VAR48,
input VAR73,
input VAR173,
input VAR11,
input VAR110,
input VAR17,
input VAR22,
input VAR159,
input VAR168,
input VAR4,
input VAR143,
input VAR177,
input VAR190,
input VAR87,
input VAR46,
input VAR92,
input VAR142,
input VAR120,
input VAR50,
input VAR34,
input VAR56,
input VAR176,
input VAR90,
input VAR38,
input VAR91,
input VAR148,
input VAR98,
input VAR55,
input VAR183,
input VAR140,
input VAR24,
input VAR81,
input VAR118,
input VAR79,
input VAR29,
input VAR61,
input VAR163,
input VAR114,
input VAR136,
input VAR169,
input VAR39,
input VAR70,
input VAR137,
input VAR154,
input VAR147,
input VAR62,
input VAR42,
input VAR51,
input VAR57,
input VAR151,
input VAR103,
input clk,
input VAR109
);
assign VAR172 = 1'b0;
wire VAR178;
wire VAR71;
VAR10 # (
.VAR146(VAR125),
.VAR78(1)
) VAR156(
.VAR187 (VAR48),
.dout (VAR71),
.clk (clk ),
.VAR109 (VAR109)
);
VAR10 # (
.VAR146(VAR125),
.VAR78(1)
) VAR105(
.VAR187 (VAR15),
.dout (VAR178),
.clk (clk ),
.VAR109 (VAR109)
);
wire VAR96 = VAR178;
wire VAR83 = VAR71;
wire VAR21 = VAR110;
wire VAR126 = VAR17;
wire VAR102 = VAR73;
wire VAR149 = VAR173;
wire VAR59 = VAR11;
wire VAR77 = VAR34 ;
wire VAR165 = VAR56 ;
wire VAR164 = VAR176 ;
wire VAR26 = VAR90 ;
wire VAR40 = VAR38 ;
wire VAR64 = VAR91 ;
wire VAR63 = VAR148 ;
wire VAR139 = VAR98 ;
wire VAR14 = VAR55 ;
wire VAR68 = VAR183 ;
wire VAR160 = VAR140;
wire VAR99 = VAR24;
wire VAR76 = VAR81;
wire VAR130 = VAR118;
wire VAR16 = VAR79;
wire VAR45 = VAR29;
wire VAR54 = VAR61;
wire VAR6 = VAR163;
wire VAR7 = VAR114;
wire VAR89 = VAR136;
wire VAR33 = VAR169;
wire VAR117 = VAR39;
wire VAR2 = VAR70;
wire VAR20 = VAR137;
wire VAR35 = VAR154;
wire VAR182 = VAR147;
wire VAR180 = VAR62;
wire VAR65 = VAR42;
wire VAR145 = VAR51;
wire VAR58 = VAR57;
wire VAR28 = VAR151;
wire VAR43 = VAR103;
wire VAR167 = VAR22;
wire VAR30 = VAR159;
wire VAR119 = VAR168;
wire VAR138 = VAR4;
wire VAR67 = VAR143;
wire VAR94 = VAR177;
wire VAR158 = VAR190;
wire VAR93 = VAR87;
wire VAR37 = VAR46;
wire VAR175 = VAR92;
wire VAR152 = VAR142;
wire VAR128 = VAR120;
wire VAR66 = VAR50;
VAR27 VAR74(
.clk (clk ),
.VAR109 (VAR109 ),
.VAR5 (VAR112),
.VAR104 (VAR9),
.VAR115 (VAR85 ),
.VAR166 (VAR12 ),
.VAR19 (VAR101),
.VAR41 (VAR8),
.VAR162 (VAR108),
.VAR1 (VAR84),
.VAR32 (VAR96 ),
.VAR44 (VAR83 ),
.VAR60 (VAR21 ),
.VAR184 (VAR126 ),
.VAR31 (VAR102 ),
.VAR129 (VAR149 ),
.VAR106 (VAR59 ),
.VAR25 (VAR77 ),
.VAR189 (VAR165 ),
.VAR23 (VAR164 ),
.VAR53 (VAR26),
.VAR135 (VAR40),
.VAR144 (VAR64),
.VAR124 (VAR63),
.VAR113 (VAR139),
.VAR36 (VAR14),
.VAR121 (VAR68),
.VAR134 (VAR160),
.VAR82 (VAR99),
.VAR72 (VAR76),
.VAR122 (VAR130),
.VAR133 (VAR16),
.VAR100 (VAR45),
.VAR174 (VAR54),
.VAR3 (VAR6),
.VAR185 (VAR7),
.VAR155 (VAR89),
.VAR171 (VAR33),
.VAR75 (VAR117),
.VAR170 (VAR2),
.VAR80 (VAR20),
.VAR153 (VAR35),
.VAR95 (VAR182),
.VAR131 (VAR180),
.VAR161 (VAR65),
.VAR49 (VAR145),
.VAR150 (VAR58),
.VAR141 (VAR28),
.VAR18 (VAR43),
.VAR52 (VAR167),
.VAR188 (VAR30),
.VAR116 (VAR119),
.VAR179 (VAR138),
.VAR97 (VAR67),
.VAR47 (VAR94),
.VAR123 (VAR158),
.VAR86 (VAR93),
.VAR181 (VAR37),
.VAR69 (VAR175),
.VAR132 (VAR152),
.VAR88 (VAR128),
.VAR107 (VAR66),
.VAR13 (VAR111 )
);
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_pipe_clock.v
| 18,886 |
module MODULE1 #
(
parameter VAR121 = "1.1", parameter VAR124 = "VAR35", parameter VAR55 = "VAR35", parameter VAR54 = 1, parameter VAR16 = 2, parameter VAR14 = 0, parameter VAR117 = 2, parameter VAR69 = 2, parameter VAR108 = 0
)
(
input VAR59,
input VAR63,
input [VAR54-1:0] VAR135,
input VAR28,
input [VAR54-1:0] VAR72,
input VAR50,
output VAR142,
output VAR43,
output [VAR54-1:0] VAR13,
output VAR24,
output VAR23,
output VAR144,
output VAR38
);
localparam VAR116 = (VAR14 == 2) ? 2 :
(VAR14 == 1) ? 1 : 1;
localparam VAR143 = (VAR14 == 2) ? 8 :
(VAR14 == 1) ? 8 : 10;
localparam VAR77 = 8;
localparam VAR66 = 4;
localparam VAR133 = (VAR14 == 2) ? 4 :
(VAR14 == 1) ? 8 : 10;
localparam VAR141 = (VAR117 == 5) ? 2 :
(VAR117 == 4) ? 4 :
(VAR117 == 3) ? 8 :
(VAR117 == 1) ? 32 : 16;
localparam VAR51 = (VAR69 == 5) ? 2 :
(VAR69 == 4) ? 4 :
(VAR69 == 3) ? 8 :
(VAR69 == 1) ? 32 : 16;
localparam VAR56 = ((VAR55 == "VAR110") && (VAR16 != 3)) ? 1'd1 : 1'd0;
reg [VAR54-1:0] VAR128 = {VAR54{1'd0}};
reg VAR47 = 1'd0;
reg [VAR54-1:0] VAR125 = {VAR54{1'd0}};
reg VAR80 = 1'd0;
wire VAR58;
wire VAR18;
wire VAR11;
wire VAR91;
wire VAR88;
wire VAR74;
reg VAR10 = 1'd0;
wire VAR44;
wire VAR61;
wire VAR106;
wire VAR96;
wire VAR41;
genvar VAR48;
always @ (posedge VAR61)
begin
if (!VAR28)
begin
VAR128 <= {VAR54{1'd0}};
VAR47 <= 1'd0;
VAR125 <= {VAR54{1'd0}};
VAR80 <= 1'd0;
end
else
begin
VAR128 <= VAR72;
VAR47 <= VAR50;
VAR125 <= VAR128;
VAR80 <= VAR47;
end
end
generate if ((VAR55 == "VAR110") && (VAR16 != 3))
begin : VAR100
VAR36 VAR100
(
.VAR52 (VAR59),
.VAR71 (VAR58)
);
end
else
begin : VAR120
VAR36 VAR120
(
.VAR52 (VAR63),
.VAR71 (VAR58)
);
end
endgenerate
VAR8 #
(
.VAR137 ("VAR119"),
.VAR7 ("VAR35"),
.VAR118 ("VAR62"),
.VAR127 ("VAR35"),
.VAR116 (VAR116),
.VAR143 (VAR143),
.VAR131 (0.000),
.VAR29 ("VAR35"),
.VAR77 (VAR77),
.VAR130 (0.000),
.VAR73 (0.500),
.VAR89 ("VAR35"),
.VAR66 (VAR66),
.VAR84 (0.000),
.VAR6 (0.500),
.VAR139 ("VAR35"),
.VAR141 (VAR141),
.VAR102 (0.000),
.VAR97 (0.500),
.VAR49 ("VAR35"),
.VAR51 (VAR51),
.VAR64 (0.000),
.VAR76 (0.500),
.VAR98 ("VAR35"),
.VAR133 (VAR133),
.VAR68 (0.010)
)
VAR3
(
.VAR85 (VAR58),
.VAR129 (1'd1),
.VAR123 (VAR18),
.VAR5 (!VAR28),
.VAR19 (1'd0),
.VAR103 (VAR18),
.VAR90 (),
.VAR138 (VAR11),
.VAR33 (),
.VAR22 (VAR91),
.VAR21 (),
.VAR4 (VAR88),
.VAR87 (),
.VAR57 (VAR74),
.VAR86 (),
.VAR134 (),
.VAR67 (),
.VAR122 (),
.VAR46 (VAR41),
.VAR107 ( 1'd0),
.VAR95 ( 7'd0),
.VAR32 ( 1'd0),
.VAR104 ( 1'd0),
.VAR70 (16'd0),
.VAR1 (),
.VAR60 (),
.VAR83 (1'd0),
.VAR81 (1'd0),
.VAR15 (1'd0),
.VAR39 (),
.VAR140 (),
.VAR75 ()
);
generate if (VAR16 != 1)
begin : VAR30
VAR79 VAR114
(
.VAR115 (1'd1),
.VAR132 (1'd1),
.VAR9 (VAR11),
.VAR112 (VAR91),
.VAR93 (1'd0),
.VAR82 (1'd0),
.VAR113 (~VAR10),
.VAR109 ( VAR10),
.VAR71 (VAR44)
);
end
else
begin : VAR31
VAR36 VAR114
(
.VAR52 (VAR11),
.VAR71 (VAR44)
);
end
endgenerate
generate if (VAR108 == 1)
begin : VAR12
for (VAR48=0; VAR48<VAR54; VAR48=VAR48+1)
begin : VAR2
VAR36 VAR2
(
.VAR52 (VAR135[VAR48]),
.VAR71 (VAR13[VAR48])
);
end
end
else
begin : VAR94
assign VAR13 = {VAR54{1'd0}};
end
endgenerate
generate if (VAR16 != 1)
begin : VAR92
VAR36 VAR92
(
.VAR52 (VAR11),
.VAR71 (VAR24)
);
end
else
begin : VAR65
assign VAR24 = VAR44;
end
endgenerate
generate if (VAR117 != 0)
begin : VAR27
VAR36 VAR105
(
.VAR52 (VAR88),
.VAR71 (VAR106)
);
end
else
begin : VAR34
assign VAR106 = 1'd0;
end
endgenerate
generate if (VAR69 != 0)
begin : VAR17
VAR36 VAR126
(
.VAR52 (VAR74),
.VAR71 (VAR96)
);
end
else
begin : VAR25
assign VAR96 = 1'd0;
end
endgenerate
generate if ((VAR16 == 3) && (VAR124 == "VAR110"))
begin : VAR111
VAR36 VAR99
(
.VAR52 (VAR44),
.VAR71 (VAR61)
);
VAR79 VAR101
(
.VAR115 (1'b1),
.VAR132 (1'b1),
.VAR9 (VAR44),
.VAR112 (VAR135[0]),
.VAR93 (1'b0),
.VAR82 (1'b0),
.VAR113 (~VAR80),
.VAR109 ( VAR80),
.VAR71 (VAR43)
);
if (VAR117 != 0)
begin : VAR136
VAR36 VAR53
(
.VAR52 (VAR106),
.VAR71 (VAR23)
);
end
else
begin : VAR42
assign VAR37 = VAR106;
end
if (VAR69 != 0)
begin : VAR40
VAR36 VAR78
(
.VAR52 (VAR96),
.VAR71 (VAR144)
);
end
else
begin : VAR45
assign VAR20 = VAR96;
end
end
else
begin : VAR26
assign VAR61 = VAR44;
assign VAR43 = VAR44;
assign VAR23 = VAR106;
assign VAR144 = VAR96;
end
endgenerate
always @ (posedge VAR61)
begin
if (!VAR28)
VAR10 <= 1'd0;
end
else
begin
if (&VAR125)
VAR10 <= 1'd1;
end
else if (&(~VAR125))
VAR10 <= 1'd0;
else
VAR10 <= VAR10;
end
end
assign VAR142 = VAR61;
assign VAR38 = VAR41;
endmodule
|
lgpl-3.0
|
cafe-alpha/wascafe
|
v13/wasca_10m08scv4k_no_spi_20190420/wasca/synthesis/submodules/wasca_sysid_qsys_0.v
| 2,209 |
module MODULE1 (
address,
VAR2,
VAR1,
VAR3
)
;
output [ 31: 0] VAR3;
input address;
input VAR2;
input VAR1;
wire [ 31: 0] VAR3;
assign VAR3 = address ? 1481594924 : 305419896;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/xnor2/sky130_fd_sc_ms__xnor2.blackbox.v
| 1,274 |
module MODULE1 (
VAR1,
VAR2,
VAR4
);
output VAR1;
input VAR2;
input VAR4;
supply1 VAR3;
supply0 VAR7;
supply1 VAR6 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_avlmm_pr_freeze_bridge_0/synth/ghrd_10as066n2_avlmm_pr_freeze_bridge_0.v
| 7,375 |
module MODULE1 (
input wire VAR28, input wire VAR24, output wire VAR33, input wire VAR30, output wire VAR12, input wire VAR4, output wire VAR16, output wire [9:0] VAR31, output wire [3:0] VAR3, output wire [31:0] VAR15, input wire [31:0] VAR6, output wire [2:0] VAR5, input wire VAR23, output wire VAR26, output wire VAR17, input wire [1:0] VAR32, output wire VAR2, input wire VAR29, input wire VAR18, output wire VAR21, input wire VAR8, input wire [9:0] VAR1, input wire [3:0] VAR14, input wire [31:0] VAR25, output wire [31:0] VAR34, input wire [2:0] VAR7, output wire VAR36, input wire VAR22, input wire VAR13, output wire [1:0] VAR10, input wire VAR19, output wire VAR27 );
VAR9 #(
.VAR35 (0),
.VAR20 (0)
) VAR11 (
.VAR28 (VAR28), .VAR24 (VAR24), .VAR33 (VAR33), .VAR30 (VAR30), .VAR12 (VAR12), .VAR4 (VAR4), .VAR16 (VAR16), .VAR31 (VAR31), .VAR3 (VAR3), .VAR15 (VAR15), .VAR6 (VAR6), .VAR5 (VAR5), .VAR23 (VAR23), .VAR26 (VAR26), .VAR17 (VAR17), .VAR32 (VAR32), .VAR2 (VAR2), .VAR29 (VAR29), .VAR18 (VAR18), .VAR21 (VAR21), .VAR8 (VAR8), .VAR1 (VAR1), .VAR14 (VAR14), .VAR25 (VAR25), .VAR34 (VAR34), .VAR7 (VAR7), .VAR36 (VAR36), .VAR22 (VAR22), .VAR13 (VAR13), .VAR10 (VAR10), .VAR19 (VAR19), .VAR27 (VAR27) );
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/fahcon/sky130_fd_sc_hd__fahcon.blackbox.v
| 1,369 |
module MODULE1 (
VAR3,
VAR6 ,
VAR7 ,
VAR1 ,
VAR2
);
output VAR3;
output VAR6 ;
input VAR7 ;
input VAR1 ;
input VAR2 ;
supply1 VAR8;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR9 ;
endmodule
|
apache-2.0
|
rurume/openrisc_vision_hardware
|
ISE/or1200_ic_top.v
| 10,128 |
module MODULE1(
clk, rst,
VAR37, VAR53, VAR52, VAR30, VAR20, VAR61, VAR67,
VAR46, VAR18, VAR22,
VAR14,
VAR73, VAR21, VAR17,
VAR51, VAR7,
VAR33, VAR62, VAR63, VAR65, VAR64,
VAR44, VAR50, VAR54,
VAR60, VAR45, VAR19
);
parameter VAR38 = VAR3;
input clk;
input rst;
output [VAR38-1:0] VAR37;
output [31:0] VAR53;
output VAR52;
output VAR30;
output VAR20;
output [3:0] VAR61;
output VAR67;
input [VAR38-1:0] VAR46;
input VAR18;
input VAR22;
input VAR14;
input [31:0] VAR73;
input VAR21;
input VAR17;
input [3:0] VAR51;
input [3:0] VAR7;
output [VAR38-1:0] VAR33;
output VAR62;
output VAR63;
output VAR65;
output [3:0] VAR64;
input VAR44;
input [VAR15 - 1:0] VAR54;
output VAR50;
input VAR60;
input VAR45;
input [31:0] VAR19;
wire VAR9;
wire [VAR55-2:0] VAR35;
wire [VAR38-1:0] VAR28;
wire [VAR38-1:0] VAR29;
wire [31:0] VAR32;
wire [3:0] VAR42;
wire VAR71;
wire [31:0] VAR31;
wire VAR10;
reg VAR24;
wire [VAR13:VAR57] VAR36;
wire VAR8;
wire VAR47;
wire VAR16;
wire VAR58;
wire VAR69;
wire VAR2;
wire VAR56;
wire VAR70;
wire VAR72;
wire VAR12;
wire VAR59 = VAR44;
wire VAR40 = VAR72;
assign VAR50 = VAR12;
assign VAR53 = VAR31;
assign VAR16 = VAR60 & VAR45;
assign VAR71 = VAR70 | VAR16;
assign VAR36 = VAR16 ? VAR19[VAR13:VAR57] : VAR31[VAR13:VAR57];
assign VAR8 = VAR16 | VAR14;
assign VAR47 = ~VAR16;
assign VAR37 = 32'h00000000;
assign VAR52 = (VAR14) ? VAR10 : VAR21;
assign VAR30 = (VAR14) ? VAR10 : VAR21;
assign VAR20 = 1'b0;
assign VAR61 = (VAR14 & VAR10) ? 4'b1111 : VAR51;
assign VAR67 = (VAR14) ? VAR56 : 1'b0;
assign VAR63 = ~VAR62 & ~VAR65;
assign VAR64 = VAR65 ? VAR26 : VAR7;
assign VAR62 = VAR14 ? (VAR58 | VAR69) : VAR18;
assign VAR65 = VAR14 ? VAR2 : VAR22;
assign VAR31 = (VAR10) ? VAR32 : VAR73;
assign VAR28 = VAR46;
assign VAR33 = VAR69 | !VAR14 ? VAR46 : VAR29;
always @(VAR35 or VAR32 or VAR9) begin
if ((VAR35 != VAR32[31:VAR27]) || !VAR9)
VAR24 = 1'b1;
end
else
VAR24 = 1'b0;
end
VAR5 VAR5(
.clk(clk),
.rst(rst),
.VAR14(VAR14),
.VAR21(VAR21),
.VAR17(VAR17),
.VAR24(VAR24),
.VAR34(VAR18),
.VAR6(VAR22),
.VAR66(VAR73),
.VAR32(VAR32),
.VAR42(VAR42),
.VAR4(VAR10),
.VAR39(VAR58),
.VAR68(VAR69),
.VAR11(VAR2),
.VAR25(VAR56),
.VAR43(VAR70)
);
VAR1 VAR1(
.clk(clk),
.rst(rst),
.VAR44(VAR59),
.VAR50(VAR72),
.VAR54(VAR54),
.addr(VAR31[VAR13:2]),
.en(VAR14),
.VAR49(VAR42),
.VAR23(VAR28),
.VAR48(VAR29)
);
VAR41 VAR41(
.clk(clk),
.rst(rst),
.VAR44(VAR40),
.VAR50(VAR12),
.VAR54(VAR54),
.addr(VAR36),
.en(VAR8),
.VAR49(VAR71),
.VAR23({VAR31[31:VAR27], VAR47}),
.VAR9(VAR9),
.VAR35(VAR35)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a311o/sky130_fd_sc_ms__a311o.behavioral.pp.v
| 2,064 |
module MODULE1 (
VAR17 ,
VAR4 ,
VAR9 ,
VAR6 ,
VAR1 ,
VAR12 ,
VAR8,
VAR16,
VAR5 ,
VAR2
);
output VAR17 ;
input VAR4 ;
input VAR9 ;
input VAR6 ;
input VAR1 ;
input VAR12 ;
input VAR8;
input VAR16;
input VAR5 ;
input VAR2 ;
wire VAR10 ;
wire VAR3 ;
wire VAR11;
and VAR18 (VAR10 , VAR6, VAR4, VAR9 );
or VAR13 (VAR3 , VAR10, VAR12, VAR1 );
VAR15 VAR14 (VAR11, VAR3, VAR8, VAR16);
buf VAR7 (VAR17 , VAR11 );
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.