repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
source/hardware/nvme/nvme_host_ctrl_8lane-1.0.0/pcie_irq_gen.v
| 6,403 |
module MODULE1 # (
parameter VAR27 = 128,
parameter VAR16 = 36
)
(
input VAR32,
input VAR29,
input [15:0] VAR33,
output VAR18,
input VAR12,
output VAR17,
output [7:0] VAR24,
input [7:0] VAR23,
input [2:0] VAR25,
input VAR26,
input VAR3,
input VAR21,
output VAR6,
output [4:0] VAR7,
input VAR34,
input VAR1,
input [2:0] VAR19,
input VAR2,
output VAR14
);
localparam VAR30 = 7'b0000001;
localparam VAR15 = 7'b0000010;
localparam VAR10 = 7'b0000100;
localparam VAR20 = 7'b0001000;
localparam VAR28 = 7'b0010000;
localparam VAR35 = 7'b0100000;
localparam VAR31 = 7'b1000000;
reg [6:0] VAR8;
reg [6:0] VAR13;
reg VAR22;
reg VAR4;
reg [7:0] VAR9;
reg [2:0] VAR5;
reg VAR11;
assign VAR18 = VAR22;
assign VAR17 = VAR4;
assign VAR24 = VAR9;
assign VAR6 = 1'b0;
assign VAR7 = 5'b0;
assign VAR14 = VAR11;
always @ (posedge VAR32 or negedge VAR29)
begin
if(VAR29 == 0)
VAR8 <= VAR30;
end
else
VAR8 <= VAR13;
end
always @
begin
case(VAR8)
VAR30: begin
VAR22 <= 0;
VAR4 <= 0;
VAR9 <= 0;
VAR11 <= 0;
end
VAR15: begin
VAR22 <= 1;
VAR4 <= 0;
VAR9 <= {5'b0, VAR5};
VAR11 <= 0;
end
VAR10: begin
VAR22 <= 1;
VAR4 <= 1;
VAR9 <= 0;
VAR11 <= 0;
end
VAR20: begin
VAR22 <= 0;
VAR4 <= 1;
VAR9 <= 0;
VAR11 <= 0;
end
VAR28: begin
VAR22 <= 1;
VAR4 <= 0;
VAR9 <= 0;
VAR11 <= 0;
end
VAR35: begin
VAR22 <= 1;
VAR4 <= 0;
VAR9 <= 0;
VAR11 <= 0;
end
VAR31: begin
VAR22 <= 0;
VAR4 <= 0;
VAR9 <= 0;
VAR11 <= 1;
end
default: begin
VAR22 <= 0;
VAR4 <= 0;
VAR9 <= 0;
VAR11 <= 0;
end
endcase
end
endmodule
|
gpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_csc_1_add.v
| 5,863 |
module MODULE1 (
clk,
VAR19,
VAR1,
VAR14,
VAR15,
VAR25,
VAR12,
VAR26);
parameter VAR22 = 16;
localparam VAR21 = VAR22 - 1;
input clk;
input [24:0] VAR19;
input [24:0] VAR1;
input [24:0] VAR14;
input [24:0] VAR15;
output [ 7:0] VAR25;
input [VAR21:0] VAR12;
output [VAR21:0] VAR26;
reg [VAR21:0] VAR8 = 'd0;
reg [24:0] VAR30 = 'd0;
reg [24:0] VAR4 = 'd0;
reg [24:0] VAR23 = 'd0;
reg [24:0] VAR6 = 'd0;
reg [VAR21:0] VAR17 = 'd0;
reg [24:0] VAR20 = 'd0;
reg [24:0] VAR10 = 'd0;
reg [VAR21:0] VAR2 = 'd0;
reg [24:0] VAR24 = 'd0;
reg [VAR21:0] VAR26 = 'd0;
reg [ 7:0] VAR25 = 'd0;
wire [24:0] VAR11;
wire [24:0] VAR29;
wire [24:0] VAR9;
wire [24:0] VAR3;
wire [24:0] VAR31;
wire [24:0] VAR13;
wire [24:0] VAR7;
wire [24:0] VAR5;
wire [24:0] VAR18;
wire [24:0] VAR16;
wire [24:0] VAR27;
wire [24:0] VAR28;
assign VAR11 = {1'b0, VAR19[23:0]};
assign VAR29 = ~VAR11 + 1'b1;
assign VAR9 = (VAR19[24] == 1'b1) ? VAR29 : VAR11;
assign VAR3 = {1'b0, VAR1[23:0]};
assign VAR31 = ~VAR3 + 1'b1;
assign VAR13 = (VAR1[24] == 1'b1) ? VAR31 : VAR3;
assign VAR7 = {1'b0, VAR14[23:0]};
assign VAR5 = ~VAR7 + 1'b1;
assign VAR18 = (VAR14[24] == 1'b1) ? VAR5 : VAR7;
assign VAR16 = {1'b0, VAR15[23:0]};
assign VAR27 = ~VAR16 + 1'b1;
assign VAR28 = (VAR15[24] == 1'b1) ? VAR27 : VAR16;
always @(posedge clk) begin
VAR8 <= VAR12;
VAR30 <= VAR9;
VAR4 <= VAR13;
VAR23 <= VAR18;
VAR6 <= VAR28;
end
always @(posedge clk) begin
VAR17 <= VAR8;
VAR20 <= VAR30 + VAR4;
VAR10 <= VAR23 + VAR6;
end
always @(posedge clk) begin
VAR2 <= VAR17;
VAR24 <= VAR20 + VAR10;
end
always @(posedge clk) begin
VAR26 <= VAR2;
if (VAR24[24] == 1'b1) begin
VAR25 <= 8'h00;
end else if (VAR24[23:20] == 'd0) begin
VAR25 <= VAR24[19:12];
end else begin
VAR25 <= 8'hff;
end
end
endmodule
|
mit
|
mashanz/FinalProject
|
Code/module/controller.v
| 7,185 |
module MODULE1(
VAR29, VAR34, VAR36, VAR30, VAR1, VAR35, VAR37, VAR49,
VAR4, VAR10, VAR16, VAR13, VAR2, VAR38, VAR53, VAR19,
VAR40, VAR28,
VAR45, VAR43, reset, VAR3, VAR31, VAR24, VAR44, VAR26, VAR47, VAR18
);
input VAR45, VAR43;
input reset;
input VAR3, VAR31, VAR24, VAR44, VAR26, VAR47;
input VAR18;
output reg VAR29;
output reg VAR34;
output reg VAR36, VAR30, VAR1, VAR35;
output VAR37;
output reg VAR49;
output reg VAR4, VAR10;
output reg VAR16;
output reg VAR13, VAR2;
output reg VAR38, VAR53;
output reg VAR19;
output reg VAR40;
output reg VAR28;
parameter VAR20 = 4'b0000; parameter VAR51 = 4'b0001;
parameter VAR17 = 4'b0010;
parameter VAR8 = 4'b0011;
parameter VAR23 = 4'b0100; parameter VAR27 = 4'b0101; parameter VAR7 = 4'b0110; parameter VAR46 = 4'b0111; parameter VAR32 = 4'b1000; parameter VAR42= 4'b1001; parameter VAR12= 4'b1010; parameter VAR41 = 4'b1011; parameter VAR11 = 4'b1100; parameter VAR25 = 4'b1101;
parameter VAR21 = 4'b1110;
parameter VAR39 = 6'b100000;
parameter VAR50 = 6'b101000;
parameter VAR15 = 6'b000000;
parameter VAR9 = 6'b000100;
parameter VAR5 = 6'b000010;
parameter VAR14 = 6'b001000;
reg [3:0] VAR52;
reg [3:0] VAR22, VAR48;
reg VAR6, VAR33;
always @(VAR52 or VAR43) if (VAR43) VAR22 = VAR52;
always @(VAR45 or VAR22) if (VAR45) VAR48 = VAR22;
always @(VAR47 or VAR26 or VAR44 or VAR24 or VAR31 or VAR3 or reset or VAR48)
if (reset) VAR52 = VAR20; else case (VAR48)
VAR20: VAR52 = VAR51;
VAR51: VAR52 = VAR17;
VAR17: VAR52 = VAR8;
VAR8: VAR52 = VAR23;
VAR23: case ({VAR3, VAR31, VAR24, VAR44, VAR26, VAR47})
VAR39: VAR52 = VAR27;
VAR50: VAR52 = VAR27;
VAR15: VAR52 = VAR42;
VAR9: VAR52 = VAR41;
VAR5: VAR52 = VAR11;
default: VAR52 = VAR20;
endcase VAR27: case ({VAR3, VAR31, VAR24, VAR44, VAR26, VAR47}) VAR39: VAR52 = VAR7;
VAR50: VAR52 = VAR32;
endcase VAR7: VAR52 = VAR46;
VAR46: VAR52 = VAR20;
VAR32: VAR52 = VAR20;
VAR42: VAR52 = VAR12;
VAR12: VAR52 = VAR20;
VAR41: VAR52 = VAR20;
VAR11: VAR52 = VAR20;
default: VAR52 = VAR20;
endcase
always @(VAR48)
begin
VAR29 = 0;
VAR34 = 0;
VAR36 = 0; VAR30 = 0; VAR1 = 0; VAR35 = 0;
VAR6 = 0;
VAR33 = 0;
VAR49 = 0;
VAR16 = 0;
VAR13 = 0; VAR2 = 0;
VAR4 = 0; VAR10 = 0;
VAR38 = 0; VAR53 = 0;
VAR19 = 0;
VAR40 = 0;
VAR28 = 0;
case (VAR48)
VAR20: begin
VAR29 = 1;
VAR16 = 0;
VAR19 = 0;
VAR36 = 1; VAR13 = 0; VAR2 = 1;
VAR4 = 0; VAR10 = 0;
VAR6 = 1;
VAR38 = 0; VAR53 = 0;
end
VAR51: begin
VAR29 = 1;
VAR16 = 0;
VAR19 = 0;
VAR30 = 1; VAR13 = 0; VAR2 = 1;
VAR4 = 0; VAR10 = 0;
VAR6 = 1;
VAR38 = 0; VAR53 = 0;
end
VAR17: begin
VAR29 = 1;
VAR16 = 0;
VAR19 = 0;
VAR1 = 1; VAR13 = 0; VAR2 = 1;
VAR4 = 0; VAR10 = 0;
VAR6 = 1;
VAR38 = 0; VAR53 = 0;
end
VAR8: begin
VAR29 = 1;
VAR16 = 0;
VAR19 = 0;
VAR35 = 1; VAR13 = 0; VAR2 = 1;
VAR4 = 0; VAR10 = 0;
VAR6 = 1;
VAR38 = 0; VAR53 = 0;
end
VAR23: begin
VAR16 = 0;
VAR13 = 1; VAR2 = 1;
VAR4 = 0; VAR10 = 0;
end
VAR27: begin
VAR16 = 1;
VAR13 = 1; VAR2 = 0;
VAR4 = 0; VAR10 = 0;
end
VAR7: begin
VAR29 = 1;
VAR19 = 1;
end
VAR46: begin
VAR28 = 0;
VAR49 = 1;
VAR40 = 1;
end
VAR32: begin
VAR34 = 1;
VAR19 = 1;
end
VAR42: begin
VAR16 = 1;
VAR13 = 0; VAR2 = 0;
VAR4 = 1; VAR10 = 0;
end
VAR12: begin
VAR28 = 1;
VAR49 = 1;
VAR40 = 0;
end
VAR41: begin
VAR16 = 1;
VAR13 = 0; VAR2 = 0;
VAR4 = 0; VAR10 = 1;
VAR33 = 1;
VAR38 = 0; VAR53 = 1;
end
VAR11: begin
VAR6 = 1;
VAR10 = 1; VAR38 = 1; VAR53 = 0;
end
default: begin
end
endcase end assign VAR37 = VAR6 | (VAR33 & VAR18);
endmodule
|
gpl-3.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v
| 3,067 |
module MODULE1 #(parameter VAR20(VAR34)
, parameter VAR20(VAR2)
, parameter VAR11=0
, parameter VAR27=VAR28(VAR2)
, parameter VAR40=0
, parameter VAR18=0
)
(input VAR4
, input VAR26
, input VAR13
, input [VAR27-1:0] VAR14
, input [VAR34-1:0] VAR41
, input VAR35
, input [VAR27-1:0] VAR23
, output logic [VAR22(VAR34, 1):0] VAR7
, input VAR36
, input [VAR27-1:0] VAR6
, output logic [VAR22(VAR34, 1):0] VAR39
, input VAR32
, input [VAR27-1:0] VAR5
, output logic [VAR22(VAR34, 1):0] VAR12
);
wire VAR25;
if (VAR18)
begin
VAR37 VAR3
(.VAR4( VAR4 )
,.VAR9( VAR13 | VAR35 | VAR36 | VAR32 )
,.VAR24( 1'b0 )
,.VAR19( VAR25 )
);
end
else
begin
assign VAR25 = VAR4;
end
VAR29
,.VAR2(VAR2)
,.VAR11(VAR11)
,.VAR40(VAR40)
) VAR17
(.VAR4( VAR25 )
,.VAR26
,.VAR13
,.VAR14
,.VAR41
,.VAR35
,.VAR23
,.VAR7
,.VAR36
,.VAR6
,.VAR39
,.VAR32
,.VAR5
,.VAR12
);
VAR8 @(negedge VAR25)
if (VAR13)
begin
assert (VAR14 < VAR2)
end
else ("VAR42 address %VAR15 VAR33 %VAR10 VAR31 VAR30 %VAR15\VAR21", VAR14, VAR2);
assert (~(VAR23 == VAR14 && VAR35 && !VAR11))
else ("%VAR10: VAR38 0 VAR16 VAR33 read and write VAR1 address");
assert (~(VAR6 == VAR14 && VAR36 && !VAR11))
else ("%VAR10: VAR38 1 VAR16 VAR33 read and write VAR1 address");
assert (~(VAR5 == VAR14 && VAR32 && !VAR11))
else ("%VAR10: VAR38 2 VAR16 VAR33 read and write VAR1 address");
end
begin
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlrbn/sky130_fd_sc_ms__dlrbn.blackbox.v
| 1,405 |
module MODULE1 (
VAR5 ,
VAR7 ,
VAR9,
VAR3 ,
VAR2
);
output VAR5 ;
output VAR7 ;
input VAR9;
input VAR3 ;
input VAR2 ;
supply1 VAR6;
supply0 VAR4;
supply1 VAR8 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_ddr_common/rtl/dram_dqs_edgelogic.v
| 2,706 |
module MODULE1(
VAR10, VAR22, VAR19, VAR1,
clk, VAR13, VAR6,
VAR25, VAR15,
VAR16, VAR9, VAR3
);
input clk;
input VAR3;
input VAR13;
input VAR6;
input VAR25;
input VAR15;
input VAR16;
input VAR9;
output VAR10;
output VAR22;
output VAR19;
output VAR1;
wire VAR21 = VAR3 ? ~clk : clk;
VAR5 #(1) VAR7(
.din(VAR25),
.VAR17(VAR18),
.clk(clk), .VAR23(VAR6), .VAR2(VAR14), .VAR12(VAR13));
VAR5 #(1) VAR8(
.din(VAR16),
.VAR17(VAR11),
.clk(clk), .VAR23(VAR14), .VAR2(VAR24), .VAR12(VAR13));
assign VAR10 = (VAR18 | ~VAR3) ? clk : VAR9;
assign VAR1 = VAR18;
VAR5 #(1) VAR20(
.din(VAR15),
.VAR17(VAR4),
.clk(VAR21), .VAR23(VAR24), .VAR2(VAR22), .VAR12(VAR13));
assign VAR19 = clk & VAR4 & ~VAR11;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o21ba/sky130_fd_sc_lp__o21ba.symbol.v
| 1,386 |
module MODULE1 (
input VAR4 ,
input VAR7 ,
input VAR8,
output VAR2
);
supply1 VAR5;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
benjaminfjones/fpga-led-counter
|
src/blinker.v
| 1,118 |
module MODULE1(
input clk,
input rst,
output VAR3
);
reg [24:0] VAR1, VAR2;
reg VAR4;
assign VAR3 = VAR2[24];
always@(VAR1) begin
if (VAR4) begin
VAR2 = VAR1 - 1'b1;
end else begin
VAR2 = VAR1 + 1'b1;
end
end
always@(posedge clk) begin
if (rst) begin
VAR1 <= 25'b0;
VAR4 <= 1'b0;
end else begin
VAR1 <= VAR2;
end
end
endmodule
|
gpl-3.0
|
bluespec/Flute
|
builds/RV64ACDFIMSU_Flute_iverilog/Verilog_RTL/mkFBox_Top.v
| 5,329 |
module MODULE1(VAR18,
VAR14,
VAR28,
VAR20,
VAR26,
VAR27,
VAR3,
VAR15,
VAR31,
VAR16,
VAR19,
VAR38,
VAR7,
VAR10,
VAR1,
valid,
VAR29,
VAR34);
input [3 : 0] VAR18;
input VAR14;
input VAR28;
input VAR20;
output VAR26;
input VAR27;
output VAR3;
input [6 : 0] VAR15;
input [6 : 0] VAR31;
input [2 : 0] VAR16;
input [4 : 0] VAR19;
input [63 : 0] VAR38;
input [63 : 0] VAR7;
input [63 : 0] VAR10;
input VAR1;
output valid;
output [63 : 0] VAR29;
output [4 : 0] VAR34;
wire [63 : 0] VAR29;
wire [4 : 0] VAR34;
wire VAR26, VAR3, valid;
wire [63 : 0] VAR32,
VAR9,
VAR11,
VAR36;
wire [6 : 0] VAR25, VAR30;
wire [4 : 0] VAR21, VAR8;
wire [2 : 0] VAR37;
wire VAR5,
VAR2,
VAR6,
VAR33,
VAR23,
VAR12;
wire VAR24,
VAR22,
VAR4,
VAR17,
VAR39,
VAR35;
assign VAR26 =
VAR33 ;
assign VAR22 =
VAR33 ;
assign VAR39 = VAR20 ;
assign VAR3 =
VAR23 ;
assign VAR4 =
VAR23 ;
assign VAR35 = VAR27 ;
assign VAR24 = 1'd1 ;
assign VAR17 = VAR1 ;
assign valid = VAR12 ;
assign VAR29 = VAR36 ;
assign VAR34 = VAR8 ;
VAR40 VAR13(.VAR18(VAR18),
.VAR14(VAR14),
.VAR28(VAR28),
.VAR31(VAR25),
.VAR15(VAR30),
.VAR16(VAR37),
.VAR19(VAR21),
.VAR38(VAR32),
.VAR7(VAR9),
.VAR10(VAR11),
.VAR20(VAR2),
.VAR27(VAR6),
.VAR1(VAR5),
.VAR26(VAR33),
.VAR3(VAR23),
.valid(VAR12),
.VAR29(VAR36),
.VAR34(VAR8));
assign VAR25 = VAR31 ;
assign VAR30 = VAR15 ;
assign VAR37 = VAR16 ;
assign VAR21 = VAR19 ;
assign VAR32 = VAR38 ;
assign VAR9 = VAR7 ;
assign VAR11 = VAR10 ;
assign VAR2 = VAR20 ;
assign VAR6 =
VAR27 ;
assign VAR5 = VAR1 ;
endmodule
|
apache-2.0
|
ffu/DSA-3.2.2
|
usrp/fpga/toplevel/mrfm/biquad_2stage.v
| 4,656 |
module MODULE1 (input VAR13, input reset, input VAR29,
input VAR47, input [6:0] VAR21, input [31:0] VAR14,
input wire [15:0] VAR44, output reg [15:0] VAR28, output wire [63:0] VAR1);
wire [3:0] VAR31, VAR20;
wire [3:0] VAR32, VAR23;
reg [3:0] VAR37, VAR16, VAR22;
wire [15:0] VAR42, VAR55, VAR3, VAR46;
wire VAR49;
reg VAR6;
wire [30:0] VAR26;
wire [33:0] VAR11;
wire [15:0] VAR51;
wire [7:0] VAR10;
reg [3:0] VAR9;
wire VAR4, VAR38, VAR45, VAR39;
reg VAR25, VAR8;
VAR17 #(VAR2) VAR56(.VAR13(VAR13),.reset(reset),
.VAR33(VAR47),.addr(VAR21),.in(VAR14),
.out({VAR20,VAR55}),.VAR43(VAR49));
VAR17 #(VAR7) VAR52(.VAR13(VAR13),.reset(reset),
.VAR33(VAR47),.addr(VAR21),.in(VAR14),
.out(VAR10),.VAR43());
VAR12 VAR5(.VAR13(VAR13),.write(VAR49),.VAR15(VAR20),.VAR41(VAR55),
.VAR24(VAR31),.VAR58(VAR42));
VAR12 VAR53(.VAR13(VAR13),.write(VAR6),.VAR15(VAR23),.VAR41(VAR46),
.VAR24(VAR32),.VAR58(VAR3));
VAR36 VAR36 (.VAR13(VAR13),.VAR19(VAR3),.VAR30(VAR42),.VAR26(VAR26),.VAR54(VAR4),.VAR48() );
VAR50 VAR50 (.VAR13(VAR13),.reset(reset),.VAR27(VAR8),.VAR54(VAR38),.VAR48(),
.VAR35(VAR26),.sum(VAR11) );
VAR18 VAR18 (.in(VAR11),.out(VAR51),.VAR10(VAR10));
assign VAR46 = VAR39 ? VAR44 : VAR51;
assign VAR4 = 1'b1;
always @(posedge VAR13)
if(reset)
VAR37 <= 4'd0;
else if(VAR45)
VAR37 <= VAR37 + 4'd1;
assign VAR32 = VAR16 + VAR37;
assign VAR23 = VAR22 + VAR37;
always @(posedge VAR13)
if(reset)
VAR25 <= 1'b0;
else if(VAR45)
VAR25 <= 1'b1;
else if(VAR29)
VAR25 <= 1'b0;
always @(posedge VAR13)
if(reset)
VAR9 <= 4'd0;
else if(VAR29)
VAR9 <= 4'd0;
else if(!VAR25)
VAR9 <= VAR9 + 4'd1;
assign VAR31 = VAR9;
always @(VAR9)
case(VAR9)
4'd01 : VAR16 = 4'd00; 4'd02 : VAR16 = 4'd01; 4'd03 : VAR16 = 4'd02;
4'd04 : VAR16 = 4'd03; 4'd05 : VAR16 = 4'd04;
4'd07 : VAR16 = 4'd03; 4'd08 : VAR16 = 4'd04; 4'd09 : VAR16 = 4'd05;
4'd10 : VAR16 = 4'd06; 4'd11 : VAR16 = 4'd07;
default : VAR16 = 4'd00;
endcase
always @(VAR9)
case(VAR9)
4'd0 : VAR22 = 4'd2;
4'd8 : VAR22 = 4'd5;
4'd14 : VAR22 = 4'd8;
default : VAR22 = 4'd0;
endcase
always @(VAR9)
case(VAR9)
4'd0, 4'd8, 4'd14 : VAR6 = 1'b1;
default : VAR6 = 1'b0;
endcase
assign VAR39 = (VAR9 == 4'd0);
always @(VAR9)
case(VAR9)
4'd0, 4'd1, 4'd2, 4'd3, 4'd9, 4'd15 : VAR8 = 1'd1;
default : VAR8 = 1'b0;
endcase
assign VAR38 = ~VAR8;
assign VAR45 = (VAR9 == 4'd14);
always @(posedge VAR13)
if(reset)
VAR28 <= 16'd0;
else if(VAR45)
VAR28 <= VAR51;
wire [3:0] VAR34;
VAR17 #(VAR40) VAR57(.VAR13(VAR13),.reset(reset),
.VAR33(VAR47),.addr(VAR21),.in(VAR14),
.out(VAR34),.VAR43());
assign VAR1[15:0] = VAR34[0] ? {VAR31,VAR32,VAR23,VAR37} : {VAR9,VAR16,VAR22,VAR37};
assign VAR1[31:16] = VAR34[1] ? VAR51 : {VAR13, VAR29, VAR6, VAR4, VAR38, VAR8, VAR45,VAR39,VAR25, VAR16};
assign VAR1[47:32] = VAR34[2] ? VAR28 : VAR42;
assign VAR1[63:48] = VAR34[3] ? VAR44 : VAR3;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a22o/sky130_fd_sc_hs__a22o_1.v
| 2,212 |
module MODULE1 (
VAR1 ,
VAR5 ,
VAR3 ,
VAR6 ,
VAR8 ,
VAR9,
VAR7
);
output VAR1 ;
input VAR5 ;
input VAR3 ;
input VAR6 ;
input VAR8 ;
input VAR9;
input VAR7;
VAR4 VAR2 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR1 ,
VAR5,
VAR3,
VAR6,
VAR8
);
output VAR1 ;
input VAR5;
input VAR3;
input VAR6;
input VAR8;
supply1 VAR9;
supply0 VAR7;
VAR4 VAR2 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a22o/sky130_fd_sc_hd__a22o.pp.blackbox.v
| 1,385 |
module MODULE1 (
VAR7 ,
VAR4 ,
VAR3 ,
VAR9 ,
VAR8 ,
VAR1,
VAR2,
VAR5 ,
VAR6
);
output VAR7 ;
input VAR4 ;
input VAR3 ;
input VAR9 ;
input VAR8 ;
input VAR1;
input VAR2;
input VAR5 ;
input VAR6 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/mux4/sky130_fd_sc_hvl__mux4_1.v
| 2,452 |
module MODULE1 (
VAR11 ,
VAR4 ,
VAR8 ,
VAR7 ,
VAR1 ,
VAR6 ,
VAR10 ,
VAR2,
VAR5,
VAR13 ,
VAR12
);
output VAR11 ;
input VAR4 ;
input VAR8 ;
input VAR7 ;
input VAR1 ;
input VAR6 ;
input VAR10 ;
input VAR2;
input VAR5;
input VAR13 ;
input VAR12 ;
VAR9 VAR3 (
.VAR11(VAR11),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR13(VAR13),
.VAR12(VAR12)
);
endmodule
module MODULE1 (
VAR11 ,
VAR4,
VAR8,
VAR7,
VAR1,
VAR6,
VAR10
);
output VAR11 ;
input VAR4;
input VAR8;
input VAR7;
input VAR1;
input VAR6;
input VAR10;
supply1 VAR2;
supply0 VAR5;
supply1 VAR13 ;
supply0 VAR12 ;
VAR9 VAR3 (
.VAR11(VAR11),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/or4/sky130_fd_sc_hd__or4.blackbox.v
| 1,269 |
module MODULE1 (
VAR9,
VAR7,
VAR6,
VAR2,
VAR3
);
output VAR9;
input VAR7;
input VAR6;
input VAR2;
input VAR3;
supply1 VAR1;
supply0 VAR8;
supply1 VAR5 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
cafe-alpha/wascafe
|
v13/wasca_10m08sc_20191205_abus_divide/wasca/synthesis/submodules/altera_onchip_flash_avmm_data_controller.v
| 53,473 |
module MODULE1 (
VAR116,
VAR146,
VAR107,
VAR23,
VAR163,
VAR112,
VAR46,
VAR161,
VAR123,
VAR159,
VAR103,
VAR58,
VAR41,
VAR109,
VAR151,
VAR10,
VAR101,
VAR45,
VAR66,
VAR82,
VAR171,
VAR141,
VAR85,
VAR90,
VAR70,
VAR122,
VAR113
);
parameter VAR177 = 0;
parameter VAR81 = 0;
parameter VAR29 = 32;
parameter VAR53 = 20;
parameter VAR5 = 4;
parameter VAR137 = 23;
parameter VAR68 = 2; parameter VAR111 = 3; parameter VAR179 = 1; parameter VAR76 = 28; parameter VAR169 = 112; parameter VAR152 = 40603248; parameter VAR26 = 35382; parameter VAR127 = 1;
parameter VAR35 = 1;
parameter VAR43 = 1;
parameter VAR65 = 1;
parameter VAR30 = 1;
parameter VAR31 = 1;
parameter VAR99 = 1;
parameter VAR86 = 1;
parameter VAR11 = 1;
parameter VAR132 = 1;
parameter VAR7 = 1;
parameter VAR87 = 1;
parameter VAR36 = 5'b11111;
parameter VAR28 = 1;
parameter VAR172 = 1;
parameter VAR125 = 1;
parameter VAR2 = 1;
parameter VAR133 = 1;
parameter VAR72 = 1;
parameter VAR32 = 1;
parameter VAR138 = 1;
localparam [1:0] VAR69 = 0,
VAR13 = 1,
VAR139 = 2;
localparam [1:0] VAR84 = 0,
VAR17 = 1,
VAR134 = 2,
VAR157 = 3;
localparam [2:0] VAR64 = 0,
VAR74 = 1,
VAR136 = 2,
VAR9 = 3,
VAR108 = 4,
VAR12 = 5,
VAR165 = 6;
localparam [2:0] VAR33 = 0,
VAR164 = 1,
VAR1 = 2,
VAR47 = 3,
VAR73 = 4,
VAR77 = 5;
localparam [2:0] VAR91 = 0,
VAR42 = 1,
VAR67 = 2,
VAR40 = 2,
VAR154 = 3,
VAR149 = 4,
VAR25 = 5,
VAR158 = 6;
localparam [0:0] VAR110 = 0,
VAR102 = 1;
localparam [0:0] VAR156 = 0,
VAR131 = 1;
input VAR116;
input VAR146;
input VAR107;
input VAR23;
input VAR163;
input VAR112;
input [VAR29-1:0] VAR46;
output VAR161;
output VAR123;
output VAR159;
output VAR103;
output VAR58;
output VAR41;
output VAR109;
output VAR151;
output VAR10;
output [VAR137-1:0] VAR101;
input VAR45;
input VAR66;
input [VAR53-1:0] VAR82;
input [VAR29-1:0] VAR171;
input [VAR5-1:0] VAR141;
output VAR85;
output VAR90;
output [VAR29-1:0] VAR70;
input [31:0] VAR122;
output [9:0] VAR113;
reg VAR173;
reg VAR124;
reg [1:0] VAR128;
reg VAR92;
reg VAR175;
reg VAR51;
reg [2:0] VAR130;
reg [2:0] VAR115;
reg [2:0] VAR143;
reg VAR83;
reg VAR106;
reg VAR97;
reg VAR14;
reg [2:0] VAR52;
reg [VAR137-1:0] VAR98;
reg [VAR137-1:0] VAR80;
reg [VAR179-1:0] VAR21;
reg [VAR179-1:0] VAR15;
reg [VAR5-1:0] VAR89;
reg [VAR5-1:0] VAR61;
reg VAR148;
reg VAR117;
reg VAR79;
reg VAR147;
reg VAR100;
reg VAR160;
reg VAR140;
reg VAR19;
reg VAR54;
reg [15:0] VAR93;
reg [25:0] VAR104;
reg [2:0] VAR3;
reg [2:0] VAR155;
reg [2:0] VAR162;
reg VAR170;
reg VAR71;
reg VAR20;
reg VAR49;
reg VAR114;
reg VAR126;
reg VAR8;
reg VAR59;
reg VAR144;
reg VAR63;
reg VAR38;
reg VAR78;
reg VAR55;
reg VAR50;
reg VAR153;
reg VAR166;
reg VAR75;
reg VAR105;
reg VAR44;
reg VAR4;
wire VAR96;
wire VAR145;
wire VAR142;
wire VAR167;
wire VAR39;
wire [2:0] VAR60;
wire [VAR137-1:0] VAR129;
wire [VAR137-1:0] VAR16;
wire [VAR137-1:0] VAR176;
wire [VAR137-1:0] VAR18;
wire [2:0] VAR168;
wire VAR27;
wire VAR62;
wire VAR150;
wire VAR119;
wire [VAR137-1:0] VAR48;
wire [VAR137-1:0] VAR88;
wire [19:0] VAR22;
wire [2:0] VAR174;
wire VAR24;
wire [1:0] VAR95;
wire [4:0] VAR121;
wire VAR56;
wire VAR57;
wire VAR135;
wire VAR120;
wire VAR6;
wire VAR118;
wire VAR37;
wire VAR94;
wire VAR34;
wire VAR178;
generate if (VAR177 == 1) begin
assign VAR62 = (VAR130 != VAR33);
assign VAR150 = (VAR115 != VAR64);
assign VAR119 = (VAR143 != VAR91);
assign VAR118 = VAR62 || VAR150 || VAR119;
assign VAR109 = VAR54;
assign VAR37 = (VAR71 || VAR20);
assign VAR176 =
(VAR56 && VAR24) ? { VAR52, 1'b0, {(19){1'b1}} } : VAR98;
assign VAR39 =
(VAR56 && VAR24) ? VAR167 : VAR142;
assign VAR121 = VAR122[27:23];
assign VAR27 = (VAR141 == 1);
end
else begin
assign VAR62 = 1'b0;
assign VAR150 = 1'b0;
assign VAR119 = (VAR143 != VAR91);
assign VAR118 = VAR119;
assign VAR109 = 1'b1;
assign VAR37 = 1'b0;
assign VAR176 = VAR98;
end
endgenerate
assign VAR113 = { VAR36[4:0], VAR92, VAR175, VAR51, VAR128};
assign VAR22 = VAR122[19:0];
assign VAR174 = VAR122[22:20];
assign VAR95 = VAR122[31:30];
assign VAR24 = (VAR174 != {(3){1'b1}});
assign VAR56 = (VAR95 == VAR13);
assign VAR57 = (VAR56 == 1) || (VAR66 == 1) || (VAR45 == 1);
assign VAR16 = VAR82;
assign VAR94 = (VAR114 || VAR126);
generate if (VAR81 == 0) begin
assign VAR48 = VAR82; assign VAR60 = VAR174;
assign VAR129 = (VAR56) ? VAR22 : VAR48;
assign VAR120 = (~VAR62 && ~VAR150 && ~VAR119 && VAR57) || (VAR119 && VAR143 == VAR149);
assign VAR6 = (VAR143 == VAR40);
assign VAR85 = ~VAR146 || ((~VAR150 && VAR66) || VAR37 || (~VAR119 && VAR45) || (VAR45 && VAR94));
assign VAR88 = {VAR80[VAR137-1:VAR179], {(VAR179){1'b0}}} + VAR68[22:0];
end
else begin
assign VAR60 = VAR174;
assign VAR129 = (VAR56) ? VAR22 : VAR82;
assign VAR120 = (~VAR62 && ~VAR150 && ~VAR119 && VAR57) || (VAR114 && VAR155 <= 1 && VAR45);
assign VAR6 = (VAR143 == VAR67 && VAR155==VAR111+1);
assign VAR85 = ~VAR146 || ((~VAR150 && VAR66) || VAR37 || (~VAR119 && VAR45) || (VAR45 && VAR94));
end
endgenerate
assign VAR103 = 1'b1;
assign VAR41 = VAR59;
assign VAR159 = (~VAR147 || VAR116 || VAR100);
assign VAR58 = (~VAR160 || VAR116 || VAR140 || VAR19);
assign VAR10 = ~(VAR130 == VAR1 || VAR130 == VAR47);
assign VAR151 = ~(VAR115 == VAR9 || VAR115 == VAR108);
assign VAR161 = ((~VAR118 && VAR45) || VAR119);
assign VAR123 = VAR144;
assign VAR101 = VAR176;
assign VAR90 = VAR97;
assign VAR70 = (VAR51) ? VAR46 : 32'hffffffff;
assign VAR96 = VAR124;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/einvp/sky130_fd_sc_hdll__einvp.functional.pp.v
| 1,881 |
module MODULE1 (
VAR2 ,
VAR5 ,
VAR9 ,
VAR4,
VAR8,
VAR1 ,
VAR7
);
output VAR2 ;
input VAR5 ;
input VAR9 ;
input VAR4;
input VAR8;
input VAR1 ;
input VAR7 ;
wire VAR12 ;
wire VAR10;
VAR6 VAR11 (VAR12 , VAR5, VAR4, VAR8 );
VAR6 VAR3 (VAR10, VAR9, VAR4, VAR8 );
notif1 VAR13 (VAR2 , VAR12, VAR10);
endmodule
|
apache-2.0
|
olajep/oh
|
src/adi/hdl/library/altera/common/ad_mul.v
| 3,149 |
module MODULE1 #(
parameter VAR22 = 17,
parameter VAR19 = 17,
parameter VAR5 = 16) (
input clk,
input [ VAR22-1:0] VAR23,
input [ VAR19-1:0] VAR10,
output [VAR22 + VAR19-1:0] VAR6,
input [(VAR5-1):0] VAR15,
output reg [(VAR5-1):0] VAR13);
reg [(VAR5-1):0] VAR8 = 'd0;
reg [(VAR5-1):0] VAR2 = 'd0;
always @(posedge clk) begin
VAR8 <= VAR15;
VAR2 <= VAR8;
VAR13 <= VAR2;
end
VAR24 #(
.VAR17 ("VAR24"),
.VAR4 (VAR22),
.VAR14 (VAR19),
.VAR21 (VAR22 + VAR19),
.VAR18 ("VAR16"),
.VAR20 (3))
VAR9 (
.VAR3 (1'b1),
.VAR1 (1'b0),
.VAR11 (1'b0),
.sum (1'b0),
.VAR7 (clk),
.VAR23 (VAR23),
.VAR10 (VAR10),
.VAR12 (VAR6));
endmodule
|
mit
|
timtian090/Playground
|
UVM/UVMPlayground/Lab4/Lab4-Project/CDC_Input_Synchronizer.v
| 1,981 |
module MODULE1
parameter VAR5 = 2
)
(
input VAR2,
output VAR3,
input VAR1
);
reg VAR4;
begin
begin
begin
begin
end
begin
begin
begin
begin
end
begin
begin
|
mit
|
efabless/openlane
|
designs/151/src/Memory141.v
| 4,297 |
module MODULE1(
input clk,
input reset,
input [31:0] VAR14,
input [31:0] VAR34,
input [3:0] VAR28,
input VAR13,
input VAR8,
input [31:0] VAR26,
output VAR39,
output [31:0] VAR32,
output [31:0] VAR12,
output VAR42,
output VAR25,
input VAR51,
output VAR38,
output [VAR40-1:0] VAR46,
output [VAR10-1:0] VAR4,
output VAR37,
input VAR54,
output [VAR47-1:0] VAR48,
output [(VAR47/8)-1:0] VAR21,
input VAR29,
input [VAR47-1:0] VAR5,
input [VAR10-1:0] VAR16
);
wire VAR52;
wire VAR27;
wire VAR1;
wire VAR31;
wire [VAR40-1:0] VAR43;
wire VAR49;
wire VAR22;
wire VAR18;
wire VAR41;
wire [VAR40-1:0] VAR50;
wire VAR11;
wire [(VAR47/8)-1:0] VAR17;
VAR30 VAR45 (
.clk(clk),
.reset(reset),
.VAR36(VAR8),
.VAR44(VAR52),
.VAR20(VAR34[31:2]),
.VAR35(), .VAR23(4'b0), .VAR9(),
.VAR15(VAR12)
);
VAR30 VAR24 (
.clk(clk),
.reset(reset),
.VAR36((| VAR28) || VAR13),
.VAR44(VAR27),
.VAR20(VAR14[31:2]),
.VAR35(VAR26),
.VAR23(VAR28),
.VAR9(),
.VAR15(VAR32)
);
assign VAR42 = ~VAR52 || ~VAR27;
assign VAR39 = 1'b1;
VAR19 VAR45 (
.clk(clk),
.reset(reset),
.VAR36(VAR8),
.VAR44(VAR52),
.VAR20(VAR34[31:2]),
.VAR35(), .VAR23(4'b0), .VAR9(),
.VAR15(VAR12),
.VAR3(VAR1),
.VAR53(VAR31),
.VAR46(VAR43),
.VAR37(),
.VAR48(),
.VAR21(),
.VAR54(),
.VAR38(),
.VAR7(VAR49),
.VAR5(VAR5)
);
VAR19 #(
.VAR6(1)
) VAR24 (
.clk(clk),
.reset(reset),
.VAR36((| VAR28) || VAR13),
.VAR44(VAR27),
.VAR20(VAR14[31:2]),
.VAR35(VAR26),
.VAR23(VAR28),
.VAR9(VAR39),
.VAR15(VAR32),
.VAR3(VAR22),
.VAR53(VAR18),
.VAR46(VAR50),
.VAR38(VAR41),
.VAR37(VAR37),
.VAR48(VAR48),
.VAR21(VAR21),
.VAR54(VAR54),
.VAR7(VAR11),
.VAR5(VAR5)
);
assign VAR42 = ~VAR52 || ~VAR27;
VAR2 VAR33 (
.clk(clk),
.reset(reset),
.VAR1(VAR1),
.VAR31(VAR31),
.VAR43(VAR43),
.VAR49(VAR49),
.VAR22(VAR22),
.VAR18(VAR18),
.VAR41(VAR41),
.VAR50(VAR50),
.VAR11(VAR11),
.VAR25(VAR25),
.VAR51(VAR51),
.VAR38(VAR38),
.VAR46(VAR46),
.VAR4(VAR4),
.VAR29(VAR29),
.VAR16(VAR16)
);
endmodule
|
apache-2.0
|
FPGA1988/udp_ip_stack
|
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/TECH/altera/duram.v
| 2,405 |
module MODULE1(
VAR43,
VAR28,
VAR8,
VAR49,
VAR27,
VAR47,
VAR32,
VAR25,
VAR41,
VAR39);
parameter VAR54 = 32;
parameter VAR40 = 5;
parameter VAR59 = "VAR18";
parameter VAR29 = "VAR42";
parameter VAR2 = 2**VAR40;
input [VAR54 -1:0] VAR43;
input VAR8;
input [VAR40 -1:0] VAR27;
input VAR32;
output [VAR54 -1:0] VAR41;
input [VAR54 -1:0] VAR28;
input VAR49;
input [VAR40 -1:0] VAR47;
input VAR25;
output [VAR54 -1:0] VAR39;
VAR56 VAR3 (
.VAR8 (VAR8),
.VAR49 (VAR49),
.VAR43 (VAR43),
.VAR28 (VAR28),
.VAR27 (VAR27),
.VAR47 (VAR47),
.VAR13 (VAR32),
.VAR52 (VAR25),
.VAR41 (VAR41),
.VAR39 (VAR39),
.VAR34 (),
.VAR21 (),
.VAR19 (),
.VAR35 (),
.VAR12 (),
.VAR36 (),
.VAR6 (),
.VAR30 (),
.VAR9 ()
);
VAR3.VAR17 = "VAR44",
VAR3.VAR51 = VAR59,
VAR3.VAR53 = VAR29,
VAR3.VAR58 = VAR54,
VAR3.VAR33 = VAR40,
VAR3.VAR46 = VAR54,
VAR3.VAR38 = VAR40,
VAR3.VAR22 = "VAR56",
VAR3.VAR55 = 1,
VAR3.VAR11 = 1,
VAR3.VAR23 = "VAR48",
VAR3.VAR16 = "VAR10",
VAR3.VAR26 = "VAR48",
VAR3.VAR57 = "VAR10",
VAR3.VAR1 = "VAR10",
VAR3.VAR15 = "VAR10",
VAR3.VAR31 = "VAR14",
VAR3.VAR5 = "VAR14",
VAR3.VAR24 = "VAR14",
VAR3.VAR45 = "VAR10",
VAR3.VAR20 = "VAR10",
VAR3.VAR7 = "VAR10",
VAR3.VAR50 = "VAR10",
VAR3.VAR4 = "VAR37";
endmodule
|
apache-2.0
|
anderson1008/NOCulator
|
hring/hw/buffered/src/vcr_ivc_ctrl.v
| 34,328 |
module MODULE1
(clk, reset, VAR148, VAR137, VAR170, VAR140,
VAR214, VAR210, VAR117, VAR80, VAR107, VAR13,
VAR60, VAR64, VAR113, VAR208, VAR14, VAR145,
VAR73, VAR65, VAR120, VAR136, VAR204,
VAR200, VAR144, VAR10, VAR21, VAR221,
VAR110, VAR9);
parameter VAR152 = 8;
localparam VAR68 = VAR228(VAR152);
parameter VAR167 = 4;
parameter VAR72 = 2;
parameter VAR88 = 2;
localparam VAR202 = VAR72 * VAR88;
parameter VAR24 = 1;
localparam VAR223 = VAR202 * VAR24;
localparam VAR222 = VAR228(VAR223);
parameter VAR111 = 4;
parameter VAR23 = 2;
parameter VAR66 = 1;
parameter VAR230 = VAR76;
localparam VAR183
= ((VAR230 == VAR76) ||
(VAR230 == VAR30)) ?
2 :
(VAR230 == VAR99) ?
(VAR111 - 1) :
-1;
localparam VAR78
= VAR23 * VAR183 + VAR66;
localparam VAR94 = VAR228(VAR78);
localparam VAR135
= VAR94 + ((VAR88 > 1) ? 1 : 0);
parameter VAR129 = VAR82;
parameter VAR106 = 4;
parameter VAR32 = 1;
localparam VAR49
= VAR228(VAR106-VAR32+1);
localparam VAR41 = VAR228(VAR106);
localparam VAR180 = VAR228(VAR111);
localparam VAR207 = VAR23 * VAR180;
localparam VAR108 = VAR228(VAR66);
localparam VAR151 = VAR207 + VAR108;
localparam VAR31
= VAR88 * VAR207 + VAR108;
localparam VAR116
= (VAR129 == VAR77) ?
(VAR135 + VAR31) :
(VAR129 == VAR82) ?
(VAR135 + VAR31 + VAR49) :
-1;
localparam VAR175
= (VAR129 == VAR77) ?
(1 + VAR222 + 1 + 1) :
(VAR129 == VAR82) ?
(1 + VAR222 + 1) :
-1;
parameter VAR43 = 0;
parameter VAR61 = 1;
parameter VAR184 = VAR177;
parameter VAR92 = VAR147;
parameter VAR196 = VAR225;
parameter VAR45 = 2;
localparam VAR162
= (VAR196 == VAR112) ?
VAR45 :
(VAR196 == VAR225) ?
1 :
-1;
parameter VAR159 = VAR220;
parameter VAR48 = VAR187;
parameter VAR166 = 0;
parameter VAR195 = VAR20;
parameter VAR122 = 1;
parameter VAR40 = 0;
localparam VAR75
= (VAR40 / (VAR88*VAR24)) % VAR72;
localparam VAR63
= (VAR40 / VAR24) % VAR88;
localparam VAR29 = (VAR40 / VAR24) % VAR202;
localparam VAR57
= (VAR63 == (VAR88 - 1)) ?
VAR151 :
(VAR63 == (VAR88 - 2)) ?
(VAR207 + VAR151) :
(2 * VAR207);
localparam VAR173 = VAR135 + VAR57;
localparam VAR201 = VAR228(VAR223*VAR152);
localparam VAR5 = VAR40 * VAR152;
parameter VAR128 = 0;
parameter VAR46 = VAR50;
input clk;
input reset;
input [0:VAR207-1] VAR148;
input VAR137;
input VAR170;
input VAR140;
input [0:VAR116-1] VAR214;
input [0:VAR78*VAR223*VAR162-1] VAR210;
output [0:VAR78-1] VAR117;
wire [0:VAR78-1] VAR117;
output [0:VAR94-1] VAR80;
wire [0:VAR94-1] VAR80;
output VAR107;
wire VAR107;
output VAR13;
wire VAR13;
input VAR60;
input [0:VAR223-1] VAR64;
output VAR113;
wire VAR113;
output VAR208;
wire VAR208;
input VAR14;
input VAR145;
output VAR73;
wire VAR73;
output VAR65;
wire VAR65;
output [0:VAR135-1] VAR120;
wire [0:VAR135-1] VAR120;
output [0:VAR201-1] VAR136;
wire [0:VAR201-1] VAR136;
output [0:VAR201-1] VAR204;
wire [0:VAR201-1] VAR204;
output VAR200;
wire VAR200;
output VAR144;
wire VAR144;
output [0:VAR223-1] VAR10;
wire [0:VAR223-1] VAR10;
output VAR21;
wire VAR21;
output VAR221;
wire VAR221;
output [0:6] VAR110;
wire [0:6] VAR110;
output [0:7] VAR9;
wire [0:7] VAR9;
wire VAR139;
wire VAR121;
assign VAR121 = VAR139 & VAR65;
wire VAR19, VAR91;
assign VAR19 = (VAR91 | VAR60) & ~VAR121;
VAR39
.VAR46(VAR46))
VAR91
(.clk(clk),
.reset(reset),
.VAR119(VAR19),
.VAR141(VAR91));
assign VAR144 = VAR91;
wire [0:VAR24-1] VAR150;
wire [0:VAR24-1] VAR100;
generate
if(VAR24 > 1)
begin
wire [0:VAR24-1] VAR123;
assign VAR123
= VAR64[VAR29*VAR24:
(VAR29+1)*VAR24-1];
if(VAR63 == (VAR88 - 1))
begin
assign VAR150 = VAR123;
end
else
begin
wire [0:VAR24-1] VAR149;
assign VAR149
= VAR64[(VAR29+1)*VAR24:
(VAR29+2)*VAR24-1];
assign VAR150
= VAR149 | VAR123;
end
wire [0:VAR24-1] VAR103;
assign VAR103
= VAR91 ? VAR100 : VAR150;
VAR39
.VAR46(VAR46))
VAR100
(.clk(clk),
.reset(reset),
.VAR119(VAR103),
.VAR141(VAR100));
end
else
begin
assign VAR150 = 1'b1;
assign VAR100 = 1'b1;
end
if(VAR63 == (VAR88 - 1))
begin
VAR172
.VAR189(VAR223),
.VAR98(VAR29*VAR24))
VAR130
(.VAR67(VAR100),
.VAR134({VAR223{1'b0}}),
.VAR93(VAR10));
end
else
begin
VAR172
.VAR189(VAR223),
.VAR98(VAR29*VAR24))
VAR130
(.VAR67({{VAR24{~VAR107}} &
VAR100,
{VAR24{VAR107}} &
VAR100}),
.VAR134({VAR223{1'b0}}),
.VAR93(VAR10));
end
if(VAR195 == VAR199)
assign VAR139 = VAR14;
else
assign VAR139 = (VAR60 & VAR145) | VAR14;
endgenerate
wire VAR118;
generate
if(VAR167 > 1)
begin
wire [0:VAR152-1] VAR165;
wire [0:VAR152-1] VAR95;
wire [0:VAR152-1] VAR224;
wire [0:VAR152-1] VAR34;
genvar VAR212;
for(VAR212 = 0; VAR212 < VAR152; VAR212 = VAR212 + 1)
begin:VAR161
assign VAR224[VAR212]
= (VAR136 == (VAR5 + VAR212));
assign VAR34[VAR212]
= (VAR204 == (VAR5 + VAR212));
wire VAR114;
assign VAR114 = VAR137 & VAR224[VAR212];
reg VAR143, VAR157;
always @(posedge clk)
if(VAR114)
begin
VAR143 <= VAR170;
VAR157 <= VAR140;
end
assign VAR165[VAR212] = VAR143;
assign VAR95[VAR212] = VAR157;
end
wire VAR25;
VAR83
.VAR188(1))
VAR198
(.select(VAR34),
.VAR67(VAR165),
.VAR93(VAR25));
assign VAR73 = VAR200 ? VAR170 : VAR25;
wire VAR38;
VAR83
.VAR188(1))
VAR58
(.select(VAR34),
.VAR67(VAR95),
.VAR93(VAR38));
assign VAR65 = VAR200 ? VAR140 : VAR38;
end
else
begin
wire VAR205;
assign VAR205 = VAR137 & VAR170;
wire VAR164, VAR143;
assign VAR164 = (VAR143 | VAR205) & ~VAR139;
VAR39
.VAR46(VAR46))
VAR143
(.clk(clk),
.reset(reset),
.VAR119(VAR164),
.VAR141(VAR143));
assign VAR73 = VAR200 ? VAR170 : VAR143;
wire VAR131;
assign VAR131 = VAR137 & VAR140;
wire VAR213, VAR11;
assign VAR213
= (VAR11 | VAR131) & ~(VAR139 & VAR65);
VAR39
.VAR46(VAR46))
VAR11
(.clk(clk),
.reset(reset),
.VAR119(VAR213),
.VAR141(VAR11));
assign VAR65
= VAR200 ? VAR140 : (VAR11 & VAR118);
end
endgenerate
wire [0:VAR135-1] VAR47;
assign VAR47 = VAR214[0:VAR135-1];
wire [0:VAR31-1] VAR17;
assign VAR17
= VAR214[VAR135:
VAR135+VAR31-1];
wire [0:VAR57-1] VAR12;
wire VAR209;
wire VAR55;
assign VAR55 = VAR137 & VAR170;
wire VAR193;
assign VAR193 = VAR139 & VAR65;
wire [0:VAR135-1] VAR190;
wire [0:VAR57-1] VAR87;
wire VAR22;
wire VAR132;
generate
if((VAR63 == (VAR88 - 1)) ||
(VAR63 == (VAR88 - 2)))
assign VAR12
= VAR17[VAR31-VAR57:
VAR31-1];
else
assign VAR12
= VAR17[VAR63*VAR207:
(VAR63+2)*VAR207-1];
if(VAR167 > 1)
begin
wire [0:VAR173-1] VAR179;
assign VAR179[0:VAR135-1] = VAR47;
assign VAR179[VAR135:
VAR135+VAR57-1]
= VAR12;
wire VAR186;
wire [0:VAR173-1] VAR52;
wire [0:1] VAR36;
VAR127
.VAR188(VAR173),
.VAR74(VAR159),
.VAR46(VAR46))
hdff
(.clk(clk),
.reset(reset),
.VAR69(VAR186),
.VAR67(VAR179),
.VAR206(VAR55),
.VAR218(VAR209),
.VAR93(VAR52),
.VAR15(VAR193),
.VAR110(VAR36));
assign VAR190
= VAR209 ?
VAR47 :
VAR52[0:VAR135-1];
assign VAR87
= VAR209 ?
VAR12 :
VAR52[VAR135:
VAR135+VAR57-1];
assign VAR22 = VAR36[0];
assign VAR132 = VAR36[1];
end
else
begin
wire [0:VAR135-1] VAR86,
VAR163;
assign VAR86
= VAR55 ? VAR47 : VAR163;
VAR39
.VAR46(VAR46))
VAR163
(.clk(clk),
.reset(1'b0),
.VAR119(VAR86),
.VAR141(VAR163));
assign VAR190
= VAR209 ? VAR47 : VAR163;
wire [0:VAR57-1] VAR90,
VAR192;
assign VAR90
= VAR55 ? VAR12 : VAR192;
VAR39
.VAR46(VAR46))
VAR192
(.clk(clk),
.reset(1'b0),
.VAR119(VAR90),
.VAR141(VAR192));
assign VAR87
= VAR209 ? VAR12 : VAR192;
wire VAR133, VAR51;
assign VAR133 = (VAR51 | (VAR193 & ~VAR55)) &
~(VAR55 & ~VAR193);
VAR39
.VAR124(1'b1),
.VAR46(VAR46))
VAR51
(.clk(clk),
.reset(reset),
.VAR119(VAR133),
.VAR141(VAR51));
assign VAR209 = VAR51;
assign VAR22 = VAR51 & ~VAR55 & VAR193;
assign VAR132 = ~VAR51 & VAR55 & ~VAR193;
end
endgenerate
wire VAR168;
assign VAR168 = ~VAR209 | VAR137 ;
wire VAR197;
wire VAR138;
assign VAR138 = VAR197 & ~VAR168;
assign VAR80 = VAR190[0:VAR94-1];
wire [0:VAR78-1] VAR53;
VAR70
VAR42
(.VAR67(VAR80),
.VAR93(VAR53));
wire VAR154;
VAR191
.VAR88(VAR88),
.VAR78(VAR78),
.VAR183(VAR183),
.VAR66(VAR66),
.VAR61(VAR61),
.VAR230(VAR230),
.VAR184(VAR184),
.VAR92(VAR92),
.VAR128(VAR128),
.VAR75(VAR75),
.VAR63(VAR63))
VAR54
(.VAR104(VAR53),
.VAR107(VAR107),
.VAR146(VAR117),
.VAR178(VAR154));
wire VAR160;
assign VAR160 = VAR168 & VAR154;
generate
if(VAR63 < (VAR88 - 1))
assign VAR107 = VAR190[VAR94];
else
assign VAR107 = 1'b0;
endgenerate
VAR3
.VAR111(VAR111),
.VAR23(VAR23),
.VAR66(VAR66),
.VAR230(VAR230),
.VAR184(VAR184),
.VAR92(VAR92),
.VAR63(VAR63),
.VAR46(VAR46))
VAR185
(.clk(clk),
.reset(reset),
.VAR148(VAR148),
.VAR80(VAR80),
.VAR107(VAR107),
.VAR84(VAR87),
.VAR120(VAR120));
wire [0:VAR223*VAR162-1] VAR105;
assign VAR105
= VAR210[VAR80*VAR223*VAR162 +:
VAR223*VAR162];
wire VAR33;
generate
case(VAR196)
begin
wire [0:VAR24*2-1] VAR182;
assign VAR182
= VAR105[VAR29*VAR24*2:
(VAR29+1)*VAR24*2-1];
wire [0:VAR24*2-1] VAR85;
if(VAR63 == (VAR88 - 1))
assign VAR85 = VAR182;
end
else
begin
wire [0:VAR24*2-1] VAR176;
assign VAR176
= VAR105[(VAR29+1)*VAR24*2:
(VAR29+2)*VAR24*2-1];
assign VAR85
= VAR107 ? VAR176 : VAR182;
end
wire VAR6,
VAR203;
assign VAR6 = VAR139 ;
VAR39
.VAR46(VAR46))
VAR203
(.clk(clk),
.reset(reset),
.VAR119(VAR6),
.VAR141(VAR203));
wire [0:1] VAR4;
assign VAR4 = VAR203 + VAR139;
wire [0:VAR24-1] VAR56;
wire [0:VAR24-1] VAR102;
genvar VAR126;
for(VAR126 = 0; VAR126 < VAR24; VAR126 = VAR126 + 1)
begin:VAR7
wire [0:1] VAR226;
assign VAR226 = VAR85[VAR126*2:(VAR126+1)*2-1];
wire [0:2] VAR89;
assign VAR89 = {|VAR226, VAR226[0], &VAR226};
wire VAR215;
assign VAR215 = VAR89[VAR4];
assign VAR56[VAR126] = VAR215;
wire VAR21;
assign VAR21 = |VAR226;
assign VAR102[VAR126] = VAR21;
end
wire VAR35, VAR169;
assign VAR35
= |(VAR56 &
(VAR91 ? VAR100 : VAR150));
VAR39
.VAR124(1'b1),
.VAR46(VAR46))
VAR169
(.clk(clk),
.reset(reset),
.VAR119(VAR35),
.VAR141(VAR169));
assign VAR221 = VAR169;
assign VAR21 = |(VAR102 & VAR150);
assign VAR33 = 1'b0;
end
begin
wire [0:VAR24-1] VAR219;
assign VAR219
= VAR105[VAR29*VAR24:
(VAR29+1)*VAR24-1];
wire [0:VAR24-1] VAR81;
if(VAR63 == (VAR88 - 1))
assign VAR81 = VAR219;
end
else
begin
wire [0:VAR24-1] VAR2;
assign VAR2
= VAR105[(VAR29+1)*VAR24:
(VAR29+2)*VAR24-1];
assign VAR81 = VAR107 ?
VAR2 :
VAR219;
end
wire VAR101;
assign VAR101 = |(VAR81 & VAR100);
wire VAR26;
assign VAR26 = |(VAR81 & VAR150);
wire [0:VAR68-1] VAR142;
wire VAR171, VAR8;
if(VAR166)
assign VAR171
= VAR91 ?
((VAR8 & ~VAR14) | (|VAR142) |
VAR101) :
(~VAR145 | VAR26);
else
assign VAR171
= VAR91 ?
((VAR8 & ~VAR14) | (|VAR142) |
VAR101) :
(~VAR145 & VAR26);
VAR39
.VAR46(VAR46))
VAR8
(.clk(clk),
.reset(reset),
.VAR119(VAR171),
.VAR141(VAR8));
assign VAR221 = VAR8;
assign VAR21 = VAR26;
wire VAR216;
assign VAR216
= VAR101 & ~VAR14 & VAR8;
wire VAR28;
assign VAR28
= ~VAR101 & VAR14 & |VAR142;
wire [0:VAR68-1] VAR217;
if(VAR166)
assign VAR217 = ~VAR145 & VAR26;
else
assign VAR217 = {VAR68{1'b0}};
wire [0:VAR68-1] VAR27;
assign VAR27
= VAR91 ?
(VAR142 + VAR216 - VAR28) :
VAR217;
VAR39
.VAR46(VAR46))
VAR142
(.clk(clk),
.reset(reset),
.VAR119(VAR27),
.VAR141(VAR142));
assign VAR33
= VAR91 && (VAR142 == VAR152-1) &&
VAR216;
end
endcase
endgenerate
assign VAR113 = VAR197 & VAR91;
generate
if(VAR195 == VAR199)
assign VAR208 = 1'b0;
else
assign VAR208 = VAR197 & ~VAR91;
endgenerate
assign VAR13 = VAR168 & ~VAR91;
wire VAR109;
assign VAR109 = VAR137;
wire VAR62;
assign VAR62 = VAR139;
wire [0:1] VAR211;
wire VAR156;
wire VAR96;
VAR115
.VAR98(VAR5),
.VAR158(VAR152),
.VAR46(VAR46))
VAR194
(.clk(clk),
.reset(reset),
.VAR206(VAR109),
.VAR15(VAR62),
.VAR37(VAR136),
.VAR16(VAR204),
.VAR153(VAR118),
.VAR218(VAR200),
.VAR1(VAR156),
.VAR69(VAR96),
.VAR110(VAR211));
wire VAR18;
assign VAR18 = VAR211[0];
wire VAR79;
assign VAR79 = VAR211[1];
assign VAR197 = VAR137 | ~VAR200;
assign VAR110 = {VAR18,
VAR79,
VAR22,
VAR132,
VAR138,
VAR33,
VAR160};
generate
if(VAR122 > 0)
begin
wire VAR155;
assign VAR155 = VAR168 & ~VAR197;
wire VAR71;
assign VAR71 = VAR168 & VAR197;
wire VAR44;
assign VAR44
= VAR168 & VAR197 & ~VAR91 & ~VAR60;
wire VAR229;
if(VAR195 == VAR199)
assign VAR229
= VAR168 & VAR197 & VAR91 & ~VAR14;
end
else
assign VAR229
= VAR168 & VAR197 &
(VAR91 & ~VAR14) |
(VAR60 & ~VAR145);
wire VAR125;
assign VAR125
= VAR168 & VAR197 & ~VAR91;
wire VAR227;
if(VAR195 == VAR199)
assign VAR227 = 1'b0;
else
assign VAR227
= VAR168 & VAR197 & ~VAR91 &
~VAR60 & VAR145;
wire VAR174;
assign VAR174 = VAR139;
wire VAR181;
assign VAR181 = VAR139 & ~VAR91;
wire [0:7] VAR59, VAR97;
assign VAR59 = {VAR155,
VAR71,
VAR44,
VAR229,
VAR125,
VAR227,
VAR174,
VAR181};
VAR39
.VAR46(VAR46))
VAR97
(.clk(clk),
.reset(reset),
.VAR119(VAR59),
.VAR141(VAR97));
assign VAR9 = VAR97;
end
else
assign VAR9 = 8'd0;
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2bb2a/sky130_fd_sc_ms__o2bb2a.pp.symbol.v
| 1,383 |
module MODULE1 (
input VAR8,
input VAR9,
input VAR3 ,
input VAR7 ,
output VAR4 ,
input VAR5 ,
input VAR1,
input VAR6,
input VAR2
);
endmodule
|
apache-2.0
|
eSedano/vrudy
|
rtl/dpth_alu.v
| 3,587 |
module MODULE1 (
input wire [15:0] VAR4,
input wire [15:0] VAR3,
output reg [15:0] out,
input wire VAR5,
input wire enable,
output wire VAR2,
output wire VAR1
);
always @(*)
begin
if (enable == 1'b0) out = VAR3;
end
else
case (VAR5)
2'b00: out = VAR4 + VAR3;
2'b01: out = VAR4 - VAR3;
2'b10: out = {VAR3[15], VAR3[15:1]};
2'b11: out = VAR4 & VAR3;
endcase
end
assign VAR2 = ~|out;
assign VAR1 = out[15];
endmodule
|
mit
|
ptracton/wb_soc_template
|
rtl/ZIP/rtl/prefetch.v
| 4,719 |
module MODULE1(VAR16, VAR20, VAR9, VAR11, VAR22, VAR15,
VAR2, VAR17, VAR12, VAR10,
VAR1, VAR7, VAR3, VAR21, VAR13,
VAR5, VAR23, VAR19, VAR14);
parameter VAR8=32;
localparam VAR18=VAR8;
input wire VAR16, VAR20, VAR9, VAR11,
VAR22;
input wire [(VAR18-1):0] VAR15;
output reg [31:0] VAR2;
output wire [(VAR18-1):0] VAR17;
output reg VAR12;
output reg VAR1, VAR7;
output wire VAR3;
output reg [(VAR18-1):0] VAR21;
output wire [31:0] VAR13;
input wire VAR5, VAR23, VAR19;
input wire [31:0] VAR14;
output reg VAR10;
assign VAR3 = 1'b0;
assign VAR13 = 32'h0000;
VAR6 VAR1 = 1'b0;
VAR6 VAR7 = 1'b0;
VAR6 VAR21= 0;
always @(posedge VAR16)
if ((VAR20)||(VAR5)||(VAR19))
begin
VAR1 <= 1'b0;
VAR7 <= 1'b0;
end else if ((!VAR1)&&((VAR22)||(!VAR12)||(VAR9)))
begin VAR1 <= 1'b1;
VAR7 <= 1'b1;
end else if (VAR1) begin
if (!VAR23)
VAR7 <= 1'b0;
end
reg VAR4;
VAR6 VAR4 = 1'b0;
always @(posedge VAR16)
if (!VAR1)
VAR4 <= 1'b0;
end
else if ((VAR9)||(VAR11))
VAR4 <= 1'b1;
always @(posedge VAR16)
if (VAR9)
VAR21 <= VAR15;
end
else if ((!VAR1)&&(VAR22)&&(!VAR4))
VAR21 <= VAR21 + 1'b1;
always @(posedge VAR16)
if ((VAR1)&&(VAR5))
VAR2 <= VAR14;
VAR6 VAR12 = 1'b0;
VAR6 VAR10 = 1'b0;
always @(posedge VAR16)
if ((VAR20)||(VAR9))
begin
VAR12 <= 1'b0;
VAR10 <= 1'b0;
end else if ((VAR1)&&((VAR5)||(VAR19)))
begin
VAR12 <= (!VAR4);
VAR10 <= ( VAR19)&&(!VAR4);
end else if ((VAR22)||(VAR11)||(VAR9))
begin
VAR12 <= 1'b0;
VAR10 <= 1'b0;
end
assign VAR17 = VAR21;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/mux2/sky130_fd_sc_hdll__mux2.pp.blackbox.v
| 1,303 |
module MODULE1 (
VAR1 ,
VAR4 ,
VAR6 ,
VAR7 ,
VAR8,
VAR3,
VAR5 ,
VAR2
);
output VAR1 ;
input VAR4 ;
input VAR6 ;
input VAR7 ;
input VAR8;
input VAR3;
input VAR5 ;
input VAR2 ;
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/to_send/ngnp_added_monitor/ngnp/src/user_data_path.v
| 19,437 |
module MODULE1
parameter VAR116=VAR29/8,
parameter VAR104 = 2,
parameter VAR45 = 8,
parameter VAR123 = 8,
parameter VAR149 = VAR29+VAR116,
parameter VAR42 = 19)
(
input [VAR29-1:0] VAR54,
input [VAR116-1:0] VAR69,
input VAR110,
output VAR31,
input [VAR29-1:0] VAR75,
input [VAR116-1:0] VAR115,
input VAR90,
output VAR84,
input [VAR29-1:0] VAR28,
input [VAR116-1:0] VAR143,
input VAR108,
output VAR56,
input [VAR29-1:0] VAR127,
input [VAR116-1:0] VAR17,
input VAR27,
output VAR44,
input [VAR29-1:0] VAR106,
input [VAR116-1:0] VAR59,
input VAR158,
output VAR46,
input [VAR29-1:0] VAR174,
input [VAR116-1:0] VAR145,
input VAR38,
output VAR95,
input [VAR29-1:0] VAR57,
input [VAR116-1:0] VAR4,
input VAR3,
output VAR65,
input [VAR29-1:0] VAR128,
input [VAR116-1:0] VAR13,
input VAR144,
output VAR40,
output [VAR29-1:0] VAR154,
output [VAR116-1:0] VAR60,
output VAR97,
input VAR78,
output [VAR29-1:0] VAR122,
output [VAR116-1:0] VAR16,
output VAR139,
input VAR117,
output [VAR29-1:0] VAR109,
output [VAR116-1:0] VAR21,
output VAR80,
input VAR119,
output [VAR29-1:0] VAR89,
output [VAR116-1:0] VAR172,
output VAR146,
input VAR66,
output [VAR29-1:0] VAR137,
output [VAR116-1:0] VAR126,
output VAR162,
input VAR150,
output [VAR29-1:0] VAR76,
output [VAR116-1:0] VAR132,
output VAR101,
input VAR25,
output [VAR29-1:0] VAR81,
output [VAR116-1:0] VAR37,
output VAR86,
input VAR102,
output [VAR29-1:0] VAR85,
output [VAR116-1:0] VAR18,
output VAR96,
input VAR30,
output [VAR42-1:0] VAR7,
output VAR10,
input VAR51,
output [VAR149-1:0] VAR155,
input VAR61,
input [VAR149-1:0] VAR163,
input VAR1,
output [VAR42-1:0] VAR12,
output VAR140,
input VAR91,
output VAR100,
input VAR170,
input [VAR136-1:0] VAR166,
output [VAR32-1:0] VAR73,
input [VAR32-1:0] VAR125,
input reset,
input clk,
input VAR35,
output VAR8
);
function integer VAR142;
input integer VAR124;
begin
VAR142=0;
while(2**VAR142<VAR124) begin
VAR142=VAR142+1;
end
end
endfunction
localparam VAR49 = VAR142(VAR123);
localparam VAR50 = 2;
localparam VAR165 = 4;
localparam VAR19 = 6;
wire VAR134;
wire VAR164;
wire VAR105;
wire [VAR136-1:0] VAR167;
wire [VAR32-1:0] VAR41;
wire [VAR104-1:0] VAR171;
wire [VAR116-1:0] VAR112;
wire [VAR29-1:0] VAR55;
wire VAR138;
wire VAR159;
wire VAR121;
wire VAR71;
wire VAR20;
wire [VAR136-1:0] VAR152;
wire [VAR32-1:0] VAR67;
wire [VAR104-1:0] VAR133;
wire [VAR116-1:0] VAR83;
wire [VAR29-1:0] VAR68;
wire VAR43;
wire VAR62;
wire VAR156;
wire VAR94;
wire VAR135;
wire [VAR136-1:0] VAR23;
wire [VAR32-1:0] VAR118;
wire [VAR104-1:0] VAR77;
wire VAR148;
wire VAR129;
wire VAR64;
wire [VAR136-1:0] VAR168;
wire [VAR32-1:0] VAR147;
wire [VAR104-1:0] VAR74;
wire [31:0] VAR141;
wire VAR22;
wire [15:0] VAR33;
wire [15:0] VAR24;
reg VAR103;
assign VAR8 = VAR103;
VAR82
.VAR116(VAR116),
.VAR104 (VAR104),
.VAR53(VAR50))
VAR82
(
.VAR15 (VAR55),
.VAR5 (VAR112),
.VAR113 (VAR138),
.VAR63 (VAR159),
.VAR54 (VAR54),
.VAR69 (VAR69),
.VAR110 (VAR110),
.VAR31 (VAR31),
.VAR75 (VAR75),
.VAR115 (VAR115),
.VAR90 (VAR90),
.VAR84 (VAR84),
.VAR28 (VAR28),
.VAR143 (VAR143),
.VAR108 (VAR108),
.VAR56 (VAR56),
.VAR127 (VAR127),
.VAR17 (VAR17),
.VAR27 (VAR27),
.VAR44 (VAR44),
.VAR106 (VAR106),
.VAR59 (VAR59),
.VAR158 (VAR158),
.VAR46 (VAR46),
.VAR174 (VAR174),
.VAR145 (VAR145),
.VAR38 (VAR38),
.VAR95 (VAR95),
.VAR57 (VAR57),
.VAR4 (VAR4),
.VAR3 (VAR3),
.VAR65 (VAR65),
.VAR128 (VAR128),
.VAR13 (VAR13),
.VAR144 (VAR144),
.VAR40 (VAR40),
.VAR130 (VAR134),
.VAR173 (VAR164),
.VAR34 (VAR105),
.VAR107 (VAR167),
.VAR6 (VAR41),
.VAR120 (VAR171),
.VAR151 (VAR121),
.VAR160 (VAR71),
.VAR79 (VAR20),
.VAR58 (VAR152),
.VAR157 (VAR67),
.VAR131 (VAR133),
.reset (reset),
.clk (clk),
.VAR39 (VAR33)
);
VAR161
.VAR116(VAR116),
.VAR104 (VAR104),
.VAR70(VAR50),
.VAR26(VAR165),
.VAR45(VAR45),
.VAR49(VAR49))
VAR161
(.VAR15 (VAR68),
.VAR5 (VAR83),
.VAR113 (VAR43),
.VAR63 (VAR62),
.VAR47 (VAR55),
.VAR14 (VAR112),
.VAR111 (VAR138),
.VAR114 (VAR159),
.VAR130 (VAR121),
.VAR173 (VAR71),
.VAR34 (VAR20),
.VAR107 (VAR152),
.VAR6 (VAR67),
.VAR120 (VAR133),
.VAR151 (VAR156),
.VAR160 (VAR94),
.VAR79 (VAR135),
.VAR58 (VAR23),
.VAR157 (VAR118),
.VAR131 (VAR77),
.clk (clk),
.VAR35 (VAR35),
.reset (reset),
.VAR87 (VAR141),
.VAR48 (VAR22)
);
VAR36 VAR9(
.VAR35 (VAR35),
.VAR99 (VAR141),
.reset (reset),
.VAR169 (VAR22)
);
VAR72
.VAR116(VAR116),
.VAR104 (VAR104),
.VAR165(VAR165),
.VAR45(VAR45),
.VAR26(VAR19),
.VAR42(VAR42))
VAR72
( .VAR154 (VAR154),
.VAR60 (VAR60),
.VAR97 (VAR97),
.VAR78 (VAR78),
.VAR122 (VAR122),
.VAR16 (VAR16),
.VAR139 (VAR139),
.VAR117 (VAR117),
.VAR109 (VAR109),
.VAR21 (VAR21),
.VAR80 (VAR80),
.VAR119 (VAR119),
.VAR89 (VAR89),
.VAR172 (VAR172),
.VAR146 (VAR146),
.VAR66 (VAR66),
.VAR137 (VAR137),
.VAR126 (VAR126),
.VAR162 (VAR162),
.VAR150 (VAR150),
.VAR76 (VAR76),
.VAR132 (VAR132),
.VAR101 (VAR101),
.VAR25 (VAR25),
.VAR81 (VAR81),
.VAR37 (VAR37),
.VAR86 (VAR86),
.VAR102 (VAR102),
.VAR85 (VAR85),
.VAR18 (VAR18),
.VAR96 (VAR96),
.VAR30 (VAR30),
.VAR47 (VAR68),
.VAR14 (VAR83),
.VAR114 (VAR62),
.VAR111 (VAR43),
.VAR130 (VAR156),
.VAR173 (VAR94),
.VAR34 (VAR135),
.VAR107 (VAR23),
.VAR6 (VAR118),
.VAR120 (VAR77),
.VAR151 (VAR148),
.VAR160 (VAR129),
.VAR79 (VAR64),
.VAR58 (VAR168),
.VAR157 (VAR147),
.VAR131 (VAR74),
.VAR7 (VAR7),
.VAR10 (VAR10),
.VAR51 (VAR51),
.VAR155 (VAR155),
.VAR61 (VAR61),
.VAR163 (VAR163),
.VAR1 (VAR1),
.VAR12 (VAR12),
.VAR140 (VAR140),
.clk (clk),
.reset (reset),
.VAR11 (VAR24)
);
VAR52 #(
.VAR104 (VAR104)
) VAR52 (
.VAR153 (VAR91),
.VAR2 (VAR100),
.VAR92 (VAR170),
.VAR88 (VAR166),
.VAR98 (VAR73),
.VAR93 (VAR125),
.VAR151 (VAR134),
.VAR160 (VAR164),
.VAR79 (VAR105),
.VAR58 (VAR167),
.VAR157 (VAR41),
.VAR131 (VAR171),
.VAR130 (VAR148),
.VAR173 (VAR129),
.VAR34 (VAR64),
.VAR107 (VAR168),
.VAR6 (VAR147),
.VAR120 (VAR74),
.clk (clk),
.reset (reset)
);
always @(*) begin
if (reset) begin
VAR103 <= 0;
end
else begin
if (VAR33 == VAR24) begin
VAR103 <= 1;
end
end
end
endmodule
|
mit
|
Ricky-Gong/LegoCar
|
DE0-Nano/DE0Course/db/ip/NIOS_Sys/submodules/NIOS_Sys_uart_0.v
| 28,074 |
module MODULE1 (
VAR86,
VAR77,
clk,
VAR13,
VAR79,
VAR11,
VAR90,
VAR54,
VAR2,
VAR16,
VAR33,
VAR72,
VAR5
)
;
output VAR16;
output VAR33;
output VAR72;
output VAR5;
input [ 8: 0] VAR86;
input VAR77;
input clk;
input VAR13;
input VAR79;
input VAR11;
input VAR90;
input [ 7: 0] VAR54;
input VAR2;
reg VAR73;
reg [ 8: 0] VAR71;
wire VAR36;
reg VAR83;
wire VAR66;
reg VAR103;
wire VAR96;
wire [ 9: 0] VAR21;
reg VAR16;
reg VAR33;
reg VAR72;
wire VAR39;
wire [ 9: 0] VAR35;
wire VAR51;
reg VAR5;
wire [ 9: 0] VAR37;
reg [ 9: 0] VAR87;
assign VAR51 = VAR2 && VAR77;
assign VAR21 = {{1 {1'b1}},
VAR54,
1'b0};
assign VAR96 = ~(|VAR35);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR83 <= 0;
end
else if (VAR13)
VAR83 <= (~VAR33) && VAR96;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR33 <= 1'b1;
end
else if (VAR13)
if (VAR51)
VAR33 <= 0;
else if (VAR83)
VAR33 <= -1;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR16 <= 0;
end
else if (VAR13)
if (VAR90)
VAR16 <= 0;
else if (~VAR33 && VAR51)
VAR16 <= -1;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR72 <= 1'b1;
end
else if (VAR13)
VAR72 <= VAR33 && VAR96;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR71 <= 0;
end
else if (VAR13)
if (VAR36 || VAR83)
VAR71 <= VAR86;
else
VAR71 <= VAR71 - 1;
end
assign VAR36 = VAR71 == 0;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR73 <= 0;
end
else if (VAR13)
VAR73 <= VAR36;
end
assign VAR66 = VAR73 &&
(~VAR96) &&
(~VAR83);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR103 <= 1;
end
else if (~VAR96)
VAR103 <= VAR39;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR5 <= 1;
end
else if (VAR13)
VAR5 <= VAR103 & ~VAR79;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR87 <= 0;
end
else if (VAR13)
VAR87 <= VAR37;
end
assign VAR37 = (VAR83)? VAR21 :
(VAR66)? {1'b0,
VAR87[9 : 1]} :
VAR87;
assign VAR35 = VAR87;
assign VAR39 = VAR87[0];
endmodule
module MODULE3 (
VAR86,
clk,
VAR13,
VAR11,
VAR92,
VAR22,
VAR27
)
;
output VAR27;
input [ 8: 0] VAR86;
input clk;
input VAR13;
input VAR11;
input VAR92;
input VAR22;
reg [ 7: 0] VAR91;
reg VAR7;
wire VAR100;
wire VAR20;
wire VAR27;
wire [ 7: 0] VAR40;
wire VAR46;
wire VAR64;
wire VAR42;
MODULE1 MODULE1
(
.VAR86 (VAR86),
.VAR77 (VAR100),
.clk (clk),
.VAR13 (VAR13),
.VAR79 (1'b0),
.VAR11 (VAR11),
.VAR90 (1'b0),
.VAR54 (VAR91),
.VAR16 (VAR64),
.VAR33 (VAR42),
.VAR72 (VAR46),
.VAR2 (1'b1),
.VAR5 (VAR27)
);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR91 <= 0;
end
else if (VAR100)
VAR91 <= VAR40;
end
assign VAR40 = 8'b0;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR7 <= 0;
end
else if (VAR13)
VAR7 <= VAR92;
end
assign VAR20 = ~(VAR92) & (VAR7);
assign VAR100 = (VAR20 || 1'b0) && 1'b0;
endmodule
module MODULE2 (
VAR86,
VAR77,
clk,
VAR13,
VAR11,
VAR93,
VAR22,
VAR90,
VAR68,
VAR70,
VAR44,
VAR92,
VAR28,
VAR63
)
;
output VAR68;
output VAR70;
output VAR44;
output VAR92;
output [ 7: 0] VAR28;
output VAR63;
input [ 8: 0] VAR86;
input VAR77;
input clk;
input VAR13;
input VAR11;
input VAR93;
input VAR22;
input VAR90;
reg VAR73;
wire [ 8: 0] VAR81;
reg [ 8: 0] VAR71;
wire VAR36;
reg VAR68;
reg VAR50;
reg VAR82;
reg VAR24;
reg VAR3;
reg VAR70;
wire VAR12;
wire [ 7: 0] VAR49;
wire VAR9;
wire VAR47;
wire VAR44;
wire [ 7: 0] VAR14;
reg VAR92;
reg [ 7: 0] VAR28;
wire VAR76;
reg VAR63;
wire VAR30;
wire VAR58;
wire VAR80;
wire [ 9: 0] VAR61;
wire VAR15;
wire VAR60;
wire VAR27;
wire VAR95;
wire VAR67;
wire VAR43;
wire [ 9: 0] VAR65;
reg [ 9: 0] VAR75;
MODULE3 VAR57
(
.VAR86 (VAR86),
.clk (clk),
.VAR13 (VAR13),
.VAR11 (VAR11),
.VAR92 (VAR92),
.VAR22 (VAR22),
.VAR27 (VAR27)
);
VAR62 VAR69
(
.clk (clk),
.din (VAR27),
.dout (VAR67),
.VAR11 (VAR11)
);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR82 <= 0;
end
else if (VAR13)
VAR82 <= VAR67;
end
assign VAR80 = ~(VAR67) & (VAR82);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR24 <= 0;
end
else if (VAR13)
VAR24 <= VAR67;
end
assign VAR58 = (VAR67) ^ (VAR24);
assign VAR30 = VAR93 && VAR77;
assign VAR49 = VAR86[8 : 1];
assign VAR81 = (VAR58)? VAR49 :
VAR86;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR71 <= 0;
end
else if (VAR13)
if (VAR36 || VAR58)
VAR71 <= VAR81;
else
VAR71 <= VAR71 - 1;
end
assign VAR36 = VAR71 == 0;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR73 <= 0;
end
else if (VAR13)
if (VAR58)
VAR73 <= 0;
else
VAR73 <= VAR36;
end
assign VAR15 = VAR73 && VAR76;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR3 <= 0;
end
else if (VAR13)
if (~VAR76 && VAR80)
VAR3 <= 1;
else
VAR3 <= 0;
end
assign VAR76 = VAR60;
assign {VAR95,
VAR14,
VAR43} = VAR61;
assign VAR9 = ~(|VAR61);
assign VAR47 = ~VAR95 && ~VAR9;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR50 <= 0;
end
else if (VAR13)
VAR50 <= VAR76;
end
assign VAR12 = ~(VAR76) & (VAR50);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR28 <= 0;
end
else if (VAR12)
VAR28 <= VAR14;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR70 <= 0;
end
else if (VAR13)
if (VAR90)
VAR70 <= 0;
else if (VAR12 && VAR47)
VAR70 <= -1;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR68 <= 0;
end
else if (VAR13)
if (VAR90)
VAR68 <= 0;
else if (VAR12 && VAR9)
VAR68 <= -1;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR63 <= 0;
end
else if (VAR13)
if (VAR90)
VAR63 <= 0;
else if (VAR12 && VAR92)
VAR63 <= -1;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR92 <= 0;
end
else if (VAR13)
if (VAR30)
VAR92 <= 0;
else if (VAR12)
VAR92 <= -1;
end
assign VAR44 = 0;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR75 <= 0;
end
else if (VAR13)
VAR75 <= VAR65;
end
assign VAR65 = (VAR3)? {10{1'b1}} :
(VAR15)? {VAR67,
VAR75[9 : 1]} :
VAR75;
assign VAR61 = VAR75;
assign VAR60 = VAR75[0];
endmodule
module MODULE4 (
address,
VAR68,
VAR34,
clk,
VAR13,
VAR70,
VAR44,
VAR97,
VAR11,
VAR92,
VAR28,
VAR63,
VAR16,
VAR33,
VAR72,
VAR89,
VAR48,
VAR86,
VAR102,
VAR79,
irq,
VAR17,
VAR6,
VAR93,
VAR90,
VAR54,
VAR2
)
;
output [ 8: 0] VAR86;
output VAR102;
output VAR79;
output irq;
output [ 15: 0] VAR17;
output VAR6;
output VAR93;
output VAR90;
output [ 7: 0] VAR54;
output VAR2;
input [ 2: 0] address;
input VAR68;
input VAR34;
input clk;
input VAR13;
input VAR70;
input VAR44;
input VAR97;
input VAR11;
input VAR92;
input [ 7: 0] VAR28;
input VAR63;
input VAR16;
input VAR33;
input VAR72;
input VAR89;
input [ 15: 0] VAR48;
wire VAR29;
wire [ 8: 0] VAR86;
reg [ 9: 0] VAR32;
wire VAR10;
wire VAR23;
reg VAR18;
reg VAR56;
wire VAR102;
wire VAR98;
reg VAR85;
wire [ 8: 0] VAR26;
wire VAR79;
wire VAR74;
wire VAR59;
wire VAR53;
wire VAR19;
wire VAR38;
wire VAR99;
wire VAR94;
wire VAR84;
wire VAR4;
wire VAR25;
wire VAR101;
reg irq;
wire VAR88;
reg [ 15: 0] VAR17;
wire VAR6;
wire VAR93;
wire [ 15: 0] VAR52;
wire [ 12: 0] VAR1;
wire VAR90;
reg [ 7: 0] VAR54;
wire VAR2;
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR17 <= 0;
end
else if (VAR13)
VAR17 <= VAR52;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
irq <= 0;
end
else if (VAR13)
irq <= VAR88;
end
assign VAR93 = VAR34 && ~VAR97 && (address == 3'd0);
assign VAR2 = VAR34 && ~VAR89 && (address == 3'd1);
assign VAR90 = VAR34 && ~VAR89 && (address == 3'd2);
assign VAR10 = VAR34 && ~VAR89 && (address == 3'd3);
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR54 <= 0;
end
else if (VAR2)
VAR54 <= VAR48[7 : 0];
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR32 <= 0;
end
else if (VAR10)
VAR32 <= VAR48[9 : 0];
end
assign VAR86 = VAR26;
assign VAR23 = 0;
assign VAR98 = 0;
assign {VAR79,
VAR53,
VAR94,
VAR25,
VAR101,
VAR4,
VAR84,
VAR19,
VAR38,
VAR99} = VAR32;
assign VAR29 = VAR16 ||
VAR63 ||
VAR44 ||
VAR70 ||
VAR68;
assign VAR1 = {VAR59,
VAR23,
VAR98,
1'b0,
VAR29,
VAR92,
VAR33,
VAR72,
VAR16,
VAR63,
VAR68,
VAR70,
VAR44};
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR18 <= 0;
end
else if (VAR13)
VAR18 <= VAR92;
end
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR56 <= 0;
end
else if (VAR13)
VAR56 <= VAR33;
end
assign VAR102 = VAR18;
assign VAR6 = VAR56;
assign VAR59 = 1'b0;
assign VAR52 = ({16 {(address == 3'd0)}} & VAR28) |
({16 {(address == 3'd1)}} & VAR54) |
({16 {(address == 3'd2)}} & VAR1) |
({16 {(address == 3'd3)}} & VAR32);
assign VAR88 = (VAR53 && VAR29 ) ||
(VAR101 && VAR72 ) ||
(VAR4 && VAR16 ) ||
(VAR84 && VAR63 ) ||
(VAR19 && VAR68 ) ||
(VAR38 && VAR70 ) ||
(VAR99 && VAR44 ) ||
(VAR94 && VAR92 ) ||
(VAR25 && VAR33 );
always @(posedge clk or negedge VAR11)
begin
if (VAR11 == 0)
VAR85 <= 0;
end
else if (VAR13)
VAR85 <= VAR33;
end
assign VAR74 = (VAR33) & ~(VAR85);
always @(posedge clk)
begin
if (VAR74)
("%VAR45", VAR54);
end
assign VAR26 = 4;
endmodule
module MODULE5 (
address,
VAR77,
VAR34,
clk,
VAR97,
VAR11,
VAR22,
VAR89,
VAR48,
VAR102,
irq,
VAR17,
VAR6,
VAR5
)
;
output VAR102;
output irq;
output [ 15: 0] VAR17;
output VAR6;
output VAR5;
input [ 2: 0] address;
input VAR77;
input VAR34;
input clk;
input VAR97;
input VAR11;
input VAR22;
input VAR89;
input [ 15: 0] VAR48;
wire [ 8: 0] VAR86;
wire VAR68;
wire VAR13;
wire VAR102;
wire VAR79;
wire VAR70;
wire irq;
wire VAR44;
wire [ 15: 0] VAR17;
wire VAR6;
wire VAR92;
wire [ 7: 0] VAR28;
wire VAR63;
wire VAR93;
wire VAR90;
wire [ 7: 0] VAR54;
wire VAR16;
wire VAR33;
wire VAR72;
wire VAR2;
wire VAR5;
assign VAR13 = 1;
MODULE1 VAR41
(
.VAR86 (VAR86),
.VAR77 (VAR77),
.clk (clk),
.VAR13 (VAR13),
.VAR79 (VAR79),
.VAR11 (VAR11),
.VAR90 (VAR90),
.VAR54 (VAR54),
.VAR16 (VAR16),
.VAR33 (VAR33),
.VAR72 (VAR72),
.VAR2 (VAR2),
.VAR5 (VAR5)
);
MODULE2 VAR31
(
.VAR86 (VAR86),
.VAR77 (VAR77),
.VAR68 (VAR68),
.clk (clk),
.VAR13 (VAR13),
.VAR70 (VAR70),
.VAR44 (VAR44),
.VAR11 (VAR11),
.VAR92 (VAR92),
.VAR28 (VAR28),
.VAR63 (VAR63),
.VAR93 (VAR93),
.VAR22 (VAR22),
.VAR90 (VAR90)
);
MODULE4 VAR8
(
.address (address),
.VAR86 (VAR86),
.VAR68 (VAR68),
.VAR34 (VAR34),
.clk (clk),
.VAR13 (VAR13),
.VAR102 (VAR102),
.VAR79 (VAR79),
.VAR70 (VAR70),
.irq (irq),
.VAR44 (VAR44),
.VAR97 (VAR97),
.VAR17 (VAR17),
.VAR6 (VAR6),
.VAR11 (VAR11),
.VAR92 (VAR92),
.VAR28 (VAR28),
.VAR63 (VAR63),
.VAR93 (VAR93),
.VAR90 (VAR90),
.VAR54 (VAR54),
.VAR16 (VAR16),
.VAR33 (VAR33),
.VAR72 (VAR72),
.VAR2 (VAR2),
.VAR89 (VAR89),
.VAR48 (VAR48)
);
endmodule
|
gpl-2.0
|
asicguy/gplgpu
|
hdl/hbi/pci_wom.v
| 14,169 |
module MODULE1
(
input VAR89,
input VAR49,
input VAR57,
input VAR45,
input VAR65,
input VAR71,
input VAR10,
input VAR76,
input VAR85,
input VAR8,
input VAR56,
input VAR12,
input VAR24,
input VAR26,
input VAR55,
input VAR61,
input VAR38,
input VAR52,
input VAR28, input [31:2] VAR42,
input [21:0] VAR23,
output [31:0] VAR79,
output VAR7,
output reg [3:0] VAR25,
output reg VAR5,
output reg VAR19,
output reg VAR69,
output reg VAR16,
output reg VAR32
);
reg VAR72, VAR43, VAR83, VAR92;
reg VAR3;
reg [2:0] VAR86;
reg VAR62, VAR40;
reg VAR36;
wire VAR21, VAR17, VAR54, VAR46,
VAR30, VAR14,
VAR77, VAR11,
VAR53, VAR90,
VAR80, VAR51,
VAR66, VAR37,
VAR48,
VAR18, VAR73;
wire VAR87, VAR29, VAR82, VAR47,
VAR84, VAR59,
VAR27, VAR75, VAR64,
VAR78;
reg VAR67,
VAR41, VAR34,
VAR22, VAR35, VAR31, VAR93,
VAR70, VAR74;
reg VAR91;
reg VAR2;
reg VAR9;
reg VAR44;
reg VAR88;
reg VAR1;
reg VAR50;
reg VAR39;
reg VAR68;
reg VAR97;
reg [2:0] VAR63, VAR6;
reg VAR98; reg VAR96;
reg VAR95, VAR13;
reg VAR94;
wire [3:0] VAR58;
parameter VAR20 = 0,
VAR33 = 1,
VAR60 = 2,
VAR15 = 3,
VAR81 = 4,
VAR4 = 5;
always @(posedge VAR49) VAR94 <= VAR57;
always @(posedge VAR49 or negedge VAR89)
if (!VAR89) begin
VAR69 <= 1'b1;
VAR5 <= 1'b1;
VAR19 <= 1'b1;
end else begin
VAR69 <= ~VAR72;
if (VAR3) VAR5 <= ~VAR43;
VAR19 <= ~VAR3;
end
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89) VAR25 <= 3'h0;
end
else if (VAR7) VAR25 <= VAR58;
end
else VAR25 <= 3'h0;
end
assign VAR77 = VAR23[20];
assign VAR11 = VAR23[19];
assign VAR53 = VAR23[18];
assign VAR90 = VAR23[17];
assign VAR80 = VAR23[16];
assign VAR51 = VAR23[15];
assign VAR66 = VAR23[14];
assign VAR37 = VAR23[13];
assign VAR48 = VAR23[12];
assign VAR18 = VAR23[11];
assign VAR73 = VAR23[10];
assign VAR21 = VAR23[9];
assign VAR17 = VAR23[8];
assign VAR54 = VAR23[7];
assign VAR46 = VAR23[6];
assign VAR30 = VAR23[5];
assign VAR14 = VAR23[4];
always @ (posedge VAR49 or negedge VAR89)
if (!VAR89) begin
VAR9 <= 1'b0;
VAR44 <= 1'b0;
VAR88 <= 1'b0;
VAR1 <= 1'b0;
VAR50 <= 1'b0;
VAR39 <= 1'b0;
VAR68 <= 1'b0;
VAR97 <= 1'b0;
end else begin
VAR9 <= VAR38;
VAR44 <= VAR9;
VAR50 <= VAR52;
VAR39 <= VAR50;
if (!VAR70) VAR88 <= 1'b0;
end
else if (VAR9 ^ VAR44) VAR88 <= 1'b1;
VAR1 <= VAR88;
if (!VAR74) VAR68 <= 1'b0;
end
else if (VAR50 ^ VAR39) VAR68 <= 1'b1;
VAR97 <= VAR68;
end
always @ (posedge VAR49) begin
VAR91 <= VAR61;
if (!VAR43) begin
VAR2 <= VAR91;
VAR41 <= VAR85;
VAR34 <= VAR8;
VAR22 <= VAR56;
VAR35 <= VAR12;
VAR31 <= VAR24;
VAR93 <= VAR26;
VAR67 <= VAR55;
end end
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89) VAR70 <= 1'b1;
end
else if (VAR88 && VAR63 != VAR20 && VAR6 == VAR20)
VAR70 <= 1'b0;
else VAR70 <= 1'b1;
end
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89) VAR74 <= 1'b1;
end
else if (VAR68 && VAR63 != VAR20 && VAR6 == VAR20)
VAR74 <= 1'b0;
else VAR74 <= 1'b1;
end
assign VAR79 = VAR83 ? {VAR42, 2'b0} :
{1'h1, 19'h0, VAR2, VAR88, VAR68, 1'b0,
1'b0, VAR41, VAR34, VAR22,
VAR35, VAR31, VAR93, VAR67};
assign VAR58 = VAR83 ? 4'b0111 : 4'b0000;
assign VAR87 = ((!VAR21 && VAR91 && !VAR2) ||
(!VAR17 && !VAR91 && VAR2));
assign VAR29 = (!VAR54 && VAR88 && !VAR1);
assign VAR82 = (!VAR46 && VAR68 && !VAR97);
assign VAR84 =
((!VAR77 && VAR85 && !VAR41) ||
(!VAR11 && !VAR85 && VAR41));
assign VAR59 =
((!VAR53 && VAR8 && !VAR34 && VAR67) ||
(!VAR90 && !VAR8 && VAR34 && VAR67));
assign VAR27 =
((!VAR80 && VAR56 && !VAR22) ||
(!VAR51 && !VAR56 && VAR22));
assign VAR75 =
((!VAR66 && VAR12 && !VAR35 && VAR67) ||
(!VAR37 && !VAR12 && VAR35 && !VAR67));
assign VAR64 =
(!VAR48 && VAR24 && !VAR31);
assign VAR78 =
((!VAR18 && VAR26 && !VAR93) ||
(!VAR73 && !VAR26 && VAR93));
assign VAR47 =
((!VAR30 && VAR55 && !VAR67) ||
(!VAR14 && !VAR55 && VAR67));
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89)
VAR98 <= 1'b0;
end
else if ((VAR87 ||
VAR29 ||
VAR82 ||
VAR47 ||
VAR84 ||
VAR59 ||
VAR27 ||
VAR75 ||
VAR64 ||
VAR78) && VAR28)
VAR98 <= 1'b1;
else
VAR98 <= 1'b0;
end
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89) begin
VAR95 <= 1'b0;
VAR13 <= 1'b0;
end else begin
VAR95 <= VAR45;
VAR13 <= VAR65;
end end
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89)
VAR96 <= 1'b0;
end
else if (!VAR94 && VAR69 && VAR95 && VAR13)
VAR96 <= 1'b1;
end
else
VAR96 <= 1'b0;
end
always @* begin
VAR6 = VAR63;
VAR72 = 1'b0;
VAR43 = 1'b0;
VAR3 = 1'b0;
VAR36 = 1'b0;
VAR83 = 1'b0;
VAR92 = 1'b0;
VAR62 = 1'b0;
VAR40 = 1'b0;
case (VAR63)
VAR20: begin
if (VAR98) begin
if (!VAR94 && VAR69
&& VAR95 && VAR13) begin
VAR3 = 1'b1;
VAR83 = 1'b1; VAR92 = 1'b1;
VAR36 = 1'b1;
VAR6 = VAR15;
end else begin
VAR6 = VAR33;
end end
end
VAR33: begin
VAR72 = 1'b1;
VAR6 = VAR60;
end
VAR60: begin
VAR72 = 1'b1;
if (!VAR94 && VAR95 && VAR13) begin
VAR72 = 1'b0;
VAR3 = 1'b1;
VAR83 = 1'b1; VAR92 = 1'b1;
VAR36 = 1'b1;
VAR6 = VAR15;
end end
VAR15: begin
VAR3 = 1'b1;
VAR43 = 1'b1;
VAR36 = 1'b1;
VAR62 = 1'b1;
if (VAR86 == 3'b100 && VAR76) begin VAR43 = 1'b0;
VAR62 = 1'b0;
VAR40 = 1'b1;
VAR6 = VAR20;
end else if (!VAR76) begin VAR40 = 1'b1;
VAR62 = 1'b0;
if (!VAR71) begin VAR43 = 1'b0;
VAR36 = 1'b0;
VAR6 = VAR20;
end else if (!VAR10) begin VAR43 = 1'b0;
VAR36 = 1'b0;
VAR6 = VAR81;
end end else if (!VAR10) begin VAR40 = 1'b1;
VAR62 = 1'b0;
VAR43 = 1'b0;
VAR36 = 1'b0;
VAR6 = VAR20;
end
end
VAR81: VAR6 = VAR4;
VAR4: begin
if (!VAR94 &&
VAR95 && VAR13) begin
VAR3 = 1'b1;
VAR83 = 1'b1; VAR92 = 1'b1;
VAR36 = 1'b1;
VAR6 = VAR15;
end else begin
VAR72 = 1'b1;
VAR6 = VAR60;
end end
default: VAR6 = VAR20;
endcase end
assign VAR7 = (VAR36 ||
(!VAR94 &&
VAR69 && VAR95 && VAR13 &&
VAR96));
always @ (posedge VAR49 or negedge VAR89) begin
if (!VAR89) begin
VAR63 <= VAR20;
VAR16 <= 1;
VAR32 <= 1;
end else begin
VAR63 <= VAR6;
VAR16 <= !VAR83;
VAR32 <= !(VAR92 || !VAR16);
end end
always @(posedge VAR49 or negedge VAR89) begin
if (!VAR89)
VAR86 <= 0;
end
else if (VAR40)
VAR86 <= 0;
end
else if (VAR62)
VAR86 <= VAR86 + 1;
end
endmodule
|
gpl-3.0
|
YosysHQ/yosys
|
techlibs/fabulous/prims.v
| 10,227 |
module MODULE12(output VAR105, input VAR136);
parameter [1:0] VAR34 = 0;
assign VAR105 = VAR136 ? VAR34[1] : VAR34[0];
endmodule
module MODULE1(output VAR105, input VAR136, VAR100);
parameter [3:0] VAR34 = 0;
wire [ 1: 0] VAR98 = VAR100 ? VAR34[ 3: 2] : VAR34[ 1: 0];
assign VAR105 = VAR136 ? VAR98[1] : VAR98[0];
endmodule
module MODULE14(output VAR105, input VAR136, VAR100, VAR74);
parameter [7:0] VAR34 = 0;
wire [ 3: 0] VAR63 = VAR74 ? VAR34[ 7: 4] : VAR34[ 3: 0];
wire [ 1: 0] VAR98 = VAR100 ? VAR63[ 3: 2] : VAR63[ 1: 0];
assign VAR105 = VAR136 ? VAR98[1] : VAR98[0];
endmodule
module MODULE10(output VAR105, input VAR136, VAR100, VAR74, VAR116);
parameter [15:0] VAR34 = 0;
wire [ 7: 0] VAR32 = VAR116 ? VAR34[15: 8] : VAR34[ 7: 0];
wire [ 3: 0] VAR63 = VAR74 ? VAR32[ 7: 4] : VAR32[ 3: 0];
wire [ 1: 0] VAR98 = VAR100 ? VAR63[ 3: 2] : VAR63[ 1: 0];
assign VAR105 = VAR136 ? VAR98[1] : VAR98[0];
endmodule
module MODULE6(input VAR81, VAR29, output reg VAR105);
VAR91 VAR105 = 1'b0;
always @ (posedge VAR81) begin
VAR105 <= VAR29;
end
endmodule
module MODULE9(input VAR136, VAR100, VAR101, output VAR105);
assign VAR105 = VAR101 ? VAR100 : VAR136;
endmodule
module MODULE13(input VAR136, VAR100, VAR74, VAR116, VAR101, VAR18, output VAR105);
wire VAR6 = VAR101 ? VAR100 : VAR136;
wire VAR96 = VAR101 ? VAR116 : VAR74;
assign VAR105 = VAR18 ? VAR96 : VAR6;
endmodule
module MODULE15(input VAR136, VAR100, VAR74, VAR116, VAR16, VAR117, VAR31, VAR1, VAR101, VAR18, VAR144, output VAR105);
wire VAR6 = VAR101 ? VAR100 : VAR136;
wire VAR96 = VAR101 ? VAR116 : VAR74;
wire VAR45 = VAR101 ? VAR117 : VAR16;
wire VAR27 = VAR101 ? VAR1 : VAR31;
wire VAR69 = VAR18 ? VAR96 : VAR6;
wire VAR70 = VAR18 ? VAR27 : VAR45;
assign VAR105 = VAR144 ? VAR70 : VAR69;
endmodule
module MODULE7 #(
parameter VAR68 = 4,
parameter [2**VAR68-1:0] VAR34 = 0,
parameter VAR120 = 1'b0
) (
input VAR81,
input [VAR68-1:0] VAR108,
output VAR105,
output VAR72
);
wire VAR59;
generate
if (VAR68 == 1) begin
MODULE12 #(.VAR34(VAR34)) VAR56 (.VAR105(VAR59), .VAR136(VAR108[0]));
end else
if (VAR68 == 2) begin
MODULE1 #(.VAR34(VAR34)) VAR8 (.VAR105(VAR59), .VAR136(VAR108[0]), .VAR100(VAR108[1]));
end else
if (VAR68 == 3) begin
MODULE14 #(.VAR34(VAR34)) VAR143 (.VAR105(VAR59), .VAR136(VAR108[0]), .VAR100(VAR108[1]), .VAR74(VAR108[2]));
end else
if (VAR68 == 4) begin
MODULE10 #(.VAR34(VAR34)) VAR23 (.VAR105(VAR59), .VAR136(VAR108[0]), .VAR100(VAR108[1]), .VAR74(VAR108[2]), .VAR116(VAR108[3]));
end
endgenerate
MODULE6 MODULE1(.VAR81(VAR81), .VAR29(VAR59), .VAR72(VAR72));
assign VAR105 = VAR59;
endmodule
module MODULE3 (output VAR81);
VAR91 VAR81 = 0;
always VAR81 = ~VAR81;
endmodule
module MODULE11 (output VAR112, VAR61, VAR84, VAR10);
endmodule
module MODULE8 (input VAR136, VAR100, VAR74, VAR116);
endmodule
module MODULE5 (input VAR81, VAR2, VAR108, output VAR72, VAR105, inout VAR28);
assign VAR28 = VAR2 ? 1'VAR93 : VAR108;
assign VAR105 = VAR28;
reg VAR60;
always @(posedge VAR81) VAR60 <= VAR105;
assign VAR72 = VAR60;
endmodule
module MODULE4 (VAR42, VAR88, VAR22, VAR115, VAR27, VAR45, VAR96, VAR6, VAR131, VAR39, VAR25, VAR30, VAR4, VAR33, VAR70, VAR69, VAR15, VAR21, VAR43, VAR140, VAR41, VAR123, VAR146, VAR122, VAR127, VAR82, VAR135, VAR121, VAR142, VAR55, VAR13, VAR102, VAR109, VAR147, VAR3, VAR124, VAR133, VAR151, VAR97, VAR139, VAR38, VAR20, VAR44, VAR58, VAR119, VAR80, VAR107, VAR76, VAR66, VAR7, VAR51, VAR14, VAR126, VAR152, VAR53, VAR48, VAR99, VAR81);
parameter VAR92 = 1'b0;
parameter VAR49 = 1'b0;
parameter VAR79 = 1'b0;
parameter VAR110 = 1'b0;
parameter VAR86 = 1'b0;
parameter VAR62 = 1'b0;
input VAR42; input VAR88;
input VAR22;
input VAR115;
input VAR27;
input VAR45;
input VAR96;
input VAR6;
input VAR131; input VAR39;
input VAR25;
input VAR30;
input VAR4;
input VAR33;
input VAR70;
input VAR69;
input VAR15; input VAR21;
input VAR43;
input VAR140;
input VAR41;
input VAR123;
input VAR146;
input VAR122;
input VAR127;
input VAR82;
input VAR135;
input VAR121;
input VAR142;
input VAR55;
input VAR13;
input VAR102;
input VAR109;
input VAR147;
input VAR3;
input VAR124;
output VAR133; output VAR151;
output VAR97;
output VAR139;
output VAR38;
output VAR20;
output VAR44;
output VAR58;
output VAR119;
output VAR80;
output VAR107;
output VAR76;
output VAR66;
output VAR7;
output VAR51;
output VAR14;
output VAR126;
output VAR152;
output VAR53;
output VAR48;
input VAR99;
input VAR81;
wire [7:0] VAR94; wire [7:0] VAR50; wire [19:0] VAR37; reg [7:0] VAR65; reg [7:0] VAR148; reg [19:0] VAR11; wire [7:0] VAR150; wire [7:0] VAR85; wire [19:0] VAR67; reg [19:0] VAR19 ; wire [19:0] sum; wire [19:0] VAR149; wire [15:0] VAR137;
wire [19:0] VAR9;
assign VAR94 = {VAR42,VAR88,VAR22,VAR115,VAR27,VAR45,VAR96,VAR6};
assign VAR50 = {VAR131,VAR39,VAR25,VAR30,VAR4,VAR33,VAR70,VAR69};
assign VAR37 = {VAR15,VAR21,VAR43,VAR140,VAR41,VAR123,VAR146,VAR122,VAR127,VAR82,VAR135,VAR121,VAR142,VAR55,VAR13,VAR102,VAR109,VAR147,VAR3,VAR124};
assign VAR150 = VAR92 ? VAR65 : VAR94;
assign VAR85 = VAR49 ? VAR148 : VAR50;
assign VAR67 = VAR79 ? VAR11 : VAR37;
assign VAR149 = VAR110 ? VAR19 : VAR67;
assign VAR137 = VAR150 * VAR85;
assign VAR9 = VAR86 ? {VAR137[15],VAR137[15],VAR137[15],VAR137[15],VAR137} : {4'b0000,VAR137};
assign sum = VAR9 + VAR149;
assign VAR133 = VAR62 ? VAR19[19] : sum[19];
assign VAR151 = VAR62 ? VAR19[18] : sum[18];
assign VAR97 = VAR62 ? VAR19[17] : sum[17];
assign VAR139 = VAR62 ? VAR19[16] : sum[16];
assign VAR38 = VAR62 ? VAR19[15] : sum[15];
assign VAR20 = VAR62 ? VAR19[14] : sum[14];
assign VAR44 = VAR62 ? VAR19[13] : sum[13];
assign VAR58 = VAR62 ? VAR19[12] : sum[12];
assign VAR119 = VAR62 ? VAR19[11] : sum[11];
assign VAR80 = VAR62 ? VAR19[10] : sum[10];
assign VAR107 = VAR62 ? VAR19[9] : sum[9];
assign VAR76 = VAR62 ? VAR19[8] : sum[8];
assign VAR66 = VAR62 ? VAR19[7] : sum[7];
assign VAR7 = VAR62 ? VAR19[6] : sum[6];
assign VAR51 = VAR62 ? VAR19[5] : sum[5];
assign VAR14 = VAR62 ? VAR19[4] : sum[4];
assign VAR126 = VAR62 ? VAR19[3] : sum[3];
assign VAR152 = VAR62 ? VAR19[2] : sum[2];
assign VAR53 = VAR62 ? VAR19[1] : sum[1];
assign VAR48 = VAR62 ? VAR19[0] : sum[0];
always @ (posedge VAR81)
begin
VAR65 <= VAR94;
VAR148 <= VAR50;
VAR11 <= VAR37;
if (VAR99 == 1'b1) begin
VAR19 <= 20'b00000000000000000000;
end else begin
VAR19 <= sum;
end
end
endmodule
module MODULE2 (VAR77, VAR83, VAR36, VAR145, VAR26, VAR17, VAR141, VAR46, VAR114, VAR138, VAR24, VAR57, VAR12, VAR35, VAR134, VAR125, VAR90, VAR64, VAR104, VAR113, VAR132, VAR103, VAR130, VAR47, VAR128, VAR54, VAR111, VAR129, VAR81);
parameter VAR87 = 1'b0;
parameter VAR5 = 1'b0;
input VAR77; input VAR83;
input VAR36;
input VAR145;
input VAR26;
input VAR17;
input VAR141;
input VAR46;
input VAR114;
input VAR138;
output VAR24; output VAR57;
output VAR12;
output VAR35;
input VAR134;
input VAR125;
input VAR90;
input VAR64;
input VAR104;
output VAR113; output VAR132;
output VAR103;
output VAR130;
input VAR47;
input VAR128;
input VAR54;
input VAR111;
input VAR129;
input VAR81;
reg [3:0] VAR95 [31:0];
wire [4:0] VAR78; wire [4:0] VAR89; wire [4:0] VAR52;
wire [3:0] VAR29; wire [3:0] VAR106; wire [3:0] VAR73;
reg [3:0] VAR75; reg [3:0] VAR40;
integer VAR118;
assign VAR78 = {VAR114,VAR46,VAR141,VAR17,VAR26};
assign VAR89 = {VAR104,VAR64,VAR90,VAR125,VAR134};
assign VAR52 = {VAR129,VAR111,VAR54,VAR128,VAR47};
assign VAR29 = {VAR145,VAR36,VAR83,VAR77};
|
isc
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/Tiger4NSC/src/BCHDecoderX.v
| 11,152 |
module MODULE1
(
parameter VAR62 = 32,
parameter VAR52 = 2,
parameter VAR50 = 9,
parameter VAR59 = 12,
parameter VAR60 = 27,
parameter VAR47 = 15
)
(
VAR25 ,
VAR20 ,
VAR14 ,
VAR22 ,
VAR26 ,
VAR43 ,
VAR33 ,
VAR7 ,
VAR64 ,
VAR32 ,
VAR39 ,
VAR49 ,
VAR42 ,
VAR11 ,
VAR46 ,
VAR15 ,
VAR1 ,
VAR45 ,
VAR61 ,
VAR57 ,
VAR35 ,
VAR16 ,
VAR63 ,
VAR38 ,
VAR12 ,
VAR3
);
input VAR25 ;
input VAR20 ;
input [VAR62 - 1:0] VAR14 ;
input VAR22 ;
output VAR26 ;
output VAR33 ;
output VAR7 ;
output VAR64 ;
output [VAR50 - 1:0] VAR32 ;
output [4*VAR52 - 1:0] VAR39 ;
output VAR43 ;
output [VAR62 - 1:0] VAR49 ;
output VAR42 ;
output VAR11 ;
input VAR46 ;
input VAR15 ;
output [VAR52 - 1:0] VAR1 ;
output [VAR52 - 1:0] VAR45 ;
output [VAR52*VAR59*VAR60 - 1:0] VAR61 ;
input VAR57 ;
input [VAR52 - 1:0] VAR35 ;
input [VAR52 - 1:0] VAR16 ;
input [VAR52*VAR50 - 1:0] VAR63 ;
input [VAR52*VAR59*VAR47 - 1:0] VAR38 ;
output VAR12 ;
input VAR3 ;
wire [VAR52 - 1:0] VAR10 ;
wire [VAR52 - 1:0] VAR5 ;
wire [VAR52 - 1:0] VAR13 ;
wire [VAR62 - 1:0] VAR40 ;
wire VAR67 ;
wire VAR65 ;
wire VAR36 ;
wire [VAR52 - 1:0] VAR41 ;
wire [VAR52 - 1:0] VAR27 ;
wire [VAR52 - 1:0] VAR21 ;
reg [VAR62 - 1:0] VAR34 ;
reg VAR56 ;
reg VAR37 ;
wire [VAR52 - 1:0] VAR8 ;
reg [VAR52 - 1:0] VAR24 ;
reg [VAR52 - 1:0] VAR30 ;
wire VAR54 ;
wire VAR18;
wire [VAR50*VAR52 - 1:0] VAR31 ;
reg [VAR50*VAR52 - 1:0] VAR55 ;
reg [VAR50 - 1:0] VAR4 ;
wire VAR17 ;
localparam VAR23 = 256;
localparam VAR69 = 8;
genvar VAR19;
generate
for (VAR19 = 0; VAR19 < VAR52; VAR19 = VAR19 + 1)
begin
always @ (posedge VAR25)
if (VAR20)
VAR24[VAR19] <= 1'b0;
end
else
if (VAR36)
VAR24[VAR19] <= 1'b1;
else if (VAR54)
VAR24[VAR19] <= 1'b0;
always @ (posedge VAR25)
if (VAR20)
VAR30[VAR19] <= 1'b0;
else
if (VAR36)
VAR30[VAR19] <= (VAR27) ? 1'b0 : 1'b1;
else if (VAR54)
VAR30[VAR19] <= 1'b0;
assign VAR8[VAR19] = VAR46 && VAR42;
assign VAR5[VAR19] = VAR22;
end
endgenerate
VAR66
(
.VAR52 (VAR52 ),
.VAR59 (VAR59 ),
.VAR50 (VAR50 ),
.VAR62 (32 ),
.VAR60 (VAR60 ),
.VAR47 (VAR47 )
)
VAR44
(
.VAR25 (VAR25 ),
.VAR20 (VAR17 ),
.VAR28 (1'b1 ),
.VAR22 (VAR5 ),
.VAR14 (VAR14 ),
.VAR26 (VAR26 ),
.VAR33 (VAR10 ),
.VAR9 (VAR13 ),
.VAR58 (VAR21 ),
.VAR39 (VAR31 ),
.VAR2 (VAR36 ),
.VAR51 (VAR41 ),
.VAR53 (VAR27 ),
.VAR68 (VAR8 ),
.VAR48 (VAR67 ),
.VAR29 (VAR65 ),
.VAR6 (VAR40 ),
.VAR15 (VAR15 ),
.VAR1 (VAR1 ),
.VAR45 (VAR45 ),
.VAR61 (VAR61 ),
.VAR57 (VAR57 ),
.VAR35 (VAR35 ),
.VAR16 (VAR16 ),
.VAR63 (VAR63 ),
.VAR38 (VAR38 ),
.VAR12 (VAR12 )
);
assign VAR33 = &(VAR10);
assign VAR17 = VAR20 | VAR3;
begin
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfbbn/sky130_fd_sc_hs__dfbbn.behavioral.pp.v
| 2,891 |
module MODULE1 (
VAR25 ,
VAR23 ,
VAR13 ,
VAR20 ,
VAR21 ,
VAR24,
VAR26 ,
VAR4
);
output VAR25 ;
output VAR23 ;
input VAR13 ;
input VAR20 ;
input VAR21 ;
input VAR24;
input VAR26 ;
input VAR4 ;
wire VAR15 ;
wire VAR18 ;
wire VAR2 ;
wire VAR17 ;
wire VAR8 ;
wire VAR19;
wire VAR28 ;
reg VAR1 ;
wire VAR3 ;
wire VAR27 ;
wire VAR22 ;
wire VAR5 ;
wire VAR10 ;
not VAR9 (VAR15 , VAR19 );
not VAR11 (VAR18 , VAR28 );
not VAR6 (VAR2 , VAR8 );
VAR16 VAR12 (VAR17 , VAR18, VAR15, VAR2, VAR3, VAR1, VAR26, VAR4);
assign VAR27 = ( VAR26 === 1'b1 );
assign VAR22 = ( VAR27 && ( VAR19 === 1'b1 ) );
assign VAR5 = ( VAR27 && ( VAR28 === 1'b1 ) );
assign VAR10 = ( VAR22 & VAR5 );
buf VAR14 (VAR25 , VAR17 );
not VAR7 (VAR23 , VAR17 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/bufz/gf180mcu_fd_sc_mcu9t5v0__bufz_16.behavioral.pp.v
| 1,241 |
module MODULE1( VAR4, VAR6, VAR8, VAR5, VAR2 );
input VAR4, VAR6;
inout VAR5, VAR2;
output VAR8;
VAR7 VAR3(.VAR4(VAR4),.VAR6(VAR6),.VAR8(VAR8),.VAR5(VAR5),.VAR2(VAR2));
VAR7 VAR1(.VAR4(VAR4),.VAR6(VAR6),.VAR8(VAR8),.VAR5(VAR5),.VAR2(VAR2));
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/fill/gf180mcu_fd_sc_mcu7t5v0__fill_2.behavioral.pp.v
| 1,069 |
module MODULE1( VAR1, VAR5 );
inout VAR1, VAR5;
VAR2 VAR4(.VAR1(VAR1),.VAR5(VAR5));
VAR2 VAR3(.VAR1(VAR1),.VAR5(VAR5));
|
apache-2.0
|
mda-ut/AquaTux
|
fpga/fpga_hw/top_level/ip/TARASIC_SPI_3WIRE/TERASIC_SPI_3WIRE.v
| 2,424 |
module MODULE1(
clk,
VAR7,
VAR11,
VAR25,
VAR19,
VAR9,
VAR6,
VAR3,
VAR23,
VAR5,
VAR16
);
input clk;
input VAR7;
input VAR11;
input [3:0] VAR25;
input VAR19;
input [7:0] VAR9;
input VAR6;
output reg [7:0] VAR3;
output VAR23;
output VAR5;
inout VAR16;
always @ (posedge clk or negedge VAR7)
begin
if (~VAR7)
begin
VAR15 <= 1'b0;
VAR1 <= 1'b0;
end
else if (VAR11 & VAR6)
begin
if (VAR25 == VAR12)
VAR3 <= VAR17;
end
else if (VAR25 == VAR24)
VAR3 <= {7'h00, VAR10};
end
else if (VAR11 & VAR19)
begin
if (VAR25 == VAR12)
VAR13 <= VAR9;
end
else if (VAR25 == VAR24)
{VAR1, VAR26, VAR15} <= VAR9[2:0];
else if (VAR25 == VAR8)
VAR4 <= VAR9;
else if (VAR25 == VAR18)
VAR20 <= VAR9;
end
end
always @ (posedge clk or negedge VAR7)
begin
if (~VAR7)
VAR21 <= 1'b0;
end
else if (VAR11 && VAR19 && (VAR25 == VAR12))
VAR21 <= 1'b1;
else
VAR21 <= 1'b0;
end
reg VAR15;
wire VAR10;
reg [7:0] VAR4;
reg [7:0] VAR20;
reg VAR26;
reg VAR1;
reg VAR21;
reg [7:0] VAR13;
wire VAR22;
wire [7:0] VAR17;
assign VAR22 = (VAR11 && VAR6 && (VAR25 == VAR12))?1'b1:1'b0;
VAR14 VAR2(
.clk(clk),
.VAR7(VAR7),
.VAR15(VAR15),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR26(VAR26),
.VAR20(VAR20),
.VAR1(VAR1),
.VAR21(VAR21),
.VAR13(VAR13),
.VAR22(VAR22),
.VAR17(VAR17),
.VAR23(VAR23),
.VAR5(VAR5),
.VAR16(VAR16)
);
endmodule
|
gpl-2.0
|
DigitalLogicSummerTerm2015/mips-cpu-pipeline
|
ppcpu/controlunit.v
| 15,721 |
module MODULE1(VAR1,VAR17,VAR16,VAR6,VAR5,VAR4,VAR8,VAR13,VAR14,VAR9,VAR10,VAR12,VAR7,VAR19,VAR3,VAR15,VAR2,VAR11,VAR18);
input [31:0] VAR1;
input VAR17;
input VAR16;
input [31:0] VAR6;
output [31:0] VAR5;
output [2:0] VAR4;
reg [2:0] VAR4;
output [1:0] VAR8;
reg [1:0] VAR8;
output VAR13;
reg VAR13;
output VAR14;
reg VAR14;
output VAR9;
reg VAR9;
output [5:0] VAR10;
reg [5:0] VAR10;
output VAR12;
reg VAR12;
output VAR7;
reg VAR7;
output VAR19;
reg VAR19;
output [1:0] VAR3;
reg [1:0] VAR3;
output VAR15;
reg VAR15;
output VAR2;
reg VAR2;
output [25:0] VAR11;
reg [25:0] VAR11 = 26'h0;
output [5:0] VAR18;
reg [5:0] VAR18 = 6'h00;
assign VAR5 = (VAR17 == 1'b0) ? VAR6 : VAR6 - 32'h00000004;
always @(*)
begin
if(~VAR17 || VAR16)
begin
case(VAR1[31:26])
6'h00: begin
case(VAR1[5:0])
6'h00: begin
if(VAR1[25:21] == 5'h00)
begin
VAR10 <= 6'h20;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 1;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h02: begin
if(VAR1[25:21] == 5'h00)
begin
VAR10 <= 6'h21;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 1;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h03: begin
if(VAR1[25:21] == 5'h00)
begin
VAR10 <= 6'h23;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 1;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h08: begin
if(VAR1[20:6] == 15'h0000)
begin
VAR4 <= 3'b011;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h09: begin
if(VAR1[20:16] == 5'h00 && VAR1[10:6] == 5'h00)
begin
VAR4 <= 3'b011;
VAR8 <= 2'b10;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
else
begin VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h20: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h21: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h22: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h01;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h23: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h01;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h24: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h18;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h25: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h1e;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h26: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h16;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h27: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h11;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h2a: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h35;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h2b: begin
if(VAR1[10:6]==5'h00)
begin
VAR10 <= 6'h35;
VAR4 <= 3'b000;
VAR8 <= 2'b00;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 0;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
default: begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
endcase
end
6'h01: begin
if(VAR1[20:16]==5'h01)
begin
VAR18 <= 6'h01;
VAR4 <= 3'b001;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
else begin
VAR18 <= 6'h00;
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h02: begin
VAR11 <= VAR1[25:0];
VAR4 <= 3'b010;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
end
6'h03: begin
VAR11 <= VAR1[25:0];
VAR4 <= 3'b010;
VAR8 <= 2'b10;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
6'h04: begin
VAR18 <= 6'h04;
VAR4 <= 3'b001;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
6'h05: begin
VAR18 <= 6'h05;
VAR4 <= 3'b001;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
6'h06: begin
VAR18 <= 6'h06;
VAR4 <= 3'b001;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
6'h07: begin
VAR18 <= 6'h07;
VAR4 <= 3'b001;
VAR13 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
6'h08: begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR15 <= 1;
VAR2 <= 0;
end
6'h09: begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR15 <= 1;
VAR2 <= 0;
end
6'h0a: begin
VAR10 <= 6'h35;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR15 <= 1;
VAR2 <= 0;
end
6'h0b: begin
VAR10 <= 6'h35;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR15 <= 0;
VAR2 <= 0;
end
6'h0c: begin
VAR10 <= 6'h18;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR15 <= 1;
VAR2 <= 0;
end
6'h0f: begin
if(VAR1[25:21]==5'h00)
begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 0;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b00;
VAR2 <= 1;
end
else begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
6'h23: begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 1;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 0;
VAR19 <= 1;
VAR3 <= 2'b01;
VAR15 <= 1;
VAR2 <= 0;
end
6'h2b: begin
VAR10 <= 6'h00;
VAR4 <= 3'b000;
VAR8 <= 2'b01;
VAR13 <= 0;
VAR14 <= 0;
VAR9 <= 1;
VAR12 <= 1;
VAR7 <= 1;
VAR19 <= 0;
VAR15 <= 1;
VAR2 <= 0;
end
default: begin
VAR4 <= 3'b101;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
endcase
end
else begin
VAR4 <= 3'b100;
VAR8 <= 2'b11;
VAR13 <= 1;
VAR7 <= 0;
VAR19 <= 0;
VAR3 <= 2'b10;
end
end
endmodule
|
mit
|
mrehkopf/sd2snes
|
verilog/sd2snes_base/msu.v
| 5,555 |
module MODULE1(
input VAR46,
input enable,
input [13:0] VAR16,
input [7:0] VAR30,
input VAR18,
input [2:0] VAR27,
input [7:0] VAR7,
output [7:0] VAR29,
input VAR35,
input VAR40,
input VAR13,
output [7:0] VAR21,
output [7:0] VAR10,
output VAR23,
output [31:0] VAR22,
output [15:0] VAR32,
input [5:0] VAR39,
input [5:0] VAR19,
input VAR31,
input [13:0] VAR17,
input VAR34,
output VAR42,
output VAR12,
output VAR25,
output [13:0] VAR45,
output VAR33
);
reg [1:0] VAR36;
always @(posedge VAR46) VAR36 = {VAR36[0], VAR31};
wire VAR5 = (VAR36 == 2'b01);
reg [13:0] VAR28;
wire [13:0] VAR3 = VAR28;
VAR37 VAR28 = 13'b0;
wire [7:0] VAR14;
reg [7:0] VAR2;
reg [2:0] VAR43;
always @(posedge VAR46)
VAR43 <= {VAR43[1:0], VAR34};
wire VAR24 = (VAR43[2:1] == 2'b01);
reg [31:0] VAR8;
assign VAR22 = VAR8;
reg [15:0] VAR4;
assign VAR32 = VAR4;
reg [7:0] VAR1;
assign VAR10 = VAR1;
reg VAR6;
assign VAR23 = VAR6;
reg VAR44;
reg VAR15;
reg VAR9;
reg VAR41;
reg VAR38;
reg VAR11;
reg [2:0] VAR20;
reg [1:0] VAR26;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor2/sky130_fd_sc_ls__nor2_8.v
| 2,086 |
module MODULE1 (
VAR2 ,
VAR4 ,
VAR7 ,
VAR8,
VAR6,
VAR3 ,
VAR5
);
output VAR2 ;
input VAR4 ;
input VAR7 ;
input VAR8;
input VAR6;
input VAR3 ;
input VAR5 ;
VAR1 VAR9 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR2,
VAR4,
VAR7
);
output VAR2;
input VAR4;
input VAR7;
supply1 VAR8;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR5 ;
VAR1 VAR9 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/mux2/sky130_fd_sc_ms__mux2_2.v
| 2,187 |
module MODULE1 (
VAR9 ,
VAR7 ,
VAR8 ,
VAR1 ,
VAR4,
VAR6,
VAR10 ,
VAR3
);
output VAR9 ;
input VAR7 ;
input VAR8 ;
input VAR1 ;
input VAR4;
input VAR6;
input VAR10 ;
input VAR3 ;
VAR5 VAR2 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR9 ,
VAR7,
VAR8,
VAR1
);
output VAR9 ;
input VAR7;
input VAR8;
input VAR1 ;
supply1 VAR4;
supply0 VAR6;
supply1 VAR10 ;
supply0 VAR3 ;
VAR5 VAR2 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o22ai/sky130_fd_sc_ls__o22ai.behavioral.pp.v
| 2,159 |
module MODULE1 (
VAR6 ,
VAR9 ,
VAR7 ,
VAR15 ,
VAR3 ,
VAR8,
VAR1,
VAR17 ,
VAR19
);
output VAR6 ;
input VAR9 ;
input VAR7 ;
input VAR15 ;
input VAR3 ;
input VAR8;
input VAR1;
input VAR17 ;
input VAR19 ;
wire VAR2 ;
wire VAR16 ;
wire VAR10 ;
wire VAR4;
nor VAR13 (VAR2 , VAR15, VAR3 );
nor VAR5 (VAR16 , VAR9, VAR7 );
or VAR12 (VAR10 , VAR16, VAR2 );
VAR14 VAR11 (VAR4, VAR10, VAR8, VAR1);
buf VAR18 (VAR6 , VAR4 );
endmodule
|
apache-2.0
|
1995parham/AlteraDE2-RS232
|
src/main.v
| 1,489 |
module MODULE1 (VAR14, VAR1, clk);
input VAR14;
input clk;
output VAR1;
parameter [3:0] VAR5 = 3'b001, VAR15 = 3'b011, VAR10 = 3'b101, VAR11 = 3'b111,
VAR3 = 3'b000, VAR7 = 3'b010, VAR16 = 3'b100, VAR4 = 3'b110;
reg [3:0] VAR8 = VAR3;
reg [3:0] VAR6 = VAR3;
reg [7:0] VAR17;
reg VAR2;
wire VAR13;
VAR9 VAR12(clk, VAR2, VAR17, VAR1, VAR13);
always @(posedge clk) begin
VAR8 = VAR6;
end
always @(VAR8, VAR13) begin
case (VAR8)
0: begin
VAR17 = 8'b01000000;
VAR2 = 1'b1;
VAR6 = VAR5;
end
1: begin
VAR2 <= 1'b0;
if (VAR13 == 1'b0)
VAR6 = VAR7;
end
2: begin
VAR17 = 8'b00110000;
VAR2 = 1'b1;
VAR6 = VAR15;
end
3: begin
VAR2 <= 1'b0;
if (VAR13 == 1'b0)
VAR6 = VAR16;
end
4: begin
VAR17 = 8'b00101111;
VAR2 = 1'b1;
VAR6 = VAR10;
end
5: begin
VAR2 <= 1'b0;
if (VAR13 == 1'b0)
VAR6 = VAR4;
end
6: begin
VAR17 = 8'b00001010;
VAR2 = 1'b1;
VAR6 = VAR11;
end
7: begin
VAR2 <= 1'b0;
if (VAR13 == 1'b0)
VAR6 = VAR3;
end
endcase
end
endmodule
|
gpl-2.0
|
kylemsguy/FPGA-Litecoin-Miner
|
source/salsa.v
| 7,613 |
module MODULE1 (clk, VAR24, VAR27, VAR62, VAR14);
input clk;
input VAR24;
input [511:0]VAR27;
input [511:0]VAR62;
output [511:0]VAR14;
wire [511:0]VAR52;
wire [511:0]VAR30;
reg [511:0]VAR67;
MODULE2 MODULE1 (clk, VAR24 ? VAR67 : VAR52, VAR30);
genvar VAR22;
generate
for (VAR22 = 0; VAR22 < 16; VAR22 = VAR22 + 1) begin : VAR29
assign VAR52[VAR42(VAR22)] = VAR27[VAR42(VAR22)] ^ VAR62[VAR42(VAR22)];
assign VAR14[VAR42(VAR22)] = VAR52[VAR42(VAR22)] + VAR30[VAR42(VAR22)]; end
endgenerate
always @ (posedge clk)
VAR67 <= VAR30;
endmodule
module MODULE2 (clk, VAR52, out);
input clk;
input [511:0]VAR52;
output [511:0]out;
wire [31:0]VAR37; wire [31:0]VAR23;
wire [31:0]VAR65;
wire [31:0]VAR19;
wire [31:0]VAR3;
wire [31:0]VAR20;
wire [31:0]VAR9;
wire [31:0]VAR56;
wire [31:0]VAR41;
wire [31:0]VAR47;
wire [31:0]VAR10;
wire [31:0]VAR58;
wire [31:0]VAR75;
wire [31:0]VAR44;
wire [31:0]VAR33;
wire [31:0]VAR43;
wire [31:0]VAR25; wire [31:0]VAR6;
wire [31:0]VAR45;
wire [31:0]VAR54;
wire [31:0]VAR34;
wire [31:0]VAR72;
wire [31:0]VAR57;
wire [31:0]VAR48;
wire [31:0]VAR13;
wire [31:0]VAR16;
wire [31:0]VAR2;
wire [31:0]VAR8;
wire [31:0]VAR39;
wire [31:0]VAR63;
wire [31:0]VAR64;
wire [31:0]VAR5;
wire [31:0]VAR49; wire [31:0]VAR59;
wire [31:0]VAR1;
wire [31:0]VAR15;
wire [31:0]VAR12;
wire [31:0]VAR28;
wire [31:0]VAR11;
wire [31:0]VAR31;
wire [31:0]VAR71;
wire [31:0]VAR61;
wire [31:0]VAR26;
wire [31:0]VAR35;
wire [31:0]VAR68;
wire [31:0]VAR38;
wire [31:0]VAR21;
wire [31:0]VAR51;
wire [31:0]VAR74; wire [31:0]VAR53;
wire [31:0]VAR60;
wire [31:0]VAR55;
wire [31:0]VAR70;
wire [31:0]VAR18;
wire [31:0]VAR17;
wire [31:0]VAR46;
wire [31:0]VAR73;
wire [31:0]VAR50;
wire [31:0]VAR40;
wire [31:0]VAR32;
wire [31:0]VAR4;
wire [31:0]VAR36;
wire [31:0]VAR66;
wire [31:0]VAR69;
assign VAR12 = VAR52[VAR42(0)] + VAR52[VAR42(12)];
assign VAR3 = VAR52[VAR42(4)] ^ { VAR12[24:0], VAR12[31:25] };
assign VAR61 = VAR52[VAR42(5)] + VAR52[VAR42(1)];
assign VAR47 = VAR52[VAR42(9)] ^ { VAR61[24:0], VAR61[31:25] };
assign VAR21 = VAR52[VAR42(10)] + VAR52[VAR42(6)];
assign VAR33 = VAR52[VAR42(14)] ^ { VAR21[24:0], VAR21[31:25] };
assign VAR15 = VAR52[VAR42(15)] + VAR52[VAR42(11)];
assign VAR19 = VAR52[VAR42(03)] ^ { VAR15[24:0], VAR15[31:25] };
assign VAR71 = VAR3 + VAR52[VAR42(0)];
assign VAR41 = VAR52[VAR42(8)] ^ { VAR71[22:0], VAR71[31:23] };
assign VAR38 = VAR47 + VAR52[VAR42(5)];
assign VAR44 = VAR52[VAR42(13)] ^ { VAR38[22:0], VAR38[31:23] };
assign VAR1 = VAR33 + VAR52[VAR42(10)];
assign VAR65 = VAR52[VAR42(2)] ^ { VAR1[22:0], VAR1[31:23] };
assign VAR31 = VAR19 + VAR52[VAR42(15)];
assign VAR56 = VAR52[VAR42(7)] ^ { VAR31[22:0], VAR31[31:23] };
assign VAR68 = VAR41 + VAR3;
assign VAR75 = VAR52[VAR42(12)] ^ { VAR68[18:0], VAR68[31:19] };
assign VAR59 = VAR44 + VAR47;
assign VAR23 = VAR52[VAR42(1)] ^ { VAR59[18:0], VAR59[31:19] };
assign VAR11 = VAR65 + VAR33;
assign VAR9 = VAR52[VAR42(6)] ^ { VAR11[18:0], VAR11[31:19] };
assign VAR35 = VAR56 + VAR19;
assign VAR58 = VAR52[VAR42(11)] ^ { VAR35[18:0], VAR35[31:19] };
assign VAR49 = VAR75 + VAR41;
assign VAR37 = VAR52[VAR42(0)] ^ { VAR49[13:0], VAR49[31:14] };
assign VAR28 = VAR23 + VAR44;
assign VAR20 = VAR52[VAR42(5)] ^ { VAR28[13:0], VAR28[31:14] };
assign VAR26 = VAR9 + VAR65;
assign VAR10 = VAR52[VAR42(10)] ^ { VAR26[13:0], VAR26[31:14] };
assign VAR51 = VAR58 + VAR56;
assign VAR43 = VAR52[VAR42(15)] ^ { VAR51[13:0], VAR51[31:14] };
assign VAR53 = VAR37 + VAR19;
assign VAR6 = VAR23 ^ { VAR53[24:0], VAR53[31:25] };
assign VAR17 = VAR20 + VAR3;
assign VAR57 = VAR9 ^ { VAR17[24:0], VAR17[31:25] };
assign VAR32 = VAR10 + VAR47;
assign VAR8 = VAR58 ^ { VAR32[24:0], VAR32[31:25] };
assign VAR4 = VAR43 + VAR33;
assign VAR39 = VAR75 ^ { VAR4[24:0], VAR4[31:25] };
assign VAR60 = VAR6 + VAR37;
assign VAR45 = VAR65 ^ { VAR60[22:0], VAR60[31:23] };
assign VAR46 = VAR57 + VAR20;
assign VAR48 = VAR56 ^ { VAR46[22:0], VAR46[31:23] };
assign VAR73 = VAR8 + VAR10;
assign VAR13 = VAR41 ^ { VAR73[22:0], VAR73[31:23] };
assign VAR36 = VAR39 + VAR43;
assign VAR63 = VAR44 ^ { VAR36[22:0], VAR36[31:23] };
assign VAR55 = VAR45 + VAR6;
assign VAR54 = VAR19 ^ { VAR55[18:0], VAR55[31:19] };
assign VAR70 = VAR48 + VAR57;
assign VAR34 = VAR3 ^ { VAR70[18:0], VAR70[31:19] };
assign VAR50 = VAR13 + VAR8;
assign VAR16 = VAR47 ^ { VAR50[18:0], VAR50[31:19] };
assign VAR66 = VAR63 + VAR39;
assign VAR64 = VAR33 ^ { VAR66[18:0], VAR66[31:19] };
assign VAR74 = VAR54 + VAR45;
assign VAR25 = VAR37 ^ { VAR74[13:0], VAR74[31:14] };
assign VAR18 = VAR34 + VAR48;
assign VAR72 = VAR20 ^ { VAR18[13:0], VAR18[31:14] };
assign VAR40 = VAR16 + VAR13;
assign VAR2 = VAR10 ^ { VAR40[13:0], VAR40[31:14] };
assign VAR69 = VAR64 + VAR63;
assign VAR5 = VAR43 ^ { VAR69[13:0], VAR69[31:14] };
assign out = { VAR5, VAR64, VAR63, VAR39, VAR8, VAR2, VAR16, VAR13, VAR48, VAR57, VAR72, VAR34, VAR54, VAR45, VAR6, VAR25 };
endmodule
|
gpl-3.0
|
martinmiranda14/Digitales
|
Lab_6/new/clock_divider.v
| 1,120 |
module MODULE1(
input clk,
input rst,
output reg VAR1
);
localparam VAR3 = 8000;
reg [63:0] VAR2;
always @ (posedge(clk) or posedge(rst))
begin
if (rst == 1'b1)
VAR2 <= 32'd0;
end
else if (VAR2 == (VAR3 - 32'd1))
VAR2 <= 32'd0;
else
VAR2 <= VAR2 + 32'b1;
end
always @ (posedge(clk) or posedge(rst))
begin
if (rst == 1'b1)
VAR1 <= 1'b0;
end
else if (VAR2 == (VAR3 - 1))
VAR1 <= ~VAR1;
else
VAR1 <= VAR1;
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/and4bb/sky130_fd_sc_ls__and4bb.behavioral.v
| 1,512 |
module MODULE1 (
VAR6 ,
VAR5,
VAR3,
VAR12 ,
VAR4
);
output VAR6 ;
input VAR5;
input VAR3;
input VAR12 ;
input VAR4 ;
supply1 VAR13;
supply0 VAR1;
supply1 VAR9 ;
supply0 VAR14 ;
wire VAR7 ;
wire VAR2;
nor VAR8 (VAR7 , VAR5, VAR3 );
and VAR11 (VAR2, VAR7, VAR12, VAR4 );
buf VAR10 (VAR6 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a222oi/sky130_fd_sc_hs__a222oi.blackbox.v
| 1,391 |
module MODULE1 (
VAR6 ,
VAR3,
VAR1,
VAR4,
VAR5,
VAR7,
VAR8
);
output VAR6 ;
input VAR3;
input VAR1;
input VAR4;
input VAR5;
input VAR7;
input VAR8;
supply1 VAR9;
supply0 VAR2;
endmodule
|
apache-2.0
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/ethmac/rtl/verilog/ethmac.v
| 34,551 |
module MODULE1
(
VAR82, VAR305, VAR8, VAR264,
VAR123, VAR262, VAR293, VAR275, VAR44, VAR113, VAR163,
VAR26, VAR61, VAR142,
VAR159, VAR201, VAR38,
VAR162, VAR79, VAR263,
VAR219, VAR12,
VAR284, VAR118, VAR45, VAR128,
VAR257, VAR229, VAR23, VAR246, VAR73, VAR260,
VAR124, VAR87, VAR211, VAR192,
VAR116
,
VAR210, VAR252, VAR261 VAR46
);
parameter VAR90 = VAR60;
parameter VAR204 = VAR181;
parameter VAR258 = VAR139;
parameter VAR156 = VAR213;
parameter VAR137 = VAR202;
parameter VAR122 = VAR14;
input VAR82; input VAR305; input [31:0] VAR8; output [31:0] VAR264; output VAR163;
input [11:2] VAR123; input [3:0] VAR262; input VAR293; input VAR275; input VAR44; output VAR113;
output [31:0] VAR26;
output [3:0] VAR61;
output VAR142;
input [31:0] VAR201;
output [31:0] VAR159;
output VAR38;
output VAR162;
input VAR79;
input VAR263;
wire [29:0] VAR179;
output [2:0] VAR219; output [1:0] VAR12;
input VAR284; output [3:0] VAR118; output VAR45; output VAR128;
input VAR257; input [3:0] VAR229; input VAR23; input VAR246;
input VAR73; input VAR260;
input VAR87; output VAR124; output VAR211; output VAR192;
output VAR116;
input VAR210; output VAR252; input [VAR231 - 1:0] VAR261; VAR46
wire [31:0] VAR25;
wire [7:0] VAR255;
wire VAR304;
wire [15:0] VAR297;
wire [4:0] VAR243;
wire [4:0] VAR39;
wire VAR277;
wire VAR240;
wire VAR190;
wire VAR120;
wire VAR150;
wire VAR15;
wire [15:0] VAR76; wire VAR152;
wire VAR154;
wire VAR28;
wire VAR242;
wire VAR224;
wire VAR5;
wire [7:0] VAR74;
wire VAR10;
wire VAR237;
wire VAR241;
wire VAR168;
reg VAR249;
reg VAR69;
reg VAR288;
reg VAR225;
reg VAR173;
reg VAR71;
reg VAR135;
reg VAR191;
VAR29 VAR4
(
.VAR175(VAR82),
.VAR183(VAR305),
.VAR281(VAR255),
.VAR115(VAR304),
.VAR160(VAR297),
.VAR21(VAR39),
.VAR209(VAR243),
.VAR303(VAR277),
.VAR59(VAR240),
.VAR144(VAR190),
.VAR247(VAR87),
.VAR289(VAR211),
.VAR68(VAR192),
.VAR151(VAR124),
.VAR83(VAR150),
.VAR76(VAR76),
.VAR15(VAR15),
.VAR265(VAR120),
.VAR152(VAR152),
.VAR154(VAR154),
.VAR28(VAR28)
);
wire [3:0] VAR235; wire [31:0] VAR251; wire VAR132; wire VAR97; wire VAR63; wire VAR184;
wire VAR56; wire VAR182; wire [3:0] VAR143; wire VAR125; wire VAR145; wire VAR266; wire [15:0] VAR271;
wire [15:0] VAR177; wire VAR244;
wire VAR227; wire VAR129; wire [47:0] VAR212; wire VAR171; wire [31:0] VAR109; wire [31:0] VAR91; wire [7:0] VAR138; wire [6:0] VAR236; wire [6:0] VAR18; wire [6:0] VAR3; wire [5:0] VAR250; wire [15:0] VAR121; wire VAR300;
wire [3:0] VAR53; wire VAR226; wire VAR146; wire VAR140; wire VAR112;
wire VAR254; wire VAR286; wire VAR70; wire VAR221; wire VAR272;
wire VAR75;
wire VAR114;
wire [31:0] VAR216; wire [3:0] VAR36; wire VAR189; wire VAR195;
wire VAR95;
wire VAR130;
wire VAR299;
wire VAR167;
wire VAR217;
wire VAR253;
wire VAR282;
wire VAR9;
wire VAR164;
wire VAR22;
wire VAR196;
wire VAR199;
wire VAR30;
wire VAR41;
wire VAR40;
wire VAR17;
wire VAR172;
wire VAR11;
wire VAR215;
wire VAR214;
wire VAR103;
wire VAR117;
wire VAR153;
wire VAR81;
wire VAR105;
wire VAR94;
wire VAR158;
wire VAR180;
wire VAR188;
wire [31:0] VAR99;
wire VAR148;
reg VAR228;
reg [31:0] VAR104;
reg VAR27;
assign VAR75 = |VAR262;
assign VAR235[3] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & ~VAR123[10] & VAR262[3]; assign VAR235[2] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & ~VAR123[10] & VAR262[2]; assign VAR235[1] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & ~VAR123[10] & VAR262[1]; assign VAR235[0] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & ~VAR123[10] & VAR262[0]; assign VAR36[3] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & VAR123[10] & VAR262[3]; assign VAR36[2] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & VAR123[10] & VAR262[2]; assign VAR36[1] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & VAR123[10] & VAR262[1]; assign VAR36[0] = VAR44 & VAR275 & VAR75 & ~VAR123[11] & VAR123[10] & VAR262[0]; assign VAR189 = VAR44 & VAR275 & VAR75 & VAR123[11]; assign VAR99 = ((|VAR235) & ~VAR293)? VAR251 : VAR216;
assign VAR148 = VAR44 & VAR275 & (~VAR75 | VAR189);
assign VAR113 = VAR228;
assign VAR264[31:0] = VAR104;
assign VAR163 = VAR27;
assign VAR113 = VAR188;
assign VAR264[31:0] = VAR99;
assign VAR163 = VAR148;
assign VAR188 = (|VAR235) | VAR114 | VAR189;
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
begin
VAR228 <= 1'b0;
VAR104 <= 32'h0;
VAR27 <= 1'b0;
end
else
begin
VAR228 <= VAR188 & ~VAR228;
VAR104 <= VAR99;
VAR27 <= VAR148 & ~VAR27;
end
end
VAR290 VAR295
(
.VAR133(VAR8),
.VAR35(VAR123[9:2]),
.VAR174(VAR293),
.VAR248(VAR235),
.VAR175(VAR82),
.VAR183(VAR305),
.VAR274(VAR251),
.VAR132(VAR132),
.VAR195(VAR195),
.VAR145(VAR145),
.VAR95(VAR95),
.VAR266(VAR266),
.VAR130(VAR130),
.VAR146(VAR146),
.VAR226(VAR226),
.VAR97(VAR97),
.VAR112(VAR112),
.VAR299(VAR299),
.VAR37(),
.VAR167(VAR167),
.VAR217(VAR217),
.VAR63(VAR63),
.VAR184(VAR184),
.VAR272(VAR272),
.VAR221(VAR221),
.VAR70(VAR70),
.VAR286(VAR286),
.VAR254(VAR254),
.VAR236(VAR236),
.VAR18(VAR18),
.VAR3(VAR3),
.VAR177(VAR177),
.VAR271(VAR271),
.VAR53(VAR53),
.VAR250(VAR250),
.VAR140(VAR140),
.VAR253(VAR253),
.VAR282(VAR282),
.VAR304(VAR304),
.VAR255(VAR255),
.VAR277(VAR277),
.VAR240(VAR240),
.VAR190(VAR190),
.VAR39(VAR39),
.VAR243(VAR243),
.VAR297(VAR297),
.VAR120(VAR120),
.VAR150(VAR150),
.VAR15(VAR15),
.VAR212(VAR212),
.VAR152(VAR152),
.VAR154(VAR154),
.VAR28(VAR28),
.VAR76(VAR76),
.VAR138(VAR138),
.VAR116(VAR116),
.VAR109(VAR109),
.VAR91(VAR91),
.VAR300(VAR300),
.VAR121(VAR121),
.VAR225(VAR225),
.VAR9(VAR9),
.VAR164(VAR164),
.VAR234(VAR284),
.VAR101(VAR257),
.VAR165(VAR25),
.VAR22(VAR22)
);
wire [7:0] VAR292;
wire VAR157;
wire VAR54;
wire VAR233;
wire VAR19;
wire VAR107; wire VAR102; wire [7:0] VAR197; wire VAR55;
wire VAR279;
wire VAR267;
wire VAR62;
wire VAR149;
wire VAR238;
wire VAR222;
wire [3:0] VAR50;
wire [3:0] VAR84;
wire VAR16;
wire VAR49;
wire VAR285;
wire VAR256;
wire [1:0] VAR230;
VAR43 VAR111
(
.VAR270(VAR284),
.VAR191(VAR191),
.VAR206(VAR121),
.VAR136(VAR74),
.VAR134(VAR242),
.VAR88(VAR224),
.VAR196(VAR196),
.VAR199(VAR199),
.VAR30(VAR30),
.VAR166(VAR257),
.VAR292(VAR292),
.VAR157(VAR157),
.VAR54(VAR54),
.VAR233(VAR233),
.VAR279(VAR279),
.VAR267(VAR267),
.VAR119(VAR140),
.VAR85(VAR253),
.VAR127(VAR266),
.VAR52(VAR212),
.VAR176(VAR195 | VAR41),
.VAR40(VAR40),
.VAR34(VAR95 | VAR17),
.VAR172(VAR172),
.VAR1(VAR305),
.VAR207(VAR305),
.VAR62(VAR62),
.VAR197(VAR197),
.VAR11(VAR11),
.VAR215(VAR215),
.VAR283(VAR5),
.VAR203(VAR168),
.VAR170(VAR237),
.VAR55(VAR55),
.VAR9(VAR9),
.VAR214(VAR214),
.VAR103(VAR103),
.VAR22(VAR22),
.VAR117(VAR117),
.VAR282(VAR282)
);
wire VAR223; wire VAR280;
reg VAR205;
reg VAR268;
reg VAR13;
reg VAR155;
reg VAR131; reg VAR178;
reg VAR161;
assign VAR56 = VAR97? VAR45 : VAR23 & VAR131;
assign VAR182 = VAR97? VAR128 : VAR246 & VAR131;
assign VAR143[3:0] = VAR97? VAR118[3:0] : VAR229[3:0];
VAR302 VAR198
(
.VAR270(VAR284),
.VAR183(VAR305),
.VAR239(VAR223),
.VAR280(VAR280),
.VAR74(VAR197),
.VAR242(VAR11),
.VAR241(VAR241),
.VAR224(VAR215),
.VAR66(VAR40),
.VAR296(VAR177),
.VAR187(VAR172),
.VAR51(VAR130),
.VAR259(VAR145),
.VAR127(VAR266),
.VAR186(VAR236),
.VAR169(VAR18),
.VAR232(VAR3),
.VAR33(VAR250),
.VAR86(VAR53),
.VAR273(VAR226),
.VAR47(VAR146),
.VAR245(VAR271),
.VAR65(VAR45),
.VAR108(VAR118),
.VAR89(VAR128),
.VAR5(VAR196),
.VAR168(VAR199),
.VAR10(VAR10),
.VAR237(VAR30),
.VAR107(VAR107),
.VAR102(VAR102),
.VAR84(VAR84),
.VAR164(VAR164),
.VAR16(VAR16),
.VAR49(VAR49),
.VAR153(VAR153),
.VAR81(VAR81),
.VAR256(VAR256),
.VAR230(VAR230)
);
wire [15:0] VAR100;
wire VAR92;
wire VAR78;
wire VAR110;
wire VAR185;
wire VAR72;
wire VAR147;
wire VAR20;
wire [1:0] VAR278;
wire VAR77;
VAR126 VAR58
(
.VAR166(VAR257),
.VAR67(VAR56),
.VAR98(VAR143),
.VAR125(VAR125),
.VAR259(VAR145),
.VAR127(VAR266),
.VAR245(VAR271),
.VAR112(VAR112),
.VAR183(VAR305),
.VAR292(VAR292),
.VAR157(VAR157),
.VAR54(VAR54),
.VAR233(VAR233),
.VAR80(VAR100),
.VAR57(VAR92),
.VAR301(VAR78),
.VAR141(VAR110),
.VAR106(VAR185),
.VAR193(VAR72),
.VAR256(VAR147),
.VAR276(VAR20),
.VAR230(VAR278),
.VAR52(VAR212),
.VAR299(VAR299),
.VAR167(VAR167),
.VAR109(VAR109),
.VAR91(VAR91),
.VAR19(VAR19),
.VAR77(VAR77),
.VAR220(VAR282),
.VAR103(VAR103)
);
always @ (posedge VAR284 or posedge VAR305)
begin
if(VAR305)
begin
VAR205 <= 1'b0;
VAR268 <= 1'b0;
end
else
begin
VAR205 <= VAR260;
VAR268 <= VAR205;
end
end
assign VAR223 = ~VAR130 & VAR268;
always @ (posedge VAR284 or posedge VAR305)
begin
if(VAR305)
begin
VAR13 <= 1'b0;
VAR155 <= 1'b0;
end
else
begin
VAR13 <= VAR73;
if(VAR102)
VAR155 <= 1'b0;
end
else
if(VAR13)
VAR155 <= 1'b1;
end
end
assign VAR280 = ~VAR130 & VAR155;
always @ (posedge VAR257)
begin
VAR178 <= VAR107;
VAR161 <= VAR178;
end
assign VAR125 = ~VAR130 & VAR161;
always @ (posedge VAR257 or posedge VAR305)
begin
if(VAR305)
VAR131 <= 1'b0;
end
else
if(~VAR23)
VAR131 <= VAR184;
end
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
VAR249 <= 1'b0;
end
else
VAR249 <= VAR55;
end
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
VAR69 <= 1'b0;
end
else
VAR69 <= VAR249;
end
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
VAR288 <= 1'b0;
end
else
VAR288 <= VAR69;
end
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
VAR225 <= 1'b0;
end
else
VAR225 <= VAR69 & ~VAR288;
end
always @ (posedge VAR284 or posedge VAR305)
begin
if(VAR305)
begin
VAR173 <= 1'b0;
VAR71 <= 1'b0;
VAR135 <= 1'b0;
end
else
begin
VAR173 <= (VAR300 & VAR140);
VAR71 <= VAR173;
VAR135 <= VAR71;
end
end
always @ (posedge VAR284 or posedge VAR305)
begin
if(VAR305)
VAR191 <= 1'b0;
end
else
VAR191 <= VAR71 & (~VAR135);
end
wire VAR298;
reg VAR96;
reg VAR287;
reg VAR200;
reg VAR24;
reg VAR208;
always @ (posedge VAR257 or posedge VAR305)
begin
if(VAR305)
VAR96 <= 1'b0;
end
else if(VAR19 | (VAR244 & ~VAR132) | VAR298 &
~VAR149 | (VAR214 & (~VAR282)))
VAR96 <= 1'b1;
else if(VAR208)
VAR96 <= 1'b0;
end
always @ (posedge VAR82 or posedge VAR305)
begin
if(VAR305)
begin
VAR287 <= 1'b0;
VAR200 <= 1'b0;
VAR200 <= 1'b0;
end
else
begin
VAR287 <= VAR96;
VAR200 <= VAR287;
end
end
always @ (posedge VAR257 or posedge VAR305)
begin
if(VAR305)
begin
VAR24 <= 1'b0;
VAR208 <= 1'b0;
end
else
begin
VAR24 <= VAR200;
VAR208 <= VAR24;
end
end
VAR7 #(.VAR90(VAR90),
.VAR204 (VAR204),
.VAR258 (VAR258),
.VAR156(VAR156),
.VAR137 (VAR137),
.VAR122 (VAR122))
VAR2
(
.VAR194(VAR82),
.VAR64(VAR8),
.VAR32(VAR216),
.VAR294(VAR123[9:2]),
.VAR42(VAR293),
.VAR36(VAR36),
.VAR6(VAR114),
.VAR183(VAR305),
.VAR26(VAR179),
.VAR61(VAR61),
.VAR142(VAR142),
.VAR201(VAR201),
.VAR159(VAR159),
.VAR38(VAR38),
.VAR162(VAR162),
.VAR79(VAR79),
.VAR263(VAR263),
.VAR219(VAR219),
.VAR12(VAR12),
.VAR270(VAR284),
.VAR242(VAR242),
.VAR224(VAR224),
.VAR5(VAR5),
.VAR74(VAR74),
.VAR10(VAR10),
.VAR237(VAR237),
.VAR241(VAR241),
.VAR168(VAR168),
.VAR17(VAR17),
.VAR41(VAR41),
.VAR63(VAR63),
.VAR184(VAR184),
.VAR138(VAR138),
.VAR253(VAR253),
.VAR282(VAR282),
.VAR166(VAR257),
.VAR292(VAR292),
.VAR157(VAR157),
.VAR54(VAR54),
.VAR233(VAR233),
.VAR272(VAR272),
.VAR221(VAR221),
.VAR70(VAR70),
.VAR286(VAR286),
.VAR254(VAR254),
.VAR19(VAR200),
.VAR117(VAR117),
.VAR149(VAR149),
.VAR238(VAR238),
.VAR291(VAR100),
.VAR222(VAR222),
.VAR244(VAR244),
.VAR227(VAR227),
.VAR129(VAR129),
.VAR171(VAR171),
.VAR50(VAR50),
.VAR285(VAR285),
.VAR105(VAR105),
.VAR94(VAR94),
.VAR158(VAR158),
.VAR180(VAR180),
.VAR267(VAR267),
.VAR77(VAR77),
.VAR214(VAR214)
,
.VAR210 (VAR210),
.VAR252 (VAR252),
.VAR261 (VAR261)
,
.VAR218(VAR25)
);
assign VAR26 = {VAR179, 2'h0};
VAR93 VAR48
(
.VAR166(VAR257),
.VAR183(VAR305),
.VAR279(VAR279),
.VAR267(VAR267),
.VAR62(VAR62),
.VAR185(VAR185),
.VAR269(VAR182),
.VAR67(VAR56),
.VAR20(VAR20),
.VAR278(VAR278),
.VAR147(VAR147),
.VAR72(VAR72),
.VAR125(VAR125),
.VAR100(VAR100),
.VAR92(VAR92),
.VAR78(VAR78),
.VAR110(VAR110),
.VAR149(VAR149),
.VAR98(VAR143),
.VAR238(VAR238),
.VAR280(VAR73),
.VAR33(VAR250),
.VAR222(VAR222),
.VAR132(VAR132),
.VAR177(VAR177),
.VAR271(VAR271),
.VAR244(VAR244),
.VAR227(VAR227),
.VAR129(VAR129),
.VAR145(VAR145),
.VAR171(VAR171),
.VAR84(VAR84),
.VAR164(VAR164),
.VAR16(VAR16),
.VAR50(VAR50),
.VAR270(VAR284),
.VAR49(VAR49),
.VAR285(VAR285),
.VAR153(VAR153),
.VAR105(VAR105),
.VAR81(VAR81),
.VAR94(VAR94),
.VAR158(VAR158),
.VAR242(VAR11),
.VAR256(VAR256),
.VAR230(VAR230),
.VAR239(VAR268),
.VAR180(VAR180),
.VAR5(VAR196),
.VAR298(VAR298),
.VAR31(VAR97),
.VAR130(VAR130)
);
endmodule
|
gpl-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_impctl_clkgen.v
| 2,187 |
module MODULE1(VAR19 ,VAR4 ,VAR20 ,VAR18 ,VAR14 ,
VAR2 ,VAR3 ,VAR7 ,clk ,VAR1 ,VAR16 ,
VAR5 ,VAR13 );
output VAR4 ;
output VAR20 ;
output VAR18 ;
output VAR3 ;
output VAR7 ;
output VAR16 ;
output VAR13 ;
input VAR19 ;
input VAR14 ;
input VAR2 ;
input clk ;
input VAR1 ;
input VAR5 ;
wire VAR6 ;
wire VAR15 ;
VAR8 VAR9 (
.VAR17 (clk ),
.VAR6 (VAR6 ),
.VAR16 (VAR16 ),
.VAR12 (VAR15 ),
.VAR19 (VAR19 ),
.VAR14 (VAR14 ),
.VAR7 (VAR7 ) );
VAR10 VAR11 (
.VAR6 (VAR6 ),
.VAR17 (clk ),
.VAR2 (VAR2 ),
.VAR20 (VAR20 ),
.VAR5 (VAR5 ),
.VAR4 (VAR4 ),
.VAR3 (VAR3 ),
.VAR13 (VAR13 ),
.VAR18 (VAR18 ),
.VAR1 (VAR1 ),
.VAR14 (VAR15 ),
.VAR19 (VAR19 ),
.VAR7 (VAR7 ) );
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/fahcin/sky130_fd_sc_hs__fahcin.pp.blackbox.v
| 1,289 |
module MODULE1 (
VAR2,
VAR4 ,
VAR3 ,
VAR6 ,
VAR7 ,
VAR1,
VAR5
);
output VAR2;
output VAR4 ;
input VAR3 ;
input VAR6 ;
input VAR7 ;
input VAR1;
input VAR5;
endmodule
|
apache-2.0
|
Blunk-electronic/M-1
|
HW/ise/executor_mini/src/edge_detector.v
| 1,281 |
module MODULE1(
clk,
VAR3,
in, out, VAR1 );
input clk;
input VAR3;
input in;
input VAR1;
output reg out;
reg VAR4;
always @(posedge clk or negedge VAR3) begin
if (~VAR3)
begin
out <= #VAR2 1'b0;
VAR4 <= #VAR2 1'b0;
end
else
begin
VAR4 <= #VAR2 in;
if (VAR1 == VAR5)
begin
if (VAR4 == 0 && in == 1)
out <= #VAR2 1'b1;
end
else
out <= #VAR2 1'b0;
end
else
begin
if (VAR4 == 1 && in == 0)
out <= #VAR2 1'b1;
end
else
out <= #VAR2 1'b0;
end
end
end
endmodule
|
gpl-2.0
|
alexforencich/verilog-ethernet
|
rtl/axis_eth_fcs_insert_64.v
| 22,421 |
module MODULE1 #
(
parameter VAR89 = 0,
parameter VAR38 = 64
)
(
input wire clk,
input wire rst,
input wire [63:0] VAR39,
input wire [7:0] VAR42,
input wire VAR29,
output wire VAR1,
input wire VAR10,
input wire VAR57,
output wire [63:0] VAR70,
output wire [7:0] VAR35,
output wire VAR91,
input wire VAR7,
output wire VAR37,
output wire VAR41,
output wire VAR69
);
localparam [1:0]
VAR8 = 2'd0,
VAR14 = 2'd1,
VAR9 = 2'd2,
VAR54 = 2'd3;
reg [1:0] VAR59 = VAR8, VAR20;
reg VAR6;
reg VAR97;
reg [63:0] VAR46;
reg [63:0] VAR4;
reg [7:0] VAR63;
reg [63:0] VAR98;
reg [63:0] VAR85;
reg [7:0] VAR19;
reg [7:0] VAR100;
reg [15:0] VAR66 = 16'd0, VAR26;
reg [63:0] VAR74 = 64'd0, VAR15;
reg [7:0] VAR77 = 8'd0, VAR71;
reg VAR11 = 1'b0;
reg VAR79 = 1'b0, VAR50;
reg [31:0] VAR18 = 32'hFFFFFFFF;
wire [31:0] VAR67;
wire [31:0] VAR101;
wire [31:0] VAR52;
wire [31:0] VAR40;
wire [31:0] VAR24;
wire [31:0] VAR90;
wire [31:0] VAR43;
wire [31:0] VAR36;
reg [63:0] VAR27;
reg [7:0] VAR21;
reg VAR88;
reg VAR75 = 1'b0;
reg VAR81;
reg VAR64;
wire VAR55;
assign VAR1 = VAR79;
assign VAR69 = VAR11;
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(8),
.VAR49("VAR53")
)
VAR83 (
.VAR58(VAR4[7:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR67)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(16),
.VAR49("VAR53")
)
VAR84 (
.VAR58(VAR4[15:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR101)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(24),
.VAR49("VAR53")
)
VAR92 (
.VAR58(VAR4[23:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR52)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(32),
.VAR49("VAR53")
)
VAR32 (
.VAR58(VAR4[31:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR40)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(40),
.VAR49("VAR53")
)
VAR25 (
.VAR58(VAR4[39:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR24)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(48),
.VAR49("VAR53")
)
VAR87 (
.VAR58(VAR4[47:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR90)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(56),
.VAR49("VAR53")
)
VAR94 (
.VAR58(VAR4[55:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR43)
);
VAR13 #(
.VAR68(32),
.VAR5(32'h4c11db7),
.VAR48("VAR2"),
.VAR45(0),
.VAR61(1),
.VAR103(64),
.VAR49("VAR53")
)
VAR99 (
.VAR58(VAR4[63:0]),
.VAR78(VAR18),
.VAR102(),
.VAR95(VAR36)
);
function [3:0] VAR72;
input [7:0] VAR60;
casez (VAR60)
8'VAR47: VAR72 = 4'd0;
8'VAR56: VAR72 = 4'd1;
8'VAR33: VAR72 = 4'd2;
8'VAR34: VAR72 = 4'd3;
8'VAR76: VAR72 = 4'd4;
8'VAR22: VAR72 = 4'd5;
8'VAR23: VAR72 = 4'd6;
8'b01111111: VAR72 = 4'd7;
8'b11111111: VAR72 = 4'd8;
endcase
endfunction
function [7:0] VAR31;
input [3:0] VAR60;
case (VAR60)
4'd0: VAR31 = 8'b00000000;
4'd1: VAR31 = 8'b00000001;
4'd2: VAR31 = 8'b00000011;
4'd3: VAR31 = 8'b00000111;
4'd4: VAR31 = 8'b00001111;
4'd5: VAR31 = 8'b00011111;
4'd6: VAR31 = 8'b00111111;
4'd7: VAR31 = 8'b01111111;
4'd8: VAR31 = 8'b11111111;
endcase
endfunction
integer VAR17;
always @* begin
for (VAR17 = 0; VAR17 < 8; VAR17 = VAR17 + 1) begin
VAR46[VAR17*8 +: 8] = VAR42[VAR17] ? VAR39[VAR17*8 +: 8] : 8'd0;
end
end
always @* begin
casez (VAR63)
8'VAR56: begin
VAR98 = {24'd0, ~VAR67[31:0], VAR4[7:0]};
VAR85 = 64'd0;
VAR19 = 8'b00011111;
VAR100 = 8'b00000000;
end
8'VAR33: begin
VAR98 = {16'd0, ~VAR101[31:0], VAR4[15:0]};
VAR85 = 64'd0;
VAR19 = 8'b00111111;
VAR100 = 8'b00000000;
end
8'VAR34: begin
VAR98 = {8'd0, ~VAR52[31:0], VAR4[23:0]};
VAR85 = 64'd0;
VAR19 = 8'b01111111;
VAR100 = 8'b00000000;
end
8'VAR76: begin
VAR98 = {~VAR40[31:0], VAR4[31:0]};
VAR85 = 64'd0;
VAR19 = 8'b11111111;
VAR100 = 8'b00000000;
end
8'VAR22: begin
VAR98 = {~VAR24[23:0], VAR4[39:0]};
VAR85 = {56'd0, ~VAR24[31:24]};
VAR19 = 8'b11111111;
VAR100 = 8'b00000001;
end
8'VAR23: begin
VAR98 = {~VAR90[15:0], VAR4[47:0]};
VAR85 = {48'd0, ~VAR90[31:16]};
VAR19 = 8'b11111111;
VAR100 = 8'b00000011;
end
8'b01111111: begin
VAR98 = {~VAR43[7:0], VAR4[55:0]};
VAR85 = {40'd0, ~VAR43[31:8]};
VAR19 = 8'b11111111;
VAR100 = 8'b00000111;
end
8'b11111111: begin
VAR98 = VAR4;
VAR85 = {32'd0, ~VAR36[31:0]};
VAR19 = 8'b11111111;
VAR100 = 8'b00001111;
end
default: begin
VAR98 = 64'd0;
VAR85 = 64'd0;
VAR19 = 8'd0;
VAR100 = 8'd0;
end
endcase
end
always @* begin
VAR20 = VAR8;
VAR6 = 1'b0;
VAR97 = 1'b0;
VAR26 = VAR66;
VAR15 = VAR74;
VAR71 = VAR77;
VAR50 = 1'b0;
VAR4 = 64'd0;
VAR63 = 8'd0;
VAR27 = 64'd0;
VAR21 = 8'd0;
VAR88 = 1'b0;
VAR81 = 1'b0;
VAR64 = 1'b0;
case (VAR59)
VAR8: begin
VAR50 = VAR55;
VAR26 = 16'd0;
VAR6 = 1'b1;
VAR27 = VAR46;
VAR21 = VAR42;
VAR88 = VAR29;
VAR81 = 1'b0;
VAR64 = 1'b0;
VAR4 = VAR46;
VAR63 = VAR42;
if (VAR1 && VAR29) begin
VAR6 = 1'b0;
VAR97 = 1'b1;
VAR26 = VAR72(VAR42);
if (VAR10) begin
if (VAR57) begin
VAR81 = 1'b1;
VAR64 = 1'b1;
VAR6 = 1'b1;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
if (VAR89 && VAR26 < VAR38-4) begin
VAR21 = 8'hff;
VAR63 = 8'hff;
VAR26 = VAR66 + 16'd8;
if (VAR26 < VAR38-4) begin
VAR50 = 1'b0;
VAR20 = VAR9;
end else begin
VAR21 = 8'hff >> (8-((VAR38-4) & 7));
VAR63 = 8'hff >> (8-((VAR38-4) & 7));
VAR27 = VAR98;
VAR15 = VAR85;
VAR21 = VAR19;
VAR71 = VAR100;
VAR6 = 1'b1;
if (VAR100 == 8'd0) begin
VAR81 = 1'b1;
VAR50 = VAR55;
VAR26 = 1'b0;
VAR20 = VAR8;
end else begin
VAR50 = 1'b0;
VAR20 = VAR54;
end
end
end else begin
VAR27 = VAR98;
VAR15 = VAR85;
VAR21 = VAR19;
VAR71 = VAR100;
VAR6 = 1'b1;
if (VAR100 == 8'd0) begin
VAR81 = 1'b1;
VAR50 = VAR55;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
VAR50 = 1'b0;
VAR20 = VAR54;
end
end
end
end else begin
VAR20 = VAR14;
end
end else begin
VAR20 = VAR8;
end
end
VAR14: begin
VAR50 = VAR55;
VAR27 = VAR46;
VAR21 = VAR42;
VAR88 = VAR29;
VAR81 = 1'b0;
VAR64 = 1'b0;
VAR4 = VAR46;
VAR63 = VAR42;
if (VAR1 && VAR29) begin
VAR97 = 1'b1;
VAR26 = VAR66 + VAR72(VAR42);
if (VAR10) begin
if (VAR57) begin
VAR81 = 1'b1;
VAR64 = 1'b1;
VAR6 = 1'b1;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
if (VAR89 && VAR26 < VAR38-4) begin
VAR21 = 8'hff;
VAR63 = 8'hff;
VAR26 = VAR66 + 16'd8;
if (VAR26 < VAR38-4) begin
VAR50 = 1'b0;
VAR20 = VAR9;
end else begin
VAR21 = 8'hff >> (8-((VAR38-4) & 7));
VAR63 = 8'hff >> (8-((VAR38-4) & 7));
VAR27 = VAR98;
VAR15 = VAR85;
VAR21 = VAR19;
VAR71 = VAR100;
VAR6 = 1'b1;
if (VAR100 == 8'd0) begin
VAR81 = 1'b1;
VAR50 = VAR55;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
VAR50 = 1'b0;
VAR20 = VAR54;
end
end
end else begin
VAR27 = VAR98;
VAR15 = VAR85;
VAR21 = VAR19;
VAR71 = VAR100;
VAR6 = 1'b1;
if (VAR100 == 8'd0) begin
VAR81 = 1'b1;
VAR50 = VAR55;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
VAR50 = 1'b0;
VAR20 = VAR54;
end
end
end
end else begin
VAR20 = VAR14;
end
end else begin
VAR20 = VAR14;
end
end
VAR9: begin
VAR50 = 1'b0;
VAR27 = 64'd0;
VAR21 = 8'hff;
VAR88 = 1'b1;
VAR81 = 1'b0;
VAR64 = 1'b0;
VAR4 = 64'd0;
VAR63 = 8'hff;
if (VAR75) begin
VAR97 = 1'b1;
VAR26 = VAR66 + 16'd8;
if (VAR26 < VAR38-4) begin
VAR20 = VAR9;
end else begin
VAR21 = 8'hff >> (8-((VAR38-4) & 7));
VAR63 = 8'hff >> (8-((VAR38-4) & 7));
VAR27 = VAR98;
VAR15 = VAR85;
VAR21 = VAR19;
VAR71 = VAR100;
VAR6 = 1'b1;
if (VAR100 == 8'd0) begin
VAR81 = 1'b1;
VAR50 = VAR55;
VAR26 = 16'd0;
VAR20 = VAR8;
end else begin
VAR50 = 1'b0;
VAR20 = VAR54;
end
end
end else begin
VAR20 = VAR9;
end
end
VAR54: begin
VAR50 = 1'b0;
VAR27 = VAR74;
VAR21 = VAR77;
VAR88 = 1'b1;
VAR81 = 1'b1;
VAR64 = 1'b0;
if (VAR75) begin
VAR6 = 1'b1;
VAR50 = VAR55;
VAR26 = 1'b0;
VAR20 = VAR8;
end else begin
VAR20 = VAR54;
end
end
endcase
end
always @(posedge clk) begin
if (rst) begin
VAR59 <= VAR8;
VAR66 <= 1'b0;
VAR79 <= 1'b0;
VAR11 <= 1'b0;
VAR18 <= 32'hFFFFFFFF;
end else begin
VAR59 <= VAR20;
VAR66 <= VAR26;
VAR79 <= VAR50;
VAR11 <= VAR20 != VAR8;
if (VAR6) begin
VAR18 <= 32'hFFFFFFFF;
end else if (VAR97) begin
VAR18 <= VAR36;
end
end
VAR74 <= VAR15;
VAR77 <= VAR71;
end
reg [63:0] VAR30 = 64'd0;
reg [7:0] VAR51 = 8'd0;
reg VAR93 = 1'b0, VAR73;
reg VAR62 = 1'b0;
reg VAR12 = 1'b0;
reg [63:0] VAR86 = 64'd0;
reg [7:0] VAR3 = 8'd0;
reg VAR65 = 1'b0, VAR28;
reg VAR16 = 1'b0;
reg VAR96 = 1'b0;
reg VAR82;
reg VAR80;
reg VAR44;
assign VAR70 = VAR30;
assign VAR35 = VAR51;
assign VAR91 = VAR93;
assign VAR37 = VAR62;
assign VAR41 = VAR12;
assign VAR55 = VAR7 || (!VAR65 && !VAR93);
always @* begin
VAR73 = VAR93;
VAR28 = VAR65;
VAR82 = 1'b0;
VAR80 = 1'b0;
VAR44 = 1'b0;
if (VAR75) begin
if (VAR7 || !VAR93) begin
VAR73 = VAR88;
VAR82 = 1'b1;
end else begin
VAR28 = VAR88;
VAR80 = 1'b1;
end
end else if (VAR7) begin
VAR73 = VAR65;
VAR28 = 1'b0;
VAR44 = 1'b1;
end
end
always @(posedge clk) begin
VAR93 <= VAR73;
VAR75 <= VAR55;
VAR65 <= VAR28;
if (VAR82) begin
VAR30 <= VAR27;
VAR51 <= VAR21;
VAR62 <= VAR81;
VAR12 <= VAR64;
end else if (VAR44) begin
VAR30 <= VAR86;
VAR51 <= VAR3;
VAR62 <= VAR16;
VAR12 <= VAR96;
end
if (VAR80) begin
VAR86 <= VAR27;
VAR3 <= VAR21;
VAR16 <= VAR81;
VAR96 <= VAR64;
end
if (rst) begin
VAR93 <= 1'b0;
VAR75 <= 1'b0;
VAR65 <= 1'b0;
end
end
endmodule
|
mit
|
ychaim/FPGA-Litecoin-Miner
|
experimental/CM1/hashvoodoo.v
| 11,641 |
module MODULE1 (
VAR138,
VAR116,
VAR134,
VAR31,
VAR89,
VAR148,
VAR44,
VAR124,
VAR137,
VAR100
);
function integer VAR146; input integer VAR55;
begin
VAR55 = VAR55-1;
for (VAR146=0; VAR55>0; VAR146=VAR146+1)
VAR55 = VAR55>>1;
end
endfunction
parameter VAR26 = 25000000; parameter VAR102 = 10; parameter VAR139 = 70; parameter VAR3 = 120; parameter VAR131 = 20; parameter VAR151 = 115200; parameter VAR58 = 8; parameter VAR123 = 26;
localparam VAR122 = 2; else
localparam VAR122 = 1; VAR113
localparam VAR11 = 12 - VAR146(VAR122); localparam VAR56 = 8;
input VAR138; input VAR116; input VAR134; input VAR31; output VAR89; output [3:0] VAR148; input [3:0]VAR44; input VAR124; input VAR137; input VAR100;
reg reset; wire VAR15; wire VAR83; wire VAR42;
wire VAR17; wire VAR43; wire VAR64; wire VAR152; wire [31:0] VAR91; wire [255:0] VAR61, VAR129;
wire [127:0] VAR88;
wire VAR10; wire VAR76; reg VAR84 = 1'b0;
wire VAR90; wire VAR155; wire VAR67;
wire VAR82;
wire VAR79;
wire VAR140;
wire VAR12 = 1'b0;
wire VAR23;
wire VAR107;
reg [3:0] VAR63 = 0; wire VAR7; VAR119 VAR103
wire [63:0] VAR158; reg [1:0] VAR2; reg [3:0] VAR1 = 0;
wire VAR72;
wire [31:0] VAR158;
reg [0:0] VAR2; VAR113
assign VAR148[0] = (VAR155 || VAR107); assign VAR148[1] = (VAR17 || ~VAR140 || VAR107); assign VAR148[2] = (VAR90 || VAR107); assign VAR148[3] = (~VAR43 || VAR107); assign VAR107 = (VAR17 && VAR23);
VAR62 #(
.VAR96("VAR50"),
.VAR59("VAR118")
) VAR161 (
.VAR98(VAR15),
.VAR99(VAR138), .VAR27(VAR116) );
VAR114 VAR105
(
.VAR99 (VAR134),
.VAR98 (VAR42)
);
VAR30 #(
.VAR102(VAR102),
.VAR97(VAR139)
) VAR162(
.VAR156(VAR12),
.VAR132(VAR140),
.VAR51(VAR15),
.VAR120(VAR83), .VAR145(VAR42),
.VAR150(VAR82),
.VAR19(VAR67),
.VAR127(VAR79)
);
assign VAR15 = VAR138;
assign VAR83 = VAR15;
assign VAR42 = VAR134;
VAR22 #(
.VAR8(VAR3),
.VAR164(VAR131),
.VAR13(VAR139),
.VAR4(VAR102)
) VAR73 (
.clk(VAR42),
.VAR129({VAR129[255:96], VAR88[95:0]}), .VAR6(VAR61), .VAR25(VAR10),
.VAR159(VAR42),
.VAR67(VAR67),
.VAR82(VAR82),
.VAR79(VAR79),
.VAR47(VAR23)
);
VAR142 #(
.VAR39(VAR122)
) VAR108 (
.VAR111(VAR42),
.VAR2(VAR2),
.VAR91(VAR91),
.VAR64(VAR64),
.VAR152(VAR152),
.VAR158(VAR158)
);
wire VAR106;
VAR87 #(
.VAR35(VAR26),
.VAR147(VAR151),
.VAR92(VAR58)
) VAR110 (
.clk(VAR42),
.VAR144(VAR31),
.VAR101(VAR89),
.VAR24(VAR10),
.VAR95(VAR64),
.VAR61(VAR61),
.VAR129(VAR129),
.VAR88(VAR88),
.word(VAR91),
.VAR20(VAR152),
.VAR130(VAR106)
);
wire [31:0] VAR125, VAR48;
wire [31:0] VAR68, VAR77;
reg VAR115 = 1'b0; reg [3:0]VAR157 = 3'd0;
wire [31:0] VAR41 = 32'h00007fff;
wire VAR29;
wire [31:0] VAR128;
wire [31:0] VAR163, VAR135; wire VAR54, VAR149, VAR74, VAR136, VAR45;
wire [VAR56-1:0] VAR160;
wire [VAR56-1:0] VAR49;
VAR85 #(.VAR56(VAR56)) VAR78
(.VAR111(VAR83), .VAR9(VAR83), .VAR61(VAR61), .VAR129(VAR129), .VAR88(VAR88), .VAR70(VAR41),
.VAR154( 4'd0 ), .VAR112(VAR135), .VAR32(VAR128),
.VAR18(VAR29), .VAR115(VAR115),
.VAR14(VAR160), .VAR34(VAR49), .VAR40(VAR54), .VAR65(VAR149),
.VAR33(VAR74), .VAR71(VAR136), .VAR5(VAR45), .VAR60(VAR163));
VAR117 #(.VAR11(VAR11), .VAR56(VAR56)) VAR46
(.VAR111(VAR83), .reset(VAR74), .din(VAR160), .dout(VAR49),
.VAR86(VAR45), .VAR25(VAR136), .VAR153(VAR54), .VAR75(VAR149) );
wire VAR57;
wire [31:0] VAR53;
wire [31:0] VAR21, VAR126; wire VAR38, VAR143, VAR109, VAR69, VAR81;
wire [VAR56-1:0] VAR133;
wire [VAR56-1:0] VAR93;
VAR85 #(.VAR56(VAR56)) VAR16
(.VAR111(VAR83), .VAR9(VAR83), .VAR61(VAR61), .VAR129(VAR129), .VAR88(VAR88), .VAR70(VAR41),
.VAR154( 4'd8 ), .VAR112(VAR126), .VAR32(VAR53),
.VAR18(VAR57), .VAR115(VAR115),
.VAR14(VAR133), .VAR34(VAR93), .VAR40(VAR38), .VAR65(VAR143),
.VAR33(VAR109), .VAR71(VAR69), .VAR5(VAR81), .VAR60(VAR21));
VAR117 #(.VAR11(VAR11), .VAR56(VAR56)) VAR28
(.VAR111(VAR83), .reset(VAR109), .din(VAR133), .dout(VAR93),
.VAR86(VAR81), .VAR25(VAR69), .VAR153(VAR38), .VAR75(VAR143) );
assign VAR7 = VAR29;
assign VAR72 = VAR57;
assign VAR158 = { VAR53 , VAR128 };
assign VAR7 = VAR29;
assign VAR158 = VAR128;
assign VAR43 = ~ (|VAR135[30:20]);
VAR80 #(
.VAR66(VAR123)
) VAR121 (
.clk(VAR83),
.VAR94(VAR17)
);
VAR104 VAR36 (
.clk(VAR42),
.VAR37(|VAR2),
.VAR52(VAR90)
);
VAR104 VAR141 (
.clk(VAR42),
.VAR37(~VAR89 || ~VAR31),
.VAR52(VAR155)
);
assign VAR76 = VAR124; else
assign VAR76 = VAR10;
always@ (posedge VAR83)
begin
if (VAR7)
VAR63[0] <= ~VAR63[0];
if (VAR72)
VAR1[0] <= ~VAR1[0];
VAR157[3:1] <= VAR157[2:0];
VAR115 <= (VAR157[3] != VAR157[2]);
end
always@ (posedge VAR42)
begin
VAR63[3:1] <= VAR63[2:0];
VAR2[0] <= (VAR63[3] != VAR63[2]);
VAR1[3:1] <= VAR1[2:0];
VAR2[1] <= (VAR1[3] != VAR1[2]);
VAR84 <= VAR76;
if (VAR76 & ~VAR84)
VAR157[0] <= ~ VAR157[0];
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/mux2i/sky130_fd_sc_ms__mux2i_2.v
| 2,214 |
module MODULE2 (
VAR3 ,
VAR10 ,
VAR8 ,
VAR1 ,
VAR2,
VAR6,
VAR9 ,
VAR7
);
output VAR3 ;
input VAR10 ;
input VAR8 ;
input VAR1 ;
input VAR2;
input VAR6;
input VAR9 ;
input VAR7 ;
VAR4 VAR5 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR3 ,
VAR10,
VAR8,
VAR1
);
output VAR3 ;
input VAR10;
input VAR8;
input VAR1 ;
supply1 VAR2;
supply0 VAR6;
supply1 VAR9 ;
supply0 VAR7 ;
VAR4 VAR5 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
bigeagle/riffa
|
fpga/altera/de4/riffa_wrapper_de4.v
| 36,280 |
module MODULE1
parameter VAR343 = 128,
parameter VAR223 = 256,
parameter VAR10 = 5
)
(
input [VAR343-1:0] VAR19,
input [0:0] VAR266,
input [0:0] VAR187,
input [0:0] VAR172,
output VAR337,
input [0:0] VAR316,
output [VAR343-1:0] VAR180,
output [0:0] VAR252,
input VAR14,
output [0:0] VAR338,
output [0:0] VAR2,
output [0:0] VAR218,
input [VAR62-1:0] VAR234,
input [VAR75-1:0] VAR249,
input [VAR329-1:0] VAR264,
input [VAR91-1:0] VAR163,
input [VAR319-1:0] VAR193,
input VAR325,
output VAR301,
input VAR69,
input VAR84,
output VAR298,
input [VAR237-1:0] VAR109, output [VAR237-1:0] VAR77, input [VAR237-1:0] VAR282, output [VAR237-1:0] VAR87, output [(VAR237*VAR232)-1:0] VAR57, output [(VAR237*VAR214)-1:0] VAR293, output [(VAR237*VAR343)-1:0] VAR225, output [VAR237-1:0] VAR201, input [VAR237-1:0] VAR239,
input [VAR237-1:0] VAR224, input [VAR237-1:0] VAR296, output [VAR237-1:0] VAR247, input [VAR237-1:0] VAR56, input [(VAR237*VAR232)-1:0] VAR28, input [(VAR237*VAR214)-1:0] VAR79, input [(VAR237*VAR343)-1:0] VAR132, input [VAR237-1:0] VAR149, output [VAR237-1:0] VAR231
);
localparam VAR251 = "VAR86"; localparam VAR126 = VAR223 * 2;
localparam VAR277 = "VAR315";
localparam VAR110 = 2;
localparam VAR304 = VAR343 / 32;
localparam VAR131 = 1;
localparam VAR134 = 1;
wire clk;
wire VAR313;
wire [VAR343-1:0] VAR273;
wire VAR29;
wire VAR16;
wire [(VAR343/32)-1:0] VAR215;
wire [VAR33(VAR343/32)-1:0] VAR89;
wire [VAR346-1:0] VAR161;
wire VAR58;
wire [VAR33(VAR343/32)-1:0] VAR59;
wire [VAR162-1:0] VAR7;
wire [VAR195-1:0] VAR90;
wire [VAR280-1:0] VAR81;
wire [VAR250-1:0] VAR188;
wire [VAR257-1:0] VAR147;
wire [VAR73-1:0] VAR34;
wire [VAR153-1:0] VAR133;
wire VAR53;
wire [VAR343-1:0] VAR94;
wire VAR191;
wire [(VAR343/32)-1:0] VAR156;
wire VAR192;
wire [VAR33(VAR343/32)-1:0] VAR22;
wire [VAR346-1:0] VAR344;
wire VAR32;
wire [VAR33(VAR343/32)-1:0] VAR8;
wire [VAR162-1:0] VAR128;
wire [VAR328-1:0] VAR117;
wire [VAR318-1:0] VAR12;
wire [VAR195-1:0] VAR310;
wire [VAR250-1:0] VAR52;
wire [VAR342-1:0] VAR246;
wire [VAR284-1:0] VAR159;
wire [VAR227-1:0] VAR288;
wire [VAR257-1:0] VAR341;
wire VAR190;
wire VAR198;
wire [VAR343-1:0] VAR233;
wire VAR222;
wire [VAR33(VAR343/32)-1:0] VAR221;
wire VAR307;
wire [VAR33(VAR343/32)-1:0] VAR229;
wire VAR5;
wire VAR208;
wire [VAR346-1:0] VAR35;
wire [VAR162-1:0] VAR167;
wire [VAR280-1:0] VAR23;
wire [VAR250-1:0] VAR101;
wire [VAR257-1:0] VAR4;
wire [VAR73-1:0] VAR271;
wire [VAR195-1:0] VAR244;
wire [VAR227-1:0] VAR259;
wire [VAR328-1:0] VAR181;
wire [VAR318-1:0] VAR108;
wire VAR51;
wire VAR119;
wire VAR116;
wire VAR261;
wire [VAR343-1:0] VAR170;
wire VAR265;
wire [VAR33(VAR343/32)-1:0] VAR248;
wire VAR72;
wire [VAR33(VAR343/32)-1:0] VAR203;
wire VAR96;
wire VAR18;
wire [VAR346-1:0] VAR314;
wire [VAR162-1:0] VAR216;
wire [VAR342-1:0] VAR137;
wire [VAR257-1:0] VAR63;
wire [VAR195-1:0] VAR241;
wire [VAR328-1:0] VAR176;
wire [VAR318-1:0] VAR80;
wire [VAR250-1:0] VAR175;
wire VAR331;
wire VAR42;
wire VAR194;
wire VAR279;
wire [VAR343-1:0] VAR210;
wire VAR111;
wire [VAR171-1:0] VAR312;
wire VAR306;
wire [VAR171-1:0] VAR205;
wire VAR113;
wire [VAR284-1:0] VAR196;
wire VAR174;
wire [VAR343-1:0] VAR13;
wire VAR211;
wire [VAR171-1:0] VAR141;
wire VAR183;
wire [VAR171-1:0] VAR217;
wire VAR186;
wire VAR326;
wire [VAR343-1:0] VAR164;
wire [VAR302-1:0] VAR160;
wire [(VAR343/32)-1:0] VAR45;
wire VAR122 = 0;
wire VAR168;
wire [VAR343-1:0] VAR38 = 0;
wire [VAR226-1:0] VAR78 = 0;
wire VAR285 = 0;
wire [(VAR343/32)-1:0] VAR24 = 0;
wire VAR104 = 0;
wire VAR270;
wire [VAR343-1:0] VAR254 = 0;
wire [VAR123-1:0] VAR102 = 0;
wire VAR107 = 0;
wire [(VAR343/32)-1:0] VAR166 = 0;
wire VAR287 = 0;
wire VAR339 = 0;
wire [VAR343-1:0] VAR17;
wire [VAR165-1:0] VAR291;
wire VAR99;
wire [(VAR343/32)-1:0] VAR25;
wire VAR243;
wire VAR136 = 0;
wire VAR85;
wire [VAR153-1:0] VAR144;
wire VAR88;
wire VAR182;
wire [VAR118-1:0] VAR125;
wire [VAR309-1:0] VAR115;
wire [VAR148-1:0] VAR1;
wire [VAR31-1:0] VAR43;
wire [VAR40-1:0] VAR235;
wire [VAR303-1:0] VAR336;
wire VAR65;
wire VAR36;
genvar VAR3;
assign clk = VAR69;
assign VAR313 = VAR84;
VAR253
.VAR343 (VAR343))
VAR333
(
.VAR199 (VAR210[VAR343-1:0]),
.VAR286 (VAR113),
.VAR50 (VAR306),
.VAR138 (VAR205[VAR171-1:0]),
.VAR66 (VAR111),
.VAR308 (VAR312[VAR171-1:0]),
.VAR283 (VAR196[VAR284-1:0]),
.VAR41 (VAR174),
.VAR98 (VAR144[VAR153-1:0]),
.VAR135 (VAR85),
.VAR197 (VAR115[VAR309-1:0]),
.VAR130 (VAR125[VAR118-1:0]),
.VAR200 (VAR43[VAR31-1:0]),
.VAR37 (VAR1[VAR148-1:0]),
.VAR95 (VAR182),
.VAR154 (VAR88),
.VAR173 (VAR235[VAR40-1:0]),
.VAR21 (VAR336[VAR303-1:0]),
.VAR158 (VAR36),
.VAR103 (clk),
.VAR114 (VAR313),
.VAR152 (VAR279),
.VAR278 (VAR13[VAR343-1:0]),
.VAR39 (VAR186),
.VAR245 (VAR183),
.VAR334 (VAR217[VAR171-1:0]),
.VAR207 (VAR211),
.VAR44 (VAR141[VAR171-1:0]),
.VAR55 (VAR65),
.VAR337 (VAR337),
.VAR180 (VAR180[VAR343-1:0]),
.VAR252 (VAR252[0:0]),
.VAR338 (VAR338[0:0]),
.VAR2 (VAR2[0:0]),
.VAR218 (VAR218[0:0]),
.VAR301 (VAR301),
.VAR19 (VAR19[VAR343-1:0]),
.VAR266 (VAR266[0:0]),
.VAR187 (VAR187[0:0]),
.VAR172 (VAR172[0:0]),
.VAR316 (VAR316[0:0]),
.VAR14 (VAR14),
.VAR234 (VAR234[VAR62-1:0]),
.VAR249 (VAR249[VAR75-1:0]),
.VAR264 (VAR264[VAR329-1:0]),
.VAR163 (VAR163[VAR303-1:0]),
.VAR193 (VAR193[VAR40-1:0]),
.VAR325 (VAR325));
VAR238
.VAR10 (VAR10),
.VAR134 (VAR134),
.VAR131 (VAR131),
.VAR129 (VAR223/4),
.VAR277 (VAR277))
VAR100
( .VAR184 (VAR273[VAR343-1:0]),
.VAR256 (VAR215[(VAR343/32)-1:0]),
.VAR305 (VAR29),
.VAR185 (VAR16),
.VAR228 (VAR89[VAR33(VAR343/32)-1:0]),
.VAR332 (VAR161[VAR346-1:0]),
.VAR345 (VAR58),
.VAR323 (VAR59[VAR33(VAR343/32)-1:0]),
.VAR330 (VAR7[VAR162-1:0]),
.VAR6 (VAR90[VAR195-1:0]),
.VAR260 (VAR81[VAR280-1:0]),
.VAR71 (VAR188[VAR250-1:0]),
.VAR143 (VAR147[VAR257-1:0]),
.VAR236 (VAR34[VAR73-1:0]),
.VAR47 (VAR133[VAR153-1:0]),
.VAR106 (VAR53),
.VAR61 (VAR94[VAR343-1:0]),
.VAR255 (VAR156[(VAR343/32)-1:0]),
.VAR169 (VAR191),
.VAR30 (VAR192),
.VAR145 (VAR22[VAR33(VAR343/32)-1:0]),
.VAR157 (VAR32),
.VAR242 (VAR8[VAR33(VAR343/32)-1:0]),
.VAR15 (VAR344[VAR346-1:0]),
.VAR327 (VAR128[VAR162-1:0]),
.VAR321 (VAR117[VAR328-1:0]),
.VAR127 (VAR12[VAR318-1:0]),
.VAR124 (VAR310[VAR195-1:0]),
.VAR262 (VAR52[VAR250-1:0]),
.VAR274 (VAR246[VAR342-1:0]),
.VAR151 (VAR159[VAR284-1:0]),
.VAR219 (VAR288[VAR227-1:0]),
.VAR83 (VAR341[VAR257-1:0]),
.VAR120 (VAR190),
.VAR294 (VAR5),
.VAR54 (VAR119),
.VAR49 (VAR116),
.VAR92 (VAR96),
.VAR289 (VAR42),
.VAR150 (VAR194),
.VAR278 (VAR13),
.VAR39 (VAR186),
.VAR245 (VAR183),
.VAR334 (VAR217),
.VAR207 (VAR211),
.VAR44 (VAR141),
.VAR152 (VAR279),
.VAR103 (clk),
.VAR114 (VAR313),
.VAR98 (VAR144[VAR153-1:0]),
.VAR121 (VAR198),
.VAR295 (VAR233[VAR343-1:0]),
.VAR64 (VAR222),
.VAR324 (VAR221[VAR33(VAR343/32)-1:0]),
.VAR275 (VAR307),
.VAR320 (VAR229[VAR33(VAR343/32)-1:0]),
.VAR112 (VAR208),
.VAR258 (VAR35[VAR346-1:0]),
.VAR209 (VAR167[VAR162-1:0]),
.VAR269 (VAR23[VAR280-1:0]),
.VAR179 (VAR101[VAR250-1:0]),
.VAR267 (VAR4[VAR257-1:0]),
.VAR70 (VAR271[VAR73-1:0]),
.VAR335 (VAR244[VAR195-1:0]),
.VAR60 (VAR259[VAR227-1:0]),
.VAR281 (VAR181[VAR328-1:0]),
.VAR27 (VAR108[VAR318-1:0]),
.VAR297 (VAR51),
.VAR189 (VAR261),
.VAR74 (VAR170[VAR343-1:0]),
.VAR204 (VAR265),
.VAR340 (VAR248[VAR33(VAR343/32)-1:0]),
.VAR11 (VAR72),
.VAR299 (VAR203[VAR33(VAR343/32)-1:0]),
.VAR140 (VAR18),
.VAR93 (VAR314[VAR346-1:0]),
.VAR76 (VAR216[VAR162-1:0]),
.VAR82 (VAR137[VAR342-1:0]),
.VAR9 (VAR63[VAR257-1:0]),
.VAR155 (VAR241[VAR195-1:0]),
.VAR97 (VAR176[VAR328-1:0]),
.VAR240 (VAR80[VAR318-1:0]),
.VAR46 (VAR175[VAR250-1:0]),
.VAR178 (VAR331),
.VAR199 (VAR210),
.VAR286 (VAR113),
.VAR50 (VAR306),
.VAR138 (VAR205),
.VAR66 (VAR111),
.VAR308 (VAR312),
.VAR283 (VAR196),
.VAR41 (VAR174),
.VAR212 (VAR339),
.VAR263 (VAR270),
.VAR213 (VAR243),
.VAR311 (VAR99),
.VAR220 (VAR17[VAR343-1:0]),
.VAR202 (VAR25[(VAR343/32)-1:0]),
.VAR146 (VAR291[VAR165-1:0]),
.VAR272 (VAR168),
.VAR317 (VAR326),
.VAR292 (VAR164[VAR343-1:0]),
.VAR206 (VAR45[(VAR343/32)-1:0]),
.VAR268 (VAR160[VAR302-1:0]),
.VAR177 (VAR287),
.VAR300 (VAR107),
.VAR48 (VAR254[VAR343-1:0]),
.VAR290 (VAR166[(VAR343/32)-1:0]),
.VAR276 (VAR102[VAR123-1:0]),
.VAR230 (VAR104),
.VAR26 (VAR285),
.VAR322 (VAR38[VAR343-1:0]),
.VAR142 (VAR24[(VAR343/32)-1:0]),
.VAR67 (VAR78[VAR226-1:0]),
.VAR68 (VAR136),
.VAR20 (VAR122)
);
VAR139
.VAR343 (VAR343),
.VAR237 (VAR237),
.VAR126 (VAR126),
.VAR277 (VAR277),
.VAR251 (VAR251))
VAR105
( .VAR295 (VAR233[VAR343-1:0]),
.VAR121 (VAR198),
.VAR64 (VAR222),
.VAR324 (VAR221[VAR33(VAR343/32)-1:0]),
.VAR275 (VAR307),
.VAR320 (VAR229[VAR33(VAR343/32)-1:0]),
.VAR112 (VAR208),
.VAR258 (VAR35[VAR346-1:0]),
.VAR209 (VAR167[VAR162-1:0]),
.VAR269 (VAR23[VAR280-1:0]),
.VAR179 (VAR101[VAR250-1:0]),
.VAR267 (VAR4[VAR257-1:0]),
.VAR70 (VAR271[VAR73-1:0]),
.VAR335 (VAR244[VAR195-1:0]),
.VAR60 (VAR259[VAR227-1:0]),
.VAR281 (VAR181[VAR328-1:0]),
.VAR27 (VAR108[VAR318-1:0]),
.VAR297 (VAR51),
.VAR189 (VAR261),
.VAR74 (VAR170[VAR343-1:0]),
.VAR204 (VAR265),
.VAR340 (VAR248[VAR33(VAR343/32)-1:0]),
.VAR11 (VAR72),
.VAR299 (VAR203[VAR33(VAR343/32)-1:0]),
.VAR140 (VAR18),
.VAR93 (VAR314[VAR346-1:0]),
.VAR76 (VAR216[VAR162-1:0]),
.VAR82 (VAR137[VAR342-1:0]),
.VAR9 (VAR63[VAR257-1:0]),
.VAR155 (VAR241[VAR195-1:0]),
.VAR97 (VAR176[VAR328-1:0]),
.VAR240 (VAR80[VAR318-1:0]),
.VAR46 (VAR175[VAR250-1:0]),
.VAR178 (VAR331),
.VAR55 (VAR65),
.VAR103 (clk),
.VAR114 (VAR313),
.VAR61 (VAR94[VAR343-1:0]),
.VAR169 (VAR191),
.VAR30 (VAR192),
.VAR145 (VAR22[VAR33(VAR343/32)-1:0]),
.VAR255 (VAR156[(VAR343/32)-1:0]),
.VAR157 (VAR32),
.VAR242 (VAR8[VAR33(VAR343/32)-1:0]),
.VAR15 (VAR344[VAR346-1:0]),
.VAR327 (VAR128[VAR162-1:0]),
.VAR321 (VAR117[VAR328-1:0]),
.VAR127 (VAR12[VAR318-1:0]),
.VAR124 (VAR310[VAR195-1:0]),
.VAR262 (VAR52[VAR250-1:0]),
.VAR274 (VAR246[VAR342-1:0]),
.VAR151 (VAR159[VAR284-1:0]),
.VAR219 (VAR288[VAR227-1:0]),
.VAR83 (VAR341[VAR257-1:0]),
.VAR120 (VAR190),
.VAR305 (VAR29),
.VAR184 (VAR273[VAR343-1:0]),
.VAR185 (VAR16),
.VAR228 (VAR89[VAR33(VAR343/32)-1:0]),
.VAR256 (VAR215[(VAR343/32)-1:0]),
.VAR345 (VAR58),
.VAR323 (VAR59[VAR33(VAR343/32)-1:0]),
.VAR332 (VAR161[VAR346-1:0]),
.VAR330 (VAR7[VAR162-1:0]),
.VAR6 (VAR90[VAR195-1:0]),
.VAR260 (VAR81[VAR280-1:0]),
.VAR71 (VAR188[VAR250-1:0]),
.VAR143 (VAR147[VAR257-1:0]),
.VAR236 (VAR34[VAR73-1:0]),
.VAR47 (VAR133[VAR153-1:0]),
.VAR106 (VAR53),
.VAR294 (VAR5),
.VAR54 (VAR119),
.VAR49 (VAR116),
.VAR92 (VAR96),
.VAR289 (VAR42),
.VAR150 (VAR194),
.VAR98 (VAR144[VAR153-1:0]),
.VAR135 (VAR85),
.VAR197 (VAR115[VAR309-1:0]),
.VAR130 (VAR125[VAR118-1:0]),
.VAR200 (VAR43[VAR31-1:0]),
.VAR37 (VAR1[VAR148-1:0]),
.VAR95 (VAR182),
.VAR154 (VAR88),
.VAR173 (VAR235[VAR40-1:0]),
.VAR21 (VAR336[VAR303-1:0]),
.VAR158 (VAR36),
.VAR298 (VAR298),
.VAR77 (VAR77[VAR237-1:0]),
.VAR87 (VAR87[VAR237-1:0]),
.VAR57 (VAR57[(VAR237*32)-1:0]),
.VAR293 (VAR293[(VAR237*31)-1:0]),
.VAR225 (VAR225[(VAR237*VAR343)-1:0]),
.VAR201 (VAR201[VAR237-1:0]),
.VAR247 (VAR247[VAR237-1:0]),
.VAR231 (VAR231[VAR237-1:0]),
.VAR109 (VAR109[VAR237-1:0]),
.VAR282 (VAR282[VAR237-1:0]),
.VAR239 (VAR239[VAR237-1:0]),
.VAR224 (VAR224[VAR237-1:0]),
.VAR296 (VAR296[VAR237-1:0]),
.VAR56 (VAR56[VAR237-1:0]),
.VAR28 (VAR28[(VAR237*32)-1:0]),
.VAR79 (VAR79[(VAR237*31)-1:0]),
.VAR132 (VAR132[(VAR237*VAR343)-1:0]),
.VAR149 (VAR149[VAR237-1:0]));
endmodule
|
bsd-3-clause
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
source/hardware/nvme/nvme_host_ctrl_8lane-1.0.0/pcie_cntl_rx_fifo.v
| 6,094 |
module MODULE1 # (
parameter VAR34 = 128,
parameter VAR24 = 5
)
(
input clk,
input VAR26,
input VAR3,
input [VAR34-1:0] VAR53,
output VAR51,
output VAR16,
input VAR20,
output [VAR34-1:0] VAR21,
output VAR15
);
localparam VAR9 = 0;
reg [VAR24:0] VAR35;
reg [VAR24:0] VAR41;
wire [VAR24-1:0] VAR29;
reg [VAR24:0] VAR46;
reg VAR12;
wire VAR13;
wire [VAR24:0] VAR42;
wire [VAR24:0] VAR23;
assign VAR51 = ~(( VAR46[VAR24] ^ VAR35[VAR24])
& (VAR46[VAR24-1:VAR9]
== VAR35[VAR24-1:VAR9]));
assign VAR16 = VAR12;
assign VAR23 = {~VAR35[VAR24], VAR35[VAR24-1:VAR9]};
assign VAR42 = VAR23 - VAR46;
assign VAR13 = (VAR42 > 8);
always @(posedge clk)
begin
VAR12 <= VAR13;
end
assign VAR15 = ~(VAR35[VAR24:VAR9]
== VAR46[VAR24:VAR9]);
always @(posedge clk or negedge VAR26)
begin
if (VAR26 == 0) begin
VAR35 <= 0;
VAR41 <= 1;
VAR46 <= 0;
end
else begin
if (VAR20 == 1) begin
VAR35 <= VAR41;
VAR41 <= VAR41 + 1;
end
if (VAR3 == 1) begin
VAR46 <= VAR46 + 1;
end
end
end
assign VAR29 = (VAR20 == 1) ? VAR41[VAR24-1:0]
: VAR35[VAR24-1:0];
localparam VAR10 = "7SERIES";
localparam VAR52 = "36Kb";
localparam VAR2 = 0;
localparam VAR48 = VAR34/2;
localparam VAR31 = VAR34/2;
localparam VAR36 = "VAR6";
localparam VAR17 = 8;
localparam VAR22 = 9;
localparam VAR49 = VAR22 - VAR24;
generate
wire [VAR22-1:0] VAR40;
wire [VAR22-1:0] VAR7;
wire [VAR49-1:0] VAR38 = 0;
if(VAR49 == 0) begin : VAR25
assign VAR40 = VAR29[VAR24-1:0];
assign VAR7 = VAR46[VAR24-1:0];
end
else begin
assign VAR40 = {VAR38[VAR49-1:0], VAR29[VAR24-1:0]};
assign VAR7 = {VAR38[VAR49-1:0], VAR46[VAR24-1:0]};
end
endgenerate
VAR32 #(
.VAR27 (VAR10),
.VAR47 (VAR52),
.VAR4 (VAR2),
.VAR39 (VAR48),
.VAR11 (VAR31),
.VAR33 (VAR36)
)
VAR50(
.VAR30 (VAR21[VAR48-1:0]),
.VAR14 (VAR53[VAR31-1:0]),
.VAR19 (VAR40),
.VAR44 (clk),
.VAR1 (1'b1),
.VAR28 (1'b1),
.VAR43 (1'b0),
.VAR5 ({VAR17{1'b1}}),
.VAR18 (VAR7),
.VAR45 (clk),
.VAR37 (VAR3)
);
VAR32 #(
.VAR27 (VAR10),
.VAR47 (VAR52),
.VAR4 (VAR2),
.VAR39 (VAR48),
.VAR11 (VAR31),
.VAR33 (VAR36)
)
VAR8(
.VAR30 (VAR21[VAR34-1:VAR48]),
.VAR14 (VAR53[VAR34-1:VAR31]),
.VAR19 (VAR40),
.VAR44 (clk),
.VAR1 (1'b1),
.VAR28 (1'b1),
.VAR43 (1'b0),
.VAR5 ({VAR17{1'b1}}),
.VAR18 (VAR7),
.VAR45 (clk),
.VAR37 (VAR3)
);
endmodule
|
gpl-3.0
|
olajep/oh
|
src/adi/hdl/library/common/ad_dds.v
| 4,902 |
module MODULE1 #(
parameter VAR3 = 0,
parameter VAR17 = 16,
parameter VAR12 = 16,
parameter VAR7 = 1,
parameter VAR23 = 16,
parameter VAR25 = 16,
parameter VAR31 = 1) (
input clk,
input VAR27,
input VAR1,
input VAR9,
input [ 15:0] VAR16,
input [ 15:0] VAR15,
input [ 15:0] VAR24,
input [ 15:0] VAR11,
input [ VAR12-1:0] VAR30,
input [ VAR12-1:0] VAR4,
output reg [VAR17*VAR31-1:0] VAR20
);
wire [VAR17*VAR31-1:0] VAR5;
always @(posedge clk) begin
VAR20 <= VAR5;
end
genvar VAR19;
generate
if (VAR3 == 1) begin
assign VAR5 = {(VAR17*VAR31-1){1'b0}};
end else begin
reg [VAR12-1:0] VAR28[1:VAR31];
reg [VAR12-1:0] VAR22[1:VAR31];
reg [VAR12-1:0] VAR6 = 'd0;
reg [VAR12-1:0] VAR18 = 'd0;
always @(posedge clk) begin
VAR6 <= VAR30 * VAR31;
VAR18 <= VAR4 * VAR31;
end
for (VAR19=1; VAR19 <= VAR31; VAR19=VAR19+1) begin: VAR13
always @(posedge clk) begin
if (VAR1 == 1'b1) begin
if (VAR19 == 1) begin
VAR28[1] <= VAR24;
VAR22[1] <= VAR11;
end else if (VAR31 > 1)begin
VAR28[VAR19] <= VAR28[VAR19-1] + VAR30;
VAR22[VAR19] <= VAR22[VAR19-1] + VAR4;
end
end else if (VAR9 == 1'b1) begin
VAR28[VAR19] <= VAR28[VAR19] + VAR6;
VAR22[VAR19] <= VAR22[VAR19] + VAR18;
end
end
VAR8 #(
.VAR17 (VAR17),
.VAR12 (VAR12),
.VAR7 (VAR7),
.VAR23 (VAR23),
.VAR25 (VAR25))
VAR29 (
.clk (clk),
.VAR14 (VAR27),
.VAR26 (VAR28[VAR19]),
.VAR21 (VAR16),
.VAR32 (VAR22[VAR19]),
.VAR10 (VAR15),
.VAR2 (VAR5[(VAR17*VAR19)-1:VAR17*(VAR19-1)]));
end
end
endgenerate
endmodule
|
mit
|
secworks/sha256
|
src/rtl/sha256_k_constants.v
| 4,885 |
module MODULE1(
input wire [5 : 0] VAR4,
output wire [31 : 0] VAR2
);
reg [31 : 0] VAR3;
assign VAR2 = VAR3;
always @*
begin : VAR1
case(VAR4)
00: VAR3 = 32'h428a2f98;
01: VAR3 = 32'h71374491;
02: VAR3 = 32'hb5c0fbcf;
03: VAR3 = 32'he9b5dba5;
04: VAR3 = 32'h3956c25b;
05: VAR3 = 32'h59f111f1;
06: VAR3 = 32'h923f82a4;
07: VAR3 = 32'hab1c5ed5;
08: VAR3 = 32'hd807aa98;
09: VAR3 = 32'h12835b01;
10: VAR3 = 32'h243185be;
11: VAR3 = 32'h550c7dc3;
12: VAR3 = 32'h72be5d74;
13: VAR3 = 32'h80deb1fe;
14: VAR3 = 32'h9bdc06a7;
15: VAR3 = 32'hc19bf174;
16: VAR3 = 32'he49b69c1;
17: VAR3 = 32'hefbe4786;
18: VAR3 = 32'h0fc19dc6;
19: VAR3 = 32'h240ca1cc;
20: VAR3 = 32'h2de92c6f;
21: VAR3 = 32'h4a7484aa;
22: VAR3 = 32'h5cb0a9dc;
23: VAR3 = 32'h76f988da;
24: VAR3 = 32'h983e5152;
25: VAR3 = 32'ha831c66d;
26: VAR3 = 32'hb00327c8;
27: VAR3 = 32'hbf597fc7;
28: VAR3 = 32'hc6e00bf3;
29: VAR3 = 32'hd5a79147;
30: VAR3 = 32'h06ca6351;
31: VAR3 = 32'h14292967;
32: VAR3 = 32'h27b70a85;
33: VAR3 = 32'h2e1b2138;
34: VAR3 = 32'h4d2c6dfc;
35: VAR3 = 32'h53380d13;
36: VAR3 = 32'h650a7354;
37: VAR3 = 32'h766a0abb;
38: VAR3 = 32'h81c2c92e;
39: VAR3 = 32'h92722c85;
40: VAR3 = 32'ha2bfe8a1;
41: VAR3 = 32'ha81a664b;
42: VAR3 = 32'hc24b8b70;
43: VAR3 = 32'hc76c51a3;
44: VAR3 = 32'hd192e819;
45: VAR3 = 32'hd6990624;
46: VAR3 = 32'hf40e3585;
47: VAR3 = 32'h106aa070;
48: VAR3 = 32'h19a4c116;
49: VAR3 = 32'h1e376c08;
50: VAR3 = 32'h2748774c;
51: VAR3 = 32'h34b0bcb5;
52: VAR3 = 32'h391c0cb3;
53: VAR3 = 32'h4ed8aa4a;
54: VAR3 = 32'h5b9cca4f;
55: VAR3 = 32'h682e6ff3;
56: VAR3 = 32'h748f82ee;
57: VAR3 = 32'h78a5636f;
58: VAR3 = 32'h84c87814;
59: VAR3 = 32'h8cc70208;
60: VAR3 = 32'h90befffa;
61: VAR3 = 32'ha4506ceb;
62: VAR3 = 32'hbef9a3f7;
63: VAR3 = 32'hc67178f2;
endcase end endmodule
|
bsd-2-clause
|
romovs/xula-lib-verilog
|
camera/RGB565Receive.v
| 2,408 |
module MODULE1 (VAR6, VAR4, VAR2, VAR7, VAR8, VAR1, VAR5);
input [7:0] VAR6; input VAR4; input VAR2; input VAR7; input VAR8; output reg VAR1; output reg [15:0] VAR5;
reg VAR3 = 0;
reg VAR9 = 0;
always @(posedge VAR7) begin
VAR1 <= 0;
if (VAR8 == 0) begin
VAR3 <= 0;
VAR9 <= 0;
end else begin
if (VAR9 == 1 && VAR4 == 0 && VAR2 == 1) begin
if (VAR3 == 0) begin
VAR5[15:8] <= VAR6;
end else begin
VAR5[7:0] <= VAR6;
VAR1 <= 1;
end
VAR3 <= ~VAR3;
end else if (VAR9 == 0 && VAR4 == 1) begin
VAR9 <= 1;
end
end
end
endmodule
|
gpl-2.0
|
Saucyz/explode
|
Hardware/Mod2/nios_system/synthesis/submodules/altera_up_av_config_auto_init_ob_adv7180.v
| 9,832 |
module MODULE1 (
VAR18,
VAR21
);
parameter VAR2 = 16'h0000;
parameter VAR45 = 16'h01C8;
parameter VAR49 = 16'h030C;
parameter VAR43 = 16'h0445;
parameter VAR14 = 16'h077F;
parameter VAR50 = 16'h0A00;
parameter VAR22 = 16'h0B00;
parameter VAR9 = 16'h0C36;
parameter VAR13 = 16'h0D7C;
parameter VAR3 = 16'h0F00;
parameter VAR1 = 16'h1412;
parameter VAR36 = 16'h1500;
parameter VAR27 = 16'h1701;
parameter VAR26 = 16'h1893;
parameter VAR48 = 16'h19F1;
parameter VAR42 = 16'h2758;
parameter VAR4 = 16'h2BE1;
parameter VAR41 = 16'h2CAE;
parameter VAR46 = 16'h2DF4;
parameter VAR38 = 16'h2E00;
parameter VAR29 = 16'h2FF0;
parameter VAR44 = 16'h3000;
parameter VAR8 = 16'h3112;
parameter VAR35 = 16'h3241;
parameter VAR15 = 16'h3384;
parameter VAR32 = 16'h3400;
parameter VAR28 = 16'h3502;
parameter VAR23 = 16'h3600;
parameter VAR16 = 16'h3701;
parameter VAR31 = 16'h3880;
parameter VAR34 = 16'h39C0;
parameter VAR10 = 16'h3A10;
parameter VAR33 = 16'h3DB2;
parameter VAR40 = 16'h4101;
parameter VAR47 = 16'hB21C;
parameter VAR19 = 16'hC300;
parameter VAR24 = 16'hC400;
parameter VAR5 = 16'hDCAC;
parameter VAR6 = 16'hDD4C;
parameter VAR17 = 16'hE525;
parameter VAR39 = 16'hE604;
parameter VAR25 = 16'hE763;
parameter VAR11 = 16'hE865;
parameter VAR12 = 16'hE914;
parameter VAR37 = 16'hEA63;
parameter VAR20 = 16'hEB55;
parameter VAR7 = 16'hEC55;
input [ 5: 0] VAR18;
output [26: 0] VAR21;
reg [23: 0] VAR30;
assign VAR21 = {VAR30[23:16], 1'b0,
VAR30[15: 8], 1'b0,
VAR30[ 7: 0], 1'b0};
always @(*)
begin
case (VAR18)
10 : VAR30 <= {8'h40, VAR2};
11 : VAR30 <= {8'h40, VAR45};
12 : VAR30 <= {8'h40, VAR49};
13 : VAR30 <= {8'h40, VAR43};
14 : VAR30 <= {8'h40, VAR14};
15 : VAR30 <= {8'h40, VAR50};
16 : VAR30 <= {8'h40, VAR22};
17 : VAR30 <= {8'h40, VAR9};
18 : VAR30 <= {8'h40, VAR13};
19 : VAR30 <= {8'h40, VAR3};
20 : VAR30 <= {8'h40, VAR1};
21 : VAR30 <= {8'h40, VAR36};
22 : VAR30 <= {8'h40, VAR27};
23 : VAR30 <= {8'h40, VAR26};
24 : VAR30 <= {8'h40, VAR48};
25 : VAR30 <= {8'h40, VAR42};
26 : VAR30 <= {8'h40, VAR4};
27 : VAR30 <= {8'h40, VAR41};
28 : VAR30 <= {8'h40, VAR46};
29 : VAR30 <= {8'h40, VAR38};
30 : VAR30 <= {8'h40, VAR29};
31 : VAR30 <= {8'h40, VAR44};
32 : VAR30 <= {8'h40, VAR8};
33 : VAR30 <= {8'h40, VAR35};
34 : VAR30 <= {8'h40, VAR15};
35 : VAR30 <= {8'h40, VAR32};
36 : VAR30 <= {8'h40, VAR28};
37 : VAR30 <= {8'h40, VAR23};
38 : VAR30 <= {8'h40, VAR16};
39 : VAR30 <= {8'h40, VAR31};
40 : VAR30 <= {8'h40, VAR34};
41 : VAR30 <= {8'h40, VAR10};
42 : VAR30 <= {8'h40, VAR33};
43 : VAR30 <= {8'h40, VAR40};
44 : VAR30 <= {8'h40, VAR47};
45 : VAR30 <= {8'h40, VAR19};
46 : VAR30 <= {8'h40, VAR24};
47 : VAR30 <= {8'h40, VAR5};
48 : VAR30 <= {8'h40, VAR6};
49 : VAR30 <= {8'h40, VAR17};
50 : VAR30 <= {8'h40, VAR39};
51 : VAR30 <= {8'h40, VAR25};
52 : VAR30 <= {8'h40, VAR11};
53 : VAR30 <= {8'h40, VAR12};
54 : VAR30 <= {8'h40, VAR37};
55 : VAR30 <= {8'h40, VAR20};
56 : VAR30 <= {8'h40, VAR7};
default : VAR30 <= {8'h00, 16'h0000};
endcase
end
endmodule
|
mit
|
silverneko/dsdl
|
lab2/TopLevel.v
| 1,960 |
module MODULE1(clk,
VAR20,
VAR9,
VAR13,
VAR10,
VAR7,
VAR2,
VAR1,
VAR11,
VAR15,
VAR18,
VAR17
);
input [3:0] VAR20;
input clk; input VAR9; input [15:0] VAR13; input VAR10; output [63:0] VAR7;
wire [63:0] VAR19;
assign VAR7 = ~VAR19;
output VAR2, VAR1, VAR11, VAR15, VAR18;
output [7:0] VAR17;
assign VAR2 = 1;
assign VAR1 = 1;
wire [1:0] VAR6;
wire [7:0] VAR5;
assign VAR18 = VAR6[1];
assign VAR15 = VAR6[0];
assign VAR17 = VAR5;
wire [31:0] VAR14;
wire [31:0] VAR8;
wire [31:0] VAR4;
wire [2:0] VAR16;
reg VAR3;
integer VAR12;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/sdfsbp/sky130_fd_sc_hvl__sdfsbp.functional.v
| 2,073 |
module MODULE1 (
VAR16 ,
VAR10 ,
VAR18 ,
VAR14 ,
VAR8 ,
VAR1 ,
VAR6
);
output VAR16 ;
output VAR10 ;
input VAR18 ;
input VAR14 ;
input VAR8 ;
input VAR1 ;
input VAR6;
wire VAR7 ;
wire VAR2 ;
wire VAR3;
not VAR9 (VAR2 , VAR6 );
VAR11 VAR15 (VAR3, VAR14, VAR8, VAR1 );
VAR13 VAR5 VAR12 (VAR7 , VAR3, VAR18, VAR2);
buf VAR4 (VAR16 , VAR7 );
not VAR17 (VAR10 , VAR7 );
endmodule
|
apache-2.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
Literature FPUs/hrfp_1.0/hrfp_align.v
| 1,551 |
module MODULE1
(input wire clk,
input wire [2:0] VAR3,
input wire VAR1,
output reg VAR10,
input wire [VAR8:0] VAR7, VAR2,
output reg [VAR8:0] VAR4, VAR6,
output reg [30:0] VAR9, VAR11
);
always @(posedge clk) begin
VAR10 <= VAR3[2];
case(VAR3[1:0])
0: VAR9 <= {1'b0, VAR5, 3'b0};
1: VAR9 <= {5'b0, VAR2[26:2] , |VAR2[1:0]};
2: VAR9 <= {9'b0, VAR2[26:6] , |VAR2[5:0]};
3: VAR9 <= {13'b0, VAR2[26:10], |VAR2[9:0]};
endcase case(VAR3[1:0])
0: VAR11 <= {17'b0, VAR2[26:14], |VAR2[13:0]};
1: VAR11 <= {21'b0, VAR2[26:18], |VAR2[17:0]};
2: VAR11 <= {25'b0, VAR2[26:22], |VAR2[21:0]};
3: VAR11 <= {28'b0, VAR2[26], |VAR2[25:0]};
endcase
if(VAR1) begin
VAR11 <= |VAR2[26:0];
end
end
always @(posedge clk) begin
VAR4 <= VAR7;
VAR6 <= VAR2;
end
endmodule
|
gpl-3.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/exu/rtl/sparc_exu_reg.v
| 5,097 |
module MODULE1 (
VAR4,
clk, VAR28, VAR7, VAR39, VAR5, VAR34
) ;
parameter VAR2 = 3;
input clk;
input VAR28;
input [3:0] VAR7;
input VAR39;
input [3:0] VAR5;
input [VAR2 -1:0] VAR34;
output [VAR2-1:0] VAR4;
wire [VAR2-1:0] VAR14;
wire [VAR2-1:0] VAR21;
wire [VAR2-1:0] VAR11;
wire [VAR2-1:0] VAR41;
wire [VAR2-1:0] VAR25;
wire [VAR2-1:0] VAR35;
wire [VAR2-1:0] VAR23;
wire [VAR2-1:0] VAR10;
wire VAR1;
wire VAR12;
wire VAR17;
wire VAR38;
assign VAR4[VAR2 -1:0] = VAR14[VAR2 -1:0];
assign VAR1 = (VAR5[0] & VAR39);
VAR30 #(VAR2) VAR24(.dout(VAR25[VAR2 -1:0]),
.VAR3(VAR14[VAR2 -1:0]),
.VAR6(VAR34[VAR2 -1:0]),
.VAR40(~VAR1),
.VAR13(VAR1));
VAR27 #(VAR2) VAR29(.din(VAR25[VAR2 -1:0]), .clk(clk), .VAR9(VAR14[VAR2 -1:0]),
.VAR28(VAR28), .VAR36(), .VAR33());
VAR31 #(VAR2) VAR16(.dout(VAR4[VAR2 -1:0]), .VAR40(VAR7[0]),
.VAR13(VAR7[1]), .VAR37(VAR7[2]),
.VAR32(VAR7[3]), .VAR3(VAR14[VAR2 -1:0]),
.VAR6(VAR21[VAR2 -1:0]), .VAR15(VAR11[VAR2 -1:0]),
.VAR20(VAR41[VAR2 -1:0]));
assign VAR1 = (VAR5[0] & VAR39);
assign VAR12 = (VAR5[1] & VAR39);
assign VAR17 = (VAR5[2] & VAR39);
assign VAR38 = (VAR5[3] & VAR39);
VAR30 #(VAR2) VAR24(.dout(VAR25[VAR2 -1:0]),
.VAR3(VAR14[VAR2 -1:0]),
.VAR6(VAR34[VAR2 -1:0]),
.VAR40(~VAR1),
.VAR13(VAR1));
VAR30 #(VAR2) VAR19(.dout(VAR35[VAR2 -1:0]),
.VAR3(VAR21[VAR2 -1:0]),
.VAR6(VAR34[VAR2 -1:0]),
.VAR40(~VAR12),
.VAR13(VAR12));
VAR30 #(VAR2) VAR18(.dout(VAR23[VAR2 -1:0]),
.VAR3(VAR11[VAR2 -1:0]),
.VAR6(VAR34[VAR2 -1:0]),
.VAR40(~VAR17),
.VAR13(VAR17));
VAR30 #(VAR2) VAR26(.dout(VAR10[VAR2 -1:0]),
.VAR3(VAR41[VAR2 -1:0]),
.VAR6(VAR34[VAR2 -1:0]),
.VAR40(~VAR38),
.VAR13(VAR38));
VAR27 #(VAR2) VAR29(.din(VAR25[VAR2 -1:0]), .clk(clk), .VAR9(VAR14[VAR2 -1:0]),
.VAR28(VAR28), .VAR36(), .VAR33());
VAR27 #(VAR2) VAR42(.din(VAR35[VAR2 -1:0]), .clk(clk), .VAR9(VAR21[VAR2 -1:0]),
.VAR28(VAR28), .VAR36(), .VAR33());
VAR27 #(VAR2) VAR22(.din(VAR23[VAR2 -1:0]), .clk(clk), .VAR9(VAR11[VAR2 -1:0]),
.VAR28(VAR28), .VAR36(), .VAR33());
VAR27 #(VAR2) VAR8(.din(VAR10[VAR2 -1:0]), .clk(clk), .VAR9(VAR41[VAR2 -1:0]),
.VAR28(VAR28), .VAR36(), .VAR33());
endmodule
|
gpl-2.0
|
alexforencich/xfcp
|
lib/eth/lib/axis/rtl/axis_rate_limit.v
| 8,814 |
module MODULE1 #
(
parameter VAR18 = 8,
parameter VAR16 = (VAR18>8),
parameter VAR50 = (VAR18/8),
parameter VAR32 = 1,
parameter VAR55 = 0,
parameter VAR64 = 8,
parameter VAR15 = 0,
parameter VAR25 = 8,
parameter VAR38 = 1,
parameter VAR20 = 1
)
(
input wire clk,
input wire rst,
input wire [VAR18-1:0] VAR41,
input wire [VAR50-1:0] VAR10,
input wire VAR7,
output wire VAR11,
input wire VAR36,
input wire [VAR64-1:0] VAR23,
input wire [VAR25-1:0] VAR31,
input wire [VAR20-1:0] VAR8,
output wire [VAR18-1:0] VAR2,
output wire [VAR50-1:0] VAR46,
output wire VAR60,
input wire VAR30,
output wire VAR34,
output wire [VAR64-1:0] VAR3,
output wire [VAR25-1:0] VAR42,
output wire [VAR20-1:0] VAR1,
input wire [7:0] VAR56,
input wire [7:0] VAR14,
input wire VAR13
);
reg [VAR18-1:0] VAR37;
reg [VAR50-1:0] VAR53;
reg VAR28;
reg VAR59 = 1'b0;
reg VAR24;
reg [VAR64-1:0] VAR44;
reg [VAR25-1:0] VAR63;
reg [VAR20-1:0] VAR43;
wire VAR9;
reg [23:0] VAR35 = 24'd0, VAR48;
reg VAR62;
reg VAR17 = 1'b0, VAR19;
reg VAR21 = 1'b0, VAR22;
assign VAR11 = VAR21;
always @* begin
VAR48 = VAR35;
VAR62 = 1'b0;
VAR19 = VAR17;
if (VAR35 >= VAR56) begin
VAR48 = VAR35 - VAR56;
end
if (VAR11 && VAR7) begin
VAR19 = !VAR36;
VAR48 = VAR35 + (VAR14 - VAR56);
end
if (VAR48 >= VAR56) begin
if (VAR32 && VAR13) begin
VAR62 = !VAR19;
end else begin
VAR62 = 1'b1;
end
end
VAR22 = VAR9 && !VAR62;
VAR37 = VAR41;
VAR53 = VAR10;
VAR28 = VAR7 && VAR11;
VAR24 = VAR36;
VAR44 = VAR23;
VAR63 = VAR31;
VAR43 = VAR8;
end
always @(posedge clk) begin
if (rst) begin
VAR35 <= 24'd0;
VAR17 <= 1'b0;
VAR21 <= 1'b0;
end else begin
VAR35 <= VAR48;
VAR17 <= VAR19;
VAR21 <= VAR22;
end
end
reg [VAR18-1:0] VAR40 = {VAR18{1'b0}};
reg [VAR50-1:0] VAR47 = {VAR50{1'b0}};
reg VAR61 = 1'b0, VAR26;
reg VAR33 = 1'b0;
reg [VAR64-1:0] VAR49 = {VAR64{1'b0}};
reg [VAR25-1:0] VAR12 = {VAR25{1'b0}};
reg [VAR20-1:0] VAR39 = {VAR20{1'b0}};
reg [VAR18-1:0] VAR5 = {VAR18{1'b0}};
reg [VAR50-1:0] VAR45 = {VAR50{1'b0}};
reg VAR58 = 1'b0, VAR57;
reg VAR29 = 1'b0;
reg [VAR64-1:0] VAR6 = {VAR64{1'b0}};
reg [VAR25-1:0] VAR52 = {VAR25{1'b0}};
reg [VAR20-1:0] VAR27 = {VAR20{1'b0}};
reg VAR4;
reg VAR51;
reg VAR54;
assign VAR2 = VAR40;
assign VAR46 = VAR16 ? VAR47 : {VAR50{1'b1}};
assign VAR60 = VAR61;
assign VAR34 = VAR32 ? VAR33 : 1'b1;
assign VAR3 = VAR55 ? VAR49 : {VAR64{1'b0}};
assign VAR42 = VAR15 ? VAR12 : {VAR25{1'b0}};
assign VAR1 = VAR38 ? VAR39 : {VAR20{1'b0}};
assign VAR9 = VAR30 || (!VAR58 && (!VAR61 || !VAR28));
always @* begin
VAR26 = VAR61;
VAR57 = VAR58;
VAR4 = 1'b0;
VAR51 = 1'b0;
VAR54 = 1'b0;
if (VAR59) begin
if (VAR30 || !VAR61) begin
VAR26 = VAR28;
VAR4 = 1'b1;
end else begin
VAR57 = VAR28;
VAR51 = 1'b1;
end
end else if (VAR30) begin
VAR26 = VAR58;
VAR57 = 1'b0;
VAR54 = 1'b1;
end
end
always @(posedge clk) begin
if (rst) begin
VAR61 <= 1'b0;
VAR59 <= 1'b0;
VAR58 <= 1'b0;
end else begin
VAR61 <= VAR26;
VAR59 <= VAR9;
VAR58 <= VAR57;
end
if (VAR4) begin
VAR40 <= VAR37;
VAR47 <= VAR53;
VAR33 <= VAR24;
VAR49 <= VAR44;
VAR12 <= VAR63;
VAR39 <= VAR43;
end else if (VAR54) begin
VAR40 <= VAR5;
VAR47 <= VAR45;
VAR33 <= VAR29;
VAR49 <= VAR6;
VAR12 <= VAR52;
VAR39 <= VAR27;
end
if (VAR51) begin
VAR5 <= VAR37;
VAR45 <= VAR53;
VAR29 <= VAR24;
VAR6 <= VAR44;
VAR52 <= VAR63;
VAR27 <= VAR43;
end
end
endmodule
|
mit
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/NVMeHostController/src/pcie_sq_cmd_fifo.v
| 4,948 |
module MODULE1 # (
parameter VAR24 = 11,
parameter VAR7 = 2
)
(
input clk,
input VAR41,
input VAR11,
input [VAR24-1:0] VAR1,
output VAR42,
input VAR45,
output [VAR24-1:0] VAR25,
output VAR5
);
localparam VAR8 = 0;
reg [VAR7:0] VAR19;
reg [VAR7:0] VAR4;
wire [VAR7-1:0] VAR9;
reg [VAR7:0] VAR6;
assign VAR42 = ~((VAR6[VAR7] ^ VAR19[VAR7])
& (VAR6[VAR7-1:VAR8]
== VAR19[VAR7-1:VAR8]));
assign VAR5 = ~(VAR19[VAR7:VAR8]
== VAR6[VAR7:VAR8]);
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0) begin
VAR19 <= 0;
VAR4 <= 1;
VAR6 <= 0;
end
else begin
if (VAR45 == 1) begin
VAR19 <= VAR4;
VAR4 <= VAR4 + 1;
end
if (VAR11 == 1) begin
VAR6 <= VAR6 + 1;
end
end
end
assign VAR9 = (VAR45 == 1) ? VAR4[VAR7-1:0]
: VAR19[VAR7-1:0];
localparam VAR3 = "7SERIES";
localparam VAR39 = "18Kb";
localparam VAR40 = 0;
localparam VAR20 = VAR24;
localparam VAR12 = VAR24;
localparam VAR36 = "VAR35";
localparam VAR47 = 2;
localparam VAR43 = 10;
localparam VAR10 = VAR43 - VAR7;
generate
wire [VAR43-1:0] VAR37;
wire [VAR43-1:0] VAR13;
wire [VAR10-1:0] VAR14 = 0;
if(VAR10 == 0) begin : VAR28
assign VAR37 = VAR9[VAR7-1:0];
assign VAR13 = VAR6[VAR7-1:0];
end
else begin
assign VAR37 = {VAR14[VAR10-1:0], VAR9[VAR7-1:0]};
assign VAR13 = {VAR14[VAR10-1:0], VAR6[VAR7-1:0]};
end
endgenerate
VAR17 #(
.VAR23 (VAR3),
.VAR29 (VAR39),
.VAR38 (VAR40),
.VAR16 (VAR20),
.VAR26 (VAR12),
.VAR18 (VAR36)
)
VAR27(
.VAR31 (VAR25[VAR20-1:0]),
.VAR32 (VAR1[VAR12-1:0]),
.VAR44 (VAR37),
.VAR22 (clk),
.VAR21 (1'b1),
.VAR2 (1'b1),
.VAR33 (1'b0),
.VAR34 ({VAR47{1'b1}}),
.VAR46 (VAR13),
.VAR15 (clk),
.VAR30 (VAR11)
);
endmodule
|
gpl-3.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/Debounce_A.v
| 1,408 |
module MODULE1
(
VAR3,
reset,
VAR10,
VAR4,
VAR8
);
input VAR3;
input reset;
input VAR10;
input VAR4;
output VAR8;
wire [7:0] VAR11; wire VAR2;
assign VAR11 = 8'd25;
VAR6 VAR5 (.VAR3(VAR3),
.reset(reset),
.VAR10(VAR10),
.VAR1(VAR4),
.VAR7(VAR11), .VAR9(VAR2)
);
assign VAR8 = VAR2;
endmodule
|
gpl-3.0
|
chebykinn/university
|
io/lab3/v-src/Prescaler.v
| 1,193 |
module MODULE1(VAR3,VAR1,VAR8,VAR6,VAR2);
output VAR3;
input VAR1;
input [2:0] VAR8;
input VAR6;
input VAR2;
reg VAR3;
reg VAR9;
reg [4:0] VAR5;
reg [4:0] VAR4;
reg [4:0] VAR7;
always @(VAR8 )
begin
case(VAR8 )
'h1, 'h2, 'h3 :
begin
VAR5 =('h1);
end
'h4, 'h6 :
begin
VAR5 =('h4);
end
'h5, 'h7 :
begin
VAR5 =('h10);
end
default:
begin
VAR5 =('h0);
end
endcase
end
always @(VAR5 or VAR7 or VAR1 )
begin
if (VAR7 ==VAR5 )
begin
VAR9 =(0);
VAR4 =(0);
end
else if (VAR1 )
begin
VAR9 =(1);
VAR4 =(VAR7 +1);
end
end
always @(posedge VAR2 or posedge VAR6 )
begin
if (!VAR6 &&VAR2 )
begin
VAR7 <=(VAR4 );
VAR3 <=(VAR9 );
end
else
begin
VAR7 <=(0);
VAR3 <=(0);
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dlymetal6s4s/sky130_fd_sc_hd__dlymetal6s4s.pp.blackbox.v
| 1,345 |
module MODULE1 (
VAR1 ,
VAR6 ,
VAR3,
VAR5,
VAR2 ,
VAR4
);
output VAR1 ;
input VAR6 ;
input VAR3;
input VAR5;
input VAR2 ;
input VAR4 ;
endmodule
|
apache-2.0
|
sundw2014/carADS
|
fpga_firmware/show_num.v
| 1,384 |
module MODULE1(VAR1,clk,VAR9,sel,VAR6);
input[27:0]VAR1;
input clk,VAR6;
output reg[6:0]VAR9;
output reg[3:0]sel;
wire[6:0]VAR5,VAR4,VAR3,VAR8;
reg [6:0]VAR2;
reg[1:0]VAR7;
always @(posedge clk or negedge VAR6)
begin
if(!VAR6)begin VAR7<=0;end
else begin
if(VAR7==2'd3)begin VAR7<=0; end
else begin VAR7<=VAR7+1;end
end
end
assign VAR5=VAR1[27:21];
assign VAR4=VAR1[20:14];
assign VAR3=VAR1[13:7];
assign VAR8=VAR1[6:0];
always@(posedge clk)
begin
case(VAR7)
2'b00:sel<=4'b1000;
2'b01:sel<=4'b0100;
2'b10:sel<=4'b0010;
2'b11:sel<=4'b0001;
endcase
end
always@(posedge clk)
begin
if(VAR7==2'b00)begin VAR2=VAR5;end
else
begin
if(VAR7==2'b01)begin VAR2=VAR4;end
else begin
if(VAR7==2'b10)begin VAR2=VAR3;end
else begin VAR2=VAR8;end
end
end
case(VAR2)
7'd0:VAR9<=7'b1111110;
7'd1:VAR9<=7'b0110000;
7'd2:VAR9<=7'b1101101;
7'd3:VAR9<=7'b1111001;
7'd4:VAR9<=7'b0110011;
7'd5:VAR9<=7'b1011011;
7'd6:VAR9<=7'b1011111;
7'd7:VAR9<=7'b1110000;
7'd8:VAR9<=7'b1111111;
7'd9:VAR9<=7'b1111011;
endcase
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o2111ai/sky130_fd_sc_hs__o2111ai.behavioral.v
| 1,980 |
module MODULE1 (
VAR14 ,
VAR8 ,
VAR2 ,
VAR3 ,
VAR1 ,
VAR16 ,
VAR4,
VAR6
);
output VAR14 ;
input VAR8 ;
input VAR2 ;
input VAR3 ;
input VAR1 ;
input VAR16 ;
input VAR4;
input VAR6;
wire VAR1 VAR15 ;
wire VAR5 ;
wire VAR13;
or VAR9 (VAR15 , VAR2, VAR8 );
nand VAR7 (VAR5 , VAR1, VAR3, VAR16, VAR15 );
VAR12 VAR11 (VAR13, VAR5, VAR4, VAR6);
buf VAR10 (VAR14 , VAR13 );
endmodule
|
apache-2.0
|
nliu96/openHMC_Altera
|
src/openhmc_sync_fifo_reg_stage.v
| 4,462 |
module MODULE1 #(parameter VAR9 = 8)(
input wire clk,
input wire VAR2,
input wire [VAR9-1:0] din,
input wire [VAR9-1:0] VAR8,
input wire VAR4, input wire VAR7, input wire VAR1,
input wire VAR5,
output reg VAR3, output reg [VAR9-1:0] dout
);
wire en, VAR6;
assign en = (VAR1 & VAR5 & VAR3) | (VAR1 & ~VAR5 & ~VAR3 && VAR7) | (~VAR1 & VAR5 & VAR4);
assign VAR6 = (VAR1 & ~VAR5) | (VAR1 & VAR5 & ~VAR4 & VAR3);
always @ (posedge clk or negedge VAR2) begin
if (!VAR2) begin
VAR3 <= 1'b0;
dout <= {VAR9{1'b0}};
end else begin
if (en) begin
if (VAR6) begin
dout <= din; end else begin
dout <= VAR8; end
end
VAR3 <= (VAR3 & VAR1) | (VAR3 & ~VAR1 & ~VAR5) | (~VAR1 & VAR5 & VAR4) | (VAR1 & ~VAR5 & VAR7); end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkinv/sky130_fd_sc_lp__clkinv.functional.pp.v
| 1,774 |
module MODULE1 (
VAR1 ,
VAR9 ,
VAR3,
VAR10,
VAR12 ,
VAR8
);
output VAR1 ;
input VAR9 ;
input VAR3;
input VAR10;
input VAR12 ;
input VAR8 ;
wire VAR6 ;
wire VAR5;
not VAR11 (VAR6 , VAR9 );
VAR4 VAR7 (VAR5, VAR6, VAR3, VAR10);
buf VAR2 (VAR1 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o21ba/sky130_fd_sc_hd__o21ba_2.v
| 2,316 |
module MODULE1 (
VAR9 ,
VAR2 ,
VAR4 ,
VAR6,
VAR1,
VAR5,
VAR8 ,
VAR7
);
output VAR9 ;
input VAR2 ;
input VAR4 ;
input VAR6;
input VAR1;
input VAR5;
input VAR8 ;
input VAR7 ;
VAR3 VAR10 (
.VAR9(VAR9),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR9 ,
VAR2 ,
VAR4 ,
VAR6
);
output VAR9 ;
input VAR2 ;
input VAR4 ;
input VAR6;
supply1 VAR1;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR7 ;
VAR3 VAR10 (
.VAR9(VAR9),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
zhijian-liu/mips-cpu
|
src/cpu/stage/stage_mem.v
| 14,179 |
module MODULE1(
input reset ,
input [31:0] VAR19 ,
input [ 7:0] VAR27 ,
input [31:0] VAR18 ,
input [31:0] VAR25 ,
output reg VAR22 ,
output reg [31:0] VAR16 ,
input [31:0] VAR20 ,
output reg VAR24 ,
output reg [31:0] VAR29 ,
output reg [3:0] VAR5 ,
output reg [31:0] VAR28 ,
input VAR30 ,
output reg VAR13 ,
input [ 4:0] VAR17 ,
output reg [ 4:0] VAR1 ,
input [31:0] VAR6 ,
output reg [31:0] VAR2 ,
input VAR21,
output reg VAR15,
input [31:0] VAR4 ,
output reg [31:0] VAR7 ,
input VAR26,
output reg VAR10,
input [31:0] VAR12 ,
output reg [31:0] VAR9
);
wire [31:0] address = VAR18 + {{16 {VAR19[15]}}, VAR19[15:0]};
always @ (*) begin
if (reset == VAR14) begin
VAR22 <= VAR23 ;
VAR16 <= 5'b0 ;
VAR24 <= VAR8;
VAR29 <= 5'b0 ;
VAR5 <= 4'b0 ;
VAR28 <= 32'b0 ;
VAR13 <= VAR8;
VAR1 <= 5'b0 ;
VAR2 <= 32'b0 ;
VAR15 <= VAR8;
VAR7 <= 32'b0 ;
VAR10 <= VAR8;
VAR9 <= 32'b0 ;
end
else begin
VAR22 <= VAR23 ;
VAR16 <= 5'b0 ;
VAR24 <= VAR8 ;
VAR29 <= 5'b0 ;
VAR5 <= 4'b0 ;
VAR28 <= 32'b0 ;
VAR13 <= VAR30 ;
VAR1 <= VAR17 ;
VAR2 <= VAR6 ;
VAR15 <= VAR21;
VAR7 <= VAR4 ;
VAR10 <= VAR26;
VAR9 <= VAR12 ;
case (VAR27)
VAR22 <= VAR11 ;
VAR16 <= address ;
VAR24 <= VAR8;
case (address[1:0])
2'b00 : begin
VAR2 <= {{24 {VAR20[31]}}, VAR20[31:24]};
end
2'b01 : begin
VAR2 <= {{24 {VAR20[23]}}, VAR20[23:16]};
end
2'b10 : begin
VAR2 <= {{24 {VAR20[15]}}, VAR20[15:8]};
end
2'b11 : begin
VAR2 <= {{24 {VAR20[7]}}, VAR20[7:0]};
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR11 ;
VAR16 <= address ;
VAR24 <= VAR8;
case (address[1:0])
2'b00 : begin
VAR2 <= {24'b0, VAR20[31:24]};
end
2'b01 : begin
VAR2 <= {24'b0, VAR20[23:16]};
end
2'b10 : begin
VAR2 <= {24'b0, VAR20[15:8]};
end
2'b11 : begin
VAR2 <= {24'b0, VAR20[7:0]};
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR11 ;
VAR16 <= address ;
VAR24 <= VAR8;
case (address[1:0])
2'b00 : begin
VAR2 <= {{16 {VAR20[31]}}, VAR20[31:16]};
end
2'b10 : begin
VAR2 <= {{16 {VAR20[15]}}, VAR20[15:0]};
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR11 ;
VAR16 <= address ;
VAR24 <= VAR8;
case (address[1:0])
2'b00 : begin
VAR2 <= {16'b0, VAR20[31:16]};
end
2'b10 : begin
VAR2 <= {16'b0, VAR20[15:0]};
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR11 ;
VAR16 <= address ;
VAR24 <= VAR8 ;
VAR2 <= VAR20;
end
VAR22 <= VAR11 ;
VAR16 <= {address[31:2], 2'b0};
VAR24 <= VAR8 ;
case (address[1:0])
2'b00 : begin
VAR2 <= VAR20;
end
2'b01 : begin
VAR2 <= {VAR20[23:0], VAR25[7:0]};
end
2'b10 : begin
VAR2 <= {VAR20[15:0], VAR25[15:0]};
end
2'b11 : begin
VAR2 <= {VAR20[7:0], VAR25[23:0]};
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR11 ;
VAR16 <= {address[31:2], 2'b0};
VAR24 <= VAR8 ;
case (address[1:0])
2'b00 : begin
VAR2 <= {VAR25[31:8], VAR20[31:24]};
end
2'b01 : begin
VAR2 <= {VAR25[31:16], VAR20[31:16]};
end
2'b10 : begin
VAR2 <= {VAR25[31:24], VAR20[31:8]};
end
2'b11 : begin
VAR2 <= VAR20;
end
default : begin
VAR2 <= 32'b0;
end
endcase
end
VAR22 <= VAR23 ;
VAR24 <= VAR3 ;
VAR29 <= address ;
VAR28 <= {4 {VAR25[7:0]}};
case (address[1:0])
2'b00 : begin
VAR5 <= 4'b1000;
end
2'b01 : begin
VAR5 <= 4'b0100;
end
2'b10 : begin
VAR5 <= 4'b0010;
end
2'b11 : begin
VAR5 <= 4'b0001;
end
default : begin
VAR5 <= 4'b0000;
end
endcase
end
VAR22 <= VAR23 ;
VAR24 <= VAR3 ;
VAR29 <= address ;
VAR28 <= {2 {VAR25[15:0]}};
case (address[1:0])
2'b00 : begin
VAR5 <= 4'b1100;
end
2'b10 : begin
VAR5 <= 4'b0011;
end
default : begin
VAR5 <= 4'b0000;
end
endcase
end
VAR22 <= VAR23;
VAR24 <= VAR3;
VAR29 <= address ;
VAR5 <= 4'b1111 ;
VAR28 <= VAR25 ;
end
VAR22 <= VAR23 ;
VAR24 <= VAR3 ;
VAR29 <= {address[31:2], 2'b0};
case (address[1:0])
2'b00 : begin
VAR5 <= 4'b1111 ;
VAR28 <= VAR25;
end
2'b01 : begin
VAR5 <= 4'b0111 ;
VAR28 <= {8'b0, VAR25[31:8]};
end
2'b10 : begin
VAR5 <= 4'b0011 ;
VAR28 <= {16'b0, VAR25[31:16]};
end
2'b11 : begin
VAR5 <= 4'b0001 ;
VAR28 <= {24'b0, VAR25[31:24]};
end
default : begin
VAR5 <= 4'b0000;
end
endcase
end
VAR22 <= VAR23 ;
VAR24 <= VAR3 ;
VAR29 <= {address[31:2], 2'b0};
case (address[1:0])
2'b00 : begin
VAR5 <= 4'b1000 ;
VAR28 <= {VAR25[7:0], 24'b0};
end
2'b01 : begin
VAR5 <= 4'b1100 ;
VAR28 <= {VAR25[15:0], 15'b0};
end
2'b10 : begin
VAR5 <= 4'b1110 ;
VAR28 <= {VAR25[23:0], 8'b0};
end
2'b11 : begin
VAR5 <= 4'b1111 ;
VAR28 <= VAR25;
end
default : begin
VAR5 <= 4'b0000;
end
endcase
end
endcase
end
end
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerHdl_Convert_Data_Type1.v
| 1,122 |
module MODULE1
(
VAR3,
VAR2
);
input signed [35:0] VAR3; output signed [17:0] VAR2;
wire signed [17:0] VAR1;
assign VAR1 = VAR3[35:18];
assign VAR2 = VAR1;
endmodule
|
gpl-3.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_ddr_common/rtl/dram_async_edgelogic.v
| 1,667 |
module MODULE1(
VAR2,
VAR1
);
input VAR1;
output VAR2;
wire VAR3 = VAR1;
assign VAR2 = VAR3;
endmodule
|
gpl-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/control_lib/wb_bridge_16_32.v
| 1,749 |
module MODULE1
(input VAR4, input VAR13,
input VAR8, input VAR5, input VAR2, input [3:0] VAR9,
input [VAR10-1:0] VAR15, input [31:0] VAR6, output [31:0] VAR11, output VAR17,
output VAR21, output VAR1, output VAR14, output [1:0] VAR16,
output [VAR10-1:0] VAR12, output [15:0] VAR19, input [15:0] VAR20, input VAR7
);
reg [15:0] VAR3;
reg VAR18;
assign VAR12 = {VAR15[VAR10-1:2],VAR18,1'b0};
assign VAR21 = VAR8;
assign VAR1 = VAR5;
assign VAR14 = VAR2;
assign VAR19 = ~VAR18 ? VAR6[15:0] : VAR6[31:16];
assign VAR16 = ~VAR18 ? VAR9[1:0] : VAR9[3:2];
assign VAR11 = {VAR20,VAR3};
assign VAR17 = VAR18 & VAR7;
always @(posedge VAR4)
if(VAR13)
VAR18 <= 0;
else if(VAR7)
VAR18 <= ~VAR18;
always @(posedge VAR4)
if(~VAR18 & VAR7)
VAR3 <= VAR20;
endmodule
|
gpl-2.0
|
firemark/katp91
|
src/board.v
| 2,532 |
module MODULE1(VAR19, VAR31, VAR6, VAR1, VAR7, VAR23, VAR3, VAR12);
input VAR19 ;
reg reset;
VAR11 reset = 1;
output [5:0] VAR31;
output VAR3, VAR12;
input [4:0] VAR7;
wire VAR2;
wire [15:0] VAR32;
wire [15:0] VAR30;
wire [7:0] VAR4;
wire clk;
wire write, read;
wire VAR20; assign VAR20 = read && VAR30[15:12] == 4'hB;
VAR16 VAR33(VAR32, VAR20, VAR4[7], VAR7);
output [15:0] VAR6;
wire VAR13; assign VAR13 = VAR30[15:12] == 4'h9;
VAR22 VAR8(VAR32, VAR6, VAR13, write);
output [3:0] VAR23;
output [7:0] VAR1;
wire VAR28; assign VAR28 = VAR30[15:12] == 4'hA;
VAR27 VAR9(
.clk(clk),
.VAR32(VAR32),
.enable(VAR28),
.write(write),
.VAR1(VAR1),
.VAR23(VAR23));
reg [3:0] VAR34;
VAR11 VAR34 = 0;
assign clk = VAR34[3];
always @ (posedge VAR19) begin
VAR34 = VAR34 + 1;
end
reg [3:0] counter;
VAR11 counter = 0;
always @ (posedge clk) begin
counter = counter + 1;
if (&counter) begin
reset = 0;
end
end
wire VAR5; assign VAR5 = VAR30[15:12] == 4'b1111;
VAR14 VAR26(
.clk(clk),
.reset(reset),
.VAR32(VAR32),
.VAR30(VAR30[7:0]),
.VAR35(VAR5 & write),
.VAR24(VAR5 & read),
.VAR18(VAR3),
.VAR10(VAR12),
.VAR15(VAR31));
wire VAR17; assign VAR17 = !VAR30[15];
VAR29 VAR21(
.clk(clk),
.VAR32(VAR32),
.VAR30(VAR30[10:0]),
.enable(VAR17),
.write(write),
.read(read));
VAR36 VAR25(
.clk(clk),
.reset(reset),
.VAR32(VAR32),
.VAR30(VAR30),
.VAR24(read),
.VAR35(write),
.VAR4(VAR4),
.VAR2(VAR2));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nor4b/sky130_fd_sc_hs__nor4b.symbol.v
| 1,287 |
module MODULE1 (
input VAR5 ,
input VAR4 ,
input VAR2 ,
input VAR1,
output VAR7
);
supply1 VAR3;
supply0 VAR6;
endmodule
|
apache-2.0
|
vad-rulezz/megabot
|
fusesoc/orpsoc-cores/trunk/cores/ram_wb/ram_wb_b3.v
| 6,219 |
module MODULE1
parameter VAR13 = 32,
parameter VAR16 = "",
parameter VAR31 = 32'h00005000, parameter VAR39 = 15) (input VAR33,
input VAR5,
input [VAR13-1:0] VAR14,
input [VAR41-1:0] VAR19,
input [3:0] VAR1,
input VAR35,
input [1:0] VAR34,
input [2:0] VAR11,
input VAR10,
input VAR27,
output VAR32,
output VAR29,
output VAR2,
output [VAR41-1:0] VAR30);
localparam VAR7 = (VAR41/8);
localparam VAR8 = 2; localparam VAR17 = (VAR31/VAR7);
reg [VAR41-1:0] VAR24 [ 0 : VAR17-1 ] ;
reg [(VAR39-VAR8)-1:0] VAR25;
reg VAR9;
reg [VAR39-VAR8-1:0] VAR3;
wire VAR26 = ((VAR11 == 3'b001)|(VAR11 == 3'b010)) &
VAR27 & !VAR9 & VAR10;
wire VAR40 = ((VAR11 == 3'b111) &
VAR27 & VAR9 & VAR32) | VAR29;
always @(posedge VAR33)
if (VAR5)
VAR9 <= 0;
else if (VAR26)
VAR9 <= 1;
else if (VAR40)
VAR9 <= 0;
reg [1:0] VAR42;
reg [2:0] VAR37;
always @(posedge VAR33) begin
VAR42 <= VAR34;
VAR37 <= VAR11;
end
always @(VAR32 or VAR9 or VAR26
or VAR42 or VAR37 or VAR14 or VAR25)
if (VAR26)
VAR3 = VAR14[VAR39-1:2];
else if ((VAR37 == 3'b010) & VAR32 & VAR9) case(VAR42)
2'b00 : VAR3 = VAR25 + 1; 2'b01 : VAR3[1:0] = VAR25[1:0] + 1; 2'b10 : VAR3[2:0] = VAR25[2:0] + 1; 2'b11 : VAR3[3:0] = VAR25[3:0] + 1; endcase
wire VAR12 = VAR9;
wire VAR15 = (VAR12 &
(VAR25 != VAR14[VAR39-1:2]));
always@(posedge VAR33)
if(VAR5)
VAR25 <= 0;
else if (VAR12)
VAR25 <= VAR3;
else if (VAR10 & VAR27)
VAR25 <= VAR14[VAR39-1:2];
assign VAR2 = 0;
wire [31:0] VAR38;
assign VAR38[31:24] = VAR1[3] ? VAR19[31:24] : VAR30[31:24];
assign VAR38[23:16] = VAR1[2] ? VAR19[23:16] : VAR30[23:16];
assign VAR38[15: 8] = VAR1[1] ? VAR19[15: 8] : VAR30[15: 8];
assign VAR38[ 7: 0] = VAR1[0] ? VAR19[ 7: 0] : VAR30[ 7: 0];
wire VAR4 = VAR35 & VAR32;
assign VAR30 = VAR24[VAR25];
always @ (posedge VAR33)
if (VAR4)
VAR24[VAR25] <= VAR38;
wire VAR23 = VAR10 & VAR27 & (|VAR14[VAR13-1-4:VAR39]);
reg VAR21;
assign VAR32 = VAR21 & VAR27 &
!(VAR15 | VAR23);
always @ (posedge VAR33)
if (VAR5)
VAR21 <= 1'b0;
else if (VAR10) begin if (VAR23 & VAR27)
VAR21 <= 1;
end
else if (VAR11 == 3'b000) VAR21 <= VAR27 ^ VAR21;
else if ((VAR11 == 3'b001) | (VAR11 == 3'b010)) VAR21 <= VAR27;
else if (VAR11 == 3'b111) VAR21 <= VAR27 & !VAR21;
end else
VAR21 <= 0;
assign VAR29 = VAR21 & VAR27 &
(VAR15 | VAR23);
task VAR18;
endtask
function [31:0] VAR20;
input [VAR13-1:0] addr;
VAR20 = VAR24[addr];
endfunction
function [7:0] VAR36;
input [VAR13-1:0] addr;
reg [31:0] VAR28;
begin
VAR28 = VAR24[{addr[VAR13-1:2],2'd0}];
VAR36 = (addr[1:0]==2'b00) ? VAR28[31:24] :
(addr[1:0]==2'b01) ? VAR28[23:16] :
(addr[1:0]==2'b10) ? VAR28[15:8] : VAR28[7:0];
end
endfunction
function VAR6;
input [VAR13-1:0] addr;
input [VAR41-1:0] VAR22;
begin
VAR24[addr] = VAR22;
VAR6 = VAR22; end
endfunction
endmodule
|
gpl-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/DE4_SOPC_burst_1.v
| 15,487 |
module MODULE1 (
clk,
VAR23,
VAR4,
VAR33,
VAR35,
VAR51,
VAR6,
VAR61,
VAR66,
VAR34,
VAR57,
VAR5,
VAR56,
VAR39,
VAR41,
VAR9,
VAR26,
VAR14,
VAR12,
VAR46,
VAR53,
VAR29,
VAR31,
VAR32,
VAR36
)
;
output [ 28: 0] VAR39;
output [ 10: 0] VAR41;
output VAR9;
output [ 3: 0] VAR26;
output VAR14;
output [ 28: 0] VAR12;
output VAR46;
output VAR53;
output [ 31: 0] VAR29;
output [ 31: 0] VAR31;
output VAR32;
output VAR36;
input clk;
input [ 31: 0] VAR23;
input VAR4;
input VAR33;
input VAR35;
input [ 30: 0] VAR51;
input [ 9: 0] VAR6;
input [ 3: 0] VAR61;
input VAR66;
input [ 28: 0] VAR34;
input VAR57;
input VAR5;
input [ 31: 0] VAR56;
wire [ 9: 0] VAR49;
reg VAR40;
wire [ 30: 0] VAR60;
wire [ 9: 0] VAR45;
wire VAR50;
wire VAR1;
reg [ 10: 0] VAR10;
wire [ 10: 0] VAR3;
wire [ 28: 0] VAR39;
wire [ 30: 0] VAR44;
wire [ 10: 0] VAR41;
wire VAR9;
wire VAR11;
wire [ 3: 0] VAR26;
wire VAR14;
wire [ 28: 0] VAR12;
reg VAR46;
wire VAR53;
reg VAR42;
wire [ 31: 0] VAR29;
wire VAR30;
wire VAR7;
wire VAR37;
wire VAR17;
wire VAR15;
wire VAR25;
wire VAR59;
wire VAR52;
wire VAR20;
reg VAR54;
wire VAR16;
reg VAR38;
reg [ 9: 0] VAR55;
wire VAR27;
wire [ 10: 0] VAR8;
reg [ 10: 0] VAR28;
reg [ 30: 0] VAR22;
reg [ 9: 0] VAR48;
reg [ 3: 0] VAR58;
reg [ 28: 0] VAR64;
reg VAR63;
reg VAR47;
reg VAR18;
reg VAR19;
wire VAR2;
wire [ 10: 0] VAR65;
reg [ 10: 0] VAR62;
wire VAR67;
wire VAR24;
wire VAR13;
wire [ 31: 0] VAR31;
wire VAR32;
wire VAR36;
wire VAR43;
reg [ 9: 0] VAR68;
wire VAR21;
assign VAR2 = |VAR34;
assign VAR24 = VAR50 ? (VAR65 == VAR9) & VAR46 & ~VAR33 : (VAR65 == (VAR40 + 1)) & VAR53 & ~VAR33;
assign VAR17 = VAR40 + (VAR46 ? VAR9 : 1);
assign VAR11 = (VAR46 | VAR53) & ~VAR33 & (VAR17 == VAR9);
assign VAR3 = VAR52 ? VAR8 : VAR28;
assign VAR8 = {VAR6,
1'b0};
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR28 <= 0;
end
else if (VAR52)
VAR28 <= VAR8;
end
assign VAR59 = VAR19 & ~VAR57 & ~VAR5 | VAR18 & (VAR10 == 0) & VAR15 & ~VAR20 & ~VAR16;
assign VAR25 = VAR19 & (VAR57 | VAR5) | VAR18 & (~(VAR10 == 0) | ~VAR15 | VAR20 | VAR16);
assign VAR30 = ~(VAR46 | VAR53) | ~VAR33;
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR54 <= 0;
end
else if (VAR57 & VAR19)
VAR54 <= -1;
else if (VAR24)
VAR54 <= 0;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR38 <= 0;
end
else if (VAR24)
VAR38 <= 0;
else if (VAR5 & (VAR19 | ~VAR36))
VAR38 <= -1;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR19 <= 1;
end
else if (VAR30)
VAR19 <= VAR59;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR18 <= 0;
end
else if (VAR30)
VAR18 <= VAR25;
end
assign VAR20 = VAR54;
assign VAR16 = VAR38 & ~VAR24;
assign VAR52 = VAR19 | ((VAR57 | VAR5) & ~VAR36);
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR63 <= 0;
end
else if (VAR52)
VAR63 <= VAR57;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR47 <= 0;
end
else if (VAR52)
VAR47 <= VAR5;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR48 <= 0;
end
else if (VAR52)
VAR48 <= VAR6;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR22 <= 0;
end
else if (VAR52)
VAR22 <= VAR51;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR64 <= 0;
end
else if (VAR52)
VAR64 <= VAR34;
end
assign VAR50 = VAR63 & !VAR53;
assign VAR1 = VAR47;
assign VAR60 = VAR22;
assign VAR45 = VAR52 ? VAR6 : VAR48;
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR40 <= 0;
end
else if ((VAR46 | VAR53) & ~VAR33)
VAR40 <= VAR11 ? 0 : VAR17;
end
assign VAR27 = VAR50 & ~VAR33;
assign VAR21 = VAR1 & VAR53 & VAR11;
assign VAR67 = VAR27 | VAR21;
assign VAR65 = (VAR19 & (VAR57 | VAR5)) ? VAR3 : VAR62;
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR62 <= 0;
end
else
VAR62 <= (VAR19 & (VAR57 | VAR5)) ? VAR3 : VAR67 ? VAR62 - VAR9 : VAR62;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR10 <= 0;
end
else
VAR10 <= VAR19 & VAR57 & ~VAR36 ? VAR3 : VAR4 ? VAR10 - 1 : VAR10;
end
assign VAR37 = 1;
assign VAR9 = (VAR65 > VAR37) ? VAR37 : VAR65;
assign VAR41 = VAR50 ? (VAR3) : VAR3;
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR68 <= 0;
end
else
VAR68 <= VAR19 & VAR5 ? 0 : ((VAR53 & ~VAR33 & VAR11)) ? VAR68 + VAR9 : VAR68;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR55 <= 0;
end
else
VAR55 <= VAR19 & VAR57 ? 0 : (VAR46 & ~VAR33) ? VAR55 + VAR9 : VAR55;
end
assign VAR12 = VAR64 >> 3;
assign VAR49 = VAR50 ? VAR55 : VAR68;
assign VAR44 = {VAR60[30 : 3], 3'b000};
assign VAR39 = VAR44 + {VAR49, 2'b00};
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR46 <= 0;
end
else if (~VAR46 | ~VAR33)
VAR46 <= VAR19 & VAR57 ? 1 : (VAR65 == VAR9) ? 0 : VAR46;
end
assign VAR32 = VAR4;
assign VAR31 = VAR23;
assign VAR7 = 1;
assign VAR15 = 1;
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR42 <= 0;
end
else if (~VAR42 | ~VAR33)
VAR42 <= VAR19 & VAR5 ? 1 : ((VAR65 == VAR9) & VAR11) ? 0 : VAR42;
end
always @(posedge clk or negedge VAR35)
begin
if (VAR35 == 0)
VAR58 <= 4'b1111;
end
else if (VAR52)
VAR58 <= VAR61;
end
assign VAR53 = VAR42 & VAR5 & !VAR46;
assign VAR26 = VAR42 ? VAR61 : VAR58;
assign VAR29 = VAR56;
assign VAR13 = VAR19 & VAR57;
assign VAR43 = VAR18 & VAR5 & ~VAR33 & !VAR46;
assign VAR36 = (VAR57 | VAR50) ? ~VAR13 : VAR1 ? ~VAR43 : 1;
assign VAR14 = VAR66;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/or4/sky130_fd_sc_ms__or4.functional.pp.v
| 1,828 |
module MODULE1 (
VAR10 ,
VAR8 ,
VAR6 ,
VAR1 ,
VAR3 ,
VAR11,
VAR2,
VAR7 ,
VAR9
);
output VAR10 ;
input VAR8 ;
input VAR6 ;
input VAR1 ;
input VAR3 ;
input VAR11;
input VAR2;
input VAR7 ;
input VAR9 ;
wire VAR4 ;
wire VAR12;
or VAR13 (VAR4 , VAR3, VAR1, VAR6, VAR8 );
VAR15 VAR14 (VAR12, VAR4, VAR11, VAR2);
buf VAR5 (VAR10 , VAR12 );
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/prcfg/default/prcfg_dac.v
| 3,509 |
module MODULE1(
clk,
VAR6,
VAR1,
VAR7,
VAR5,
VAR8,
VAR9,
VAR4,
VAR3,
VAR11,
VAR2
);
localparam VAR12 = 8'hA0;
parameter VAR10 = 0;
input clk;
input [31:0] VAR6;
output [31:0] VAR1;
output VAR7;
input [31:0] VAR5;
input VAR8;
input VAR9;
input VAR4;
output [31:0] VAR3;
output VAR11;
output VAR2;
reg VAR7;
reg [31:0] VAR3;
reg VAR11;
reg VAR2;
assign VAR1 = {24'h0, VAR12};
always @(posedge clk) begin
VAR7 <= VAR4;
VAR3 <= VAR5;
VAR11 <= VAR8;
VAR2 <= VAR9;
end
endmodule
|
gpl-3.0
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/acl_fp_sub.v
| 130,366 |
module MODULE1
(
VAR2,
VAR4,
VAR5,
VAR3,
VAR1,
VAR9) ;
input VAR2;
input VAR4;
input VAR5;
input [25:0] VAR3;
input [4:0] VAR1;
output [25:0] VAR9;
tri0 VAR2;
tri1 VAR4;
tri0 VAR5;
reg [0:0] VAR14;
reg [25:0] VAR12;
wire [5:0] VAR7;
wire VAR8;
wire [15:0] VAR11;
wire [155:0] VAR6;
wire [4:0] VAR10;
wire [129:0] VAR13;
|
mit
|
stevenokm/mor1kx
|
rtl/verilog/mor1kx_fetch_cappuccino.v
| 20,627 |
module MODULE1
parameter VAR72 = 32,
parameter VAR54 = {{(VAR72-13){1'b0}},
parameter VAR18 = 5,
parameter VAR82 = "VAR35",
parameter VAR21 = 5,
parameter VAR112 = 9,
parameter VAR49 = 2,
parameter VAR78 = 32,
parameter VAR50 = "VAR35",
parameter VAR70 = "VAR35",
parameter VAR109 = 6,
parameter VAR9 = 1
)
(
input clk,
input rst,
input [15:0] VAR47,
input VAR61,
input VAR97,
input [VAR72-1:0] VAR65,
output [VAR72-1:0] VAR24,
output VAR17,
output [VAR72-1:0] VAR10,
output VAR69,
input VAR31,
input VAR34,
input VAR77,
input VAR96,
input VAR111,
input [VAR67-1:0] VAR39,
output VAR92,
output [VAR72-1:0] VAR3,
output VAR79,
input VAR52,
input VAR53,
output reg [VAR72-1:0] VAR15,
output reg [VAR67-1:0] VAR103,
output reg VAR66,
output [VAR18-1:0] VAR107,
output [VAR18-1:0] VAR94,
output VAR99,
input VAR71,
input [VAR72-1:0] VAR100,
input VAR55,
input [VAR72-1:0] VAR36,
input VAR14,
input [VAR72-1:0] VAR101,
input VAR58,
input VAR84,
input [VAR72-1:0] VAR6,
input VAR16,
input VAR2,
output reg VAR89,
output reg VAR91,
output reg VAR56,
output reg VAR42
);
reg [VAR72-1:0] VAR104;
reg [VAR72-1:0] VAR4;
reg VAR1;
wire VAR7;
wire VAR40;
wire VAR44;
wire VAR13;
reg VAR105;
wire VAR8;
reg VAR102;
reg VAR110;
wire VAR87;
wire [VAR67-1:0] VAR33;
wire VAR113;
wire [VAR67-1:0] VAR85;
wire VAR86;
wire VAR43;
wire VAR51;
wire VAR46;
wire VAR32;
wire VAR106;
wire [VAR72-1:0] VAR22;
wire [VAR72-1:0] VAR20;
wire VAR88;
reg VAR41;
wire VAR95;
wire [VAR72-1:0] VAR29;
wire VAR45;
wire VAR48;
wire VAR62;
wire VAR59;
wire VAR25;
wire VAR64;
wire VAR63;
reg VAR28;
wire [VAR72-1:0] VAR57;
reg [VAR72-1:0] VAR90;
wire VAR30;
wire VAR76;
reg VAR93;
reg VAR75;
wire VAR60;
reg VAR26;
wire VAR74;
assign VAR7 = (VAR87 | VAR110 | VAR102) & !VAR64 &
!VAR76;
assign VAR40 = VAR55 &
!VAR1;
assign VAR44 = !VAR52 & VAR66;
assign VAR13 = VAR7 & VAR52 &
!(VAR59 | VAR25) |
VAR91 & VAR55 |
VAR56 & VAR55 |
VAR2;
assign VAR59 = VAR62 & VAR34 & VAR7 &
!VAR60 & !VAR2;
assign VAR25 = VAR48 & VAR34 & VAR7 &
!VAR60 & !VAR2 |
VAR30;
assign VAR107 = VAR33[VAR38];
assign VAR94 = VAR33[VAR81];
assign VAR99 = VAR7 & VAR52;
always @(posedge clk VAR27)
if (rst)
VAR105 <= 0;
else if (VAR7 & VAR52 | VAR14)
VAR105 <= 0;
else if (VAR16)
VAR105 <= 1;
assign VAR8 = VAR16 | VAR40 | VAR105;
always @(posedge clk VAR27)
if (rst)
VAR93 <= 0;
else if (VAR16)
VAR93 <= 0;
else if (VAR58 & VAR13)
VAR93 <= 1;
else if (VAR7 & VAR52 | VAR14)
VAR93 <= 0;
always @(posedge clk VAR27)
if (rst)
VAR75 <= 0;
else if (VAR16)
VAR75 <= 0;
else if (VAR7 & VAR52 | VAR14)
VAR75 <= 0;
else if (VAR93 & VAR84 & VAR52)
VAR75 <= 1;
assign VAR60 = VAR75 |
VAR84 & VAR93;
always @(posedge clk VAR27)
if (rst)
VAR1 <= 1'b0;
else
VAR1 <= VAR55;
always @(*)
if (rst)
VAR4 = VAR54;
else if (VAR14)
VAR4 = VAR101;
else if (VAR55 & !VAR42)
VAR4 = VAR36;
else if (VAR84 | VAR75)
VAR4 = VAR6;
else if (VAR71)
VAR4 = VAR100;
else
VAR4 = VAR104 + 4;
always @(posedge clk VAR27)
if (rst)
VAR104 <= VAR54;
else if (VAR13 | VAR14)
VAR104 <= VAR4;
always @(posedge clk VAR27)
if (rst)
VAR42 <= 1'b0;
else if (VAR42)
VAR42 <= 1'b0;
else if (VAR55 & VAR7 & VAR52)
VAR42 <= 1'b1;
else
VAR42 <= 1'b0;
always @(posedge clk VAR27)
if (rst)
VAR66 <= 1'b0;
else if (VAR16)
VAR66 <= 1'b0;
else if (VAR7 & VAR52 & !VAR60 & !VAR64 &
!VAR76 | VAR44)
VAR66 <= 1'b1;
else
VAR66 <= 1'b0;
always @(posedge clk VAR27)
if (rst)
VAR103 <= {VAR73,26'd0};
else if (VAR110 | VAR8)
VAR103 <= {VAR73,26'd0};
else if (VAR7 & VAR52 & !VAR60)
VAR103 <= VAR33;
always @(posedge clk)
if (VAR7 & VAR52 & !VAR60)
VAR15 <= VAR104;
always @(posedge clk VAR27)
if (rst)
VAR89 <= 0;
else if (VAR14)
VAR89 <= 0;
else if (VAR110)
VAR89 <= 1;
else if (VAR89 & VAR55)
VAR89 <= 0;
always @(posedge clk VAR27)
if (rst)
VAR91 <= 0;
else if (VAR14)
VAR91 <= 0;
else if (VAR76)
VAR91 <= 0;
else if (VAR59)
VAR91 <= 1;
else if (VAR91 & VAR55)
VAR91 <= 0;
assign VAR74 = VAR56 &
VAR55;
always @(posedge clk VAR27)
if (rst)
VAR56 <= 0;
else if (VAR14)
VAR56 <= 0;
else if (VAR25)
VAR56 <= 1;
else if (VAR74)
VAR56 <= 0;
localparam [2:0]
VAR80 = 0,
VAR12 = 1,
VAR108 = 2,
VAR83 = 3;
reg [2:0] state;
reg [VAR72-1:0] VAR37;
wire [VAR72-1:0] VAR11;
reg [VAR67-1:0] VAR23;
reg VAR19;
reg VAR98;
wire VAR5;
always @(posedge clk VAR27)
if (rst)
VAR102 <= 0;
else
VAR102 <= VAR52 & !VAR7 & !(VAR19 & VAR5) &
((VAR34 & (VAR62 | VAR48) &
!VAR76) |
VAR40 & !VAR76 |
VAR26 & !VAR76 |
VAR30 |
VAR60);
assign VAR5 = (!VAR88 | VAR76 | VAR106) &
!VAR51 |
(state != VAR80) & (state != VAR83) |
VAR98;
assign VAR87 = VAR5 ? VAR98 : VAR113;
assign VAR33 = (VAR102 | VAR59 | VAR25) ?
{VAR73,26'd0} :
VAR5 ? VAR23 : VAR85;
assign VAR3 = VAR37;
assign VAR92 = VAR19;
assign VAR79 = !VAR5 & VAR51 & !VAR32;
assign VAR11 = (VAR21 == 5) ?
{VAR37[31:5], VAR37[4:0] + 5'd4} : {VAR37[31:4], VAR37[3:0] + 4'd4};
always @(posedge clk VAR27)
if (rst)
VAR110 <= 0;
else
VAR110 <= VAR96;
always @(posedge clk) begin
VAR98 <= 0;
VAR26 <= 0;
VAR28 <= 0;
case (state)
VAR80: begin
VAR19 <= 0;
if (VAR52 & VAR5 & !VAR98 & !VAR110 & !VAR102) begin
if (VAR63) begin
VAR37 <= VAR57;
VAR19 <= 1;
state <= VAR108;
end else if (VAR34) begin
VAR37 <= VAR29;
if (!VAR62 & !VAR48 & !VAR64) begin
VAR19 <= 1;
state <= VAR12;
end
end else if (!VAR55 | VAR2) begin
VAR37 <= VAR104;
VAR19 <= 1;
state <= VAR12;
end
end else if (VAR46) begin
VAR37 <= VAR20;
VAR19 <= 1;
state <= VAR83;
end
end
VAR83: begin
VAR19 <= 1;
if (VAR111) begin
VAR37 <= VAR11;
if (VAR32) begin
VAR19 <= 0;
state <= VAR80;
end
end
end
VAR12: begin
VAR98 <= VAR111;
VAR23 <= VAR39;
if (VAR111 | VAR96) begin
VAR19 <= 0;
state <= VAR80;
end
end
VAR108: begin
if (VAR55)
VAR26 <= 1;
VAR37 <= VAR57;
VAR90 <= VAR39;
VAR28 <= VAR111 & VAR63;
if (!VAR63)
state <= VAR80;
VAR19 <= VAR63;
if (VAR111 | VAR28)
VAR19 <= 0;
end
default:
state <= VAR80;
endcase
if (rst) begin
VAR19 <= 0;
state <= VAR80;
end
end
always @(posedge clk VAR27)
if (rst)
VAR41 <= 0;
end
else if (VAR31 & !VAR19)
VAR41 <= 1;
end
else if (!VAR31 & !VAR51)
VAR41 <= 0;
assign VAR95 = VAR31 & VAR41;
assign VAR22 = (VAR13 | VAR14) ? VAR4 : VAR104;
assign VAR20 = VAR34 ? VAR29 : VAR104;
assign VAR43 = (!((VAR62 | VAR48) & VAR34) &
!VAR55 & !VAR16 &
!VAR60 | VAR2) &
!VAR76 & !VAR64;
assign VAR86 = VAR52 & !VAR89 &
!VAR91 & !VAR59 &
!VAR56 & !VAR25 &
VAR88 & VAR43;
generate
if (VAR82!="VAR35") begin : VAR68
if (VAR78 == VAR72) begin
assign VAR88 = VAR95 &
!(VAR45 & VAR34);
end else if (VAR78 < VAR72) begin
assign VAR88 = VAR95 &
VAR20[VAR72-1:
VAR78] == 0 &
!(VAR45 & VAR34);
end else begin
|
mpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/fill/sky130_fd_sc_lp__fill_1.v
| 1,840 |
module MODULE2 (
VAR6,
VAR5,
VAR1 ,
VAR3
);
input VAR6;
input VAR5;
input VAR1 ;
input VAR3 ;
VAR2 VAR4 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE2 ();
supply1 VAR6;
supply0 VAR5;
supply1 VAR1 ;
supply0 VAR3 ;
VAR2 VAR4 ();
endmodule
|
apache-2.0
|
lvd2/ngs
|
fpga/obsolete/fpgaE_dma/dma/dma_access.v
| 6,712 |
module MODULE1(
input clk,
input VAR5,
input VAR7, input [20:0] VAR24, input VAR19, input [7:0] VAR16, output reg [7:0] VAR25,
output reg VAR13, output reg VAR15, output reg VAR12,
output wire VAR22, output wire [20:0] VAR1, output wire [7:0] VAR20, input [7:0] VAR31, output wire VAR9, output reg VAR14, output reg VAR29,
output reg VAR18, input VAR6 );
reg VAR8;
reg [20:0] VAR4;
reg VAR30;
reg [7:0] VAR11;
wire [7:0] VAR28;
assign VAR22 = VAR8;
assign VAR1 = VAR4;
assign VAR20 = VAR11;
assign VAR9 = VAR30;
assign VAR28 = VAR31;
localparam VAR17 = 0;
localparam VAR10 = 1;
localparam VAR21 = 2;
localparam VAR27 = 3;
localparam VAR2 = 4;
localparam VAR23 = 5;
localparam VAR3 = 6;
reg [3:0] state;
reg [3:0] VAR26;
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
end
|
gpl-3.0
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/d_BCH_SC_X.v
| 7,698 |
module MODULE1
(
parameter VAR14 = 2,
parameter VAR16 = 12
)
(
VAR34,
VAR47,
VAR41,
VAR39,
VAR22,
VAR4,
VAR37,
VAR48,
VAR9,
VAR33,
VAR23,
VAR42,
VAR2,
VAR12,
VAR20,
VAR44,
VAR25,
VAR5,
VAR3,
VAR51,
VAR11,
VAR19,
VAR40,
VAR7,
VAR26,
VAR38,
VAR28,
VAR31,
VAR18,
VAR15,
VAR13,
VAR49,
VAR30,
VAR10,
VAR43,
VAR8,
VAR17,
VAR21,
VAR27,
VAR45,
VAR29
);
input VAR34 ;
input VAR47 ;
input VAR39 ;
input VAR41 ;
input VAR22 ;
input [8*VAR14 - 1:0] VAR4 ;
output VAR37 ;
output [VAR14 - 1 :0] VAR48 ;
output [VAR14 - 1:0] VAR9 ;
output [VAR14 - 1:0] VAR33 ;
output [VAR14 - 1:0] VAR23 ;
output [VAR14 - 1:0] VAR42 ;
output [8*VAR14 - 1:0] VAR2;
output [8*VAR14 - 1:0] VAR12 ;
output [VAR16*VAR14 - 1:0] VAR20 ;
output [VAR16*VAR14 - 1:0] VAR44 ;
output [VAR16*VAR14 - 1:0] VAR25 ;
output [VAR16*VAR14 - 1:0] VAR5 ;
output [VAR16*VAR14 - 1:0] VAR3 ;
output [VAR16*VAR14 - 1:0] VAR51 ;
output [VAR16*VAR14 - 1:0] VAR11 ;
output [VAR16*VAR14 - 1:0] VAR19 ;
output [VAR16*VAR14 - 1:0] VAR40 ;
output [VAR16*VAR14 - 1:0] VAR7 ;
output [VAR16*VAR14 - 1:0] VAR26 ;
output [VAR16*VAR14 - 1:0] VAR38 ;
output [VAR16*VAR14 - 1:0] VAR28 ;
output [VAR16*VAR14 - 1:0] VAR31 ;
output [VAR16*VAR14 - 1:0] VAR18 ;
output [VAR16*VAR14 - 1:0] VAR15 ;
output [VAR16*VAR14 - 1:0] VAR13 ;
output [VAR16*VAR14 - 1:0] VAR49 ;
output [VAR16*VAR14 - 1:0] VAR30 ;
output [VAR16*VAR14 - 1:0] VAR10 ;
output [VAR16*VAR14 - 1:0] VAR43 ;
output [VAR16*VAR14 - 1:0] VAR8 ;
output [VAR16*VAR14 - 1:0] VAR17 ;
output [VAR16*VAR14 - 1:0] VAR21 ;
output [VAR16*VAR14 - 1:0] VAR27 ;
output [VAR16*VAR14 - 1:0] VAR45 ;
output [VAR16*VAR14 - 1:0] VAR29 ;
wire [VAR14 - 1:0] VAR1 ;
genvar VAR24;
generate
for (VAR24 = 0; VAR24 < VAR14; VAR24 = VAR24 + 1)
begin
VAR6
VAR46
(
.VAR34 (VAR34 ),
.VAR47 (VAR47 ),
.VAR36 (1'b0 ),
.VAR41 (VAR41 ),
.VAR48 (VAR48[VAR24] ),
.VAR35 ( ),
.VAR39 (VAR39 ),
.VAR22 (VAR22 ),
.VAR4 (VAR4[(VAR24+1)*8 - 1:VAR24*8] ),
.VAR37 (VAR1[VAR24] ),
.VAR50 (5'b0 ),
.VAR32 ( ),
.VAR9 (VAR9[VAR24] ),
.VAR33 (VAR33[VAR24] ),
.VAR23 (VAR23[VAR24] ),
.VAR42 (VAR42[VAR24] ),
.VAR2 (VAR2[(VAR24+1)*8 - 1:VAR24*8] ),
.VAR12 (VAR12[(VAR24+1)*8 - 1:VAR24*8] ),
.VAR20 (VAR20[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR44 (VAR44[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR25 (VAR25[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR5 (VAR5[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR3 (VAR3[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR51 (VAR51[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR11 (VAR11[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR19 (VAR19[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR40 (VAR40[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR7 (VAR7[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR26 (VAR26[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR38 (VAR38[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR28 (VAR28[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR31 (VAR31[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR18 (VAR18[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR15 (VAR15[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR13 (VAR13[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR49 (VAR49[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR30 (VAR30[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR10 (VAR10[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR43 (VAR43[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR8 (VAR8[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR17 (VAR17[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR21 (VAR21[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR27 (VAR27[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR45 (VAR45[(VAR24+1)*VAR16 - 1:VAR24*VAR16] ),
.VAR29 (VAR29[(VAR24+1)*VAR16 - 1:VAR24*VAR16] )
);
end
endgenerate
assign VAR37 = VAR1[0] ;
endmodule
|
gpl-3.0
|
GSejas/Karatsuba_FPU
|
Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/cordic_jorge/Mux_3x1_b_v2.v
| 1,033 |
module MODULE1 #(parameter VAR5=32)
(
input wire [1:0] select,
input wire [VAR5-1:0] VAR1,
input wire [VAR5-1:0] VAR4,
input wire [VAR5-1:0] VAR3,
output reg [VAR5-1:0] VAR2
);
always @*
begin
case(select)
2'b00: VAR2 <= {VAR5{1'b0}};
2'b01: VAR2 <= VAR1;
2'b10: VAR2 <= VAR4;
2'b11: VAR2 <= VAR3;
default : VAR2 <= VAR1;
endcase
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/mux2i/sky130_fd_sc_ls__mux2i.symbol.v
| 1,344 |
module MODULE1 (
input VAR7,
input VAR4,
output VAR2 ,
input VAR5
);
supply1 VAR1;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
Anirudh94/Connect4-FPGA
|
Connect4/player2_bb.v
| 4,904 |
module MODULE1 (
address,
VAR2,
VAR1);
input [10:0] address;
input VAR2;
output [2:0] VAR1;
tri1 VAR2;
endmodule
|
mit
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_ad9649_v1_00_a/hdl/verilog/user_logic.v
| 7,322 |
module MODULE1 (
VAR3,
VAR27,
VAR1,
VAR37,
VAR18,
VAR35,
VAR28,
VAR11,
VAR41,
VAR15,
VAR24,
VAR5,
VAR43,
VAR31,
VAR7,
VAR34,
VAR25,
VAR8,
VAR23,
VAR21,
VAR16,
VAR42,
VAR38,
VAR39,
VAR10,
VAR13,
VAR30);
parameter VAR20 = 32;
parameter VAR17 = 32;
parameter VAR12 = 0;
input VAR3;
input [13:0] VAR27;
input VAR1;
input VAR37;
output VAR18;
output [63:0] VAR35;
output [ 7:0] VAR28;
output VAR11;
input VAR41;
input VAR15;
output [63:0] VAR24;
output [ 7:0] VAR5;
output VAR43;
output [63:0] VAR31;
output [ 7:0] VAR7;
output VAR34;
output [15:0] VAR25;
input VAR8;
input VAR23;
input [31:0] VAR21;
input [ 3:0] VAR16;
input [31:0] VAR42;
input [31:0] VAR38;
output [31:0] VAR39;
output VAR10;
output VAR13;
output VAR30;
reg VAR29 = 'd0;
reg VAR33 = 'd0;
reg [ 4:0] VAR6 = 'd0;
reg [31:0] VAR26 = 'd0;
reg VAR10 = 'd0;
reg VAR13 = 'd0;
reg [31:0] VAR39 = 'd0;
reg VAR30 = 'd0;
wire [31:0] VAR36;
wire [31:0] VAR22;
wire VAR32;
assign VAR36 = (VAR42 == 0) ? VAR38 : VAR42;
always @(negedge VAR23 or posedge VAR8) begin
if (VAR23 == 0) begin
VAR29 <= 'd0;
VAR33 <= 'd0;
VAR6 <= 'd0;
VAR26 <= 'd0;
end else begin
VAR29 <= (VAR36 == 0) ? 1'b0 : 1'b1;
VAR33 <= (VAR42 == 0) ? 1'b0 : 1'b1;
case (VAR36)
32'h80000000: VAR6 <= 5'h00;
32'h40000000: VAR6 <= 5'h01;
32'h20000000: VAR6 <= 5'h02;
32'h10000000: VAR6 <= 5'h03;
32'h08000000: VAR6 <= 5'h04;
32'h04000000: VAR6 <= 5'h05;
32'h02000000: VAR6 <= 5'h06;
32'h01000000: VAR6 <= 5'h07;
32'h00800000: VAR6 <= 5'h08;
32'h00400000: VAR6 <= 5'h09;
32'h00200000: VAR6 <= 5'h0a;
32'h00100000: VAR6 <= 5'h0b;
32'h00080000: VAR6 <= 5'h0c;
32'h00040000: VAR6 <= 5'h0d;
32'h00020000: VAR6 <= 5'h0e;
32'h00010000: VAR6 <= 5'h0f;
32'h00008000: VAR6 <= 5'h10;
32'h00004000: VAR6 <= 5'h11;
32'h00002000: VAR6 <= 5'h12;
32'h00001000: VAR6 <= 5'h13;
32'h00000800: VAR6 <= 5'h14;
32'h00000400: VAR6 <= 5'h15;
32'h00000200: VAR6 <= 5'h16;
32'h00000100: VAR6 <= 5'h17;
32'h00000080: VAR6 <= 5'h18;
32'h00000040: VAR6 <= 5'h19;
32'h00000020: VAR6 <= 5'h1a;
32'h00000010: VAR6 <= 5'h1b;
32'h00000008: VAR6 <= 5'h1c;
32'h00000004: VAR6 <= 5'h1d;
32'h00000002: VAR6 <= 5'h1e;
32'h00000001: VAR6 <= 5'h1f;
default: VAR6 <= 5'h1f;
endcase
VAR26 <= VAR21;
end
end
always @(negedge VAR23 or posedge VAR8) begin
if (VAR23 == 0) begin
VAR10 <= 'd0;
VAR13 <= 'd0;
VAR39 <= 'd0;
VAR30 <= 'd0;
end else begin
VAR10 <= (VAR42 == 0) ? 1'b0 : VAR32;
VAR13 <= (VAR38 == 0) ? 1'b0 : VAR32;
VAR39 <= VAR22;
VAR30 <= 'd0;
end
end
VAR19 #(.VAR12(VAR12)) VAR2 (
.VAR3 (VAR3),
.VAR27 (VAR27),
.VAR1 (VAR1),
.VAR37 (VAR37),
.VAR18 (VAR18),
.VAR35 (VAR35),
.VAR28 (VAR28),
.VAR11 (VAR11),
.VAR41 (VAR41),
.VAR40 (VAR23),
.VAR4 (VAR8),
.VAR29 (VAR29),
.VAR33 (VAR33),
.VAR6 (VAR6),
.VAR26 (VAR26),
.VAR9 (VAR22),
.VAR14 (VAR32),
.VAR15 (VAR15),
.VAR24 (VAR24),
.VAR5 (VAR5),
.VAR43 (VAR43),
.VAR31 (VAR31),
.VAR7 (VAR7),
.VAR34 (VAR34),
.VAR25 (VAR25));
endmodule
|
mit
|
AngelTerrones/ADA
|
rtl/ada_exu_div.v
| 4,283 |
module MODULE1(
input clk, input rst, input VAR5, input VAR9, input [31:0] VAR13, input [31:0] VAR1, output [31:0] VAR11, output [31:0] VAR6, output VAR10 );
reg VAR8; reg VAR4; reg [4:0] VAR12;
reg [31:0] VAR7; reg [31:0] VAR3; reg [31:0] VAR14;
wire [32:0] VAR2;
assign VAR11 = !VAR4 ? VAR7 : -VAR7;
assign VAR6 = VAR14;
assign VAR10 = VAR8;
assign VAR2 = {VAR14[30:0], VAR7[31]} - VAR3;
always @(posedge clk) begin
if (rst) begin
VAR8 <= 1'b0;
VAR4 <= 1'b0;
VAR12 <= 5'b0;
VAR7 <= 32'b0;
VAR3 <= 32'b0;
VAR14 <= 32'b0;
end
else begin
if(VAR5) begin
VAR12 <= 5'd31;
VAR7 <= (VAR13[31] == 1'b0) ? VAR13 : -VAR13;
VAR3 <= (VAR1[31] == 1'b0) ? VAR1 : -VAR1;
VAR14 <= 32'b0;
VAR4 <= VAR13[31] ^ VAR1[31];
VAR8 <= 1'b1;
end
else if (VAR9) begin
VAR12 <= 5'd31;
VAR7 <= VAR13;
VAR3 <= VAR1;
VAR14 <= 32'b0;
VAR4 <= 1'b0;
VAR8 <= 1'b1;
end
else if (VAR8) begin
if(VAR2[32] == 1'b0) begin
VAR14 <= VAR2[31:0];
VAR7 <= {VAR7[30:0], 1'b1};
end
else begin
VAR14 <= {VAR14[30:0], VAR7[31]};
VAR7 <= {VAR7[30:0], 1'b0};
end
if (VAR12 == 5'b0) begin
VAR8 <= 1'b0;
end
VAR12 <= VAR12 - 5'd1;
end
end
end
endmodule
|
mit
|
alan4186/Hardware-CNN
|
Hardware/v/window_ctrl.v
| 2,034 |
module MODULE1 (
input VAR11,
input reset,
input [VAR3:0] VAR12,
input [VAR7:0] VAR5,
input [VAR3:0] VAR9, input [VAR7:0] VAR10,
output reg VAR1,
output reg VAR4,
output reg VAR13
);
always@(posedge VAR11 or negedge reset) begin
if(reset == 1'b0) begin
VAR4 <= 1'd0;
end else if( VAR9 >= VAR12 &&
VAR9 < VAR12 + VAR2'VAR14 &&
VAR10 >= VAR5 &&
VAR10 < VAR5 + VAR8'VAR6
)
VAR4 <= 1'd1;
end
else
VAR4 <= 1'd0;
end
always@(posedge VAR11 or negedge reset) begin
if(reset == 1'b0) begin
VAR1 <= 1'd0;
end else if (VAR9 == VAR12 + VAR2'VAR14 &&
VAR10 >= VAR5 &&
VAR10 < VAR5 + VAR8'VAR6 - 1
)
VAR1 <= 1'd1;
end
else
VAR1 <= 1'd0;
end
always@(posedge VAR11 or negedge reset) begin
if (reset == 1'b0) begin
VAR13 <= 1'b0;
end else if( VAR9 == VAR12 + VAR2'VAR14 &&
VAR10 == VAR5 + VAR8'VAR6)
VAR13 <= 1'b1;
end
else
VAR13 <= 1'b0;
end
endmodule
|
mit
|
Digilent/vivado-library
|
ip/hls_contrast_stretch_1_0/hdl/verilog/start_for_Loop_lojbC.v
| 3,003 |
module MODULE1 (
clk,
VAR6,
VAR26,
VAR21,
VAR15);
parameter VAR14 = 32'd1;
parameter VAR23 = 32'd2;
parameter VAR7 = 32'd4;
input clk;
input [VAR14-1:0] VAR6;
input VAR26;
input [VAR23-1:0] VAR21;
output [VAR14-1:0] VAR15;
reg[VAR14-1:0] VAR4 [0:VAR7-1];
integer VAR2;
always @ (posedge clk)
begin
if (VAR26)
begin
for (VAR2=0;VAR2<VAR7-1;VAR2=VAR2+1)
VAR4[VAR2+1] <= VAR4[VAR2];
VAR4[0] <= VAR6;
end
end
assign VAR15 = VAR4[VAR21];
endmodule
module MODULE2 (
clk,
reset,
VAR5,
VAR18,
VAR19,
VAR25,
VAR1,
VAR11,
VAR27,
VAR13);
parameter VAR8 = "VAR17";
parameter VAR14 = 32'd1;
parameter VAR23 = 32'd2;
parameter VAR7 = 32'd4;
input clk;
input reset;
output VAR5;
input VAR18;
input VAR19;
output[VAR14 - 1:0] VAR25;
output VAR1;
input VAR11;
input VAR27;
input[VAR14 - 1:0] VAR13;
wire[VAR23 - 1:0] VAR9 ;
wire[VAR14 - 1:0] VAR12, VAR22;
wire VAR3;
reg[VAR23:0] VAR24 = {(VAR23+1){1'b1}};
reg VAR20 = 0, VAR16 = 1;
assign VAR5 = VAR20;
assign VAR1 = VAR16;
assign VAR12 = VAR13;
assign VAR25 = VAR22;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR24 <= ~{VAR23+1{1'b0}};
VAR20 <= 1'b0;
VAR16 <= 1'b1;
end
else begin
if (((VAR19 & VAR18) == 1 & VAR20 == 1) &&
((VAR27 & VAR11) == 0 | VAR16 == 0))
begin
VAR24 <= VAR24 - 1;
if (VAR24 == 0)
VAR20 <= 1'b0;
VAR16 <= 1'b1;
end
else if (((VAR19 & VAR18) == 0 | VAR20 == 0) &&
((VAR27 & VAR11) == 1 & VAR16 == 1))
begin
VAR24 <= VAR24 + 1;
VAR20 <= 1'b1;
if (VAR24 == VAR7 - 2)
VAR16 <= 1'b0;
end
end
end
assign VAR9 = VAR24[VAR23] == 1'b0 ? VAR24[VAR23-1:0]:{VAR23{1'b0}};
assign VAR3 = (VAR27 & VAR11) & VAR16;
MODULE1
.VAR14(VAR14),
.VAR23(VAR23),
.VAR7(VAR7))
VAR10 (
.clk(clk),
.VAR6(VAR12),
.VAR26(VAR3),
.VAR21(VAR9),
.VAR15(VAR22));
endmodule
|
mit
|
mzakharo/usb-de2-fpga
|
support/DE2_NIOS_DEVICE_LED/HW/cpu_0_jtag_debug_module_wrapper.v
| 9,785 |
module MODULE1 (
VAR18,
VAR12,
clk,
VAR56,
VAR3,
VAR27,
VAR13,
VAR37,
VAR50,
VAR43,
VAR15,
VAR47,
VAR20,
VAR4,
VAR1,
VAR55,
VAR25,
VAR39,
VAR10,
VAR41,
VAR57,
VAR52,
VAR35,
VAR40,
VAR6,
VAR26,
VAR16,
VAR17,
VAR5,
VAR2,
VAR14,
VAR28,
VAR29,
VAR48,
VAR36,
VAR32
)
;
output [ 37: 0] VAR57;
output VAR52;
output VAR35;
output VAR40;
output VAR6;
output VAR26;
output VAR16;
output VAR17;
output VAR5;
output VAR2;
output VAR14;
output VAR28;
output VAR29;
output VAR48;
output VAR36;
output VAR32;
input [ 31: 0] VAR18;
input [ 31: 0] VAR12;
input clk;
input VAR56;
input VAR3;
input VAR27;
input VAR13;
input VAR37;
input VAR50;
input VAR43;
input VAR15;
input VAR47;
input VAR20;
input [ 35: 0] VAR4;
input VAR1;
input [ 6: 0] VAR55;
input VAR25;
input VAR39;
input VAR10;
input VAR41;
wire [ 37: 0] VAR57;
wire VAR52;
wire [ 37: 0] VAR22;
wire VAR35;
wire VAR40;
wire VAR6;
wire VAR26;
wire VAR16;
wire VAR17;
wire VAR5;
wire VAR2;
wire VAR14;
wire VAR28;
wire VAR29;
wire VAR48;
wire VAR36;
wire VAR32;
wire VAR58;
wire [ 1: 0] VAR8;
wire [ 1: 0] VAR24;
wire VAR21;
wire VAR30;
wire VAR42;
wire VAR19;
wire VAR46;
wire VAR34;
wire VAR9;
VAR31 VAR59
(
.VAR18 (VAR18),
.VAR12 (VAR12),
.VAR56 (VAR56),
.VAR3 (VAR3),
.VAR27 (VAR27),
.VAR13 (VAR13),
.VAR37 (VAR37),
.VAR7 (VAR8),
.VAR33 (VAR24),
.VAR52 (VAR52),
.VAR11 (VAR21),
.VAR50 (VAR50),
.VAR43 (VAR43),
.VAR15 (VAR15),
.VAR47 (VAR47),
.VAR22 (VAR22),
.VAR35 (VAR35),
.VAR60 (VAR42),
.VAR45 (VAR19),
.VAR53 (VAR46),
.VAR20 (VAR20),
.VAR4 (VAR4),
.VAR1 (VAR1),
.VAR55 (VAR55),
.VAR25 (VAR25),
.VAR39 (VAR39),
.VAR10 (VAR10),
.VAR41 (VAR41),
.VAR38 (VAR58),
.VAR44 (VAR30),
.VAR54 (VAR9)
);
VAR51 VAR23
(
.clk (clk),
.VAR7 (VAR8),
.VAR57 (VAR57),
.VAR22 (VAR22),
.VAR40 (VAR40),
.VAR6 (VAR6),
.VAR26 (VAR26),
.VAR16 (VAR16),
.VAR17 (VAR17),
.VAR5 (VAR5),
.VAR2 (VAR2),
.VAR14 (VAR14),
.VAR28 (VAR28),
.VAR29 (VAR29),
.VAR48 (VAR48),
.VAR36 (VAR36),
.VAR32 (VAR32),
.VAR49 (VAR34),
.VAR54 (VAR9)
);
assign VAR42 = 1'b0;
assign VAR19 = 1'b0;
assign VAR30 = 1'b0;
assign VAR58 = 1'b0;
assign VAR21 = 1'b0;
assign VAR9 = 1'b0;
assign VAR34 = 1'b0;
assign VAR8 = 2'b0;
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/altera_ddr3_128/alt_mem_ddrx_ecc_decoder_32_syn.v
| 31,892 |
module MODULE1
(
VAR83,
VAR135) ;
input [5:0] VAR83;
output [63:0] VAR135;
tri0 [5:0] VAR83;
wire [5:0] VAR8;
wire [63:0] VAR66;
wire [63:0] VAR24;
wire [3:0] VAR133;
wire [3:0] VAR140;
wire [3:0] VAR86;
wire [3:0] VAR72;
wire [3:0] VAR18;
wire [3:0] VAR73;
wire [3:0] VAR2;
wire [3:0] VAR21;
wire [3:0] VAR64;
wire [3:0] VAR60;
wire [3:0] VAR27;
wire [3:0] VAR79;
wire [3:0] VAR9;
wire [3:0] VAR132;
wire [3:0] VAR134;
wire [3:0] VAR136;
wire [3:0] VAR85;
wire [3:0] VAR76;
wire [3:0] VAR115;
wire [3:0] VAR40;
wire [3:0] VAR43;
wire [3:0] VAR123;
wire [3:0] VAR31;
wire [3:0] VAR109;
wire [3:0] VAR119;
wire [3:0] VAR96;
wire [3:0] VAR30;
wire [3:0] VAR104;
wire [3:0] VAR75;
wire [3:0] VAR42;
wire [3:0] VAR23;
wire [3:0] VAR77;
wire [3:0] VAR84;
wire [3:0] VAR114;
wire [3:0] VAR68;
wire [3:0] VAR54;
wire [3:0] VAR26;
wire [3:0] VAR36;
wire [3:0] VAR125;
wire [3:0] VAR100;
wire [3:0] VAR70;
wire [3:0] VAR63;
wire [3:0] VAR35;
wire [3:0] VAR74;
wire [3:0] VAR116;
wire [3:0] VAR120;
wire [3:0] VAR93;
wire [3:0] VAR130;
wire [3:0] VAR47;
wire [3:0] VAR113;
wire [3:0] VAR45;
wire [3:0] VAR55;
wire [3:0] VAR53;
wire [3:0] VAR11;
wire [3:0] VAR20;
wire [3:0] VAR13;
wire [3:0] VAR80;
wire [3:0] VAR7;
wire [3:0] VAR51;
wire [3:0] VAR6;
wire [3:0] VAR91;
wire [3:0] VAR128;
wire [3:0] VAR81;
wire [3:0] VAR102;
wire [3:0] VAR32;
wire [3:0] VAR61;
wire [3:0] VAR90;
wire [3:0] VAR88;
wire [3:0] VAR69;
wire [3:0] VAR17;
wire [3:0] VAR112;
wire [3:0] VAR28;
wire [2:0] VAR124;
assign
VAR8 = VAR83,
VAR135 = VAR66,
VAR66 = VAR24[63:0],
VAR24 = {{VAR123[3], VAR43[3], VAR40[3], VAR115[3], VAR76[3], VAR85[3], VAR136[3], VAR134[3]}, {VAR9[3], VAR79[3], VAR27[3], VAR60[3], VAR64[3], VAR21[3], VAR2[3], VAR73[3]}, {VAR72[3], VAR86[3], VAR140[3], VAR133[3], VAR28[3], VAR112[3], VAR17[3], VAR69[3]}, {VAR90[3], VAR61[3], VAR32[3], VAR102[3], VAR81[3], VAR128[3], VAR91[3], VAR6[3]}, {VAR7[3], VAR80[3], VAR13[3], VAR20[3], VAR11[3], VAR53[3], VAR55[3], VAR45[3]}, {VAR47[3], VAR130[3], VAR93[3], VAR120[3], VAR116[3], VAR74[3], VAR35[3], VAR63[3]}, {VAR100[3], VAR125[3], VAR36[3], VAR26[3], VAR54[3], VAR68[3], VAR114[3], VAR84[3]}, {VAR23[3], VAR42[3], VAR75[3], VAR104[3], VAR30[3], VAR96[3], VAR119[3], VAR109[3]}},
VAR133 = {(VAR133[2] & VAR124[2]), (VAR133[1] & (~ VAR124[1])), (VAR133[0] & (~ VAR124[0])), VAR88[3]},
VAR140 = {(VAR140[2] & VAR124[2]), (VAR140[1] & (~ VAR124[1])), (VAR140[0] & VAR124[0]), VAR88[3]},
VAR86 = {(VAR86[2] & VAR124[2]), (VAR86[1] & VAR124[1]), (VAR86[0] & (~ VAR124[0])), VAR88[3]},
VAR72 = {(VAR72[2] & VAR124[2]), (VAR72[1] & VAR124[1]), (VAR72[0] & VAR124[0]), VAR88[3]},
VAR18 = {(VAR18[2] & VAR8[5]), (VAR18[1] & VAR8[4]), (VAR18[0] & (~ VAR8[3])), 1'b1},
VAR73 = {(VAR73[2] & (~ VAR124[2])), (VAR73[1] & (~ VAR124[1])), (VAR73[0] & (~ VAR124[0])), VAR18[3]},
VAR2 = {(VAR2[2] & (~ VAR124[2])), (VAR2[1] & (~ VAR124[1])), (VAR2[0] & VAR124[0]), VAR18[3]},
VAR21 = {(VAR21[2] & (~ VAR124[2])), (VAR21[1] & VAR124[1]), (VAR21[0] & (~ VAR124[0])), VAR18[3]},
VAR64 = {(VAR64[2] & (~ VAR124[2])), (VAR64[1] & VAR124[1]), (VAR64[0] & VAR124[0]), VAR18[3]},
VAR60 = {(VAR60[2] & VAR124[2]), (VAR60[1] & (~ VAR124[1])), (VAR60[0] & (~ VAR124[0])), VAR18[3]},
VAR27 = {(VAR27[2] & VAR124[2]), (VAR27[1] & (~ VAR124[1])), (VAR27[0] & VAR124[0]), VAR18[3]},
VAR79 = {(VAR79[2] & VAR124[2]), (VAR79[1] & VAR124[1]), (VAR79[0] & (~ VAR124[0])), VAR18[3]},
VAR9 = {(VAR9[2] & VAR124[2]), (VAR9[1] & VAR124[1]), (VAR9[0] & VAR124[0]), VAR18[3]},
VAR132 = {(VAR132[2] & VAR8[5]), (VAR132[1] & VAR8[4]), (VAR132[0] & VAR8[3]), 1'b1},
VAR134 = {(VAR134[2] & (~ VAR124[2])), (VAR134[1] & (~ VAR124[1])), (VAR134[0] & (~ VAR124[0])), VAR132[3]},
VAR136 = {(VAR136[2] & (~ VAR124[2])), (VAR136[1] & (~ VAR124[1])), (VAR136[0] & VAR124[0]), VAR132[3]},
VAR85 = {(VAR85[2] & (~ VAR124[2])), (VAR85[1] & VAR124[1]), (VAR85[0] & (~ VAR124[0])), VAR132[3]},
VAR76 = {(VAR76[2] & (~ VAR124[2])), (VAR76[1] & VAR124[1]), (VAR76[0] & VAR124[0]), VAR132[3]},
VAR115 = {(VAR115[2] & VAR124[2]), (VAR115[1] & (~ VAR124[1])), (VAR115[0] & (~ VAR124[0])), VAR132[3]},
VAR40 = {(VAR40[2] & VAR124[2]), (VAR40[1] & (~ VAR124[1])), (VAR40[0] & VAR124[0]), VAR132[3]},
VAR43 = {(VAR43[2] & VAR124[2]), (VAR43[1] & VAR124[1]), (VAR43[0] & (~ VAR124[0])), VAR132[3]},
VAR123 = {(VAR123[2] & VAR124[2]), (VAR123[1] & VAR124[1]), (VAR123[0] & VAR124[0]), VAR132[3]},
VAR31 = {(VAR31[2] & (~ VAR8[5])), (VAR31[1] & (~ VAR8[4])), (VAR31[0] & (~ VAR8[3])), 1'b1},
VAR109 = {(VAR109[2] & (~ VAR124[2])), (VAR109[1] & (~ VAR124[1])), (VAR109[0] & (~ VAR124[0])), VAR31[3]},
VAR119 = {(VAR119[2] & (~ VAR124[2])), (VAR119[1] & (~ VAR124[1])), (VAR119[0] & VAR124[0]), VAR31[3]},
VAR96 = {(VAR96[2] & (~ VAR124[2])), (VAR96[1] & VAR124[1]), (VAR96[0] & (~ VAR124[0])), VAR31[3]},
VAR30 = {(VAR30[2] & (~ VAR124[2])), (VAR30[1] & VAR124[1]), (VAR30[0] & VAR124[0]), VAR31[3]},
VAR104 = {(VAR104[2] & VAR124[2]), (VAR104[1] & (~ VAR124[1])), (VAR104[0] & (~ VAR124[0])), VAR31[3]},
VAR75 = {(VAR75[2] & VAR124[2]), (VAR75[1] & (~ VAR124[1])), (VAR75[0] & VAR124[0]), VAR31[3]},
VAR42 = {(VAR42[2] & VAR124[2]), (VAR42[1] & VAR124[1]), (VAR42[0] & (~ VAR124[0])), VAR31[3]},
VAR23 = {(VAR23[2] & VAR124[2]), (VAR23[1] & VAR124[1]), (VAR23[0] & VAR124[0]), VAR31[3]},
VAR77 = {(VAR77[2] & (~ VAR8[5])), (VAR77[1] & (~ VAR8[4])), (VAR77[0] & VAR8[3]), 1'b1},
VAR84 = {(VAR84[2] & (~ VAR124[2])), (VAR84[1] & (~ VAR124[1])), (VAR84[0] & (~ VAR124[0])), VAR77[3]},
VAR114 = {(VAR114[2] & (~ VAR124[2])), (VAR114[1] & (~ VAR124[1])), (VAR114[0] & VAR124[0]), VAR77[3]},
VAR68 = {(VAR68[2] & (~ VAR124[2])), (VAR68[1] & VAR124[1]), (VAR68[0] & (~ VAR124[0])), VAR77[3]},
VAR54 = {(VAR54[2] & (~ VAR124[2])), (VAR54[1] & VAR124[1]), (VAR54[0] & VAR124[0]), VAR77[3]},
VAR26 = {(VAR26[2] & VAR124[2]), (VAR26[1] & (~ VAR124[1])), (VAR26[0] & (~ VAR124[0])), VAR77[3]},
VAR36 = {(VAR36[2] & VAR124[2]), (VAR36[1] & (~ VAR124[1])), (VAR36[0] & VAR124[0]), VAR77[3]},
VAR125 = {(VAR125[2] & VAR124[2]), (VAR125[1] & VAR124[1]), (VAR125[0] & (~ VAR124[0])), VAR77[3]},
VAR100 = {(VAR100[2] & VAR124[2]), (VAR100[1] & VAR124[1]), (VAR100[0] & VAR124[0]), VAR77[3]},
VAR70 = {(VAR70[2] & (~ VAR8[5])), (VAR70[1] & VAR8[4]), (VAR70[0] & (~ VAR8[3])), 1'b1},
VAR63 = {(VAR63[2] & (~ VAR124[2])), (VAR63[1] & (~ VAR124[1])), (VAR63[0] & (~ VAR124[0])), VAR70[3]},
VAR35 = {(VAR35[2] & (~ VAR124[2])), (VAR35[1] & (~ VAR124[1])), (VAR35[0] & VAR124[0]), VAR70[3]},
VAR74 = {(VAR74[2] & (~ VAR124[2])), (VAR74[1] & VAR124[1]), (VAR74[0] & (~ VAR124[0])), VAR70[3]},
VAR116 = {(VAR116[2] & (~ VAR124[2])), (VAR116[1] & VAR124[1]), (VAR116[0] & VAR124[0]), VAR70[3]},
VAR120 = {(VAR120[2] & VAR124[2]), (VAR120[1] & (~ VAR124[1])), (VAR120[0] & (~ VAR124[0])), VAR70[3]},
VAR93 = {(VAR93[2] & VAR124[2]), (VAR93[1] & (~ VAR124[1])), (VAR93[0] & VAR124[0]), VAR70[3]},
VAR130 = {(VAR130[2] & VAR124[2]), (VAR130[1] & VAR124[1]), (VAR130[0] & (~ VAR124[0])), VAR70[3]},
VAR47 = {(VAR47[2] & VAR124[2]), (VAR47[1] & VAR124[1]), (VAR47[0] & VAR124[0]), VAR70[3]},
VAR113 = {(VAR113[2] & (~ VAR8[5])), (VAR113[1] & VAR8[4]), (VAR113[0] & VAR8[3]), 1'b1},
VAR45 = {(VAR45[2] & (~ VAR124[2])), (VAR45[1] & (~ VAR124[1])), (VAR45[0] & (~ VAR124[0])), VAR113[3]},
VAR55 = {(VAR55[2] & (~ VAR124[2])), (VAR55[1] & (~ VAR124[1])), (VAR55[0] & VAR124[0]), VAR113[3]},
VAR53 = {(VAR53[2] & (~ VAR124[2])), (VAR53[1] & VAR124[1]), (VAR53[0] & (~ VAR124[0])), VAR113[3]},
VAR11 = {(VAR11[2] & (~ VAR124[2])), (VAR11[1] & VAR124[1]), (VAR11[0] & VAR124[0]), VAR113[3]},
VAR20 = {(VAR20[2] & VAR124[2]), (VAR20[1] & (~ VAR124[1])), (VAR20[0] & (~ VAR124[0])), VAR113[3]},
VAR13 = {(VAR13[2] & VAR124[2]), (VAR13[1] & (~ VAR124[1])), (VAR13[0] & VAR124[0]), VAR113[3]},
VAR80 = {(VAR80[2] & VAR124[2]), (VAR80[1] & VAR124[1]), (VAR80[0] & (~ VAR124[0])), VAR113[3]},
VAR7 = {(VAR7[2] & VAR124[2]), (VAR7[1] & VAR124[1]), (VAR7[0] & VAR124[0]), VAR113[3]},
VAR51 = {(VAR51[2] & VAR8[5]), (VAR51[1] & (~ VAR8[4])), (VAR51[0] & (~ VAR8[3])), 1'b1},
VAR6 = {(VAR6[2] & (~ VAR124[2])), (VAR6[1] & (~ VAR124[1])), (VAR6[0] & (~ VAR124[0])), VAR51[3]},
VAR91 = {(VAR91[2] & (~ VAR124[2])), (VAR91[1] & (~ VAR124[1])), (VAR91[0] & VAR124[0]), VAR51[3]},
VAR128 = {(VAR128[2] & (~ VAR124[2])), (VAR128[1] & VAR124[1]), (VAR128[0] & (~ VAR124[0])), VAR51[3]},
VAR81 = {(VAR81[2] & (~ VAR124[2])), (VAR81[1] & VAR124[1]), (VAR81[0] & VAR124[0]), VAR51[3]},
VAR102 = {(VAR102[2] & VAR124[2]), (VAR102[1] & (~ VAR124[1])), (VAR102[0] & (~ VAR124[0])), VAR51[3]},
VAR32 = {(VAR32[2] & VAR124[2]), (VAR32[1] & (~ VAR124[1])), (VAR32[0] & VAR124[0]), VAR51[3]},
VAR61 = {(VAR61[2] & VAR124[2]), (VAR61[1] & VAR124[1]), (VAR61[0] & (~ VAR124[0])), VAR51[3]},
VAR90 = {(VAR90[2] & VAR124[2]), (VAR90[1] & VAR124[1]), (VAR90[0] & VAR124[0]), VAR51[3]},
VAR88 = {(VAR88[2] & VAR8[5]), (VAR88[1] & (~ VAR8[4])), (VAR88[0] & VAR8[3]), 1'b1},
VAR69 = {(VAR69[2] & (~ VAR124[2])), (VAR69[1] & (~ VAR124[1])), (VAR69[0] & (~ VAR124[0])), VAR88[3]},
VAR17 = {(VAR17[2] & (~ VAR124[2])), (VAR17[1] & (~ VAR124[1])), (VAR17[0] & VAR124[0]), VAR88[3]},
VAR112 = {(VAR112[2] & (~ VAR124[2])), (VAR112[1] & VAR124[1]), (VAR112[0] & (~ VAR124[0])), VAR88[3]},
VAR28 = {(VAR28[2] & (~ VAR124[2])), (VAR28[1] & VAR124[1]), (VAR28[0] & VAR124[0]), VAR88[3]},
VAR124 = VAR8[2:0];
endmodule
module MODULE3
(
VAR83,
VAR87,
VAR19,
VAR57,
VAR97,
VAR33) ;
input [38:0] VAR83;
output VAR87;
output VAR19;
output VAR57;
output VAR97;
output [31:0] VAR33;
wire [63:0] VAR92;
wire VAR127;
wire VAR25;
wire VAR38;
wire VAR129;
wire VAR107;
wire VAR44;
wire VAR39;
wire VAR49;
wire VAR41;
wire VAR56;
wire VAR105;
wire VAR95;
wire VAR131;
wire VAR108;
wire VAR139;
wire VAR118;
wire VAR103;
wire VAR12;
wire VAR5;
wire VAR50;
wire VAR46;
wire VAR122;
wire VAR3;
wire VAR99;
wire VAR65;
wire VAR89;
wire VAR52;
wire VAR10;
wire VAR143;
wire VAR71;
wire VAR141;
wire VAR106;
wire VAR34;
wire [31:0] VAR101;
wire [38:0] VAR8;
wire [63:0] VAR15;
wire VAR67;
wire VAR137;
wire VAR16;
wire [18:0] VAR4;
wire [9:0] VAR94;
wire [4:0] VAR29;
wire [1:0] VAR78;
wire [0:0] VAR98;
wire [5:0] VAR58;
wire VAR142;
wire [37:0] VAR59;
wire [5:0] VAR62;
wire [31:0] VAR117;
wire VAR110;
wire VAR121;
wire [4:0] VAR111;
wire [6:0] VAR22;
MODULE1 MODULE2
(
.VAR83(VAR22[5:0]),
.VAR135(VAR92));
assign VAR127 = (VAR22[6] == 1'b1) ? (VAR15[3] ^ VAR8[0]) : VAR8[0];
assign VAR25 = (VAR22[6] == 1'b1) ? (VAR15[5] ^ VAR8[1]) : VAR8[1];
assign VAR38 = (VAR22[6] == 1'b1) ? (VAR15[15] ^ VAR8[10]) : VAR8[10];
assign VAR129 = (VAR22[6] == 1'b1) ? (VAR15[17] ^ VAR8[11]) : VAR8[11];
assign VAR107 = (VAR22[6] == 1'b1) ? (VAR15[18] ^ VAR8[12]) : VAR8[12];
assign VAR44 = (VAR22[6] == 1'b1) ? (VAR15[19] ^ VAR8[13]) : VAR8[13];
assign VAR39 = (VAR22[6] == 1'b1) ? (VAR15[20] ^ VAR8[14]) : VAR8[14];
assign VAR49 = (VAR22[6] == 1'b1) ? (VAR15[21] ^ VAR8[15]) : VAR8[15];
assign VAR41 = (VAR22[6] == 1'b1) ? (VAR15[22] ^ VAR8[16]) : VAR8[16];
assign VAR56 = (VAR22[6] == 1'b1) ? (VAR15[23] ^ VAR8[17]) : VAR8[17];
assign VAR105 = (VAR22[6] == 1'b1) ? (VAR15[24] ^ VAR8[18]) : VAR8[18];
assign VAR95 = (VAR22[6] == 1'b1) ? (VAR15[25] ^ VAR8[19]) : VAR8[19];
assign VAR131 = (VAR22[6] == 1'b1) ? (VAR15[6] ^ VAR8[2]) : VAR8[2];
assign VAR108 = (VAR22[6] == 1'b1) ? (VAR15[26] ^ VAR8[20]) : VAR8[20];
assign VAR139 = (VAR22[6] == 1'b1) ? (VAR15[27] ^ VAR8[21]) : VAR8[21];
assign VAR118 = (VAR22[6] == 1'b1) ? (VAR15[28] ^ VAR8[22]) : VAR8[22];
assign VAR103 = (VAR22[6] == 1'b1) ? (VAR15[29] ^ VAR8[23]) : VAR8[23];
assign VAR12 = (VAR22[6] == 1'b1) ? (VAR15[30] ^ VAR8[24]) : VAR8[24];
assign VAR5 = (VAR22[6] == 1'b1) ? (VAR15[31] ^ VAR8[25]) : VAR8[25];
assign VAR50 = (VAR22[6] == 1'b1) ? (VAR15[33] ^ VAR8[26]) : VAR8[26];
assign VAR46 = (VAR22[6] == 1'b1) ? (VAR15[34] ^ VAR8[27]) : VAR8[27];
assign VAR122 = (VAR22[6] == 1'b1) ? (VAR15[35] ^ VAR8[28]) : VAR8[28];
assign VAR3 = (VAR22[6] == 1'b1) ? (VAR15[36] ^ VAR8[29]) : VAR8[29];
assign VAR99 = (VAR22[6] == 1'b1) ? (VAR15[7] ^ VAR8[3]) : VAR8[3];
assign VAR65 = (VAR22[6] == 1'b1) ? (VAR15[37] ^ VAR8[30]) : VAR8[30];
assign VAR89 = (VAR22[6] == 1'b1) ? (VAR15[38] ^ VAR8[31]) : VAR8[31];
assign VAR52 = (VAR22[6] == 1'b1) ? (VAR15[9] ^ VAR8[4]) : VAR8[4];
assign VAR10 = (VAR22[6] == 1'b1) ? (VAR15[10] ^ VAR8[5]) : VAR8[5];
assign VAR143 = (VAR22[6] == 1'b1) ? (VAR15[11] ^ VAR8[6]) : VAR8[6];
assign VAR71 = (VAR22[6] == 1'b1) ? (VAR15[12] ^ VAR8[7]) : VAR8[7];
assign VAR141 = (VAR22[6] == 1'b1) ? (VAR15[13] ^ VAR8[8]) : VAR8[8];
assign VAR106 = (VAR22[6] == 1'b1) ? (VAR15[14] ^ VAR8[9]) : VAR8[9];
assign
VAR34 = VAR101[31],
VAR101 = {(VAR101[30] | VAR15[38]), (VAR101[29] | VAR15[37]), (VAR101[28] | VAR15[36]), (VAR101[27] | VAR15[35]), (VAR101[26] | VAR15[34]), (VAR101[25] | VAR15[33]), (VAR101[24] | VAR15[31]), (VAR101[23] | VAR15[30]), (VAR101[22] | VAR15[29]), (VAR101[21] | VAR15[28]), (VAR101[20] | VAR15[27]), (VAR101[19] | VAR15[26]), (VAR101[18] | VAR15[25]), (VAR101[17] | VAR15[24]), (VAR101[16] | VAR15[23]), (VAR101[15] | VAR15[22]), (VAR101[14] | VAR15[21]), (VAR101[13] | VAR15[20]), (VAR101[12] | VAR15[19]), (VAR101[11] | VAR15[18]), (VAR101[10] | VAR15[17]), (VAR101[9] | VAR15[15]), (VAR101[8] | VAR15[14]), (VAR101[7] | VAR15[13]), (VAR101[6] | VAR15[12]), (VAR101[5] | VAR15[11]), (VAR101[4] | VAR15[10]), (VAR101[3] | VAR15[9]), (VAR101[2] | VAR15[7]), (VAR101[1] | VAR15[6]), (VAR101[0] | VAR15[5]), VAR15[3]},
VAR8 = VAR83,
VAR15 = VAR92,
VAR87 = VAR67,
VAR67 = ((VAR110 & VAR121) & VAR34),
VAR19 = VAR137,
VAR137 = (VAR110 & (~ (VAR121 & VAR142))),
VAR57 = VAR16,
VAR97 = VAR121,
VAR16 = (VAR137 & (~ VAR67)),
VAR4 = {(VAR8[30] ^ VAR4[17]), (VAR8[28] ^ VAR4[16]), (VAR8[26] ^ VAR4[15]), (VAR8[25] ^ VAR4[14]), (VAR8[23] ^ VAR4[13]), (VAR8[21] ^ VAR4[12]), (VAR8[19] ^ VAR4[11]), (VAR8[17] ^ VAR4[10]), (VAR8[15] ^ VAR4[9]), (VAR8[13] ^ VAR4[8]), (VAR8[11] ^ VAR4[7]), (VAR8[10] ^ VAR4[6]), (VAR8[8] ^ VAR4[5]), (VAR8[6] ^ VAR4[4]), (VAR8[4] ^ VAR4[3]), (VAR8[3] ^ VAR4[2]), (VAR8[1] ^ VAR4[1]), (VAR8[0] ^ VAR4[0]), VAR8[32]},
VAR94 = {(VAR8[31] ^ VAR94[8]), ((VAR8[27] ^ VAR8[28]) ^ VAR94[7]), ((VAR8[24] ^ VAR8[25]) ^ VAR94[6]), ((VAR8[20] ^ VAR8[21]) ^ VAR94[5]), ((VAR8[16] ^ VAR8[17]) ^ VAR94[4]), ((VAR8[12] ^ VAR8[13]) ^ VAR94[3]), ((VAR8[9] ^ VAR8[10]) ^ VAR94[2]), ((VAR8[5] ^ VAR8[6]) ^ VAR94[1]), ((VAR8[2] ^ VAR8[3]) ^ VAR94[0]), (VAR8[33] ^ VAR8[0])},
VAR29 = {(((VAR8[29] ^ VAR8[30]) ^ VAR8[31]) ^ VAR29[3]), ((((VAR8[22] ^ VAR8[23]) ^ VAR8[24]) ^ VAR8[25]) ^ VAR29[2]), ((((VAR8[14] ^ VAR8[15]) ^ VAR8[16]) ^ VAR8[17]) ^ VAR29[1]), ((((VAR8[7] ^ VAR8[8]) ^ VAR8[9]) ^ VAR8[10]) ^ VAR29[0]), (((VAR8[34] ^ VAR8[1]) ^ VAR8[2]) ^ VAR8[3])},
VAR78 = {((((((((VAR8[18] ^ VAR8[19]) ^ VAR8[20]) ^ VAR8[21]) ^ VAR8[22]) ^ VAR8[23]) ^ VAR8[24]) ^ VAR8[25]) ^ VAR78[0]), (((((((VAR8[35] ^ VAR8[4]) ^ VAR8[5]) ^ VAR8[6]) ^ VAR8[7]) ^ VAR8[8]) ^ VAR8[9]) ^ VAR8[10])},
VAR98 = {(((((((((((((((VAR8[36] ^ VAR8[11]) ^ VAR8[12]) ^ VAR8[13]) ^ VAR8[14]) ^ VAR8[15]) ^ VAR8[16]) ^ VAR8[17]) ^ VAR8[18]) ^ VAR8[19]) ^ VAR8[20]) ^ VAR8[21]) ^ VAR8[22]) ^ VAR8[23]) ^ VAR8[24]) ^ VAR8[25])},
VAR58 = {(VAR8[31] ^ VAR58[4]), (VAR8[30] ^ VAR58[3]), (VAR8[29] ^ VAR58[2]), (VAR8[28] ^ VAR58[1]), (VAR8[27] ^ VAR58[0]), (VAR8[37] ^ VAR8[26])},
VAR142 = VAR62[5],
VAR59 = {(VAR8[37] ^ VAR59[36]), (VAR8[36] ^ VAR59[35]), (VAR8[35] ^ VAR59[34]), (VAR8[34] ^ VAR59[33]), (VAR8[33] ^ VAR59[32]), (VAR8[32] ^ VAR59[31]), (VAR8[31] ^ VAR59[30]), (VAR8[30] ^ VAR59[29]), (VAR8[29] ^ VAR59[28]), (VAR8[28] ^ VAR59[27]), (VAR8[27] ^ VAR59[26]), (VAR8[26] ^ VAR59[25]), (VAR8[25] ^ VAR59[24]), (VAR8[24] ^ VAR59[23]), (VAR8[23] ^ VAR59[22]), (VAR8[22] ^ VAR59[21]), (VAR8[21] ^ VAR59[20]), (VAR8[20] ^ VAR59[19]), (VAR8[19] ^ VAR59[18]), (VAR8[18] ^ VAR59[17]), (VAR8[17] ^ VAR59[16]), (VAR8[16] ^ VAR59[15]), (VAR8[15] ^ VAR59[14]), (VAR8[14] ^ VAR59[13]), (VAR8[13] ^ VAR59[12]), (VAR8[12] ^ VAR59[11]), (VAR8[11] ^ VAR59[10]), (VAR8[10] ^ VAR59[9]), (VAR8[9] ^ VAR59[8]), (VAR8[8] ^ VAR59[7]), (VAR8[7] ^ VAR59[6]), (VAR8[6] ^ VAR59[5]), (VAR8[5] ^ VAR59[4]), (VAR8[4] ^ VAR59[3]), (VAR8[3] ^ VAR59[2]), (VAR8[2] ^ VAR59[1]), (VAR8[1] ^ VAR59[0]), (VAR8[38] ^ VAR8[0])},
VAR62 = {(VAR62[4] | VAR15[32]), (VAR62[3] | VAR15[16]), (VAR62[2] | VAR15[8]), (VAR62[1] | VAR15[4]), (VAR62[0] | VAR15[2]), VAR15[1]},
VAR33 = VAR117,
VAR117 = {VAR89, VAR65, VAR3, VAR122, VAR46, VAR50, VAR5, VAR12, VAR103, VAR118, VAR139, VAR108, VAR95, VAR105, VAR56, VAR41, VAR49, VAR39, VAR44, VAR107, VAR129, VAR38, VAR106, VAR141, VAR71, VAR143, VAR10, VAR52, VAR99, VAR131, VAR25, VAR127},
VAR110 = VAR111[4],
VAR121 = VAR22[6],
VAR111 = {(VAR111[3] | VAR22[5]), (VAR111[2] | VAR22[4]), (VAR111[1] | VAR22[3]), (VAR111[0] | VAR22[2]), (VAR22[0] | VAR22[1])},
VAR22 = {VAR59[37], VAR58[5], VAR98[0], VAR78[1], VAR29[4], VAR94[9], VAR4[18]};
endmodule
module MODULE2 (
VAR83,
VAR87,
VAR19,
VAR57,
VAR97,
VAR33);
input [38:0] VAR83;
output VAR87;
output VAR19;
output VAR57;
output VAR97;
output [31:0] VAR33;
wire VAR14;
wire VAR1;
wire VAR48;
wire VAR82;
wire [31:0] VAR126;
wire VAR19 = VAR14;
wire VAR57 = VAR1;
wire VAR87 = VAR48;
wire VAR97 = VAR82;
wire [31:0] VAR33 = VAR126[31:0];
MODULE3 MODULE1 (
.VAR83 (VAR83),
.VAR19 (VAR14),
.VAR57 (VAR1),
.VAR87 (VAR48),
.VAR97 (VAR82),
.VAR33 (VAR126));
endmodule
|
gpl-3.0
|
ehab93/MIPS-Processor
|
lib/mux32bits_32to1.v
| 16,159 |
module MODULE1 (
input [4:0 ] VAR9,
input [31:0] VAR22, VAR59, VAR30, VAR57, VAR39, VAR19, VAR53, VAR34, VAR26,VAR14, VAR60, VAR2, VAR55, VAR31, VAR44, VAR6,
input [31:0] VAR15, VAR24, VAR41, VAR8, VAR50, VAR65, VAR56, VAR16, VAR45,VAR32, VAR66, VAR12, VAR10, VAR7, VAR51, VAR17,
output [31:0] VAR52);
VAR54 VAR64 (.VAR52(VAR52[0 ]), .VAR22(VAR22[0 ]), .VAR59(VAR59[0 ]), .VAR30(VAR30[0 ]), .VAR57(VAR57[0 ]), .VAR39(VAR39[0 ]), .VAR19(VAR19[0 ]), .VAR53(VAR53[0 ]), .VAR34(VAR34[0 ]), .VAR26(VAR26[0 ]), .VAR14(VAR14[0 ]), .VAR60(VAR60[0 ]), .VAR2(VAR2[0 ]), .VAR55(VAR55[0 ]), .VAR31(VAR31[0 ]), .VAR44(VAR44[0 ]), .VAR6(VAR6[0 ]), .VAR15(VAR15[0 ]), .VAR24(VAR24[0 ]), .VAR41(VAR41[0 ]), .VAR8(VAR8[0 ]), .VAR50(VAR50[0 ]), .VAR65(VAR65[0 ]), .VAR56(VAR56[0 ]), .VAR16(VAR16[0 ]), .VAR45(VAR45[0 ]), .VAR32(VAR32[0 ]), .VAR66(VAR66[0 ]), .VAR12(VAR12[0 ]), .VAR10(VAR10[0 ]), .VAR7(VAR7[0 ]), .VAR51(VAR51[0 ]), .VAR17(VAR17[0 ]), .VAR9(VAR9));
VAR54 VAR62 (.VAR52(VAR52[1 ]), .VAR22(VAR22[1 ]), .VAR59(VAR59[1 ]), .VAR30(VAR30[1 ]), .VAR57(VAR57[1 ]), .VAR39(VAR39[1 ]), .VAR19(VAR19[1 ]), .VAR53(VAR53[1 ]), .VAR34(VAR34[1 ]), .VAR26(VAR26[1 ]), .VAR14(VAR14[1 ]), .VAR60(VAR60[1 ]), .VAR2(VAR2[1 ]), .VAR55(VAR55[1 ]), .VAR31(VAR31[1 ]), .VAR44(VAR44[1 ]), .VAR6(VAR6[1 ]), .VAR15(VAR15[1 ]), .VAR24(VAR24[1 ]), .VAR41(VAR41[1 ]), .VAR8(VAR8[1 ]), .VAR50(VAR50[1 ]), .VAR65(VAR65[1 ]), .VAR56(VAR56[1 ]), .VAR16(VAR16[1 ]), .VAR45(VAR45[1 ]), .VAR32(VAR32[1 ]), .VAR66(VAR66[1 ]), .VAR12(VAR12[1 ]), .VAR10(VAR10[1 ]), .VAR7(VAR7[1 ]), .VAR51(VAR51[1 ]), .VAR17(VAR17[1 ]), .VAR9(VAR9));
VAR54 VAR20 (.VAR52(VAR52[2 ]), .VAR22(VAR22[2 ]), .VAR59(VAR59[2 ]), .VAR30(VAR30[2 ]), .VAR57(VAR57[2 ]), .VAR39(VAR39[2 ]), .VAR19(VAR19[2 ]), .VAR53(VAR53[2 ]), .VAR34(VAR34[2 ]), .VAR26(VAR26[2 ]), .VAR14(VAR14[2 ]), .VAR60(VAR60[2 ]), .VAR2(VAR2[2 ]), .VAR55(VAR55[2 ]), .VAR31(VAR31[2 ]), .VAR44(VAR44[2 ]), .VAR6(VAR6[2 ]), .VAR15(VAR15[2 ]), .VAR24(VAR24[2 ]), .VAR41(VAR41[2 ]), .VAR8(VAR8[2 ]), .VAR50(VAR50[2 ]), .VAR65(VAR65[2 ]), .VAR56(VAR56[2 ]), .VAR16(VAR16[2 ]), .VAR45(VAR45[2 ]), .VAR32(VAR32[2 ]), .VAR66(VAR66[2 ]), .VAR12(VAR12[2 ]), .VAR10(VAR10[2 ]), .VAR7(VAR7[2 ]), .VAR51(VAR51[2 ]), .VAR17(VAR17[2 ]), .VAR9(VAR9));
VAR54 VAR13 (.VAR52(VAR52[3 ]), .VAR22(VAR22[3 ]), .VAR59(VAR59[3 ]), .VAR30(VAR30[3 ]), .VAR57(VAR57[3 ]), .VAR39(VAR39[3 ]), .VAR19(VAR19[3 ]), .VAR53(VAR53[3 ]), .VAR34(VAR34[3 ]), .VAR26(VAR26[3 ]), .VAR14(VAR14[3 ]), .VAR60(VAR60[3 ]), .VAR2(VAR2[3 ]), .VAR55(VAR55[3 ]), .VAR31(VAR31[3 ]), .VAR44(VAR44[3 ]), .VAR6(VAR6[3 ]), .VAR15(VAR15[3 ]), .VAR24(VAR24[3 ]), .VAR41(VAR41[3 ]), .VAR8(VAR8[3 ]), .VAR50(VAR50[3 ]), .VAR65(VAR65[3 ]), .VAR56(VAR56[3 ]), .VAR16(VAR16[3 ]), .VAR45(VAR45[3 ]), .VAR32(VAR32[3 ]), .VAR66(VAR66[3 ]), .VAR12(VAR12[3 ]), .VAR10(VAR10[3 ]), .VAR7(VAR7[3 ]), .VAR51(VAR51[3 ]), .VAR17(VAR17[3 ]), .VAR9(VAR9));
VAR54 VAR29 (.VAR52(VAR52[4 ]), .VAR22(VAR22[4 ]), .VAR59(VAR59[4 ]), .VAR30(VAR30[4 ]), .VAR57(VAR57[4 ]), .VAR39(VAR39[4 ]), .VAR19(VAR19[4 ]), .VAR53(VAR53[4 ]), .VAR34(VAR34[4 ]), .VAR26(VAR26[4 ]), .VAR14(VAR14[4 ]), .VAR60(VAR60[4 ]), .VAR2(VAR2[4 ]), .VAR55(VAR55[4 ]), .VAR31(VAR31[4 ]), .VAR44(VAR44[4 ]), .VAR6(VAR6[4 ]), .VAR15(VAR15[4 ]), .VAR24(VAR24[4 ]), .VAR41(VAR41[4 ]), .VAR8(VAR8[4 ]), .VAR50(VAR50[4 ]), .VAR65(VAR65[4 ]), .VAR56(VAR56[4 ]), .VAR16(VAR16[4 ]), .VAR45(VAR45[4 ]), .VAR32(VAR32[4 ]), .VAR66(VAR66[4 ]), .VAR12(VAR12[4 ]), .VAR10(VAR10[4 ]), .VAR7(VAR7[4 ]), .VAR51(VAR51[4 ]), .VAR17(VAR17[4 ]), .VAR9(VAR9));
VAR54 VAR27 (.VAR52(VAR52[5 ]), .VAR22(VAR22[5 ]), .VAR59(VAR59[5 ]), .VAR30(VAR30[5 ]), .VAR57(VAR57[5 ]), .VAR39(VAR39[5 ]), .VAR19(VAR19[5 ]), .VAR53(VAR53[5 ]), .VAR34(VAR34[5 ]), .VAR26(VAR26[5 ]), .VAR14(VAR14[5 ]), .VAR60(VAR60[5 ]), .VAR2(VAR2[5 ]), .VAR55(VAR55[5 ]), .VAR31(VAR31[5 ]), .VAR44(VAR44[5 ]), .VAR6(VAR6[5 ]), .VAR15(VAR15[5 ]), .VAR24(VAR24[5 ]), .VAR41(VAR41[5 ]), .VAR8(VAR8[5 ]), .VAR50(VAR50[5 ]), .VAR65(VAR65[5 ]), .VAR56(VAR56[5 ]), .VAR16(VAR16[5 ]), .VAR45(VAR45[5 ]), .VAR32(VAR32[5 ]), .VAR66(VAR66[5 ]), .VAR12(VAR12[5 ]), .VAR10(VAR10[5 ]), .VAR7(VAR7[5 ]), .VAR51(VAR51[5 ]), .VAR17(VAR17[5 ]), .VAR9(VAR9));
VAR54 VAR25 (.VAR52(VAR52[6 ]), .VAR22(VAR22[6 ]), .VAR59(VAR59[6 ]), .VAR30(VAR30[6 ]), .VAR57(VAR57[6 ]), .VAR39(VAR39[6 ]), .VAR19(VAR19[6 ]), .VAR53(VAR53[6 ]), .VAR34(VAR34[6 ]), .VAR26(VAR26[6 ]), .VAR14(VAR14[6 ]), .VAR60(VAR60[6 ]), .VAR2(VAR2[6 ]), .VAR55(VAR55[6 ]), .VAR31(VAR31[6 ]), .VAR44(VAR44[6 ]), .VAR6(VAR6[6 ]), .VAR15(VAR15[6 ]), .VAR24(VAR24[6 ]), .VAR41(VAR41[6 ]), .VAR8(VAR8[6 ]), .VAR50(VAR50[6 ]), .VAR65(VAR65[6 ]), .VAR56(VAR56[6 ]), .VAR16(VAR16[6 ]), .VAR45(VAR45[6 ]), .VAR32(VAR32[6 ]), .VAR66(VAR66[6 ]), .VAR12(VAR12[6 ]), .VAR10(VAR10[6 ]), .VAR7(VAR7[6 ]), .VAR51(VAR51[6 ]), .VAR17(VAR17[6 ]), .VAR9(VAR9));
VAR54 VAR5 (.VAR52(VAR52[7 ]), .VAR22(VAR22[7 ]), .VAR59(VAR59[7 ]), .VAR30(VAR30[7 ]), .VAR57(VAR57[7 ]), .VAR39(VAR39[7 ]), .VAR19(VAR19[7 ]), .VAR53(VAR53[7 ]), .VAR34(VAR34[7 ]), .VAR26(VAR26[7 ]), .VAR14(VAR14[7 ]), .VAR60(VAR60[7 ]), .VAR2(VAR2[7 ]), .VAR55(VAR55[7 ]), .VAR31(VAR31[7 ]), .VAR44(VAR44[7 ]), .VAR6(VAR6[7 ]), .VAR15(VAR15[7 ]), .VAR24(VAR24[7 ]), .VAR41(VAR41[7 ]), .VAR8(VAR8[7 ]), .VAR50(VAR50[7 ]), .VAR65(VAR65[7 ]), .VAR56(VAR56[7 ]), .VAR16(VAR16[7 ]), .VAR45(VAR45[7 ]), .VAR32(VAR32[7 ]), .VAR66(VAR66[7 ]), .VAR12(VAR12[7 ]), .VAR10(VAR10[7 ]), .VAR7(VAR7[7 ]), .VAR51(VAR51[7 ]), .VAR17(VAR17[7 ]), .VAR9(VAR9));
VAR54 VAR1 (.VAR52(VAR52[8 ]), .VAR22(VAR22[8 ]), .VAR59(VAR59[8 ]), .VAR30(VAR30[8 ]), .VAR57(VAR57[8 ]), .VAR39(VAR39[8 ]), .VAR19(VAR19[8 ]), .VAR53(VAR53[8 ]), .VAR34(VAR34[8 ]), .VAR26(VAR26[8 ]), .VAR14(VAR14[8 ]), .VAR60(VAR60[8 ]), .VAR2(VAR2[8 ]), .VAR55(VAR55[8 ]), .VAR31(VAR31[8 ]), .VAR44(VAR44[8 ]), .VAR6(VAR6[8 ]), .VAR15(VAR15[8 ]), .VAR24(VAR24[8 ]), .VAR41(VAR41[8 ]), .VAR8(VAR8[8 ]), .VAR50(VAR50[8 ]), .VAR65(VAR65[8 ]), .VAR56(VAR56[8 ]), .VAR16(VAR16[8 ]), .VAR45(VAR45[8 ]), .VAR32(VAR32[8 ]), .VAR66(VAR66[8 ]), .VAR12(VAR12[8 ]), .VAR10(VAR10[8 ]), .VAR7(VAR7[8 ]), .VAR51(VAR51[8 ]), .VAR17(VAR17[8 ]), .VAR9(VAR9));
VAR54 VAR4 (.VAR52(VAR52[9 ]), .VAR22(VAR22[9 ]), .VAR59(VAR59[9 ]), .VAR30(VAR30[9 ]), .VAR57(VAR57[9 ]), .VAR39(VAR39[9 ]), .VAR19(VAR19[9 ]), .VAR53(VAR53[9 ]), .VAR34(VAR34[9 ]), .VAR26(VAR26[9 ]), .VAR14(VAR14[9 ]), .VAR60(VAR60[9 ]), .VAR2(VAR2[9 ]), .VAR55(VAR55[9 ]), .VAR31(VAR31[9 ]), .VAR44(VAR44[9 ]), .VAR6(VAR6[9 ]), .VAR15(VAR15[9 ]), .VAR24(VAR24[9 ]), .VAR41(VAR41[9 ]), .VAR8(VAR8[9 ]), .VAR50(VAR50[9 ]), .VAR65(VAR65[9 ]), .VAR56(VAR56[9 ]), .VAR16(VAR16[9 ]), .VAR45(VAR45[9 ]), .VAR32(VAR32[9 ]), .VAR66(VAR66[9 ]), .VAR12(VAR12[9 ]), .VAR10(VAR10[9 ]), .VAR7(VAR7[9 ]), .VAR51(VAR51[9 ]), .VAR17(VAR17[9 ]), .VAR9(VAR9));
VAR54 VAR36(.VAR52(VAR52[10]), .VAR22(VAR22[10]), .VAR59(VAR59[10]), .VAR30(VAR30[10]), .VAR57(VAR57[10]), .VAR39(VAR39[10]), .VAR19(VAR19[10]), .VAR53(VAR53[10]), .VAR34(VAR34[10]), .VAR26(VAR26[10]), .VAR14(VAR14[10]), .VAR60(VAR60[10]), .VAR2(VAR2[10]), .VAR55(VAR55[10]), .VAR31(VAR31[10]), .VAR44(VAR44[10]), .VAR6(VAR6[10]), .VAR15(VAR15[10]), .VAR24(VAR24[10]), .VAR41(VAR41[10]), .VAR8(VAR8[10]), .VAR50(VAR50[10]), .VAR65(VAR65[10]), .VAR56(VAR56[10]), .VAR16(VAR16[10]), .VAR45(VAR45[10]), .VAR32(VAR32[10]), .VAR66(VAR66[10]), .VAR12(VAR12[10]), .VAR10(VAR10[10]), .VAR7(VAR7[10]), .VAR51(VAR51[10]), .VAR17(VAR17[10]), .VAR9(VAR9));
VAR54 VAR3(.VAR52(VAR52[11]), .VAR22(VAR22[11]), .VAR59(VAR59[11]), .VAR30(VAR30[11]), .VAR57(VAR57[11]), .VAR39(VAR39[11]), .VAR19(VAR19[11]), .VAR53(VAR53[11]), .VAR34(VAR34[11]), .VAR26(VAR26[11]), .VAR14(VAR14[11]), .VAR60(VAR60[11]), .VAR2(VAR2[11]), .VAR55(VAR55[11]), .VAR31(VAR31[11]), .VAR44(VAR44[11]), .VAR6(VAR6[11]), .VAR15(VAR15[11]), .VAR24(VAR24[11]), .VAR41(VAR41[11]), .VAR8(VAR8[11]), .VAR50(VAR50[11]), .VAR65(VAR65[11]), .VAR56(VAR56[11]), .VAR16(VAR16[11]), .VAR45(VAR45[11]), .VAR32(VAR32[11]), .VAR66(VAR66[11]), .VAR12(VAR12[11]), .VAR10(VAR10[11]), .VAR7(VAR7[11]), .VAR51(VAR51[11]), .VAR17(VAR17[11]), .VAR9(VAR9));
VAR54 VAR63(.VAR52(VAR52[12]), .VAR22(VAR22[12]), .VAR59(VAR59[12]), .VAR30(VAR30[12]), .VAR57(VAR57[12]), .VAR39(VAR39[12]), .VAR19(VAR19[12]), .VAR53(VAR53[12]), .VAR34(VAR34[12]), .VAR26(VAR26[12]), .VAR14(VAR14[12]), .VAR60(VAR60[12]), .VAR2(VAR2[12]), .VAR55(VAR55[12]), .VAR31(VAR31[12]), .VAR44(VAR44[12]), .VAR6(VAR6[12]), .VAR15(VAR15[12]), .VAR24(VAR24[12]), .VAR41(VAR41[12]), .VAR8(VAR8[12]), .VAR50(VAR50[12]), .VAR65(VAR65[12]), .VAR56(VAR56[12]), .VAR16(VAR16[12]), .VAR45(VAR45[12]), .VAR32(VAR32[12]), .VAR66(VAR66[12]), .VAR12(VAR12[12]), .VAR10(VAR10[12]), .VAR7(VAR7[12]), .VAR51(VAR51[12]), .VAR17(VAR17[12]), .VAR9(VAR9));
VAR54 VAR61(.VAR52(VAR52[13]), .VAR22(VAR22[13]), .VAR59(VAR59[13]), .VAR30(VAR30[13]), .VAR57(VAR57[13]), .VAR39(VAR39[13]), .VAR19(VAR19[13]), .VAR53(VAR53[13]), .VAR34(VAR34[13]), .VAR26(VAR26[13]), .VAR14(VAR14[13]), .VAR60(VAR60[13]), .VAR2(VAR2[13]), .VAR55(VAR55[13]), .VAR31(VAR31[13]), .VAR44(VAR44[13]), .VAR6(VAR6[13]), .VAR15(VAR15[13]), .VAR24(VAR24[13]), .VAR41(VAR41[13]), .VAR8(VAR8[13]), .VAR50(VAR50[13]), .VAR65(VAR65[13]), .VAR56(VAR56[13]), .VAR16(VAR16[13]), .VAR45(VAR45[13]), .VAR32(VAR32[13]), .VAR66(VAR66[13]), .VAR12(VAR12[13]), .VAR10(VAR10[13]), .VAR7(VAR7[13]), .VAR51(VAR51[13]), .VAR17(VAR17[13]), .VAR9(VAR9));
VAR54 VAR46(.VAR52(VAR52[14]), .VAR22(VAR22[14]), .VAR59(VAR59[14]), .VAR30(VAR30[14]), .VAR57(VAR57[14]), .VAR39(VAR39[14]), .VAR19(VAR19[14]), .VAR53(VAR53[14]), .VAR34(VAR34[14]), .VAR26(VAR26[14]), .VAR14(VAR14[14]), .VAR60(VAR60[14]), .VAR2(VAR2[14]), .VAR55(VAR55[14]), .VAR31(VAR31[14]), .VAR44(VAR44[14]), .VAR6(VAR6[14]), .VAR15(VAR15[14]), .VAR24(VAR24[14]), .VAR41(VAR41[14]), .VAR8(VAR8[14]), .VAR50(VAR50[14]), .VAR65(VAR65[14]), .VAR56(VAR56[14]), .VAR16(VAR16[14]), .VAR45(VAR45[14]), .VAR32(VAR32[14]), .VAR66(VAR66[14]), .VAR12(VAR12[14]), .VAR10(VAR10[14]), .VAR7(VAR7[14]), .VAR51(VAR51[14]), .VAR17(VAR17[14]), .VAR9(VAR9));
VAR54 VAR58(.VAR52(VAR52[15]), .VAR22(VAR22[15]), .VAR59(VAR59[15]), .VAR30(VAR30[15]), .VAR57(VAR57[15]), .VAR39(VAR39[15]), .VAR19(VAR19[15]), .VAR53(VAR53[15]), .VAR34(VAR34[15]), .VAR26(VAR26[15]), .VAR14(VAR14[15]), .VAR60(VAR60[15]), .VAR2(VAR2[15]), .VAR55(VAR55[15]), .VAR31(VAR31[15]), .VAR44(VAR44[15]), .VAR6(VAR6[15]), .VAR15(VAR15[15]), .VAR24(VAR24[15]), .VAR41(VAR41[15]), .VAR8(VAR8[15]), .VAR50(VAR50[15]), .VAR65(VAR65[15]), .VAR56(VAR56[15]), .VAR16(VAR16[15]), .VAR45(VAR45[15]), .VAR32(VAR32[15]), .VAR66(VAR66[15]), .VAR12(VAR12[15]), .VAR10(VAR10[15]), .VAR7(VAR7[15]), .VAR51(VAR51[15]), .VAR17(VAR17[15]), .VAR9(VAR9));
VAR54 VAR40(.VAR52(VAR52[16]), .VAR22(VAR22[16]), .VAR59(VAR59[16]), .VAR30(VAR30[16]), .VAR57(VAR57[16]), .VAR39(VAR39[16]), .VAR19(VAR19[16]), .VAR53(VAR53[16]), .VAR34(VAR34[16]), .VAR26(VAR26[16]), .VAR14(VAR14[16]), .VAR60(VAR60[16]), .VAR2(VAR2[16]), .VAR55(VAR55[16]), .VAR31(VAR31[16]), .VAR44(VAR44[16]), .VAR6(VAR6[16]), .VAR15(VAR15[16]), .VAR24(VAR24[16]), .VAR41(VAR41[16]), .VAR8(VAR8[16]), .VAR50(VAR50[16]), .VAR65(VAR65[16]), .VAR56(VAR56[16]), .VAR16(VAR16[16]), .VAR45(VAR45[16]), .VAR32(VAR32[16]), .VAR66(VAR66[16]), .VAR12(VAR12[16]), .VAR10(VAR10[16]), .VAR7(VAR7[16]), .VAR51(VAR51[16]), .VAR17(VAR17[16]), .VAR9(VAR9));
VAR54 VAR23(.VAR52(VAR52[17]), .VAR22(VAR22[17]), .VAR59(VAR59[17]), .VAR30(VAR30[17]), .VAR57(VAR57[17]), .VAR39(VAR39[17]), .VAR19(VAR19[17]), .VAR53(VAR53[17]), .VAR34(VAR34[17]), .VAR26(VAR26[17]), .VAR14(VAR14[17]), .VAR60(VAR60[17]), .VAR2(VAR2[17]), .VAR55(VAR55[17]), .VAR31(VAR31[17]), .VAR44(VAR44[17]), .VAR6(VAR6[17]), .VAR15(VAR15[17]), .VAR24(VAR24[17]), .VAR41(VAR41[17]), .VAR8(VAR8[17]), .VAR50(VAR50[17]), .VAR65(VAR65[17]), .VAR56(VAR56[17]), .VAR16(VAR16[17]), .VAR45(VAR45[17]), .VAR32(VAR32[17]), .VAR66(VAR66[17]), .VAR12(VAR12[17]), .VAR10(VAR10[17]), .VAR7(VAR7[17]), .VAR51(VAR51[17]), .VAR17(VAR17[17]), .VAR9(VAR9));
VAR54 VAR67(.VAR52(VAR52[18]), .VAR22(VAR22[18]), .VAR59(VAR59[18]), .VAR30(VAR30[18]), .VAR57(VAR57[18]), .VAR39(VAR39[18]), .VAR19(VAR19[18]), .VAR53(VAR53[18]), .VAR34(VAR34[18]), .VAR26(VAR26[18]), .VAR14(VAR14[18]), .VAR60(VAR60[18]), .VAR2(VAR2[18]), .VAR55(VAR55[18]), .VAR31(VAR31[18]), .VAR44(VAR44[18]), .VAR6(VAR6[18]), .VAR15(VAR15[18]), .VAR24(VAR24[18]), .VAR41(VAR41[18]), .VAR8(VAR8[18]), .VAR50(VAR50[18]), .VAR65(VAR65[18]), .VAR56(VAR56[18]), .VAR16(VAR16[18]), .VAR45(VAR45[18]), .VAR32(VAR32[18]), .VAR66(VAR66[18]), .VAR12(VAR12[18]), .VAR10(VAR10[18]), .VAR7(VAR7[18]), .VAR51(VAR51[18]), .VAR17(VAR17[18]), .VAR9(VAR9));
VAR54 VAR18(.VAR52(VAR52[19]), .VAR22(VAR22[19]), .VAR59(VAR59[19]), .VAR30(VAR30[19]), .VAR57(VAR57[19]), .VAR39(VAR39[19]), .VAR19(VAR19[19]), .VAR53(VAR53[19]), .VAR34(VAR34[19]), .VAR26(VAR26[19]), .VAR14(VAR14[19]), .VAR60(VAR60[19]), .VAR2(VAR2[19]), .VAR55(VAR55[19]), .VAR31(VAR31[19]), .VAR44(VAR44[19]), .VAR6(VAR6[19]), .VAR15(VAR15[19]), .VAR24(VAR24[19]), .VAR41(VAR41[19]), .VAR8(VAR8[19]), .VAR50(VAR50[19]), .VAR65(VAR65[19]), .VAR56(VAR56[19]), .VAR16(VAR16[19]), .VAR45(VAR45[19]), .VAR32(VAR32[19]), .VAR66(VAR66[19]), .VAR12(VAR12[19]), .VAR10(VAR10[19]), .VAR7(VAR7[19]), .VAR51(VAR51[19]), .VAR17(VAR17[19]), .VAR9(VAR9));
VAR54 VAR33(.VAR52(VAR52[20]), .VAR22(VAR22[20]), .VAR59(VAR59[20]), .VAR30(VAR30[20]), .VAR57(VAR57[20]), .VAR39(VAR39[20]), .VAR19(VAR19[20]), .VAR53(VAR53[20]), .VAR34(VAR34[20]), .VAR26(VAR26[20]), .VAR14(VAR14[20]), .VAR60(VAR60[20]), .VAR2(VAR2[20]), .VAR55(VAR55[20]), .VAR31(VAR31[20]), .VAR44(VAR44[20]), .VAR6(VAR6[20]), .VAR15(VAR15[20]), .VAR24(VAR24[20]), .VAR41(VAR41[20]), .VAR8(VAR8[20]), .VAR50(VAR50[20]), .VAR65(VAR65[20]), .VAR56(VAR56[20]), .VAR16(VAR16[20]), .VAR45(VAR45[20]), .VAR32(VAR32[20]), .VAR66(VAR66[20]), .VAR12(VAR12[20]), .VAR10(VAR10[20]), .VAR7(VAR7[20]), .VAR51(VAR51[20]), .VAR17(VAR17[20]), .VAR9(VAR9));
VAR54 VAR28(.VAR52(VAR52[21]), .VAR22(VAR22[21]), .VAR59(VAR59[21]), .VAR30(VAR30[21]), .VAR57(VAR57[21]), .VAR39(VAR39[21]), .VAR19(VAR19[21]), .VAR53(VAR53[21]), .VAR34(VAR34[21]), .VAR26(VAR26[21]), .VAR14(VAR14[21]), .VAR60(VAR60[21]), .VAR2(VAR2[21]), .VAR55(VAR55[21]), .VAR31(VAR31[21]), .VAR44(VAR44[21]), .VAR6(VAR6[21]), .VAR15(VAR15[21]), .VAR24(VAR24[21]), .VAR41(VAR41[21]), .VAR8(VAR8[21]), .VAR50(VAR50[21]), .VAR65(VAR65[21]), .VAR56(VAR56[21]), .VAR16(VAR16[21]), .VAR45(VAR45[21]), .VAR32(VAR32[21]), .VAR66(VAR66[21]), .VAR12(VAR12[21]), .VAR10(VAR10[21]), .VAR7(VAR7[21]), .VAR51(VAR51[21]), .VAR17(VAR17[21]), .VAR9(VAR9));
VAR54 VAR48(.VAR52(VAR52[22]), .VAR22(VAR22[22]), .VAR59(VAR59[22]), .VAR30(VAR30[22]), .VAR57(VAR57[22]), .VAR39(VAR39[22]), .VAR19(VAR19[22]), .VAR53(VAR53[22]), .VAR34(VAR34[22]), .VAR26(VAR26[22]), .VAR14(VAR14[22]), .VAR60(VAR60[22]), .VAR2(VAR2[22]), .VAR55(VAR55[22]), .VAR31(VAR31[22]), .VAR44(VAR44[22]), .VAR6(VAR6[22]), .VAR15(VAR15[22]), .VAR24(VAR24[22]), .VAR41(VAR41[22]), .VAR8(VAR8[22]), .VAR50(VAR50[22]), .VAR65(VAR65[22]), .VAR56(VAR56[22]), .VAR16(VAR16[22]), .VAR45(VAR45[22]), .VAR32(VAR32[22]), .VAR66(VAR66[22]), .VAR12(VAR12[22]), .VAR10(VAR10[22]), .VAR7(VAR7[22]), .VAR51(VAR51[22]), .VAR17(VAR17[22]), .VAR9(VAR9));
VAR54 VAR47(.VAR52(VAR52[23]), .VAR22(VAR22[23]), .VAR59(VAR59[23]), .VAR30(VAR30[23]), .VAR57(VAR57[23]), .VAR39(VAR39[23]), .VAR19(VAR19[23]), .VAR53(VAR53[23]), .VAR34(VAR34[23]), .VAR26(VAR26[23]), .VAR14(VAR14[23]), .VAR60(VAR60[23]), .VAR2(VAR2[23]), .VAR55(VAR55[23]), .VAR31(VAR31[23]), .VAR44(VAR44[23]), .VAR6(VAR6[23]), .VAR15(VAR15[23]), .VAR24(VAR24[23]), .VAR41(VAR41[23]), .VAR8(VAR8[23]), .VAR50(VAR50[23]), .VAR65(VAR65[23]), .VAR56(VAR56[23]), .VAR16(VAR16[23]), .VAR45(VAR45[23]), .VAR32(VAR32[23]), .VAR66(VAR66[23]), .VAR12(VAR12[23]), .VAR10(VAR10[23]), .VAR7(VAR7[23]), .VAR51(VAR51[23]), .VAR17(VAR17[23]), .VAR9(VAR9));
VAR54 VAR38(.VAR52(VAR52[24]), .VAR22(VAR22[24]), .VAR59(VAR59[24]), .VAR30(VAR30[24]), .VAR57(VAR57[24]), .VAR39(VAR39[24]), .VAR19(VAR19[24]), .VAR53(VAR53[24]), .VAR34(VAR34[24]), .VAR26(VAR26[24]), .VAR14(VAR14[24]), .VAR60(VAR60[24]), .VAR2(VAR2[24]), .VAR55(VAR55[24]), .VAR31(VAR31[24]), .VAR44(VAR44[24]), .VAR6(VAR6[24]), .VAR15(VAR15[24]), .VAR24(VAR24[24]), .VAR41(VAR41[24]), .VAR8(VAR8[24]), .VAR50(VAR50[24]), .VAR65(VAR65[24]), .VAR56(VAR56[24]), .VAR16(VAR16[24]), .VAR45(VAR45[24]), .VAR32(VAR32[24]), .VAR66(VAR66[24]), .VAR12(VAR12[24]), .VAR10(VAR10[24]), .VAR7(VAR7[24]), .VAR51(VAR51[24]), .VAR17(VAR17[24]), .VAR9(VAR9));
VAR54 VAR11(.VAR52(VAR52[25]), .VAR22(VAR22[25]), .VAR59(VAR59[25]), .VAR30(VAR30[25]), .VAR57(VAR57[25]), .VAR39(VAR39[25]), .VAR19(VAR19[25]), .VAR53(VAR53[25]), .VAR34(VAR34[25]), .VAR26(VAR26[25]), .VAR14(VAR14[25]), .VAR60(VAR60[25]), .VAR2(VAR2[25]), .VAR55(VAR55[25]), .VAR31(VAR31[25]), .VAR44(VAR44[25]), .VAR6(VAR6[25]), .VAR15(VAR15[25]), .VAR24(VAR24[25]), .VAR41(VAR41[25]), .VAR8(VAR8[25]), .VAR50(VAR50[25]), .VAR65(VAR65[25]), .VAR56(VAR56[25]), .VAR16(VAR16[25]), .VAR45(VAR45[25]), .VAR32(VAR32[25]), .VAR66(VAR66[25]), .VAR12(VAR12[25]), .VAR10(VAR10[25]), .VAR7(VAR7[25]), .VAR51(VAR51[25]), .VAR17(VAR17[25]), .VAR9(VAR9));
VAR54 VAR42(.VAR52(VAR52[26]), .VAR22(VAR22[26]), .VAR59(VAR59[26]), .VAR30(VAR30[26]), .VAR57(VAR57[26]), .VAR39(VAR39[26]), .VAR19(VAR19[26]), .VAR53(VAR53[26]), .VAR34(VAR34[26]), .VAR26(VAR26[26]), .VAR14(VAR14[26]), .VAR60(VAR60[26]), .VAR2(VAR2[26]), .VAR55(VAR55[26]), .VAR31(VAR31[26]), .VAR44(VAR44[26]), .VAR6(VAR6[26]), .VAR15(VAR15[26]), .VAR24(VAR24[26]), .VAR41(VAR41[26]), .VAR8(VAR8[26]), .VAR50(VAR50[26]), .VAR65(VAR65[26]), .VAR56(VAR56[26]), .VAR16(VAR16[26]), .VAR45(VAR45[26]), .VAR32(VAR32[26]), .VAR66(VAR66[26]), .VAR12(VAR12[26]), .VAR10(VAR10[26]), .VAR7(VAR7[26]), .VAR51(VAR51[26]), .VAR17(VAR17[26]), .VAR9(VAR9));
VAR54 VAR49(.VAR52(VAR52[27]), .VAR22(VAR22[27]), .VAR59(VAR59[27]), .VAR30(VAR30[27]), .VAR57(VAR57[27]), .VAR39(VAR39[27]), .VAR19(VAR19[27]), .VAR53(VAR53[27]), .VAR34(VAR34[27]), .VAR26(VAR26[27]), .VAR14(VAR14[27]), .VAR60(VAR60[27]), .VAR2(VAR2[27]), .VAR55(VAR55[27]), .VAR31(VAR31[27]), .VAR44(VAR44[27]), .VAR6(VAR6[27]), .VAR15(VAR15[27]), .VAR24(VAR24[27]), .VAR41(VAR41[27]), .VAR8(VAR8[27]), .VAR50(VAR50[27]), .VAR65(VAR65[27]), .VAR56(VAR56[27]), .VAR16(VAR16[27]), .VAR45(VAR45[27]), .VAR32(VAR32[27]), .VAR66(VAR66[27]), .VAR12(VAR12[27]), .VAR10(VAR10[27]), .VAR7(VAR7[27]), .VAR51(VAR51[27]), .VAR17(VAR17[27]), .VAR9(VAR9));
VAR54 VAR35(.VAR52(VAR52[28]), .VAR22(VAR22[28]), .VAR59(VAR59[28]), .VAR30(VAR30[28]), .VAR57(VAR57[28]), .VAR39(VAR39[28]), .VAR19(VAR19[28]), .VAR53(VAR53[28]), .VAR34(VAR34[28]), .VAR26(VAR26[28]), .VAR14(VAR14[28]), .VAR60(VAR60[28]), .VAR2(VAR2[28]), .VAR55(VAR55[28]), .VAR31(VAR31[28]), .VAR44(VAR44[28]), .VAR6(VAR6[28]), .VAR15(VAR15[28]), .VAR24(VAR24[28]), .VAR41(VAR41[28]), .VAR8(VAR8[28]), .VAR50(VAR50[28]), .VAR65(VAR65[28]), .VAR56(VAR56[28]), .VAR16(VAR16[28]), .VAR45(VAR45[28]), .VAR32(VAR32[28]), .VAR66(VAR66[28]), .VAR12(VAR12[28]), .VAR10(VAR10[28]), .VAR7(VAR7[28]), .VAR51(VAR51[28]), .VAR17(VAR17[28]), .VAR9(VAR9));
VAR54 VAR43(.VAR52(VAR52[29]), .VAR22(VAR22[29]), .VAR59(VAR59[29]), .VAR30(VAR30[29]), .VAR57(VAR57[29]), .VAR39(VAR39[29]), .VAR19(VAR19[29]), .VAR53(VAR53[29]), .VAR34(VAR34[29]), .VAR26(VAR26[29]), .VAR14(VAR14[29]), .VAR60(VAR60[29]), .VAR2(VAR2[29]), .VAR55(VAR55[29]), .VAR31(VAR31[29]), .VAR44(VAR44[29]), .VAR6(VAR6[29]), .VAR15(VAR15[29]), .VAR24(VAR24[29]), .VAR41(VAR41[29]), .VAR8(VAR8[29]), .VAR50(VAR50[29]), .VAR65(VAR65[29]), .VAR56(VAR56[29]), .VAR16(VAR16[29]), .VAR45(VAR45[29]), .VAR32(VAR32[29]), .VAR66(VAR66[29]), .VAR12(VAR12[29]), .VAR10(VAR10[29]), .VAR7(VAR7[29]), .VAR51(VAR51[29]), .VAR17(VAR17[29]), .VAR9(VAR9));
VAR54 VAR21(.VAR52(VAR52[30]), .VAR22(VAR22[30]), .VAR59(VAR59[30]), .VAR30(VAR30[30]), .VAR57(VAR57[30]), .VAR39(VAR39[30]), .VAR19(VAR19[30]), .VAR53(VAR53[30]), .VAR34(VAR34[30]), .VAR26(VAR26[30]), .VAR14(VAR14[30]), .VAR60(VAR60[30]), .VAR2(VAR2[30]), .VAR55(VAR55[30]), .VAR31(VAR31[30]), .VAR44(VAR44[30]), .VAR6(VAR6[30]), .VAR15(VAR15[30]), .VAR24(VAR24[30]), .VAR41(VAR41[30]), .VAR8(VAR8[30]), .VAR50(VAR50[30]), .VAR65(VAR65[30]), .VAR56(VAR56[30]), .VAR16(VAR16[30]), .VAR45(VAR45[30]), .VAR32(VAR32[30]), .VAR66(VAR66[30]), .VAR12(VAR12[30]), .VAR10(VAR10[30]), .VAR7(VAR7[30]), .VAR51(VAR51[30]), .VAR17(VAR17[30]), .VAR9(VAR9));
VAR54 VAR37(.VAR52(VAR52[31]), .VAR22(VAR22[31]), .VAR59(VAR59[31]), .VAR30(VAR30[31]), .VAR57(VAR57[31]), .VAR39(VAR39[31]), .VAR19(VAR19[31]), .VAR53(VAR53[31]), .VAR34(VAR34[31]), .VAR26(VAR26[31]), .VAR14(VAR14[31]), .VAR60(VAR60[31]), .VAR2(VAR2[31]), .VAR55(VAR55[31]), .VAR31(VAR31[31]), .VAR44(VAR44[31]), .VAR6(VAR6[31]), .VAR15(VAR15[31]), .VAR24(VAR24[31]), .VAR41(VAR41[31]), .VAR8(VAR8[31]), .VAR50(VAR50[31]), .VAR65(VAR65[31]), .VAR56(VAR56[31]), .VAR16(VAR16[31]), .VAR45(VAR45[31]), .VAR32(VAR32[31]), .VAR66(VAR66[31]), .VAR12(VAR12[31]), .VAR10(VAR10[31]), .VAR7(VAR7[31]), .VAR51(VAR51[31]), .VAR17(VAR17[31]), .VAR9(VAR9));
endmodule
|
mit
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
source/hardware/nvme/nvme_host_ctrl_4lane-1.0.0/pcie_dma_cmd_fifo.v
| 4,948 |
module MODULE1 # (
parameter VAR8 = 34,
parameter VAR12 = 5
)
(
input clk,
input VAR38,
input VAR28,
input [VAR8-1:0] VAR23,
output VAR40,
input VAR9,
output [VAR8-1:0] VAR4,
output VAR17
);
localparam VAR36 = 2;
reg [VAR12:0] VAR16;
reg [VAR12:0] VAR43;
wire [VAR12-1:0] VAR31;
reg [VAR12:0] VAR26;
assign VAR40 = ~((VAR26[VAR12] ^ VAR16[VAR12])
& (VAR26[VAR12-1:VAR36]
== VAR16[VAR12-1:VAR36]));
assign VAR17 = ~(VAR16[VAR12:VAR36]
== VAR26[VAR12:VAR36]);
always @(posedge clk or negedge VAR38)
begin
if (VAR38 == 0) begin
VAR16 <= 0;
VAR43 <= 1;
VAR26 <= 0;
end
else begin
if (VAR9 == 1) begin
VAR16 <= VAR43;
VAR43 <= VAR43 + 1;
end
if (VAR28 == 1) begin
VAR26 <= VAR26 + 1;
end
end
end
assign VAR31 = (VAR9 == 1) ? VAR43[VAR12-1:0]
: VAR16[VAR12-1:0];
localparam VAR19 = "7SERIES";
localparam VAR15 = "18Kb";
localparam VAR27 = 0;
localparam VAR34 = VAR8;
localparam VAR44 = VAR8;
localparam VAR2 = "VAR21";
localparam VAR41 = 4;
localparam VAR46 = 9;
localparam VAR39 = VAR46 - VAR12;
generate
wire [VAR46-1:0] VAR35;
wire [VAR46-1:0] VAR37;
wire [VAR39-1:0] VAR5 = 0;
if(VAR39 == 0) begin : VAR25
assign VAR35 = VAR31[VAR12-1:0];
assign VAR37 = VAR26[VAR12-1:0];
end
else begin
assign VAR35 = {VAR5[VAR39-1:0], VAR31[VAR12-1:0]};
assign VAR37 = {VAR5[VAR39-1:0], VAR26[VAR12-1:0]};
end
endgenerate
VAR7 #(
.VAR30 (VAR19),
.VAR13 (VAR15),
.VAR14 (VAR27),
.VAR45 (VAR34),
.VAR3 (VAR44),
.VAR6 (VAR2)
)
VAR22(
.VAR29 (VAR4[VAR34-1:0]),
.VAR32 (VAR23[VAR44-1:0]),
.VAR33 (VAR35),
.VAR42 (clk),
.VAR24 (1'b1),
.VAR20 (1'b1),
.VAR18 (1'b0),
.VAR47 ({VAR41{1'b1}}),
.VAR1 (VAR37),
.VAR11 (clk),
.VAR10 (VAR28)
);
endmodule
|
gpl-3.0
|
titorgalaxy/Titor
|
rtl/verilog/util/Negedge.v
| 1,217 |
module MODULE1(
VAR3,
VAR1,
reset,
clk
);
input VAR3;
output VAR1;
reg VAR2;
input reset;
input clk;
always @(posedge clk) begin
VAR2 <= VAR3;
end
assign VAR1 = ((VAR2) && (!VAR3));
endmodule
|
gpl-3.0
|
fallen/milkymist-mmu
|
cores/tmu2/rtl/tmu2_serialize.v
| 3,060 |
module MODULE1 #(
parameter VAR25 = 26
) (
input VAR32,
input VAR15,
output reg VAR6,
input VAR11,
output reg VAR2,
input [VAR25-5-1:0] VAR19,
input [VAR25-5-1:0] VAR13,
input [VAR25-5-1:0] VAR31,
input [VAR25-5-1:0] VAR27,
input VAR30,
input VAR22,
input VAR26,
input VAR3,
output reg VAR33,
input VAR29,
output reg [VAR25-5-1:0] VAR18
);
reg VAR9;
reg [VAR25-5-1:0] VAR16;
reg [VAR25-5-1:0] VAR20;
reg [VAR25-5-1:0] VAR28;
reg [VAR25-5-1:0] VAR24;
reg VAR12;
reg VAR5;
reg VAR7;
reg VAR8;
always @(posedge VAR32) begin
if(VAR9) begin
VAR16 <= VAR19;
VAR20 <= VAR13;
VAR28 <= VAR31;
VAR24 <= VAR27;
VAR12 <= VAR30;
VAR5 <= VAR22;
VAR7 <= VAR26;
VAR8 <= VAR3;
end
end
reg [1:0] VAR10;
always @ begin
VAR1 = state;
VAR6 = 1'b0;
VAR2 = 1'b0;
VAR33 = 1'b0;
VAR9 = 1'b0;
VAR10 = 2'd0;
VAR4 = 1'b0;
VAR14 = 1'b0;
case(state)
VAR17: begin
VAR2 = 1'b1;
VAR9 = 1'b1;
VAR4 = 1'b1;
if(VAR11)
VAR1 = VAR23;
end
VAR23: begin
VAR33 = 1'b1;
VAR14 = 1'b1;
if(VAR12 & VAR21[0])
VAR10 = 2'd0;
end
else if(VAR5 & VAR21[1])
VAR10 = 2'd1;
end
else if(VAR7 & VAR21[2])
VAR10 = 2'd2;
else if(VAR8 & VAR21[3])
VAR10 = 2'd3;
else begin
VAR33 = 1'b0;
VAR1 = VAR17;
end
if(~VAR29)
VAR14 = 1'b0;
end
endcase
end
endmodule
|
lgpl-3.0
|
olgirard/openmsp430
|
fpga/xilinx_diligent_s3board/rtl/verilog/coregen/ram_8x512_hi.v
| 3,951 |
module MODULE1(
addr,
clk,
din,
dout,
en,
VAR23);
input [8 : 0] addr;
input clk;
input [7 : 0] din;
output [7 : 0] dout;
input en;
input VAR23;
VAR2 #(
.VAR37(9),
.VAR29("0"),
.VAR44(512),
.VAR4(0),
.VAR27(1),
.VAR35(1),
.VAR34(1),
.VAR28(0),
.VAR26(0),
.VAR41(0),
.VAR39(0),
.VAR8(0),
.VAR31(1),
.VAR36(18),
.VAR6("VAR21"),
.VAR12(0),
.VAR42(0),
.VAR13("0"),
.VAR10(8),
.VAR45(0),
.VAR7("0"),
.VAR1(1),
.VAR24(0),
.VAR20("VAR19"),
.VAR5(0),
.VAR43("16kx1"),
.VAR46(1),
.VAR33("1024"),
.VAR30(0),
.VAR15(0),
.VAR17(1))
VAR14 (
.VAR40(addr),
.VAR16(clk),
.VAR32(din),
.VAR38(dout),
.VAR22(en),
.VAR18(VAR23),
.VAR9(),
.VAR25(),
.VAR3(),
.VAR11());
endmodule
|
bsd-3-clause
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.