repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/jbi_dbg/rtl/jbi_dbg_ctl_qctl.v
| 6,343 |
module MODULE1(
VAR15, VAR22, VAR32, VAR30, VAR26, VAR20,
clk, VAR18, VAR6, VAR9, VAR19
); input clk;
input VAR18;
input VAR6;
input VAR9;
input VAR19;
output VAR15;
output VAR22;
output VAR32;
output [VAR17:0] VAR30;
output [VAR17-1:0] VAR26;
output [VAR17-1:0] VAR20;
wire VAR15;
wire VAR22;
wire VAR32;
wire [VAR17:0] VAR30;
wire [VAR17-1:0] VAR26;
wire [VAR17-1:0] VAR20;
wire [VAR17:0] VAR4;
wire [VAR17:0] VAR27;
reg [VAR17:0] VAR25;
reg [VAR17:0] VAR8;
wire VAR5;
reg [VAR17:0] VAR11;
wire VAR23;
wire VAR14;
wire [VAR17:0] VAR2;
assign VAR23 = VAR18 & ~VAR9;
assign VAR5 = VAR15 | VAR19;
always @ ( VAR9 or VAR27) begin
if (VAR9)
VAR8 = VAR27 + 1'b1;
end
else
VAR8 = VAR27;
end
assign VAR22 = VAR4 == VAR2;
assign VAR32 = VAR27[VAR17] != VAR4[VAR17]
& VAR27[VAR17-1:0] == VAR4[VAR17-1:0];
assign VAR26 = VAR27[VAR17-1:0];
assign VAR14 = VAR6 & ~VAR22;
always @ ( VAR14 or VAR4) begin
if (VAR14)
VAR25 = VAR4 + 1'b1;
end
else
VAR25 = VAR4;
end
assign VAR20 = VAR25[VAR17-1:0];
always @ ( VAR30 or VAR14 or VAR9) begin
case ({VAR9, VAR14}) 2'b00,
2'b11: VAR11 = VAR30;
2'b01: VAR11 = VAR30 - 1'b1;
2'b10: VAR11 = VAR30 + 1'b1;
default: VAR11 = {VAR17+1{1'VAR7}};
endcase
end
VAR28 #(VAR17+1) VAR31
(.din(VAR27),
.clk(clk),
.VAR3(VAR2)
);
VAR12 #(VAR17+1) VAR34
(.din(VAR25),
.clk(clk),
.VAR18(VAR18),
.VAR3(VAR4)
);
VAR12 #(VAR17+1) VAR29
(.din(VAR8),
.clk(clk),
.VAR18(VAR18),
.VAR3(VAR27)
);
VAR12 #(VAR17+1) VAR13
(.din(VAR11),
.clk(clk),
.VAR18(VAR18),
.VAR3(VAR30)
);
VAR12 #(1) VAR33
(.din(VAR5),
.clk(clk),
.VAR18(VAR23),
.VAR3(VAR15)
);
always @ ( VAR32 or VAR9) begin
@clk;
if (VAR32 && VAR9)
VAR24 ("MODULE1", 49, "%VAR21 %VAR10: VAR1 - VAR16 VAR19!", );
end
endmodule
|
gpl-2.0
|
zhaishaomin/ring_network-based-multicore-
|
regfile_LUT_RAM.v
| 2,303 |
module MODULE2 (
clk,
VAR20,
VAR22,
VAR19,
VAR2,
VAR12,
VAR7,
VAR8);
parameter VAR16=31;
parameter VAR10=31;
parameter VAR5=5;
input clk;
input VAR20;
input [VAR5-1:0] VAR22;
input [VAR5-1:0] VAR19;
input [VAR5-1:0] VAR2;
input [VAR10-1:0] VAR12;
output [VAR10-1:0] VAR7;
output [VAR10-1:0] VAR8;
reg [VAR10-1:0] VAR11 [VAR16-1:0];
always @(posedge clk)
begin
if (VAR20)
VAR11[VAR22] <= VAR12;
end
assign VAR7 = VAR11[VAR19]; assign VAR8 = VAR11[VAR2]; endmodule
module MODULE1 (
clk,
VAR20,
VAR22,
VAR12,
VAR9,
VAR6,
VAR7,
VAR8,
VAR15,
VAR17,
VAR18,
VAR4,
VAR21,
VAR1,
VAR3,
VAR13
);
parameter VAR16=11;
parameter VAR10=16;
parameter VAR5=4;
input clk;
input VAR20;
input [VAR5-1:0] VAR22;
input [VAR10-1:0] VAR12;
input VAR9;
output [VAR10-1:0] VAR6;
output [VAR10-1:0] VAR7;
output [VAR10-1:0] VAR8;
output [VAR10-1:0] VAR15;
output [VAR10-1:0] VAR17;
output [VAR10-1:0] VAR18;
output [VAR10-1:0] VAR4;
output [VAR10-1:0] VAR21;
output [VAR10-1:0] VAR1;
output [VAR10-1:0] VAR3;
output [VAR10-1:0] VAR13;
reg [VAR10-1:0] VAR11 [VAR16-1:0];
always @(posedge clk)
begin
if (VAR20)
VAR11[VAR22] <= VAR12;
end
assign VAR6 = VAR9?VAR11[0]:16'VAR14;
assign VAR7 = VAR9?VAR11[1]:16'VAR14;
assign VAR8 = VAR9?VAR11[2]:16'VAR14;
assign VAR15 = VAR9?VAR11[3]:16'VAR14;
assign VAR17 = VAR9?VAR11[4]:16'VAR14;
assign VAR18 = VAR9?VAR11[5]:16'VAR14;
assign VAR4 = VAR9?VAR11[6]:16'VAR14;
assign VAR21 = VAR9?VAR11[7]:16'VAR14;
assign VAR1 = VAR9?VAR11[8]:16'VAR14;
assign VAR3 = VAR9?VAR11[9]:16'VAR14;
assign VAR13= VAR9?VAR11[10]:16'VAR14;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o31a/sky130_fd_sc_ls__o31a.blackbox.v
| 1,339 |
module MODULE1 (
VAR8 ,
VAR9,
VAR5,
VAR2,
VAR3
);
output VAR8 ;
input VAR9;
input VAR5;
input VAR2;
input VAR3;
supply1 VAR6;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
kyzhai/NUNY
|
src/hardware/seven_new2.v
| 6,411 |
module MODULE1 (
address,
VAR28,
VAR30);
input [9:0] address;
input VAR28;
output [11:0] VAR30;
tri1 VAR28;
wire [11:0] VAR19;
wire [11:0] VAR30 = VAR19[11:0];
VAR47 VAR18 (
.VAR39 (address),
.VAR48 (VAR28),
.VAR1 (VAR19),
.VAR34 (1'b0),
.VAR5 (1'b0),
.VAR7 (1'b1),
.VAR4 (1'b0),
.VAR31 (1'b0),
.VAR8 (1'b1),
.VAR12 (1'b1),
.VAR29 (1'b1),
.VAR46 (1'b1),
.VAR36 (1'b1),
.VAR15 (1'b1),
.VAR6 (1'b1),
.VAR41 ({12{1'b1}}),
.VAR44 (1'b1),
.VAR38 (),
.VAR22 (),
.VAR9 (1'b1),
.VAR13 (1'b1),
.VAR11 (1'b0),
.VAR52 (1'b0));
VAR18.VAR20 = "VAR3",
VAR18.VAR2 = "VAR37",
VAR18.VAR43 = "VAR37",
VAR18.VAR33 = "../VAR27/MODULE1.VAR40",
VAR18.VAR51 = "VAR16 VAR24",
VAR18.VAR23 = "VAR14=VAR25",
VAR18.VAR45 = "VAR47",
VAR18.VAR26 = 1024,
VAR18.VAR42 = "VAR49",
VAR18.VAR32 = "VAR3",
VAR18.VAR10 = "VAR17",
VAR18.VAR35 = 10,
VAR18.VAR21 = 12,
VAR18.VAR50 = 1;
endmodule
|
gpl-2.0
|
peteasa/parallella-fpga
|
AdaptevaLib/src/gpio/hdl/parallella_gpio_emio.v
| 4,752 |
module MODULE1
(
VAR28,
VAR31, VAR27,
VAR25, VAR29
);
parameter VAR8 = 24; parameter VAR9 = 0; parameter VAR10 = 64;
inout [VAR8-1:0] VAR31;
inout [VAR8-1:0] VAR27;
output [VAR10-1:0] VAR28;
input [VAR10-1:0] VAR25;
input [VAR10-1:0] VAR29;
genvar VAR13;
generate
if( VAR9 == 1 ) begin: VAR22
VAR30
.VAR33("VAR26"),
.VAR1("VAR26"),
.VAR24("VAR32"),
.VAR39("VAR34")
)
VAR11 [VAR8-1:0]
(
.VAR4(VAR28), .VAR6(VAR31), .VAR35(VAR27), .VAR12(VAR25), .VAR15(VAR29) );
end else begin: VAR21
wire [VAR8-1:0] VAR20, VAR2;
wire [VAR8-1:0] VAR36, VAR5;
wire [VAR8-1:0] VAR3, VAR7;
for(VAR13=0; VAR13<VAR8; VAR13=VAR13+2) begin : VAR38
assign VAR28[2*VAR13] = VAR20[VAR13];
assign VAR28[2*VAR13+1] = VAR20[VAR13+1];
assign VAR28[2*VAR13+2] = VAR2[VAR13];
assign VAR28[2*VAR13+3] = VAR2[VAR13+1];
assign VAR36[VAR13] = VAR25[2*VAR13];
assign VAR36[VAR13+1] = VAR25[2*VAR13+1];
assign VAR5[VAR13] = VAR25[2*VAR13+2];
assign VAR5[VAR13+1] = VAR25[2*VAR13+3];
assign VAR3[VAR13] = VAR29[2*VAR13];
assign VAR3[VAR13+1] = VAR29[2*VAR13+1];
assign VAR7[VAR13] = VAR29[2*VAR13+2];
assign VAR7[VAR13+1] = VAR29[2*VAR13+3];
end
VAR19
.VAR14(8), .VAR1("VAR26"), .VAR24("VAR16"), .VAR39("VAR18") )
VAR40 [VAR8-1:0]
(
.VAR4(VAR20), .VAR6(VAR27), .VAR12(VAR36), .VAR15(VAR3) );
VAR19
.VAR14(8), .VAR1("VAR26"), .VAR24("VAR16"), .VAR39("VAR18") )
VAR17 [VAR8-1:0]
(
.VAR4(VAR2), .VAR6(VAR31), .VAR12(VAR5), .VAR15(VAR7) );
end endgenerate
genvar VAR37;
generate for(VAR37=VAR8*2; VAR37<48; VAR37=VAR37+1) begin : VAR23
assign VAR28[VAR37]
= VAR25[VAR37] &
~VAR29[VAR37];
end
endgenerate
endmodule
|
lgpl-3.0
|
SWORDfpga/ComputerOrganizationDesign
|
labs/lab12/lab12/Code/CPU/MCtrl.v
| 5,751 |
module MODULE1(input clk,
input reset,
input [31:0] VAR28,
input VAR3,
input VAR46,
input VAR42,
output reg VAR4,
output reg VAR44,
output reg[2:0]VAR39,
output [4:0]VAR34,
output reg VAR12,
output reg VAR31,
output reg VAR37,
output reg [1:0]VAR5,
output reg VAR45,
output reg [1:0]VAR23,
output reg VAR25,
output reg [1:0]VAR32,
output reg [1:0]VAR2,
output reg VAR24,
output reg VAR7,
output reg VAR38
);
reg [4:0] state;
parameter VAR27 = 5'b00000, VAR8=5'b00001, VAR6= 5'b00010, VAR43=5'b00011, VAR30= 5'b00100,
VAR20=5'b00101, VAR41=5'b00110, VAR18= 5'b00111, VAR19= 5'b01000, VAR17=5'b01001,
VAR15 = 5'b01010, VAR22=5'b01011, VAR9= 5'b01100, VAR11= 5'b01101, VAR35=5'b01110,
VAR29=5'b01111, VAR10=11111;
parameter VAR40=3'b000, VAR21=3'b001, VAR16=3'b010, VAR14=3'b110, VAR26=3'b100, VAR33=3'b111, VAR36=3'b011, VAR13=3'b101;
assign VAR34=state;
always @ (posedge clk or posedge reset)
if (reset==1) begin
state <= VAR27;
end
else
case (state)
VAR27: begin
if(VAR42)begin
VAR39<=VAR16;
state <= VAR8;
end
else begin
state <=VAR27;
end
end
VAR8: begin
case (VAR28[31:26])
6'b000000:begin VAR1<=17'h00010;
state <= VAR6;
case (VAR28[5:0])
6'b100000: VAR39<=VAR16;
6'b100010: VAR39<=VAR14;
6'b100100: VAR39<=VAR40;
6'b100101: VAR39<=VAR21;
6'b100111: VAR39<=VAR26;
6'b101010: VAR39<=VAR33;
6'b000010: VAR39<=VAR13; 6'b000000: VAR39<=VAR36;
6'b001000: begin
VAR39<=VAR16; state <= VAR19; end
default: VAR39 <= VAR16;
endcase
end
6'b100011:begin VAR1<=17'h00050;
VAR39<=VAR16;
state <= VAR43;
end
6'b101011:begin VAR1<=17'h00050;
VAR39<=VAR16;
state <= VAR43;
end
6'b000010:begin VAR1<=17'h10160;
state <= VAR15;
end
6'b000100:begin VAR1<=17'h08090; VAR38<=1;
VAR39<= VAR14; state <= VAR41; end
6'b000101:begin VAR1<=17'h08090; VAR38<=0;
VAR39<= VAR14; state <= VAR18; end
6'b000011:begin VAR1<=17'h1076c;
state <= VAR17;
end
6'b001000:begin VAR1<=17'h00050;
VAR39 <= VAR16;
state <= VAR30;
end
6'b001010:begin VAR1<=17'h00050;
VAR39 <= VAR33;
state <= VAR30;
end
6'b001111:begin VAR1<=17'h00468;
state <= VAR20;
end
default: begin
state <= VAR10;
end
endcase
end
VAR43:begin
if(VAR28[31:26]==6'b100011)begin
end
else if(VAR28[31:26]==6'b101011)begin
end
VAR22:begin
if(VAR42)begin
end
else begin
state <=VAR22; VAR1<=17'h06050; end
end
VAR9:begin
if(VAR42)begin
VAR39<=VAR16; state <= VAR27; end
else begin
state <=VAR9; VAR1<=17'h05050; end
end
VAR29:begin
VAR39<=VAR16; state <=VAR27; end
VAR6:begin
VAR30:begin
VAR11:begin
VAR39<=VAR16; state <= VAR27; end
VAR35:begin
VAR39<=VAR16; state <= VAR27; end
VAR15:begin
VAR39<=VAR16; state <= VAR27; end
VAR18:begin
VAR39<=VAR16; state <= VAR27; end
VAR41:begin
VAR39<=VAR16; state <= VAR27; end
VAR19:begin
VAR39<=VAR16; state <= VAR27; end
VAR17:begin
VAR39<=VAR16; state <= VAR27; end
VAR20:begin
VAR39<=VAR16; state <= VAR27; end
VAR10: state <= VAR10;
default: begin
VAR39<=VAR16; state <= VAR10; end
endcase
endmodule
|
gpl-3.0
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/serialInterfaceEngine/usbTxWireArbiter.v
| 7,301 |
module MODULE1 (VAR17, VAR11, VAR1, VAR26, VAR29, VAR25, VAR33, VAR30, VAR24, VAR3, VAR16, VAR34, clk, VAR19, VAR12, VAR6, VAR5, VAR21, VAR8, rst);
input VAR17;
input [1:0] VAR11;
input VAR1;
input VAR29;
input VAR25;
input VAR3;
input clk;
input VAR19;
input [1:0] VAR12;
input VAR6;
input VAR21;
input VAR8;
input rst;
output VAR26;
output [1:0] VAR33;
output VAR30;
output VAR24;
output VAR16;
output VAR34;
output VAR5;
wire VAR17;
wire [1:0] VAR11;
wire VAR1;
reg VAR26, VAR27;
wire VAR29;
wire VAR25;
reg [1:0] VAR33, VAR7;
reg VAR30, VAR13;
reg VAR24, VAR23;
wire VAR3;
reg VAR16, VAR35;
reg VAR34, VAR9;
wire clk;
wire VAR19;
wire [1:0] VAR12;
wire VAR6;
reg VAR5, VAR22;
wire VAR21;
wire VAR8;
wire rst;
reg VAR15, VAR4;
reg [1:0] VAR28;
reg [1:0] VAR2;
always @(VAR3)
begin
VAR16 <= VAR3;
end
always @(VAR15 or VAR25 or VAR11 or
VAR17 or VAR8 or VAR12 or VAR19 or
VAR1 or VAR6)
begin
if (VAR15 == 1'b1)
begin
VAR34 <= VAR25;
VAR33 <= VAR11;
VAR30 <= VAR17;
VAR24 <= VAR1;
end
else
begin
VAR34 <= VAR8;
VAR33 <= VAR12;
VAR30 <= VAR19;
VAR24 <= VAR6;
end
end
always @ (VAR21 or VAR29 or VAR5 or VAR15 or VAR26 or VAR28)
begin : VAR10
VAR2 <= VAR28;
VAR22 <= VAR5;
VAR4 <= VAR15;
VAR27 <= VAR26;
case (VAR28)
VAR2 <= VAR32;
if (VAR21 == 1'b1)
begin
VAR2 <= VAR14;
VAR22 <= 1'b1;
VAR4 <= 1'b0;
end
else if (VAR29 == 1'b1)
begin
VAR2 <= VAR20;
VAR27 <= 1'b1;
VAR4 <= 1'b1;
end
if (VAR21 == 1'b0)
begin
VAR2 <= VAR32;
VAR22 <= 1'b0;
end
if (VAR29 == 1'b0)
begin
VAR2 <= VAR32;
VAR27 <= 1'b0;
end
endcase
end
always @ (posedge clk)
begin : VAR31
if (rst)
VAR28 <= VAR18;
end
else
VAR28 <= VAR2;
end
always @ (posedge clk)
begin : VAR36
if (rst)
begin
VAR15 <= 1'b0;
VAR5 <= 1'b0;
VAR26 <= 1'b0;
end
else
begin
VAR15 <= VAR4;
VAR5 <= VAR22;
VAR26 <= VAR27;
end
end
endmodule
|
gpl-3.0
|
davidkoltak/tawas-core
|
ip/debug_ip/rtl/debug_lsa.v
| 4,242 |
module MODULE1
(
input VAR29,
input VAR3,
input [9:0] VAR2,
input VAR11,
input VAR36,
input [31:0] VAR12,
output reg [31:0] VAR9,
output reg VAR33,
output reg [7:0] VAR1,
input VAR35,
input VAR13,
input [31:0] VAR32
);
parameter VAR20 = 1;
parameter VAR7 = 0;
parameter VAR16 = 8'd0;
reg [1:0] VAR28;
reg [1:0] VAR24;
reg [1:0] VAR17;
reg VAR37;
reg VAR26;
reg VAR31;
reg VAR15;
reg VAR6;
reg VAR34;
reg [7:0] VAR18;
reg [7:0] VAR5;
reg VAR19;
reg VAR23;
reg VAR22;
wire VAR14;
reg VAR21;
reg VAR27;
wire [31:0] VAR10 = VAR32;
reg [31:0] VAR4;
reg [31:0] VAR8;
always @ (posedge VAR29 or posedge VAR3)
if (VAR3)
begin
VAR17 <= 2'd0;
VAR28 <= 2'd0;
end
else
begin
VAR17 <= VAR24;
VAR28 <= (VAR17 == VAR28) ? VAR28 + 2'd1 : VAR28;
end
always @ (posedge VAR35)
VAR24 <= VAR28;
always @ (posedge VAR29)
if (VAR28 == 2'b01)
{VAR4, VAR21, VAR23, VAR5, VAR6, VAR26} <=
{VAR8, VAR27, VAR22, VAR18, VAR15, VAR37};
always @ (posedge VAR35)
if (VAR24 == 2'b10)
{VAR8, VAR27, VAR22, VAR1, VAR34, VAR31} <=
{VAR10, VAR14, VAR19, VAR5, VAR6, VAR26};
reg [10:0] VAR38;
assign VAR14 = VAR38[10];
reg [31:0] VAR25[1023:0];
always @ (posedge VAR35)
VAR19 <= VAR31 && (VAR38 == 11'h000);
always @ (posedge VAR35)
if (!VAR31)
VAR38 <= 11'h001;
else if (!VAR38[10] && |VAR38[9:0])
VAR38 <= VAR38 + 11'd1;
else if (VAR38 == 11'h400)
VAR38 <= (VAR34 || VAR13) ? 11'h401 : 11'h400;
else if (VAR38 != 11'h000)
VAR38 <= VAR38 + 10'd1;
always @ (posedge VAR35)
if (VAR31)
VAR25[VAR38[9:0]] <= (VAR38[10]) ? VAR32 : 32'd0;
reg VAR30;
always @ (posedge VAR29 or posedge VAR3)
if (VAR3)
begin
VAR37 <= 1'b0;
VAR15 <= 1'b0;
VAR18 <= 8'd0;
VAR30 <= 1'b0;
end
else if (!VAR30)
begin
VAR37 <= (VAR20 != 0);
VAR15 <= (VAR7 != 0);
VAR18 <= VAR16;
VAR30 <= 1'b1;
end
else if (VAR11 && (VAR2 == 10'd0))
begin
VAR37 <= VAR12[0];
VAR15 <= VAR12[1];
VAR18 <= VAR12[15:8];
end
always @ (posedge VAR29)
VAR33 <= VAR36;
always @ (posedge VAR29)
if (VAR2 == 10'd0)
VAR9 <= {16'd0, VAR18, 4'd0, VAR21, VAR23, VAR15, VAR37};
else if (VAR2 == 10'd1)
VAR9 <= VAR4;
else
VAR9 <= VAR25[VAR2];
endmodule
|
mit
|
lvd2/ngs
|
fpga/dmachans/channels/chan_ctrl.v
| 5,799 |
module MODULE1
(
input wire clk, input wire VAR32,
output reg [ 6:0] VAR18,
input wire [31:0] VAR8,
output reg [ 6:0] VAR28,
output wire [31:0] VAR31,
output reg VAR7,
input wire VAR27,
input wire [31:0] VAR26,
output reg [ 7:0] VAR20,
output reg VAR17, output reg VAR23 );
reg [ 5:0] VAR11; wire VAR24 = VAR11[5];
reg [3:0] VAR10;
reg [3:0] VAR33;
wire VAR3 = VAR26[VAR11[4:0]];
reg [31:0] VAR1;
reg VAR22;
reg VAR13;
reg [5:0] VAR21;
reg [5:0] VAR19;
reg VAR6;
reg VAR14;
reg [21:0] VAR9;
reg [1:0] VAR29;
localparam VAR16 = 4'd0;
localparam VAR5 = 4'd1; localparam VAR25 = 4'd2; localparam VAR30 = 4'd3; localparam VAR12 = 4'd4; localparam VAR4 = 4'd5;
localparam VAR15 = 4'd14;
localparam VAR2 = 4'd15;
always @(posedge clk)
if( VAR10==VAR2 )
VAR11[5:0] <= 6'd0;
else if( VAR10==VAR15 )
VAR11[5:0] <= VAR11[5:0] + 6'd1;
always @(posedge clk, negedge VAR32)
if( !VAR32 )
VAR10 <= VAR2;
else
VAR10 <= VAR33;
always @*
case( VAR10 )
VAR16:
if( VAR24 )
VAR33 = VAR2;
else if( !VAR3 )
VAR33 = VAR15;
else
VAR33 = VAR5;
VAR5:
VAR33 = VAR25;
VAR25:
VAR33 = VAR30;
VAR30:
VAR33 = VAR12;
VAR12:
VAR33 = VAR4;
VAR4:
VAR33 = VAR15;
VAR15:
VAR33 = VAR16;
VAR2:
if( VAR27 )
VAR33 = VAR16;
else
VAR33 = VAR2;
default: VAR33 = VAR2;
endcase
always @*
VAR18[6:2] <= VAR11[4:0];
always @*
VAR28[6:2] <= VAR11[4:0];
always @(posedge clk)
VAR28[1:0] <= 2'd0;
always @(posedge clk)
if( VAR10==VAR15 || VAR10==VAR2 )
begin
VAR18[1:0] <= 2'd0;
end
else if( VAR10==VAR16 || VAR10==VAR5 || VAR10==VAR25 )
begin
VAR18[1:0] <= VAR18[1:0] + 2'd1;
end
always @(posedge clk)
if( VAR10==VAR5 )
VAR1 <= VAR8;
else if( VAR10==VAR25 )
{VAR22, VAR1} <= {1'b0, VAR1} + {1'b0, 14'd0, VAR8[31:14]};
else if( VAR10==VAR12 )
VAR1[31:12] <= VAR13 ? (VAR1[31:12]+VAR8[27:8]) : VAR1[31:12];
always @(posedge clk)
if( VAR10==VAR30 )
VAR13 <= ( {VAR22,VAR1[31:12]} >= {1'b0, VAR8[27:8]} );
always @(posedge clk)
VAR7 <= VAR10==VAR4;
assign VAR31 = VAR1;
always @(posedge clk)
if( VAR10==VAR25 )
begin
VAR21 <= VAR8[11:6];
VAR19 <= VAR8[ 5:0];
VAR6 <= VAR8[13];
VAR14 <= VAR8[12];
end
always @(posedge clk)
if( VAR10==VAR30 )
VAR9[15:8] <= VAR8[7:0];
else if( VAR10==VAR12 )
VAR9[21:16] <= VAR8[5:0];
else if( VAR10==VAR4 )
begin
VAR9[7:0] <= VAR1[19:12];
VAR9[21:8] <= VAR9[21:8] + {2'd0,VAR1[31:20]};
end
always @(posedge clk, negedge VAR32)
if( !VAR32 )
VAR29 <= 2'd0;
else
VAR29[1:0] <= {VAR29[0], VAR10==VAR15};
always @(posedge clk)
if( VAR10==VAR30 )
VAR20 <= VAR1[11:4];
else if( VAR10==VAR12 )
VAR20 <= {2'd0, VAR21[5:0]};
else if( VAR10==VAR4 )
VAR20 <= {2'd0, VAR19[5:0] ^ {6{VAR14}}};
else if( VAR10==VAR15 )
VAR20 <= {2'd0, VAR9[21:16]};
else if( VAR29[0] )
VAR20 <= VAR9[15:8];
else
VAR20 <= VAR9[7:0];
always @(posedge clk, negedge VAR32)
if( !VAR32 )
VAR23 <= 1'b0;
else
VAR23 <= (VAR10==VAR30) ||
(VAR10==VAR12) ||
(VAR10==VAR4) ;
always @(posedge clk, negedge VAR32)
if( !VAR32 )
VAR17 <= 1'b0;
else
VAR17 <= (VAR10==VAR15) || VAR29;
endmodule
|
gpl-3.0
|
monotone-RK/FACE
|
MCSoC-15/8-way_4-parallel/ise/ipcore_dir/dram/user_design/rtl/controller/mig_7series_v1_9_bank_compare.v
| 10,847 |
module MODULE1 #
(parameter VAR68 = 3,
parameter VAR50 = 100,
parameter VAR9 = "8",
parameter VAR32 = 12,
parameter VAR22 = 8,
parameter VAR71 = "VAR49",
parameter VAR65 = 2,
parameter VAR44 = 4,
parameter VAR29 = 16)
(
VAR47, VAR31, VAR66, VAR14,
VAR4, VAR57, VAR17, VAR82, VAR37,
VAR5, VAR27, VAR36, VAR28, VAR77,
VAR52, VAR30, VAR45, VAR80, VAR20,
clk, VAR1, VAR40, VAR10, VAR59, VAR58, VAR75,
VAR81, VAR56, VAR21, VAR13, VAR39, VAR62, VAR61,
VAR48, VAR15, VAR38, VAR23, VAR74, VAR70
);
input clk;
input VAR1;
input VAR40;
input [VAR22-1:0]VAR10;
output reg [VAR22-1:0] VAR47;
wire [VAR22-1:0] VAR7 =
VAR40
? VAR10
: VAR47;
input VAR59;
reg VAR25;
wire VAR35 = VAR1
? VAR59
: VAR25;
output wire VAR31;
assign VAR31 = VAR25;
input VAR58;
wire VAR76;
generate
if (VAR9 == "4") begin : VAR69
assign VAR76 = 1'b0;
end
else
if (VAR9 == "8") begin : VAR73
assign VAR76 = 1'b1;
end
else
if (VAR9 == "VAR43") begin : VAR8
reg VAR16;
wire VAR26 = VAR1
? (VAR59 || VAR58)
: VAR16;
assign VAR76 = VAR16;
end
endgenerate
output wire VAR66;
assign VAR66 = VAR76;
input [2:0] VAR75;
reg [2:0] VAR53;
wire [2:0] VAR19 = VAR1
? (VAR59 ? 3'b001 : VAR75)
: VAR53;
VAR12: assert property
(@(posedge clk) ((VAR71 != "VAR49") || VAR1 || ~|VAR19[2:1]));
input VAR81;
reg VAR51;
wire VAR79 = VAR1
? ((VAR19[1:0] == 2'b11) || VAR19[0])
: ~VAR81 && VAR51;
output wire VAR14;
assign VAR14 = VAR51;
input [VAR65-1:0] VAR56;
input [VAR65-1:0] VAR21;
reg [VAR65-1:0] VAR24 = {VAR65{1'b0}};
reg [VAR65-1:0] VAR2 = {VAR65{1'b0}};
generate
if (VAR44 != 1) begin
always @(VAR1 or VAR59
or VAR21 or VAR56 or VAR24) VAR2 = VAR1
? VAR59
? VAR21
: VAR56
: VAR24;
end
endgenerate
output wire [VAR65-1:0] VAR4;
assign VAR4 = VAR24;
input [VAR68-1:0] VAR13;
reg [VAR68-1:0] VAR55;
wire [VAR68-1:0] VAR34 = VAR1 ? VAR13 : VAR55;
output wire[VAR68-1:0] VAR57;
assign VAR57 = VAR55;
input [VAR29-1:0] VAR39;
reg [VAR29-1:0] VAR33;
wire [VAR29-1:0] VAR63 = VAR1 ? VAR39 : VAR33;
output wire [VAR29-1:0] VAR17;
assign VAR17 = VAR33;
input [VAR32-1:0] VAR62;
reg [15:0] VAR78 = 16'b0;
wire [VAR32-1:0] VAR18 = VAR1 ? VAR62 : VAR78[VAR32-1:0];
reg VAR46;
wire VAR11 = VAR1
? ((VAR19[1:0] == 2'b11) || ~VAR19[0])
: VAR46;
output wire VAR82;
assign VAR82 = VAR46;
input VAR61;
output reg VAR37;
wire VAR64 = VAR1 ? VAR61 : VAR37;
wire VAR41 = (VAR24 == (VAR59
? VAR21
: VAR56));
wire VAR72 = (VAR55 == VAR13);
wire VAR42 = VAR41 && VAR72;
output reg VAR5; wire VAR3;
assign VAR3 = VAR42 && ~VAR1;
output wire VAR27;
assign VAR27 = VAR3;
wire VAR6 = (VAR33 == VAR39);
output reg VAR36;
input [VAR65-1:0] VAR48;
input VAR15;
input VAR38;
output wire VAR28;
assign VAR28 = (VAR24 == VAR48) || VAR15 || VAR38;
input VAR23;
input VAR74;
reg [15:0] VAR54 = 16'b0;
always @(VAR23 or VAR74 or VAR78
or VAR76) begin
VAR54 = VAR78;
VAR54[10] = VAR23 && ~VAR74;
VAR54[11] = VAR78[10];
VAR54[12] = VAR76;
VAR54[13] = VAR78[11];
end
output wire [VAR29-1:0] VAR77;
assign VAR77 = VAR54[VAR29-1:0];
output wire VAR52;
output wire VAR30;
output wire VAR45;
input VAR70;
assign VAR52 = 1'b0;
assign VAR30 = 1'b1;
assign VAR45 = VAR70;
output reg [VAR29-1:0] VAR80;
always @(VAR70 or VAR33) begin
VAR80 = VAR33;
if (~VAR70) VAR80[10] = 1'b0;
end
localparam VAR67 = 1;
output reg [VAR44-1:0] VAR20;
wire [VAR44-1:0] VAR60 = {VAR44{~VAR1}} & (VAR67[VAR44-1:0] << VAR2);
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/tiel/gf180mcu_fd_sc_mcu9t5v0__tiel.behavioral.v
| 1,024 |
module MODULE1( VAR4 );
output VAR4;
VAR3 VAR1(.VAR4(VAR4));
VAR3 VAR2(.VAR4(VAR4));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o21bai/sky130_fd_sc_hd__o21bai_4.v
| 2,329 |
module MODULE2 (
VAR5 ,
VAR8 ,
VAR10 ,
VAR4,
VAR3,
VAR9,
VAR7 ,
VAR6
);
output VAR5 ;
input VAR8 ;
input VAR10 ;
input VAR4;
input VAR3;
input VAR9;
input VAR7 ;
input VAR6 ;
VAR1 VAR2 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR5 ,
VAR8 ,
VAR10 ,
VAR4
);
output VAR5 ;
input VAR8 ;
input VAR10 ;
input VAR4;
supply1 VAR3;
supply0 VAR9;
supply1 VAR7 ;
supply0 VAR6 ;
VAR1 VAR2 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
olajep/oh
|
src/adi/hdl/library/common/ad_csc_CrYCb2RGB.v
| 3,509 |
module MODULE1 #(
parameter VAR2 = 16) (
input clk,
input [VAR8:0] VAR17,
input [23:0] VAR1,
output [VAR8:0] VAR15,
output [23:0] VAR6);
localparam VAR8 = VAR2 - 1;
VAR12 #(.VAR2(VAR2)) VAR5 (
.clk (clk),
.sync (VAR17),
.VAR10 (VAR1),
.VAR9 (17'h01989),
.VAR11 (17'h012a1),
.VAR7 (17'h00000),
.VAR4 (25'h10deebc),
.VAR14 (VAR15),
.VAR16 (VAR6[23:16]));
VAR12 #(.VAR2(1)) VAR3 (
.clk (clk),
.sync (1'd0),
.VAR10 (VAR1),
.VAR9 (17'h10d01),
.VAR11 (17'h012a1),
.VAR7 (17'h10644),
.VAR4 (25'h0087937),
.VAR14 (),
.VAR16 (VAR6[15:8]));
VAR12 #(.VAR2(1)) VAR13 (
.clk (clk),
.sync (1'd0),
.VAR10 (VAR1),
.VAR9 (17'h00000),
.VAR11 (17'h012a1),
.VAR7 (17'h02046),
.VAR4 (25'h1114d60),
.VAR14 (),
.VAR16 (VAR6[7:0]));
endmodule
|
mit
|
Jawanga/ece385final
|
usb_system/synthesis/submodules/usb_system_cpu_jtag_debug_module_wrapper.v
| 10,271 |
module MODULE1 (
VAR21,
VAR52,
clk,
VAR38,
VAR49,
VAR34,
VAR33,
VAR60,
VAR57,
VAR20,
VAR51,
VAR45,
VAR11,
VAR4,
VAR6,
VAR14,
VAR35,
VAR7,
VAR15,
VAR12,
VAR54,
VAR8,
VAR16,
VAR9,
VAR46,
VAR22,
VAR31,
VAR32,
VAR55,
VAR48,
VAR10,
VAR40,
VAR44,
VAR24,
VAR3,
VAR36
)
;
output [ 37: 0] VAR54;
output VAR8;
output VAR16;
output VAR9;
output VAR46;
output VAR22;
output VAR31;
output VAR32;
output VAR55;
output VAR48;
output VAR10;
output VAR40;
output VAR44;
output VAR24;
output VAR3;
output VAR36;
input [ 31: 0] VAR21;
input [ 31: 0] VAR52;
input clk;
input VAR38;
input VAR49;
input VAR34;
input VAR33;
input VAR60;
input VAR57;
input VAR20;
input VAR51;
input VAR45;
input VAR11;
input [ 35: 0] VAR4;
input VAR6;
input [ 6: 0] VAR14;
input VAR35;
input VAR7;
input VAR15;
input VAR12;
wire [ 37: 0] VAR54;
wire VAR8;
wire [ 37: 0] VAR18;
wire VAR16;
wire VAR9;
wire VAR46;
wire VAR22;
wire VAR31;
wire VAR32;
wire VAR55;
wire VAR48;
wire VAR10;
wire VAR40;
wire VAR44;
wire VAR24;
wire VAR3;
wire VAR36;
wire VAR50;
wire [ 1: 0] VAR29;
wire [ 1: 0] VAR25;
wire VAR59;
wire VAR27;
wire VAR17;
wire VAR2;
wire VAR37;
wire VAR23;
wire VAR56;
VAR47 VAR1
(
.VAR21 (VAR21),
.VAR52 (VAR52),
.VAR38 (VAR38),
.VAR49 (VAR49),
.VAR34 (VAR34),
.VAR33 (VAR33),
.VAR60 (VAR60),
.VAR42 (VAR29),
.VAR13 (VAR25),
.VAR8 (VAR8),
.VAR41 (VAR59),
.VAR57 (VAR57),
.VAR20 (VAR20),
.VAR51 (VAR51),
.VAR45 (VAR45),
.VAR18 (VAR18),
.VAR16 (VAR16),
.VAR53 (VAR17),
.VAR58 (VAR2),
.VAR43 (VAR37),
.VAR11 (VAR11),
.VAR4 (VAR4),
.VAR6 (VAR6),
.VAR14 (VAR14),
.VAR35 (VAR35),
.VAR7 (VAR7),
.VAR15 (VAR15),
.VAR12 (VAR12),
.VAR19 (VAR50),
.VAR26 (VAR27),
.VAR28 (VAR56)
);
VAR39 VAR5
(
.clk (clk),
.VAR42 (VAR29),
.VAR54 (VAR54),
.VAR18 (VAR18),
.VAR9 (VAR9),
.VAR46 (VAR46),
.VAR22 (VAR22),
.VAR31 (VAR31),
.VAR32 (VAR32),
.VAR55 (VAR55),
.VAR48 (VAR48),
.VAR10 (VAR10),
.VAR40 (VAR40),
.VAR44 (VAR44),
.VAR24 (VAR24),
.VAR3 (VAR3),
.VAR36 (VAR36),
.VAR30 (VAR23),
.VAR28 (VAR56)
);
assign VAR17 = 1'b0;
assign VAR2 = 1'b0;
assign VAR27 = 1'b0;
assign VAR50 = 1'b0;
assign VAR59 = 1'b0;
assign VAR56 = 1'b0;
assign VAR23 = 1'b0;
assign VAR29 = 2'b0;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkdlyinv3sd2/sky130_fd_sc_ms__clkdlyinv3sd2.functional.v
| 1,344 |
module MODULE1 (
VAR3,
VAR2
);
output VAR3;
input VAR2;
wire VAR4;
not VAR5 (VAR4, VAR2 );
buf VAR1 (VAR3 , VAR4 );
endmodule
|
apache-2.0
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_mig_7series_0_0/system_mig_7series_0_0/example_design/rtl/traffic_gen/mig_7series_v4_0_tg.v
| 27,431 |
module MODULE1 #(
parameter VAR60 = 32, parameter VAR3 = 32,
parameter VAR24 = 0, parameter VAR9 = 32'h0,
parameter VAR45 = 32'h000000FF,
parameter VAR52 = 0,
parameter VAR53 = 3,
parameter VAR25 = 16,
parameter VAR103 = 16,
parameter VAR33 = 40,
parameter VAR90 = 40,
parameter VAR75 = 0,
parameter VAR88 = 8'h11,
parameter VAR40 = 32'hFFFFD000,
parameter VAR82 = 32'h00002000,
parameter VAR100 = 3'b000
)
(
input clk, input VAR14,
input VAR78, input VAR63, input VAR72,
input VAR44, output reg VAR6, output [2:0] VAR71, output reg [7:0] VAR13, output reg [31:0] addr, output [VAR53-1:0] VAR56,
input VAR54, output VAR18, output reg VAR35, output [VAR3-1:0] VAR80, output [VAR3/8-1:0] VAR101, input VAR74, input [VAR25-1:0] VAR68,
input VAR5, input [VAR3-1:0] VAR51, input [VAR3/8-1:0] VAR46, input VAR73, input [VAR103-1:0] VAR84, output VAR42,
output reg VAR93, output reg VAR62, output reg VAR83, output reg VAR50, output VAR39, output VAR29, output VAR27,
output VAR15,
output [VAR3-1:0] VAR41, output reg VAR61, output [VAR33-1:0] VAR28, output reg VAR104, output [VAR90-1:0] VAR4 );
parameter [8:0] VAR99 = 8'd0,
VAR7 = 8'd1,
VAR1 = 8'd2,
VAR97 = 8'd3,
VAR87 = 8'd4,
VAR8 = 8'd5,
VAR65 = 8'd6,
VAR43 = 8'd7;
wire [2:0] VAR92;
wire VAR98;
wire VAR36;
wire [31:0] VAR22;
wire VAR94;
wire [31:0] VAR26;
wire [31:0] VAR55;
wire [7:0] VAR57;
wire [31:0] VAR12;
wire [31:0] VAR95;
wire VAR30;
wire VAR48;
wire VAR58;
reg [7:0] VAR70;
wire [7:0] VAR91;
reg [7:0] VAR49;
reg [7:0] VAR21;
reg [7:0] VAR20;
wire VAR102;
wire VAR32;
wire VAR47;
wire VAR79;
reg [7:0] VAR67;
reg [7:0] VAR69;
reg [2:0] VAR34;
reg [2:0] VAR66;
reg VAR16;
reg [7:0] VAR2;
reg VAR11;
reg VAR10;
reg VAR31;
reg [31:0] VAR89;
reg [31:0] VAR37;
reg [7:0] VAR86;
reg [7:0] VAR96;
reg VAR76;
reg VAR59;
reg VAR17;
reg [7:0] VAR77;
reg VAR81;
reg VAR85;
reg VAR23;
reg VAR38;
reg [VAR25-1:0] VAR19; reg [VAR103-1:0] VAR64;
always @(posedge clk) begin
if (!VAR14 | VAR63)
VAR67 <= 8'h1;
end
else
VAR67 <= VAR69;
end
always @ begin
if (VAR39) begin
if (VAR93)
if (VAR62)
if (VAR83)
if (VAR50)
if (!VAR93 & !VAR62 & !VAR83 & !VAR50)
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a221o/sky130_fd_sc_hs__a221o.functional.v
| 2,091 |
module MODULE1 (
VAR10,
VAR8,
VAR5 ,
VAR18 ,
VAR9 ,
VAR2 ,
VAR16 ,
VAR7
);
input VAR10;
input VAR8;
output VAR5 ;
input VAR18 ;
input VAR9 ;
input VAR2 ;
input VAR16 ;
input VAR7 ;
wire VAR16 VAR13 ;
wire VAR16 VAR11 ;
wire VAR3 ;
wire VAR14;
and VAR15 (VAR13 , VAR2, VAR16 );
and VAR17 (VAR11 , VAR18, VAR9 );
or VAR1 (VAR3 , VAR11, VAR13, VAR7);
VAR4 VAR12 (VAR14, VAR3, VAR10, VAR8 );
buf VAR6 (VAR5 , VAR14 );
endmodule
|
apache-2.0
|
jobisoft/jTDC
|
modules/sampler/carrysampler_spartan6_20ps.v
| 3,621 |
module MODULE2 (VAR23, VAR35, VAR3, VAR33, VAR10);
output wire [3:0] VAR33;
output wire VAR3;
input wire VAR35;
input wire VAR10;
input wire VAR23;
wire [3:0] VAR2;
VAR5 VAR8 (
.VAR3(VAR2), .VAR27(), .VAR35(VAR35), .VAR14(VAR23), .VAR28(), .VAR12(4'b1111) );
assign VAR3 = VAR2[3];
VAR4 #(.VAR30(1'b0)) VAR24 (.VAR22(VAR2[3]), .VAR13(VAR33[3]), .VAR19(VAR10), .VAR31(1'b1), .VAR17(1'b0));
VAR4 #(.VAR30(1'b0)) VAR29 (.VAR22(VAR2[2]), .VAR13(VAR33[2]), .VAR19(VAR10), .VAR31(1'b1), .VAR17(1'b0));
VAR4 #(.VAR30(1'b0)) VAR1 (.VAR22(VAR2[1]), .VAR13(VAR33[1]), .VAR19(VAR10), .VAR31(1'b1), .VAR17(1'b0));
VAR4 #(.VAR30(1'b0)) VAR20 (.VAR22(VAR2[0]), .VAR13(VAR33[0]), .VAR19(VAR10), .VAR31(1'b1), .VAR17(1'b0));
endmodule
module MODULE1 (VAR7, VAR11, VAR10);
parameter VAR15 = 74;
parameter VAR32 = 1;
input wire VAR7;
input wire VAR10;
output wire [VAR15-1:0] VAR11;
wire [(VAR15*VAR32/4)-1:0] VAR18;
wire [VAR15*VAR32-1:0] VAR9;
genvar VAR16,VAR21;
generate
MODULE2 VAR6(
.VAR33({VAR9[2],VAR9[3],VAR9[0],VAR9[1]}),
.VAR23(VAR7),
.VAR35(1'b0),
.VAR3(VAR18[0]),
.VAR10(VAR10)
);
for (VAR16=1; VAR16 < VAR15*VAR32/4; VAR16=VAR16+1) begin : VAR34
MODULE2 VAR25(
.VAR33({VAR9[4*VAR16+2],VAR9[4*VAR16+3],VAR9[4*VAR16+0],VAR9[4*VAR16+1]}), .VAR23(1'b0),
.VAR35(VAR18[VAR16-1]),
.VAR3(VAR18[VAR16]),
.VAR10(VAR10)
);
end
for (VAR21=0; VAR21 < VAR15; VAR21=VAR21+1) begin : VAR26
assign VAR11[VAR21] = VAR9[VAR21*VAR32];
end
endgenerate
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/decaphetap/sky130_fd_sc_ls__decaphetap.functional.pp.v
| 1,126 |
module MODULE1 (
VAR1,
VAR3,
VAR2
);
input VAR1;
input VAR3;
input VAR2 ;
endmodule
|
apache-2.0
|
AloriumTechnology/XLR8Pong
|
extras/rtl/my_rand.v
| 3,700 |
module MODULE1(clk,
rst,
VAR1,
VAR4
);
input clk;
input rst;
input [31:0] VAR1;
output [31:0] VAR4;
reg [31:0] VAR4;
reg [31:0] VAR3;
reg [31:0] VAR7,VAR2;
reg [5:0] VAR5;
always @(posedge clk) begin
VAR5 <= {VAR5[4:0], rst};
end
wire VAR6 = | VAR5 ;
always @(posedge clk) begin
VAR3 <= VAR1;
end
always @(posedge clk) begin
VAR4 = VAR3 ^ VAR7 ^ ~VAR2;
end
always @(posedge clk or posedge VAR6) begin
if(VAR6) begin
VAR7 = 32'hab312def;
VAR2 = 32'hcd975130;
end else begin
VAR7[31] = VAR2[00]| ~VAR7[09]| VAR7[13];
VAR7[30] = ~VAR3[17]^ ~VAR7[06]| ~VAR2[01];
VAR7[29] = VAR7[08]^ ~VAR3[07]^ VAR7[03];
VAR7[28] = VAR2[20]^ VAR7[02]& ~VAR2[01];
VAR7[27] = ~VAR7[01]& VAR3[18]^ ~VAR7[13];
VAR7[26] = VAR3[04]^ VAR3[16]| ~VAR2[22];
VAR7[25] = ~VAR2[20]| VAR7[14]^ ~VAR3[05];
VAR7[24] = ~VAR3[04]^ VAR3[20]& ~VAR7[22];
VAR7[23] = VAR3[15]^ ~VAR2[07]^ VAR7[08];
VAR7[22] = VAR2[05]| VAR7[13]^ VAR7[20];
VAR7[21] = ~VAR7[12]& VAR3[14]^ ~VAR7[16];
VAR7[20] = ~VAR3[11]^ ~VAR7[11]^ VAR2[01];
VAR7[19] = VAR2[00]^ VAR3[08]^ ~VAR2[18];
VAR7[18] = ~VAR7[13]^ VAR2[08]^ VAR2[15];
VAR7[17] = ~VAR3[12]& ~VAR3[16]| VAR3[15];
VAR7[16] = ~VAR2[14]| ~VAR7[17]| ~VAR3[20];
VAR7[15] = ~VAR3[18]& ~VAR7[08]^ VAR2[07];
VAR7[14] = VAR3[13]^ ~VAR3[15]^ ~VAR7[12];
VAR7[13] = VAR3[10]^ ~VAR7[13]^ ~VAR3[22];
VAR7[12] = ~VAR2[07]& VAR2[03]& VAR2[01];
VAR7[11] = ~VAR3[06]^ ~VAR2[11]| ~VAR7[02];
VAR7[10] = VAR7[19]^ VAR7[03]^ ~VAR7[22];
VAR7[09] = VAR3[15]^ VAR2[11]^ ~VAR7[10];
VAR7[08] = ~VAR7[15]^ VAR2[22]^ VAR3[07];
VAR7[07] = ~VAR7[10]& ~VAR2[10]| VAR3[01];
VAR7[06] = ~VAR2[05]^ ~VAR3[16]^ ~VAR7[01];
VAR7[05] = VAR7[22]& ~VAR2[00]^ VAR7[07];
VAR7[04] = VAR3[12]& ~VAR7[15]^ ~VAR3[02];
VAR7[03] = ~VAR2[22]& ~VAR3[12]| ~VAR7[03];
VAR7[02] = VAR2[17]^ ~VAR7[02]^ ~VAR7[21];
VAR7[01] = VAR2[05]^ ~VAR2[09]^ ~VAR3[02];
VAR7[00] = VAR7[31] ^ VAR2[16]^ ~VAR7[14];
VAR2[31] = ~VAR7[20]& VAR7[14]^ VAR7[18];
VAR2[30] = VAR7[12]& ~VAR7[04]^ ~VAR3[15];
VAR2[29] = ~VAR2[04]^ VAR7[02]| VAR7[02];
VAR2[28] = VAR2[15]^ ~VAR3[10]| VAR3[15];
VAR2[27] = VAR2[04]& VAR3[01]^ VAR7[18];
VAR2[26] = ~VAR3[09]^ VAR7[22]^ ~VAR7[20];
VAR2[25] = ~VAR3[08]| ~VAR3[19]^ ~VAR3[02];
VAR2[24] = VAR3[19]^ VAR7[09]| ~VAR3[08];
VAR2[23] = VAR7[21]^ VAR7[13]^ ~VAR2[02];
VAR2[22] = VAR2[16]| ~VAR3[03]| ~VAR2[17];
VAR2[21] = VAR3[07]| VAR7[20]^ VAR7[13];
VAR2[20] = ~VAR2[22]& VAR3[22]& VAR7[15];
VAR2[19] = VAR3[06]& VAR2[04]^ VAR2[12];
VAR2[18] = VAR2[21]^ VAR2[01]^ ~VAR2[21];
VAR2[17] = ~VAR7[00]^ ~VAR3[15]^ VAR2[08];
VAR2[16] = ~VAR2[21]^ ~VAR7[07]^ ~VAR7[06];
VAR2[15] = ~VAR2[20]^ VAR3[20]| VAR7[00];
VAR2[14] = ~VAR2[09]^ VAR2[18]^ VAR7[14];
VAR2[13] = ~VAR3[02]& ~VAR3[08]^ ~VAR7[02];
VAR2[12] = ~VAR3[10]| VAR7[19]^ ~VAR2[03];
VAR2[11] = VAR3[02]^ ~VAR3[15]^ VAR3[11];
VAR2[10] = VAR3[15]^ ~VAR7[19]^ ~VAR3[03];
VAR2[09] = ~VAR2[14]^ VAR2[22]^ ~VAR7[06];
VAR2[08] = VAR3[02]^ VAR7[21]| ~VAR2[15];
VAR2[07] = ~VAR7[17]^ VAR7[03]^ VAR7[18];
VAR2[06] = ~VAR3[21]^ VAR7[16]^ ~VAR7[22];
VAR2[05] = VAR2[20]^ VAR2[10]| ~VAR3[09];
VAR2[04] = VAR2[18]| ~VAR3[21]^ ~VAR3[14];
VAR2[03] = VAR2[14]^ ~VAR7[13]^ ~VAR3[10];
VAR2[02] = ~VAR2[06]| ~VAR3[03]^ ~VAR3[15];
VAR2[01] = ~VAR2[03]^ ~VAR3[13]| VAR7[05];
VAR2[00] = ~VAR2[31] & ~VAR3[15]& ~VAR7[22];
end
end
endmodule
|
lgpl-3.0
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/iface/ip/SGDMA_dispatcher/read_signal_breakout.v
| 5,632 |
module MODULE1 (
VAR10, VAR17,
VAR8,
VAR13,
VAR5,
VAR6,
VAR11,
VAR1,
VAR14,
VAR9, VAR15, VAR2, VAR16,
VAR7,
VAR3,
VAR12
);
parameter VAR4 = 256;
input [VAR4-1:0] VAR10;
output wire [255:0] VAR17;
output wire [63:0] VAR8;
output wire [31:0] VAR13;
output wire [7:0] VAR5;
output wire VAR6;
output wire VAR11;
output wire VAR1;
output wire VAR14;
output wire [7:0] VAR9;
output wire [15:0] VAR15;
output wire [15:0] VAR2;
output wire [7:0] VAR16;
output wire VAR7;
input VAR3;
input VAR12;
assign VAR8[31:0] = VAR10[31:0];
assign VAR13 = VAR10[95:64];
generate
if (VAR4 == 256)
begin
assign VAR7 = VAR10[248];
assign VAR16 = VAR10[247:240];
assign VAR5 = VAR10[231:224];
assign VAR6 = VAR10[232];
assign VAR11 = VAR10[233];
assign VAR1 = VAR10[234];
assign VAR14 = VAR10[238];
assign VAR9 = VAR10[119:112];
assign VAR15 = VAR10[143:128];
assign VAR2 = VAR10[111:96];
assign VAR8[63:32] = VAR10[191:160];
end
else
begin
assign VAR7 = VAR10[120];
assign VAR16 = VAR10[119:112];
assign VAR5 = VAR10[103:96];
assign VAR6 = VAR10[104];
assign VAR11 = VAR10[105];
assign VAR1 = VAR10[106];
assign VAR14 = VAR10[110];
assign VAR9 = 8'h00;
assign VAR15 = 16'h0000;
assign VAR2 = 16'h0000;
assign VAR8[63:32] = 32'h00000000;
end
endgenerate
assign VAR17 = {{115{1'b0}}, VAR8[63:32],
VAR7,
VAR16,
VAR15,
VAR9,
VAR12,
VAR3,
VAR11,
VAR6,
VAR5,
VAR13,
VAR8[31:0]};
endmodule
|
mit
|
MIPSfpga/schoolMIPS
|
board/de10_nano/de10_nano.v
| 1,497 |
module MODULE1
(
output VAR5,
output VAR23,
output VAR20,
input VAR33,
inout [15:0] VAR13,
inout VAR22,
input VAR24,
input VAR8,
input VAR25,
inout VAR15,
inout VAR29,
inout VAR19,
inout VAR11,
inout VAR1,
inout VAR3,
output VAR10,
output VAR16,
output [23:0] VAR28,
output VAR2,
input VAR17,
output VAR31,
input [ 1:0] VAR7,
output [ 7:0] VAR14,
input [ 3:0] VAR6,
inout [35:0] VAR9,
inout [35:0] VAR4
);
wire clk;
wire VAR26 = VAR24;
wire VAR18 = VAR7[0];
wire VAR21 = ~VAR7[1];
wire [ 3:0 ] VAR27 = 4'b1000;
wire [ 4:0 ] VAR32 = { 1'b0, VAR6 [3:0] };
wire [ 31:0 ] VAR12;
VAR30 VAR30
(
.VAR26 ( VAR26 ),
.VAR18 ( VAR18 ),
.VAR27 ( VAR27 ),
.VAR21 ( VAR21 ),
.clk ( clk ),
.VAR32 ( VAR32 ),
.VAR12 ( VAR12 )
);
assign VAR14[0] = clk;
assign VAR14[7:1] = VAR12[6:0];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdfrtn/sky130_fd_sc_lp__sdfrtn.pp.blackbox.v
| 1,470 |
module MODULE1 (
VAR9 ,
VAR4 ,
VAR2 ,
VAR10 ,
VAR1 ,
VAR8,
VAR5 ,
VAR3 ,
VAR7 ,
VAR6
);
output VAR9 ;
input VAR4 ;
input VAR2 ;
input VAR10 ;
input VAR1 ;
input VAR8;
input VAR5 ;
input VAR3 ;
input VAR7 ;
input VAR6 ;
endmodule
|
apache-2.0
|
FAST-Switch/fast
|
lib/hardware/pipeline/IPE_IF_OPENFLOW/SGMII_DMUX.v
| 15,388 |
module MODULE1(
clk,
reset,
VAR7,
VAR3,
VAR30,
VAR45,
VAR2,
VAR4,
VAR11,
VAR8,
VAR53,
VAR16,
VAR18,
VAR26,
VAR40,
VAR17,
VAR38,
VAR48,
VAR61,
VAR24,
VAR32,
VAR50,
VAR60,
VAR44,
VAR47,
VAR19,
VAR54,
VAR9,
VAR31,
VAR29,
VAR27,
VAR43,
VAR37,
VAR5,
VAR36,
VAR6,
VAR20,
VAR35,
VAR63);
input clk;
input reset;
output VAR7;
output [133:0] VAR3;
input VAR30;
output VAR45;
output VAR2;
output VAR4;
output [133:0] VAR11;
input VAR8;
output VAR53;
output VAR16;
output VAR18;
output [133:0] VAR26;
input VAR40;
output VAR17;
output VAR38;
output VAR48;
output [133:0] VAR61;
input VAR24;
output VAR32;
output VAR50;
output VAR60;
output [133:0] VAR44;
input VAR47;
output VAR19;
output VAR54;
input VAR9;
input [133:0] VAR31;
output VAR29;
input VAR27;
input VAR43;
reg VAR7;
reg [133:0] VAR3;
reg VAR45;
reg VAR2;
reg VAR4;
reg [133:0] VAR11;
reg VAR53;
reg VAR16;
reg VAR18;
reg [133:0] VAR26;
reg VAR17;
reg VAR38;
reg VAR48;
reg [133:0] VAR61;
reg VAR32;
reg VAR50;
reg VAR60;
reg [133:0] VAR44;
reg VAR19;
reg VAR54;
output VAR37;
output VAR5;
output VAR36;
output VAR6;
output VAR20;
output VAR35;
output VAR63;
reg VAR37;
reg VAR5;
reg VAR36;
reg VAR6;
reg VAR20;
reg VAR35;
reg VAR63;
reg [2:0] VAR15;reg [133:0] VAR62;reg flag;
reg [2:0] VAR55; parameter VAR59 = 3'b000,
VAR51 = 3'b001,
VAR13 = 3'b010,
VAR52 = 3'b011,
VAR34 = 3'b100;
always@(posedge clk or negedge reset)
if(!reset)
begin
VAR7 <= 1'b0;
VAR3 <= 134'b0;
VAR45 <= 1'b0;
VAR2 <= 1'b0;
VAR4 <= 1'b0;
VAR11 <= 134'b0;
VAR53 <= 1'b0;
VAR16 <= 1'b0;
VAR18 <= 1'b0;
VAR26 <= 134'b0;
VAR17 <= 1'b0;
VAR38 <= 1'b0;
VAR48 <= 1'b0;
VAR61 <= 134'b0;
VAR32 <= 1'b0;
VAR50 <= 1'b0;
VAR60 <= 1'b0;
VAR44 <= 134'b0;
VAR19 <= 1'b0;
VAR54 <= 1'b0;
VAR28 <= 1'b0;
VAR1 <= 1'b0;
VAR62 <= 134'b0;
flag <= 1'b0;
VAR15 <= 3'b0;
VAR37 <= 1'b0;
VAR5 <= 1'b0;
VAR36 <= 1'b0;
VAR6 <= 1'b0;
VAR20 <= 1'b0;
VAR35 <= 1'b0;
VAR63 <= 1'b0;
VAR55 <= VAR59;
end
else
begin
case(VAR55)
VAR59:begin VAR7 <= 1'b0;
VAR3 <= 134'b0;
VAR45 <= 1'b0;
VAR2 <= 1'b0;
VAR4 <= 1'b0;
VAR11 <= 134'b0;
VAR53 <= 1'b0;
VAR16 <= 1'b0;
VAR18 <= 1'b0;
VAR26 <= 134'b0;
VAR17 <= 1'b0;
VAR38 <= 1'b0;
VAR48 <= 1'b0;
VAR61 <= 134'b0;
VAR32 <= 1'b0;
VAR50 <= 1'b0;
VAR60 <= 1'b0;
VAR44 <= 134'b0;
VAR19 <= 1'b0;
VAR54 <= 1'b0;
VAR5 <= 1'b0;
VAR36 <= 1'b0;
VAR6 <= 1'b0;
VAR20 <= 1'b0;
VAR35 <= 1'b0;
VAR63 <= 1'b0;
if(VAR14 == 1'b0) begin if(VAR42 == 1'b1) begin
VAR1 <= 1'b1;
VAR28 <= 1'b1;
VAR62 <= VAR46;
VAR55 <= VAR52;
end
else begin
VAR1 <= 1'b1;
VAR28 <= 1'b1;
VAR55 <= VAR51;
end
end
else
VAR55 <= VAR59;
end
VAR52:begin VAR28<=1'b0;
VAR1<=1'b0;
case(VAR62[73:64]) 10'h001:begin if(VAR30==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b000; VAR1<=1'b1;
VAR55<= VAR34;
end
end
10'h002:begin if(VAR8==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b001; VAR1<=1'b1;
VAR55<= VAR34;
end
end
10'h004:begin if(VAR40==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b010; VAR1<=1'b1;
VAR55<= VAR34;
end
end
10'h008:begin if(VAR24==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b011; VAR1<=1'b1;
VAR55<= VAR34;
end
end
10'h010:begin if(VAR47==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b100; VAR1<=1'b1;
VAR55<= VAR34;
end
end
default:begin if(VAR30==1'b1)
begin
VAR55<= VAR52;
end
else
begin
VAR15<=3'b000; VAR1<=1'b1;
VAR55<= VAR34;
end
end
endcase
end
VAR34:begin VAR7 <= 1'b0;
VAR4 <= 1'b0;
VAR18 <= 1'b0;
VAR48 <= 1'b0;
VAR60 <= 1'b0;
flag <= 1'b1;
VAR37 <= 1'b1;
VAR55 <= VAR13;
end
VAR51:begin VAR28 <= 1'b0;
if(VAR46[133:132]==2'b10)
begin
VAR5 <= 1'b1;
VAR1 <= 1'b0;
VAR55 <= VAR59;
end
else
begin
VAR55<= VAR51;
end
end
VAR13:begin flag <= 1'b0;
VAR37 <= 1'b0;
case(VAR15[2:0]) 3'b000:begin
VAR7 <=1'b1;
if(flag == 1'b0) begin VAR3 <=VAR46;
end
else begin VAR3 <={2'b01,VAR46[131:0]};
end
if(VAR46[133:132]==2'b10) begin
VAR1 <=1'b0;
VAR45 <= 1'b1;
VAR36 <= 1'b1;
VAR2 <= 1'b1;
VAR55<= VAR59;
end
else
begin
VAR1 <=1'b1;
VAR55<= VAR13;
end
end
3'b001:begin
VAR4 <=1'b1;
if(flag == 1'b0) begin
VAR11 <=VAR46;
end
else begin
VAR11 <={2'b01,VAR46[131:0]};
end
if(VAR46[133:132]==2'b10)
begin
VAR1 <= 1'b0;
VAR53 <= 1'b1;
VAR6 <= 1'b1;
VAR16 <= 1'b1;
VAR55 <= VAR59;
end
else
begin
VAR1 <=1'b1;
VAR55<= VAR13;
end
end
3'b010:begin
VAR18 <=1'b1;
if(flag == 1'b0) begin
VAR26 <=VAR46;
end
else begin
VAR26 <={2'b01,VAR46[131:0]};
end
if(VAR46[133:132]==2'b10)
begin
VAR1 <= 1'b0;
VAR17 <= 1'b1;
VAR20 <= 1'b1;
VAR38 <= 1'b1;
VAR55<= VAR59;
end
else
begin
VAR1 <=1'b1;
VAR55<= VAR13;
end
end
3'b011:begin
VAR48 <=1'b1;
if(flag == 1'b0) begin
VAR61 <=VAR46;
end
else begin
VAR61 <={2'b01,VAR46[131:0]};
end
if(VAR46[133:132]==2'b10)
begin
VAR1 <= 1'b0;
VAR32 <= 1'b1;
VAR35 <= 1'b1;
VAR50 <= 1'b1;
VAR55<= VAR59;
end
else
begin
VAR1 <=1'b1;
VAR55<= VAR13;
end
end
3'b100:begin
VAR60 <=1'b1;
if(flag == 1'b0) begin
VAR44 <=VAR46;
end
else begin
VAR44 <={2'b01,VAR46[131:0]};
end
if(VAR46[133:132]==2'b10)
begin
VAR1 <= 1'b0;
VAR19 <= 1'b1;
VAR63 <= 1'b1;
VAR54 <= 1'b1;
VAR55 <= VAR59;
end
else
begin
VAR1 <=1'b1;
VAR55<= VAR13;
end
end
endcase
end
endcase
end
wire VAR29;
wire [7:0] VAR21;
assign VAR29 = VAR21[7];
reg VAR1;
wire [133:0] VAR46;
VAR12 VAR25(
.VAR10(!reset),
.VAR41(clk),
.VAR57(VAR31),
.VAR22(VAR1),
.VAR33(VAR9),
.VAR23(VAR46),
.VAR39(VAR21)
);
reg VAR28;
wire VAR14;
wire VAR42;
VAR58 VAR49(
.VAR10(!reset),
.VAR41(clk),
.VAR57(VAR43),
.VAR22(VAR28),
.VAR33(VAR27),
.VAR56(VAR14),
.VAR23(VAR42)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nor3/gf180mcu_fd_sc_mcu7t5v0__nor3_4.functional.v
| 1,209 |
module MODULE1( VAR3, VAR8, VAR5, VAR1 );
input VAR1, VAR3, VAR5;
output VAR8;
wire VAR10;
not VAR2( VAR10, VAR1 );
wire VAR6;
not VAR4( VAR6, VAR3 );
wire VAR9;
not VAR11( VAR9, VAR5 );
and VAR7( VAR8, VAR10, VAR6, VAR9 );
endmodule
|
apache-2.0
|
mithro/HDMI2USB
|
hdl/UART/Baud_generator.v
| 2,148 |
module MODULE1
parameter VAR6=1, VAR5=1 )
(
input wire clk, reset,
output wire VAR4,
output wire [VAR6-1:0] VAR1
);
reg [VAR6-1:0] VAR2;
wire [VAR6-1:0] VAR3;
always @(posedge clk)
if (reset)
VAR2 <= 0;
else
VAR2 <= VAR3;
assign VAR3 = (VAR2==(VAR5-1)) ? 0 : VAR2 + 1;
assign VAR1 = VAR2;
assign VAR4 = (VAR2==(VAR5-1)) ? 1'b1 : 1'b0;
endmodule
|
bsd-2-clause
|
kammce/LPCXpresso-Nexys4-Servo-Commander
|
ServoCommander.srcs/sources_1/new/PWM_FPGA.v
| 11,054 |
module MODULE1(
input wire VAR50,
input wire rst,
input wire [1:0] VAR31,
input wire [2:0] VAR17,
input wire [9:0] VAR80,
input wire VAR92,
input wire VAR28,
input wire VAR23,
output wire [2:0] VAR36,
output wire [VAR13-1:0] VAR49,
output wire VAR34,
output wire VAR74,
output wire VAR20,
output wire [7:0] VAR104,
output wire [7:0] VAR47
);
parameter VAR48 = 11;
parameter VAR76 = 10;
parameter VAR83 = 4;
parameter VAR8 = 32;
parameter VAR67 = 11;
parameter VAR13 = 17;
parameter VAR7 = 5;
assign VAR36 = { VAR92, VAR23, VAR28 };
wire [VAR48-1:0] VAR40;
wire [VAR48-1:0] VAR70;
wire [VAR48-1:0] VAR27;
wire [VAR7-1:0] VAR89;
wire [15:0] pos [0:VAR48-1];
wire [VAR83-1:0] VAR63;
wire [VAR83-1:0] VAR25;
wire [VAR83-1:0] VAR41;
wire [VAR83-1:0] VAR53;
wire [VAR83-1:0] VAR15;
wire [VAR83-1:0] VAR29;
wire [VAR83-1:0] VAR97;
wire [VAR83-1:0] VAR62;
supply1 [7:0] VAR78;
wire [7:0] VAR57;
wire [7:0] VAR43;
wire [7:0] VAR11;
wire [7:0] VAR33;
wire [7:0] VAR86;
wire [7:0] VAR3;
assign VAR57[7] = 1'b1;
assign VAR43[7] = 1'b1;
assign VAR11[7] = 1'b1;
assign VAR33[7] = 1'b1;
assign VAR86[7] = 1'b1;
assign VAR3[7] = 1'b1;
VAR6 VAR96 (.VAR50(VAR50),
.rst(rst),
.VAR34(VAR34),
.VAR74(VAR74),
.VAR20(VAR20)
);
VAR2 VAR68 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(VAR27),
.VAR89(VAR89),
.VAR88(5'h00)
);
VAR2 VAR1 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[1]),
.VAR88(5'h01)
);
VAR9 VAR87 (
.pos(pos[1]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[1])
);
VAR2 VAR90 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[2]),
.VAR88(5'h02)
);
VAR9 VAR26 (
.pos(pos[2]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[2])
);
VAR2 VAR37 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[3]),
.VAR88(5'h03)
);
VAR9 VAR69 (
.pos(pos[3]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[3])
);
VAR2 VAR102 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[4]),
.VAR88(5'h04)
);
VAR9 VAR55 (
.pos(pos[4]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[4])
);
VAR2 VAR4 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[5]),
.VAR88(5'h05)
);
VAR9 VAR52 (
.pos(pos[5]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[5])
);
VAR2 VAR75 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[6]),
.VAR88(5'h06)
);
VAR9 VAR95 (
.pos(pos[6]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[6])
);
VAR2 VAR105 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[7]),
.VAR88(5'h07)
);
VAR9 VAR91 (
.pos(pos[7]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[7])
);
VAR2 VAR82 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[8]),
.VAR88(5'h08)
);
VAR9 VAR14 (
.pos(pos[8]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[8])
);
VAR2 VAR39 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[9]),
.VAR88(5'h09)
);
VAR9 VAR71 (
.pos(pos[9]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[9])
);
VAR2 VAR5 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[10]),
.VAR88(5'h10)
);
VAR9 VAR24 (
.pos(pos[10]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[10])
);
VAR2 VAR99 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[12]),
.VAR88(5'h11)
);
VAR9 VAR21 (
.pos(pos[11]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[11])
);
VAR2 VAR38 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[12]),
.VAR88(5'h12)
);
VAR9 VAR12 (
.pos(pos[12]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[12])
);
VAR2 VAR54 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[13]),
.VAR88(5'h13)
);
VAR9 VAR103 (
.pos(pos[13]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[13])
);
VAR2 VAR61 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[14]),
.VAR88(5'h14)
);
VAR9 VAR35 (
.pos(pos[14]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[14])
);
VAR2 VAR46 (
.VAR50(VAR50),
.rst(rst),
.VAR56(VAR92),
.VAR19(VAR23),
.VAR28(VAR28),
.VAR27(pos[15]),
.VAR88(5'h15)
);
VAR9 VAR73 (
.pos(pos[15]),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[15])
);
VAR94 VAR79(
.VAR74(VAR74),
.rst(rst),
.VAR18(VAR17),
.pos(VAR40)
);
VAR84 VAR101(
.VAR31(VAR31),
.VAR40(VAR40),
.VAR80(VAR80),
.VAR27(VAR27),
.VAR70(VAR70)
);
VAR9 VAR60 (
.pos(VAR70),
.VAR34(VAR34),
.rst(rst),
.VAR49(VAR49[0])
);
VAR16 VAR72 (
.VAR22(VAR70),
.VAR63(VAR63),
.VAR25(VAR25),
.VAR41(VAR41),
.VAR53(VAR53)
);
VAR16 VAR98 (
.VAR22(VAR89),
.VAR63(VAR15),
.VAR25(VAR29),
.VAR41(VAR97),
.VAR53(VAR62)
);
VAR85 VAR59 (VAR63, VAR3[6:0]);
VAR85 VAR77 (VAR25, VAR86[6:0]);
VAR85 VAR66 (VAR41, VAR33[6:0]);
VAR85 VAR32 (VAR53, VAR11[6:0]);
VAR85 VAR51 (VAR15, VAR43[6:0]);
VAR85 VAR100 (VAR29, VAR57[6:0]);
VAR30 VAR44 (.clk(VAR20),
.rst(rst),
.VAR45({ VAR57[7], VAR57[6], VAR57[5], VAR57[4], VAR57[3], VAR57[2], VAR57[1], VAR57[0] }),
.VAR42({ VAR43[7], VAR43[6], VAR43[5], VAR43[4], VAR43[3], VAR43[2], VAR43[1], VAR43[0] }),
.VAR81(VAR78),
.VAR64(VAR78),
.VAR93({ VAR11[7], VAR11[6], VAR11[5], VAR11[4], VAR11[3], VAR11[2], VAR11[1], VAR11[0] }),
.VAR58({ VAR33[7], VAR33[6], VAR33[5], VAR33[4], VAR33[3], VAR33[2], VAR33[1], VAR33[0] }),
.VAR10({ VAR86[7], VAR86[6], VAR86[5], VAR86[4], VAR86[3], VAR86[2], VAR86[1], VAR86[0] }),
.VAR65({ VAR3[7], VAR3[6], VAR3[5], VAR3[4], VAR3[3], VAR3[2], VAR3[1], VAR3[0] }),
.VAR47(VAR104),
.VAR104(VAR47)
);
endmodule
|
bsd-3-clause
|
wicker/SystemVerilog-FSM
|
ticket-machine/verilog/TicketMachine.v
| 2,815 |
module MODULE1(VAR7, VAR17, VAR6, VAR15, VAR1, VAR18, VAR10, VAR8);
input VAR7, VAR17, VAR6, VAR15;
output VAR1, VAR18, VAR10, VAR8;
reg VAR1, VAR18, VAR10, VAR8;
parameter VAR11 = 1'b1;
parameter VAR13 = 1'b0;
parameter VAR14 = 6'b000001,
VAR2 = 6'b000010,
VAR3 = 6'b000100,
VAR4 = 6'b001000,
VAR9 = 6'b010000,
VAR5 = 6'b100000;
reg [5:0] VAR12, VAR16;
always @(posedge VAR7)
begin
if (VAR17)
VAR12 <= VAR14;
end
else
VAR12 <= VAR16;
end
always @(VAR12)
begin
case (VAR12)
VAR14: begin
VAR1 = VAR11;
VAR8 = VAR13;
VAR18 = VAR13;
VAR10 = VAR13;
end
VAR2: begin
VAR1 = VAR13;
VAR8 = VAR13;
VAR18 = VAR11;
VAR10 = VAR13;
end
VAR3: begin
VAR1 = VAR13;
VAR8 = VAR13;
VAR18 = VAR13;
VAR10 = VAR11;
end
VAR4: begin
VAR1 = VAR13;
VAR8 = VAR11;
VAR18 = VAR13;
VAR10 = VAR13;
end
VAR9: begin
VAR1 = VAR13;
VAR8 = VAR11;
VAR18 = VAR13;
VAR10 = VAR13;
end
VAR5: begin
VAR1 = VAR13;
VAR8 = VAR11;
VAR18 = VAR13;
VAR10 = VAR13;
end
endcase
end
always @(VAR12 or VAR6 or VAR15)
begin
case (VAR12)
VAR14: begin
if (VAR6)
VAR16 = VAR4;
end
else if (VAR15)
VAR16 = VAR9;
end
else
VAR16 = VAR14;
end
VAR4: begin
if (VAR6)
VAR16 = VAR9;
end
else if (VAR15)
VAR16 = VAR5;
else
VAR16 = VAR4;
end
VAR9: begin
if (VAR6)
VAR16 = VAR5;
end
else if (VAR15)
VAR16 = VAR2;
else
VAR16 = VAR9;
end
VAR5: begin
if (VAR6)
VAR16 = VAR2;
end
else if (VAR15)
VAR16 = VAR3;
else
VAR16 = VAR5;
end
VAR2: begin
VAR16 = VAR14;
end
VAR3: begin
VAR16 = VAR14;
end
endcase
end
endmodule
|
bsd-3-clause
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Verilog_Xilinx_Port/sources/hdl/serial.v
| 3,040 |
module MODULE2(clk, VAR1, VAR21, VAR10);
input clk;
input VAR1;
wire VAR18;
wire [7:0] VAR7;
VAR9 VAR6(.clk(clk), .VAR1(VAR1), .VAR18(VAR18), .VAR7(VAR7));
output [255:0] VAR21;
output [255:0] VAR10;
reg [511:0] VAR19;
reg [511:0] VAR15;
reg [6:0] VAR12 = 7'b0000000;
assign VAR21 = VAR15[511:256];
assign VAR10 = VAR15[255:0];
always @(posedge clk)
case (VAR12)
7'b1000000:
begin
VAR15 <= VAR19;
VAR12 <= 0;
end
default:
if(VAR18)
begin
VAR19 <= VAR19 << 8;
VAR19[7:0] <= VAR7;
VAR12 <= VAR12 + 1;
end
endcase
endmodule
module MODULE1 (clk, VAR16, VAR14, VAR3, word);
wire VAR20;
wire VAR2;
reg [7:0] VAR11;
reg VAR17;
reg [3:0] VAR13 = 4'b0000;
assign VAR20 = VAR17;
input clk;
output VAR16;
input [31:0] word;
input VAR3;
output VAR14;
reg [31:0] VAR5;
assign VAR14 = (|VAR13);
always @(posedge clk)
begin
if (!VAR14 && VAR3)
begin
VAR13 <= 4'b1000;
VAR5 <= word;
end
else if (VAR13[3] && ~VAR13[0] && !VAR2)
begin
VAR17 <= 1;
VAR13 <= VAR13 + 1;
VAR11 <= VAR5[31:24];
VAR5 <= (VAR5 << 8);
end
else if (VAR13[3] && VAR13[0])
begin
VAR17 <= 0;
if (!VAR2) VAR13 <= VAR13 + 1;
end
end
VAR22 VAR4(.clk(clk), .VAR16(VAR16), .VAR20(VAR20), .VAR8(VAR11), .VAR2(VAR2));
endmodule
|
gpl-3.0
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.v
| 3,976 |
module MODULE1
(
input VAR5,
output VAR3,
input reset,
output VAR4
);
VAR1 VAR2
(
.VAR5(VAR5),
.VAR3(VAR3),
.reset(reset),
.VAR4(VAR4)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/and4/sky130_fd_sc_ls__and4_4.v
| 2,242 |
module MODULE1 (
VAR3 ,
VAR4 ,
VAR10 ,
VAR7 ,
VAR6 ,
VAR9,
VAR11,
VAR1 ,
VAR8
);
output VAR3 ;
input VAR4 ;
input VAR10 ;
input VAR7 ;
input VAR6 ;
input VAR9;
input VAR11;
input VAR1 ;
input VAR8 ;
VAR2 VAR5 (
.VAR3(VAR3),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR3,
VAR4,
VAR10,
VAR7,
VAR6
);
output VAR3;
input VAR4;
input VAR10;
input VAR7;
input VAR6;
supply1 VAR9;
supply0 VAR11;
supply1 VAR1 ;
supply0 VAR8 ;
VAR2 VAR5 (
.VAR3(VAR3),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
fallen/milkymist-mmu
|
cores/fmlbrg/rtl/fmlbrg_datamem.v
| 3,181 |
module MODULE1 #(
parameter VAR31 = 11
) (
input VAR40,
input [VAR31-1:0] VAR15,
input [7:0] VAR19,
input [63:0] VAR21,
output [63:0] do,
input [VAR31-1:0] VAR32,
output [63:0] VAR9
);
reg [7:0] VAR14[0:(1 << VAR31)-1];
reg [7:0] VAR4[0:(1 << VAR31)-1];
reg [7:0] VAR23[0:(1 << VAR31)-1];
reg [7:0] VAR39[0:(1 << VAR31)-1];
reg [7:0] VAR33[0:(1 << VAR31)-1];
reg [7:0] VAR29[0:(1 << VAR31)-1];
reg [7:0] VAR10[0:(1 << VAR31)-1];
reg [7:0] VAR5[0:(1 << VAR31)-1];
wire [7:0] VAR25;
wire [7:0] VAR8;
wire [7:0] VAR1;
wire [7:0] VAR12;
wire [7:0] VAR17;
wire [7:0] VAR28;
wire [7:0] VAR35;
wire [7:0] VAR41;
wire [7:0] VAR13;
wire [7:0] VAR34;
wire [7:0] VAR38;
wire [7:0] VAR11;
wire [7:0] VAR37;
wire [7:0] VAR20;
wire [7:0] VAR3;
wire [7:0] VAR6;
wire [7:0] VAR27;
wire [7:0] VAR22;
wire [7:0] VAR7;
wire [7:0] VAR18;
wire [7:0] VAR36;
wire [7:0] VAR30;
wire [7:0] VAR16;
wire [7:0] VAR2;
reg [VAR31-1:0] VAR26;
reg [VAR31-1:0] VAR24;
always @(posedge VAR40) begin
VAR26 <= VAR15;
VAR24 <= VAR32;
end
always @(posedge VAR40) begin
if(VAR19[0])
VAR14[VAR15] <= VAR25;
end
assign VAR13 = VAR14[VAR26];
assign VAR27 = VAR14[VAR24];
always @(posedge VAR40) begin
if(VAR19[1])
VAR4[VAR15] <= VAR8;
end
assign VAR34 = VAR4[VAR26];
assign VAR22 = VAR4[VAR24];
always @(posedge VAR40) begin
if(VAR19[2])
VAR23[VAR15] <= VAR1;
end
assign VAR38 = VAR23[VAR26];
assign VAR7 = VAR23[VAR24];
always @(posedge VAR40) begin
if(VAR19[3])
VAR39[VAR15] <= VAR12;
end
assign VAR11 = VAR39[VAR26];
assign VAR18 = VAR39[VAR24];
always @(posedge VAR40) begin
if(VAR19[4])
VAR33[VAR15] <= VAR17;
end
assign VAR37 = VAR33[VAR26];
assign VAR36 = VAR33[VAR24];
always @(posedge VAR40) begin
if(VAR19[5])
VAR29[VAR15] <= VAR28;
end
assign VAR20 = VAR29[VAR26];
assign VAR30 = VAR29[VAR24];
always @(posedge VAR40) begin
if(VAR19[6])
VAR10[VAR15] <= VAR35;
end
assign VAR3 = VAR10[VAR26];
assign VAR16 = VAR10[VAR24];
always @(posedge VAR40) begin
if(VAR19[7])
VAR5[VAR15] <= VAR41;
end
assign VAR6 = VAR5[VAR26];
assign VAR2 = VAR5[VAR24];
assign VAR25 = VAR21[7:0];
assign VAR8 = VAR21[15:8];
assign VAR1 = VAR21[23:16];
assign VAR12 = VAR21[31:24];
assign VAR17 = VAR21[39:32];
assign VAR28 = VAR21[47:40];
assign VAR35 = VAR21[55:48];
assign VAR41 = VAR21[63:56];
assign do = {VAR6, VAR3, VAR20, VAR37, VAR11, VAR38, VAR34, VAR13};
assign VAR9 = {VAR2, VAR16, VAR30, VAR36, VAR18, VAR7, VAR22, VAR27};
endmodule
|
lgpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v
| 18,652 |
module MODULE1 #
(
parameter VAR118 = "VAR6",
parameter VAR1 = 0,
parameter integer VAR61 = 4,
parameter integer VAR30 = 32,
parameter integer VAR108 = 32,
parameter integer VAR150 = 0,
parameter integer VAR79 = 1,
parameter integer VAR112 = 1,
parameter integer VAR29 = 1,
parameter integer VAR25 = 1,
parameter integer VAR26 = 1,
parameter integer VAR80 = 0,
parameter integer VAR139 = 0,
parameter integer VAR116 = 0,
parameter integer VAR136 = 0,
parameter integer VAR24 = 0
)
(
input wire VAR122,
input wire VAR129,
input wire [VAR61-1:0] VAR138,
input wire [VAR30-1:0] VAR130,
input wire [((VAR1 == 1) ? 4 : 8)-1:0] VAR42,
input wire [3-1:0] VAR96,
input wire [2-1:0] VAR109,
input wire [((VAR1 == 1) ? 2 : 1)-1:0] VAR102,
input wire [4-1:0] VAR23,
input wire [3-1:0] VAR126,
input wire [4-1:0] VAR22,
input wire [4-1:0] VAR120,
input wire [VAR79-1:0] VAR28,
input wire VAR133,
output wire VAR62,
input wire [VAR61-1:0] VAR54,
input wire [VAR108-1:0] VAR141,
input wire [VAR108/8-1:0] VAR56,
input wire VAR134,
input wire [VAR29-1:0] VAR43,
input wire VAR68,
output wire VAR82,
output wire [VAR61-1:0] VAR148,
output wire [2-1:0] VAR132,
output wire [VAR26-1:0] VAR74,
output wire VAR143,
input wire VAR67,
input wire [VAR61-1:0] VAR91,
input wire [VAR30-1:0] VAR33,
input wire [((VAR1 == 1) ? 4 : 8)-1:0] VAR125,
input wire [3-1:0] VAR9,
input wire [2-1:0] VAR70,
input wire [((VAR1 == 1) ? 2 : 1)-1:0] VAR66,
input wire [4-1:0] VAR144,
input wire [3-1:0] VAR111,
input wire [4-1:0] VAR4,
input wire [4-1:0] VAR90,
input wire [VAR112-1:0] VAR3,
input wire VAR115,
output wire VAR53,
output wire [VAR61-1:0] VAR104,
output wire [VAR108-1:0] VAR14,
output wire [2-1:0] VAR77,
output wire VAR40,
output wire [VAR25-1:0] VAR31,
output wire VAR149,
input wire VAR75,
output wire [VAR61-1:0] VAR93,
output wire [VAR30-1:0] VAR123,
output wire [((VAR1 == 1) ? 4 : 8)-1:0] VAR100,
output wire [3-1:0] VAR69,
output wire [2-1:0] VAR46,
output wire [((VAR1 == 1) ? 2 : 1)-1:0] VAR18,
output wire [4-1:0] VAR71,
output wire [3-1:0] VAR124,
output wire [4-1:0] VAR105,
output wire [4-1:0] VAR63,
output wire [VAR79-1:0] VAR12,
output wire VAR92,
input wire VAR121,
output wire [VAR61-1:0] VAR114,
output wire [VAR108-1:0] VAR78,
output wire [VAR108/8-1:0] VAR110,
output wire VAR2,
output wire [VAR29-1:0] VAR137,
output wire VAR135,
input wire VAR52,
input wire [VAR61-1:0] VAR51,
input wire [2-1:0] VAR88,
input wire [VAR26-1:0] VAR20,
input wire VAR35,
output wire VAR10,
output wire [VAR61-1:0] VAR64,
output wire [VAR30-1:0] VAR142,
output wire [((VAR1 == 1) ? 4 : 8)-1:0] VAR59,
output wire [3-1:0] VAR145,
output wire [2-1:0] VAR19,
output wire [((VAR1 == 1) ? 2 : 1)-1:0] VAR83,
output wire [4-1:0] VAR72,
output wire [3-1:0] VAR13,
output wire [4-1:0] VAR36,
output wire [4-1:0] VAR27,
output wire [VAR112-1:0] VAR85,
output wire VAR50,
input wire VAR101,
input wire [VAR61-1:0] VAR94,
input wire [VAR108-1:0] VAR7,
input wire [2-1:0] VAR21,
input wire VAR95,
input wire [VAR25-1:0] VAR17,
input wire VAR86,
output wire VAR49
);
wire reset;
localparam VAR76 = (VAR1 == 0) ? 1 : 0;
wire [VAR41-1:0] VAR127;
wire [VAR41-1:0] VAR32;
wire [VAR65-1:0] VAR44;
wire [VAR65-1:0] VAR128;
wire [VAR140-1:0] VAR113;
wire [VAR140-1:0] VAR16;
wire [VAR8-1:0] VAR37;
wire [VAR8-1:0] VAR98;
wire [VAR103-1:0] VAR47;
wire [VAR103-1:0] VAR15;
assign reset = ~VAR129;
VAR57 #(
.VAR1 ( VAR1 ) ,
.VAR61 ( VAR61 ) ,
.VAR30 ( VAR30 ) ,
.VAR108 ( VAR108 ) ,
.VAR150 ( VAR150 ) ,
.VAR76 ( VAR76 ) ,
.VAR79 ( VAR79 ) ,
.VAR112 ( VAR112 ) ,
.VAR29 ( VAR29 ) ,
.VAR25 ( VAR25 ) ,
.VAR26 ( VAR26 ) ,
.VAR48 ( VAR41 ) ,
.VAR81 ( VAR65 ) ,
.VAR84 ( VAR140 ) ,
.VAR147 ( VAR8 ) ,
.VAR87 ( VAR103 )
)
VAR5 (
.VAR138 ( VAR138 ) ,
.VAR130 ( VAR130 ) ,
.VAR42 ( VAR42 ) ,
.VAR96 ( VAR96 ) ,
.VAR109 ( VAR109 ) ,
.VAR102 ( VAR102 ) ,
.VAR23 ( VAR23 ) ,
.VAR126 ( VAR126 ) ,
.VAR120 ( VAR120 ) ,
.VAR28 ( VAR28 ) ,
.VAR22 ( VAR22 ) ,
.VAR54 ( VAR54 ) ,
.VAR141 ( VAR141 ) ,
.VAR56 ( VAR56 ) ,
.VAR134 ( VAR134 ) ,
.VAR43 ( VAR43 ) ,
.VAR148 ( VAR148 ) ,
.VAR132 ( VAR132 ) ,
.VAR74 ( VAR74 ) ,
.VAR91 ( VAR91 ) ,
.VAR33 ( VAR33 ) ,
.VAR125 ( VAR125 ) ,
.VAR9 ( VAR9 ) ,
.VAR70 ( VAR70 ) ,
.VAR66 ( VAR66 ) ,
.VAR144 ( VAR144 ) ,
.VAR111 ( VAR111 ) ,
.VAR90 ( VAR90 ) ,
.VAR3 ( VAR3 ) ,
.VAR4 ( VAR4 ) ,
.VAR104 ( VAR104 ) ,
.VAR14 ( VAR14 ) ,
.VAR77 ( VAR77 ) ,
.VAR40 ( VAR40 ) ,
.VAR31 ( VAR31 ) ,
.VAR127 ( VAR127 ) ,
.VAR44 ( VAR44 ) ,
.VAR113 ( VAR113 ) ,
.VAR37 ( VAR37 ) ,
.VAR47 ( VAR47 )
);
VAR34 # (
.VAR118 ( VAR118 ) ,
.VAR60 ( VAR41 ) ,
.VAR38 ( VAR80 )
)
VAR106 (
.VAR107(VAR122),
.VAR97(reset),
.VAR117(VAR127),
.VAR146(VAR133),
.VAR39(VAR62),
.VAR89(VAR32),
.VAR55(VAR92),
.VAR58(VAR121)
);
VAR34 # (
.VAR118 ( VAR118 ) ,
.VAR60 ( VAR65 ) ,
.VAR38 ( VAR139 )
)
VAR11 (
.VAR107(VAR122),
.VAR97(reset),
.VAR117(VAR44),
.VAR146(VAR68),
.VAR39(VAR82),
.VAR89(VAR128),
.VAR55(VAR135),
.VAR58(VAR52)
);
VAR34 # (
.VAR118 ( VAR118 ) ,
.VAR60 ( VAR140 ) ,
.VAR38 ( VAR116 )
)
VAR131 (
.VAR107(VAR122),
.VAR97(reset),
.VAR117(VAR16),
.VAR146(VAR35),
.VAR39(VAR10),
.VAR89(VAR113),
.VAR55(VAR143),
.VAR58(VAR67)
);
VAR34 # (
.VAR118 ( VAR118 ) ,
.VAR60 ( VAR8 ) ,
.VAR38 ( VAR136 )
)
VAR73 (
.VAR107(VAR122),
.VAR97(reset),
.VAR117(VAR37),
.VAR146(VAR115),
.VAR39(VAR53),
.VAR89(VAR98),
.VAR55(VAR50),
.VAR58(VAR101)
);
VAR34 # (
.VAR118 ( VAR118 ) ,
.VAR60 ( VAR103 ) ,
.VAR38 ( VAR24 )
)
VAR99 (
.VAR107(VAR122),
.VAR97(reset),
.VAR117(VAR15),
.VAR146(VAR86),
.VAR39(VAR49),
.VAR89(VAR47),
.VAR55(VAR149),
.VAR58(VAR75)
);
VAR119 #(
.VAR1 ( VAR1 ) ,
.VAR61 ( VAR61 ) ,
.VAR30 ( VAR30 ) ,
.VAR108 ( VAR108 ) ,
.VAR150 ( VAR150 ) ,
.VAR76 ( VAR76 ) ,
.VAR79 ( VAR79 ) ,
.VAR112 ( VAR112 ) ,
.VAR29 ( VAR29 ) ,
.VAR25 ( VAR25 ) ,
.VAR26 ( VAR26 ) ,
.VAR48 ( VAR41 ) ,
.VAR81 ( VAR65 ) ,
.VAR84 ( VAR140 ) ,
.VAR147 ( VAR8 ) ,
.VAR87 ( VAR103 )
)
VAR45 (
.VAR32 ( VAR32 ) ,
.VAR128 ( VAR128 ) ,
.VAR16 ( VAR16 ) ,
.VAR98 ( VAR98 ) ,
.VAR15 ( VAR15 ) ,
.VAR93 ( VAR93 ) ,
.VAR123 ( VAR123 ) ,
.VAR100 ( VAR100 ) ,
.VAR69 ( VAR69 ) ,
.VAR46 ( VAR46 ) ,
.VAR18 ( VAR18 ) ,
.VAR71 ( VAR71 ) ,
.VAR124 ( VAR124 ) ,
.VAR63 ( VAR63 ) ,
.VAR12 ( VAR12 ) ,
.VAR105 ( VAR105 ) ,
.VAR114 ( VAR114 ) ,
.VAR78 ( VAR78 ) ,
.VAR110 ( VAR110 ) ,
.VAR2 ( VAR2 ) ,
.VAR137 ( VAR137 ) ,
.VAR51 ( VAR51 ) ,
.VAR88 ( VAR88 ) ,
.VAR20 ( VAR20 ) ,
.VAR64 ( VAR64 ) ,
.VAR142 ( VAR142 ) ,
.VAR59 ( VAR59 ) ,
.VAR145 ( VAR145 ) ,
.VAR19 ( VAR19 ) ,
.VAR83 ( VAR83 ) ,
.VAR72 ( VAR72 ) ,
.VAR13 ( VAR13 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR36 ( VAR36 ) ,
.VAR94 ( VAR94 ) ,
.VAR7 ( VAR7 ) ,
.VAR21 ( VAR21 ) ,
.VAR95 ( VAR95 ) ,
.VAR17 ( VAR17 )
);
endmodule
|
gpl-3.0
|
AEW2015/PYNQ_PR_Overlay
|
Pynq-Z1/vivado/ip/Pmods/PmodAD1_v1_0/src/PmodAD1.v
| 10,158 |
module MODULE1
(VAR78,
VAR168,
VAR67,
VAR159,
VAR69,
VAR76,
VAR58,
VAR157,
VAR30,
VAR141,
VAR66,
VAR80,
VAR85,
VAR8,
VAR22,
VAR64,
VAR68,
VAR1,
VAR90,
VAR126,
VAR43,
VAR35,
VAR74,
VAR158,
VAR133,
VAR31,
VAR59,
VAR120,
VAR29,
VAR113,
VAR28,
VAR38,
VAR20,
VAR48,
VAR150,
VAR125,
VAR16,
VAR169,
VAR55,
VAR86,
VAR100,
VAR9,
VAR99,
VAR10);
input [6:0]VAR78;
output VAR168;
input VAR67;
input [6:0]VAR159;
output VAR69;
input VAR76;
input VAR58;
output [1:0]VAR157;
output VAR30;
output [31:0]VAR141;
input VAR66;
output [1:0]VAR80;
output VAR85;
input [31:0]VAR8;
output VAR22;
input [3:0]VAR64;
input VAR68;
input VAR1;
output VAR90;
output VAR126;
input VAR43;
output VAR35;
output VAR74;
input VAR158;
output VAR133;
output VAR31;
input VAR59;
output VAR120;
output VAR29;
input VAR113;
output VAR28;
output VAR38;
input VAR20;
output VAR48;
output VAR150;
input VAR125;
output VAR16;
output VAR169;
input VAR55;
output VAR86;
output VAR100;
input VAR9;
input VAR99;
input VAR10;
wire [6:0]VAR104;
wire VAR98;
wire VAR107;
wire [6:0]VAR109;
wire VAR82;
wire VAR151;
wire VAR17;
wire [1:0]VAR53;
wire VAR105;
wire [31:0]VAR123;
wire VAR77;
wire [1:0]VAR18;
wire VAR70;
wire [31:0]VAR145;
wire VAR153;
wire [3:0]VAR49;
wire VAR89;
wire VAR52;
wire VAR143;
wire VAR7;
wire VAR147;
wire VAR4;
wire VAR61;
wire VAR102;
wire VAR14;
wire VAR134;
wire VAR137;
wire [0:0]VAR106;
wire VAR37;
wire VAR144;
wire VAR93;
wire VAR136;
wire VAR57;
wire VAR32;
wire VAR46;
wire VAR83;
wire VAR23;
wire VAR5;
wire VAR34;
wire VAR108;
wire VAR11;
wire VAR71;
wire VAR96;
wire VAR41;
wire VAR138;
wire VAR152;
wire VAR148;
wire VAR97;
wire VAR132;
wire VAR135;
wire VAR87;
wire VAR131;
wire VAR166;
wire VAR73;
wire VAR163;
wire VAR33;
assign VAR104 = VAR78[6:0];
assign VAR107 = VAR67;
assign VAR109 = VAR159[6:0];
assign VAR151 = VAR76;
assign VAR17 = VAR58;
assign VAR77 = VAR66;
assign VAR145 = VAR8[31:0];
assign VAR49 = VAR64[3:0];
assign VAR89 = VAR68;
assign VAR168 = VAR98;
assign VAR69 = VAR82;
assign VAR157[1:0] = VAR53;
assign VAR30 = VAR105;
assign VAR141[31:0] = VAR123;
assign VAR80[1:0] = VAR18;
assign VAR85 = VAR70;
assign VAR22 = VAR153;
assign VAR90 = VAR136;
assign VAR126 = VAR57;
assign VAR35 = VAR46;
assign VAR74 = VAR83;
assign VAR133 = VAR5;
assign VAR31 = VAR34;
assign VAR120 = VAR11;
assign VAR29 = VAR71;
assign VAR28 = VAR41;
assign VAR38 = VAR138;
assign VAR48 = VAR148;
assign VAR150 = VAR97;
assign VAR16 = VAR135;
assign VAR169 = VAR87;
assign VAR86 = VAR166;
assign VAR100 = VAR73;
assign VAR144 = VAR9;
assign VAR93 = VAR1;
assign VAR32 = VAR43;
assign VAR23 = VAR158;
assign VAR108 = VAR59;
assign VAR96 = VAR113;
assign VAR152 = VAR20;
assign VAR132 = VAR125;
assign VAR131 = VAR55;
assign VAR163 = VAR99;
assign VAR33 = VAR10;
VAR54 VAR121
(.VAR9(VAR144),
.VAR112(VAR52),
.VAR19(VAR143),
.VAR111(VAR7),
.VAR156(VAR147),
.VAR72(VAR4),
.VAR101(VAR61),
.VAR99(VAR163),
.VAR117(VAR104),
.VAR10(VAR33),
.VAR155(VAR98),
.VAR94(VAR107),
.VAR110(VAR109),
.VAR119(VAR82),
.VAR2(VAR151),
.VAR165(VAR17),
.VAR12(VAR53),
.VAR79(VAR105),
.VAR21(VAR123),
.VAR65(VAR77),
.VAR47(VAR18),
.VAR128(VAR70),
.VAR142(VAR145),
.VAR50(VAR153),
.VAR122(VAR49),
.VAR164(VAR89),
.VAR161(VAR102),
.VAR24(VAR14),
.VAR63(VAR134),
.VAR116(VAR137),
.VAR130(VAR106),
.VAR118(VAR37));
VAR42 VAR75
(.VAR44(VAR137),
.VAR40(VAR106),
.VAR91(VAR37),
.VAR167(VAR52),
.VAR13(VAR143),
.VAR60(VAR7),
.VAR95(VAR147),
.VAR146(VAR4),
.VAR140(VAR61),
.VAR25(VAR102),
.VAR103(VAR14),
.VAR36(VAR134),
.VAR45(VAR32),
.VAR114(VAR46),
.VAR51(VAR83),
.VAR56(VAR23),
.VAR149(VAR5),
.VAR27(VAR34),
.VAR39(VAR108),
.VAR81(VAR11),
.VAR15(VAR71),
.VAR139(VAR96),
.VAR88(VAR41),
.VAR129(VAR138),
.VAR124(VAR152),
.VAR115(VAR148),
.VAR84(VAR97),
.VAR62(VAR132),
.VAR162(VAR135),
.VAR6(VAR87),
.VAR26(VAR131),
.VAR92(VAR166),
.VAR127(VAR73),
.VAR154(VAR93),
.VAR3(VAR136),
.VAR160(VAR57));
endmodule
|
bsd-3-clause
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/lsu/rtl/lsu_dcache_lfsr.v
| 2,239 |
module MODULE1 (
out,
VAR2, clk, VAR6, VAR3, VAR4, reset
);
input VAR2;
input clk, VAR6, VAR3, VAR4, reset;
output [1:0] out;
reg [4:0] VAR8;
wire [4:0] VAR7;
always @ (VAR2 or VAR7 or reset)
begin
if (reset)
VAR8 = 5'b11111;
end
else if (VAR2)
begin
VAR8[1] = VAR7[0];
VAR8[2] = VAR7[1];
VAR8[3] = VAR7[2];
VAR8[4] = VAR7[3];
VAR8[0] = VAR7[1] ^ VAR7[4];
end
else
VAR8 = VAR7;
end
assign out = {VAR7[0], VAR7[2]};
VAR1 #(5) VAR5(.din (VAR8),
.VAR7 (VAR7),
.clk (clk), .VAR6(VAR6), .VAR3(), .VAR4());
endmodule
|
gpl-2.0
|
xcthulhu/periphondemand
|
src/library/components/uart16550/hdl/uart_debug_if.v
| 6,042 |
module MODULE1 (
VAR1,
VAR5, VAR2, VAR8, VAR7, VAR14, VAR15, VAR13,
VAR12, VAR3, VAR6, VAR4, VAR9
) ;
input [VAR10-1:0] VAR5;
output [31:0] VAR1;
input [3:0] VAR2;
input [3:0] VAR8;
input [1:0] VAR7; input [4:0] VAR14;
input [7:0] VAR15;
input [7:0] VAR13;
input [7:0] VAR12;
input [VAR11-1:0] VAR3;
input [VAR11-1:0] VAR6;
input [2:0] VAR4;
input [3:0] VAR9;
wire [VAR10-1:0] VAR5;
reg [31:0] VAR1;
always @(VAR7 or VAR2 or VAR8 or VAR15 or VAR12 or VAR14 or VAR13
or VAR3 or VAR9 or VAR6 or VAR4 or VAR5)
case (VAR5)
5'b01000: VAR1 = {VAR13,VAR15,VAR8,VAR2,VAR12};
5'b01100: VAR1 = {8'b0, VAR7,VAR14, VAR3, VAR9, VAR6, VAR4};
default: VAR1 = 0;
endcase
endmodule
|
lgpl-2.1
|
alr46664/lab4
|
verilog_source/pipeline1.v
| 1,117 |
module MODULE1(
VAR6, VAR15, VAR16, VAR1, VAR13, VAR10 );
input VAR6, VAR15, VAR16;
input [VAR12-1:0] VAR1;
output [VAR5-1:0] VAR13;
output [VAR12-1:0] VAR10;
reg [VAR12-1:0] VAR2;
wire VAR9, VAR7;
wire [VAR5-1:0] VAR8;
VAR3 VAR11(.clk(VAR7), .VAR9(VAR9), .addr(VAR2), .VAR17(VAR8), .VAR4(VAR13));
assign VAR9 = 0;
assign VAR8 = 0;
assign VAR7 = ~VAR6;
assign VAR10 = VAR2 + 1;
always @(posedge VAR6) begin
if (!VAR15) begin
VAR2 <= VAR14;
end else if (VAR16) begin
VAR2 <= VAR1;
end else begin
VAR2 <= VAR2 + 1;
end
end
endmodule
|
gpl-3.0
|
HarmonInstruments/hififo
|
hdl/sequencer.v
| 4,004 |
module MODULE2
(
input VAR8,
input reset,
output VAR11,
input VAR6,
input [63:0] VAR19,
input VAR9,
output VAR2,
output [63:0] VAR18,
output reg VAR10 = 0,
output reg VAR4 = 0,
output reg [VAR22-1:0] address = 0,
output reg [VAR13-1:0] VAR5 = 0,
input [VAR13-1:0] VAR16,
input [VAR1-1:0] VAR7
);
parameter VAR12 = 2; parameter VAR22 = 16; parameter VAR13 = 64; parameter VAR1 = 16; parameter VAR20 = 24;
reg [VAR20-1:0] VAR17 = 32'hDEADBEEF;
reg [1:0] state = 0;
reg VAR23 = 0;
wire VAR24 = (state == 3) && VAR9;
wire VAR3 = (state == 2) && VAR11;
assign VAR11 = VAR6 && ((state == 0) || (state == 2));
always @ (posedge VAR8)
begin
VAR10 <= VAR24;
VAR4 <= VAR3;
address <= (state == 0) ? VAR19[VAR22-1:0] :
address + (VAR23 && (VAR10 || VAR4));
VAR5 <= VAR19[VAR13-1:0];
VAR23 <= (state == 0) ? VAR19[61] : VAR23;
case(state)
0: VAR17 <= VAR19[VAR20+31:32];
1: VAR17 <= VAR17 - 1'b1;
2: VAR17 <= VAR17 - VAR3;
3: VAR17 <= VAR17 - VAR24;
endcase
if(reset)
state <= 2'd0;
end
else
begin
case(state)
0: state <= VAR11 ? VAR19[63:62] : 2'd0; default: state <= (VAR17[VAR20-1:1] == 0) ? 2'd0 : state;
endcase
end
end
MODULE1 #(.VAR21(VAR12)) VAR14
(.VAR8(VAR8), .in(VAR10), .out(VAR2));
assign VAR18 = VAR16;
endmodule
module MODULE1
(
input VAR8,
input in,
output out
);
parameter VAR21 = 2;
reg [VAR21-1:0] VAR15 = 0;
assign out = VAR15[VAR21-1];
always @ (posedge VAR8)
VAR15 <= {VAR15[VAR21-2:0],in};
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a221oi/sky130_fd_sc_lp__a221oi.behavioral.v
| 1,678 |
module MODULE1 (
VAR11 ,
VAR6,
VAR17,
VAR13,
VAR5,
VAR2
);
output VAR11 ;
input VAR6;
input VAR17;
input VAR13;
input VAR5;
input VAR2;
supply1 VAR4;
supply0 VAR10;
supply1 VAR14 ;
supply0 VAR12 ;
wire VAR8 ;
wire VAR9 ;
wire VAR16;
and VAR3 (VAR8 , VAR13, VAR5 );
and VAR1 (VAR9 , VAR6, VAR17 );
nor VAR7 (VAR16, VAR8, VAR2, VAR9);
buf VAR15 (VAR11 , VAR16 );
endmodule
|
apache-2.0
|
sirchuckalot/zet
|
cores/timer/rtl/clk_gen.v
| 1,348 |
module MODULE1 #(
parameter VAR6 = 20, parameter VAR4 = 1 )(
input VAR1, input VAR5,
output VAR3 );
reg [VAR6-1:0] VAR2;
assign VAR3 = VAR2[VAR6-1];
always @(posedge VAR1)
VAR2 <= VAR5 ? {VAR6{1'b0}} : (VAR2 + VAR4);
endmodule
|
gpl-3.0
|
silverfoxy/MIPS-Verilog
|
Single-Cycle/reg_bank.v
| 1,305 |
module MODULE1(clk, VAR8, VAR5, VAR3, VAR1, wr, VAR9, din, VAR2, VAR4, VAR6); input clk;
input wr;
input [4:0] VAR8, VAR3, VAR9;
input [31:0] din;
output [31:0] VAR5, VAR1;
output [7:0] VAR4;
input [2:0] VAR2;
input VAR6;
reg [31:0] VAR7 [0:31];
always @(posedge clk or negedge VAR6)
begin
if(~VAR6)
begin
VAR7[5'b00001] = {29'b0, VAR2};
end
else
begin
if (wr == 1'b1)
begin
VAR7[VAR9] = din;
end
end
end
always @(posedge clk)
begin
end
assign VAR5 = (VAR8 == 5'b0) ? 32'b0 : VAR7[VAR8];
assign VAR1 = (VAR3 == 5'b0) ? 32'b0 : VAR7[VAR3];
assign VAR4 = VAR7[4'b0010][7:0];
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_amphy/alt_mem_ddrx_ddr3_odt_gen.v
| 12,906 |
module MODULE1
VAR36 = 2,
VAR8 = 1,
VAR44 = 4,
VAR35 = 4
)
(
VAR9,
VAR34,
VAR18,
VAR11,
VAR30,
VAR12,
VAR47,
VAR20,
VAR25,
VAR24,
VAR38
);
localparam integer VAR5 = 2**VAR44;
localparam integer VAR42 = 6; localparam integer VAR16 = 4; localparam integer VAR15 = VAR36/2;
input VAR9;
input VAR34;
input [VAR44-1:0] VAR18;
input [VAR35-1:0] VAR11;
input [VAR8-1:0] VAR30;
input VAR12;
input VAR47;
input VAR20;
output VAR25;
output VAR24;
output VAR38;
wire VAR12;
reg VAR29;
reg VAR26;
reg VAR31;
reg VAR19;
reg VAR28;
wire [3:0] VAR27; reg [3:0] VAR3;
wire [3:0] VAR37;
reg [3:0] VAR10;
wire [3:0] VAR6;
reg [3:0] VAR40;
wire VAR23;
reg VAR22;
reg VAR14;
reg VAR41;
reg VAR46;
reg VAR43;
reg VAR7;
reg VAR2;
reg VAR33;
wire VAR25;
wire VAR24;
wire VAR38;
reg [3:0] VAR1;
reg [3:0] VAR45;
wire VAR21;
reg VAR32;
wire [3:0] VAR17;
wire [3:0] VAR4;
reg [VAR5 -1:0] VAR13;
reg [VAR5 -1:0] VAR39;
assign VAR27 = VAR18 - VAR11;
assign VAR37 = (VAR3 % VAR15);
assign VAR6 = (VAR3 / VAR15) + VAR10;
assign VAR23 = (|VAR10);
always @(posedge VAR9, negedge VAR34)
begin
if (!VAR34)
begin
VAR3 <= 0;
VAR10 <= 0;
VAR40 <= 0;
end
else
begin
VAR3 <= VAR27;
VAR10 <= VAR37;
VAR40 <= VAR6;
end
end
always @
begin
if (VAR12 || VAR29)
begin VAR41 = 1'b1; end
else if (VAR1 > 0 || VAR45 > 0)
begin VAR41 = 1'b1; end
else
begin VAR41 = 1'b0; end
end
always @ (posedge VAR9 or negedge VAR34)
begin
if (~VAR34)
begin
VAR46 <= 1'b0;
end
else
begin
VAR46 <= VAR41;
end
end
always @ (*)
begin
if (VAR23 & (VAR29|VAR32) )
begin
VAR43 = VAR46;
end
else begin
VAR43 = VAR41;
end
end
always @ (posedge VAR9 or negedge VAR34)
begin
if (!VAR34)
begin
VAR22 <= 1'b0;
end
else
begin
if (VAR12 || VAR29)
begin VAR22 <= 1'b1; end
else if (VAR1 > 0 || VAR45 > 0)
begin VAR22 <= 1'b1; end
else
begin VAR22 <= 1'b0; end
end
end
always @ (posedge VAR9 or negedge VAR34)
begin
if (!VAR34)
begin
VAR2 <= 1'b0;
end
else
begin
if (VAR12 || VAR29)
begin VAR2 <= 1'b1; end
else if (VAR1 > 1 || VAR45 > 1)
begin VAR2 <= 1'b1; end
else
begin VAR2 <= 1'b0; end
end
end
always @ (posedge VAR9 or negedge VAR34)
begin
if (!VAR34)
begin
VAR7 <= 1'b0;
VAR14 <= 1'b0;
VAR33 <= 1'b0;
end
else
begin
VAR7 <= VAR43;
VAR14 <= VAR22;
VAR33 <= VAR2;
end
end
generate
if (VAR36 == 2) begin
assign VAR24 = (VAR30) ? VAR7 : VAR43;
assign VAR25 = (VAR30) ? VAR7 : VAR43;
assign VAR38 = 1'b0;
end
else if (VAR36 == 4) begin
assign VAR24 = (VAR30) ? VAR7 : VAR43;
assign VAR25 = (VAR30) ? VAR14 : VAR22;
assign VAR38 = 1'b0;
end
else if (VAR36 == 8) begin
assign VAR24 = (VAR30) ? VAR7 : VAR43;
assign VAR25 = (VAR30) ? VAR14 : VAR22;
assign VAR38 = (VAR30) ? VAR33 : VAR2;
end
endgenerate
endmodule
|
lgpl-3.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_35.v
| 26,097 |
module MODULE2 (
clk,
reset,
VAR98,
VAR112,
VAR51,
VAR34,
VAR94
);
parameter VAR33 = 18;
parameter VAR66 = 35;
parameter VAR12 = 18;
localparam VAR210 = 42;
input clk;
input reset;
input VAR98;
input VAR112;
input [VAR33-1:0] VAR51; output VAR34;
output [VAR33-1:0] VAR94;
localparam VAR218 = 18; localparam VAR181 = 36; localparam VAR42 = 17;
localparam VAR25 = 35;
reg [VAR33-1:0] VAR136;
reg [VAR33-1:0] VAR96;
reg [VAR33-1:0] VAR21;
reg [VAR33-1:0] VAR194;
reg [VAR33-1:0] VAR115;
reg [VAR33-1:0] VAR182;
reg [VAR33-1:0] VAR26;
reg [VAR33-1:0] VAR190;
reg [VAR33-1:0] VAR151;
reg [VAR33-1:0] VAR111;
reg [VAR33-1:0] VAR38;
reg [VAR33-1:0] VAR208;
reg [VAR33-1:0] VAR142;
reg [VAR33-1:0] VAR4;
reg [VAR33-1:0] VAR187;
reg [VAR33-1:0] VAR47;
reg [VAR33-1:0] VAR193;
reg [VAR33-1:0] VAR119;
always@(posedge clk) begin
VAR136 <= 18'd88;
VAR96 <= 18'd0;
VAR21 <= -18'd97;
VAR194 <= -18'd197;
VAR115 <= -18'd294;
VAR182 <= -18'd380;
VAR26 <= -18'd447;
VAR190 <= -18'd490;
VAR151 <= -18'd504;
VAR111 <= -18'd481;
VAR38 <= -18'd420;
VAR208 <= -18'd319;
VAR142 <= -18'd178;
VAR4 <= 18'd0;
VAR187 <= 18'd212;
VAR47 <= 18'd451;
VAR193 <= 18'd710;
VAR119 <= 18'd980;
end
reg [VAR210-1:0] VAR14;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR14 <= 0;
end else begin
if(VAR98) begin
VAR14 <= {VAR14[VAR210-2:0], VAR112};
end else begin
VAR14 <= VAR14;
end
end
end
wire [VAR33-1:0] VAR101;
wire [VAR33-1:0] VAR206;
wire [VAR33-1:0] VAR205;
wire [VAR33-1:0] VAR93;
wire [VAR33-1:0] VAR126;
wire [VAR33-1:0] VAR59;
wire [VAR33-1:0] VAR78;
wire [VAR33-1:0] VAR125;
wire [VAR33-1:0] VAR166;
wire [VAR33-1:0] VAR123;
wire [VAR33-1:0] VAR195;
wire [VAR33-1:0] VAR127;
wire [VAR33-1:0] VAR13;
wire [VAR33-1:0] VAR141;
wire [VAR33-1:0] VAR209;
wire [VAR33-1:0] VAR52;
wire [VAR33-1:0] VAR48;
wire [VAR33-1:0] VAR40;
wire [VAR33-1:0] VAR121;
wire [VAR33-1:0] VAR100;
wire [VAR33-1:0] VAR116;
wire [VAR33-1:0] VAR80;
wire [VAR33-1:0] VAR188;
wire [VAR33-1:0] VAR114;
wire [VAR33-1:0] VAR196;
wire [VAR33-1:0] VAR167;
wire [VAR33-1:0] VAR216;
wire [VAR33-1:0] VAR173;
wire [VAR33-1:0] VAR23;
wire [VAR33-1:0] VAR76;
wire [VAR33-1:0] VAR50;
wire [VAR33-1:0] VAR109;
wire [VAR33-1:0] VAR168;
wire [VAR33-1:0] VAR83;
wire [VAR33-1:0] VAR46;
MODULE3 MODULE37(
.clk(clk), .VAR98(VAR98),
.VAR177(VAR51),
.VAR133(VAR101),
.VAR92(VAR206),
.VAR158(VAR205),
.VAR215(VAR93),
.VAR39(VAR126),
.VAR15(VAR59),
.VAR198(VAR78),
.VAR58(VAR125),
.VAR72(VAR166),
.VAR164(VAR123),
.VAR108(VAR195),
.VAR128(VAR127),
.VAR145(VAR13),
.VAR24(VAR141),
.VAR161(VAR209),
.VAR160(VAR52),
.VAR117(VAR48),
.VAR139(VAR40),
.VAR211(VAR121),
.VAR199(VAR100),
.VAR53(VAR116),
.VAR35(VAR80),
.VAR91(VAR188),
.VAR44(VAR114),
.VAR105(VAR196),
.VAR30(VAR167),
.VAR43(VAR216),
.VAR185(VAR173),
.VAR138(VAR23),
.VAR63(VAR76),
.VAR106(VAR50),
.VAR171(VAR109),
.VAR77(VAR168),
.VAR41(VAR83),
.VAR212(VAR46),
.reset(reset) );
wire [VAR33-1:0] VAR130;
wire [VAR33-1:0] VAR31;
wire [VAR33-1:0] VAR19;
wire [VAR33-1:0] VAR1;
wire [VAR33-1:0] VAR143;
wire [VAR33-1:0] VAR132;
wire [VAR33-1:0] VAR140;
wire [VAR33-1:0] VAR36;
wire [VAR33-1:0] VAR155;
wire [VAR33-1:0] VAR146;
wire [VAR33-1:0] VAR55;
wire [VAR33-1:0] VAR6;
wire [VAR33-1:0] VAR32;
wire [VAR33-1:0] VAR129;
wire [VAR33-1:0] VAR176;
wire [VAR33-1:0] VAR11;
wire [VAR33-1:0] VAR60;
wire [VAR33-1:0] VAR102;
MODULE5 VAR104(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR101),
.VAR37 (VAR46),
.VAR131(VAR130)
);
MODULE5 VAR197(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR206),
.VAR37 (VAR83),
.VAR131(VAR31)
);
MODULE5 VAR22(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR205),
.VAR37 (VAR168),
.VAR131(VAR19)
);
MODULE5 VAR107(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR93),
.VAR37 (VAR109),
.VAR131(VAR1)
);
MODULE5 VAR7(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR126),
.VAR37 (VAR50),
.VAR131(VAR143)
);
MODULE5 VAR200(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR59),
.VAR37 (VAR76),
.VAR131(VAR132)
);
MODULE5 VAR29(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR78),
.VAR37 (VAR23),
.VAR131(VAR140)
);
MODULE5 VAR147(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR125),
.VAR37 (VAR173),
.VAR131(VAR36)
);
MODULE5 VAR201(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR166),
.VAR37 (VAR216),
.VAR131(VAR155)
);
MODULE5 VAR103(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR123),
.VAR37 (VAR167),
.VAR131(VAR146)
);
MODULE5 VAR10(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR195),
.VAR37 (VAR196),
.VAR131(VAR55)
);
MODULE5 VAR214(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR127),
.VAR37 (VAR114),
.VAR131(VAR6)
);
MODULE5 VAR85(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR13),
.VAR37 (VAR188),
.VAR131(VAR32)
);
MODULE5 VAR134(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR141),
.VAR37 (VAR80),
.VAR131(VAR129)
);
MODULE5 VAR3(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR209),
.VAR37 (VAR116),
.VAR131(VAR176)
);
MODULE5 VAR189(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR52),
.VAR37 (VAR100),
.VAR131(VAR11)
);
MODULE5 VAR124(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR48),
.VAR37 (VAR121),
.VAR131(VAR60)
);
MODULE4 VAR17(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR40),
.VAR131(VAR102)
);
wire [VAR33-1:0] VAR213;
wire [VAR33-1:0] VAR90;
wire [VAR33-1:0] VAR207;
wire [VAR33-1:0] VAR110;
wire [VAR33-1:0] VAR65;
wire [VAR33-1:0] VAR64;
wire [VAR33-1:0] VAR180;
wire [VAR33-1:0] VAR9;
wire [VAR33-1:0] VAR54;
wire [VAR33-1:0] VAR61;
wire [VAR33-1:0] VAR73;
wire [VAR33-1:0] VAR79;
wire [VAR33-1:0] VAR184;
wire [VAR33-1:0] VAR203;
wire [VAR33-1:0] VAR74;
wire [VAR33-1:0] VAR204;
wire [VAR33-1:0] VAR137;
wire [VAR33-1:0] VAR172;
MODULE1 VAR57(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR130),
.VAR37 (VAR136),
.VAR131(VAR213)
);
MODULE1 VAR122(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR31),
.VAR37 (VAR96),
.VAR131(VAR90)
);
MODULE1 VAR81(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR19),
.VAR37 (VAR21),
.VAR131(VAR207)
);
MODULE1 VAR179(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR1),
.VAR37 (VAR194),
.VAR131(VAR110)
);
MODULE1 VAR70(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR143),
.VAR37 (VAR115),
.VAR131(VAR65)
);
MODULE1 VAR45(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR132),
.VAR37 (VAR182),
.VAR131(VAR64)
);
MODULE1 VAR67(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR140),
.VAR37 (VAR26),
.VAR131(VAR180)
);
MODULE1 VAR165(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR36),
.VAR37 (VAR190),
.VAR131(VAR9)
);
MODULE1 VAR75(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR155),
.VAR37 (VAR151),
.VAR131(VAR54)
);
MODULE1 VAR28(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR146),
.VAR37 (VAR111),
.VAR131(VAR61)
);
MODULE1 VAR157(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR55),
.VAR37 (VAR38),
.VAR131(VAR73)
);
MODULE1 VAR162(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR6),
.VAR37 (VAR208),
.VAR131(VAR79)
);
MODULE1 VAR49(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR32),
.VAR37 (VAR142),
.VAR131(VAR184)
);
MODULE1 VAR18(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR129),
.VAR37 (VAR4),
.VAR131(VAR203)
);
MODULE1 VAR154(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR176),
.VAR37 (VAR187),
.VAR131(VAR74)
);
MODULE1 VAR149(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR11),
.VAR37 (VAR47),
.VAR131(VAR204)
);
MODULE1 VAR219(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR60),
.VAR37 (VAR193),
.VAR131(VAR137)
);
MODULE1 VAR150(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR102),
.VAR37 (VAR119),
.VAR131(VAR172)
);
wire [VAR33-1:0] VAR16;
wire [VAR33-1:0] VAR99;
wire [VAR33-1:0] VAR82;
wire [VAR33-1:0] VAR71;
wire [VAR33-1:0] VAR202;
wire [VAR33-1:0] VAR113;
wire [VAR33-1:0] VAR163;
wire [VAR33-1:0] VAR62;
wire [VAR33-1:0] VAR68;
MODULE5 VAR88(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR213),
.VAR37 (VAR90),
.VAR131(VAR16)
);
MODULE5 VAR2(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR207),
.VAR37 (VAR110),
.VAR131(VAR99)
);
MODULE5 VAR95(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR65),
.VAR37 (VAR64),
.VAR131(VAR82)
);
MODULE5 VAR156(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR180),
.VAR37 (VAR9),
.VAR131(VAR71)
);
MODULE5 VAR183(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR54),
.VAR37 (VAR61),
.VAR131(VAR202)
);
MODULE5 VAR144(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR73),
.VAR37 (VAR79),
.VAR131(VAR113)
);
MODULE5 VAR87(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR184),
.VAR37 (VAR203),
.VAR131(VAR163)
);
MODULE5 VAR153(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR74),
.VAR37 (VAR204),
.VAR131(VAR62)
);
MODULE5 VAR178(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR137),
.VAR37 (VAR172),
.VAR131(VAR68)
);
wire [VAR33-1:0] VAR148;
wire [VAR33-1:0] VAR175;
wire [VAR33-1:0] VAR20;
wire [VAR33-1:0] VAR118;
wire [VAR33-1:0] VAR69;
MODULE5 VAR152(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR16),
.VAR37 (VAR99),
.VAR131(VAR148)
);
MODULE5 VAR192(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR82),
.VAR37 (VAR71),
.VAR131(VAR175)
);
MODULE5 VAR135(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR202),
.VAR37 (VAR113),
.VAR131(VAR20)
);
MODULE5 VAR174(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR163),
.VAR37 (VAR62),
.VAR131(VAR118)
);
MODULE4 VAR159(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR68),
.VAR131(VAR69)
);
wire [VAR33-1:0] VAR169;
wire [VAR33-1:0] VAR120;
wire [VAR33-1:0] VAR217;
MODULE5 VAR97(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR148),
.VAR37 (VAR175),
.VAR131(VAR169)
);
MODULE5 VAR56(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR20),
.VAR37 (VAR118),
.VAR131(VAR120)
);
MODULE4 VAR86(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR69),
.VAR131(VAR217)
);
wire [VAR33-1:0] VAR27;
wire [VAR33-1:0] VAR5;
MODULE5 VAR170(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR169),
.VAR37 (VAR120),
.VAR131(VAR27)
);
MODULE4 VAR186(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR217),
.VAR131(VAR5)
);
wire [VAR33-1:0] VAR89;
MODULE5 VAR8(
.clk(clk), .VAR98(VAR98),
.VAR84 (VAR27),
.VAR37 (VAR5),
.VAR131(VAR89)
);
assign VAR94 = VAR89;
assign VAR34 = VAR14[VAR210-1];
endmodule
module MODULE3 (
clk,
VAR98,
VAR177,
VAR133,
VAR92,
VAR158,
VAR215,
VAR39,
VAR15,
VAR198,
VAR58,
VAR72,
VAR164,
VAR108,
VAR128,
VAR145,
VAR24,
VAR161,
VAR160,
VAR117,
VAR139,
VAR211,
VAR199,
VAR53,
VAR35,
VAR91,
VAR44,
VAR105,
VAR30,
VAR43,
VAR185,
VAR138,
VAR63,
VAR106,
VAR171,
VAR77,
VAR41,
VAR212,
reset);
parameter VAR191 = 1;
input clk;
input VAR98;
input [VAR191-1:0] VAR177;
output [VAR191-1:0] VAR133;
output [VAR191-1:0] VAR92;
output [VAR191-1:0] VAR158;
output [VAR191-1:0] VAR215;
output [VAR191-1:0] VAR39;
output [VAR191-1:0] VAR15;
output [VAR191-1:0] VAR198;
output [VAR191-1:0] VAR58;
output [VAR191-1:0] VAR72;
output [VAR191-1:0] VAR164;
output [VAR191-1:0] VAR108;
output [VAR191-1:0] VAR128;
output [VAR191-1:0] VAR145;
output [VAR191-1:0] VAR24;
output [VAR191-1:0] VAR161;
output [VAR191-1:0] VAR160;
output [VAR191-1:0] VAR117;
output [VAR191-1:0] VAR139;
output [VAR191-1:0] VAR211;
output [VAR191-1:0] VAR199;
output [VAR191-1:0] VAR53;
output [VAR191-1:0] VAR35;
output [VAR191-1:0] VAR91;
output [VAR191-1:0] VAR44;
output [VAR191-1:0] VAR105;
output [VAR191-1:0] VAR30;
output [VAR191-1:0] VAR43;
output [VAR191-1:0] VAR185;
output [VAR191-1:0] VAR138;
output [VAR191-1:0] VAR63;
output [VAR191-1:0] VAR106;
output [VAR191-1:0] VAR171;
output [VAR191-1:0] VAR77;
output [VAR191-1:0] VAR41;
output [VAR191-1:0] VAR212;
reg [VAR191-1:0] VAR133;
reg [VAR191-1:0] VAR92;
reg [VAR191-1:0] VAR158;
reg [VAR191-1:0] VAR215;
reg [VAR191-1:0] VAR39;
reg [VAR191-1:0] VAR15;
reg [VAR191-1:0] VAR198;
reg [VAR191-1:0] VAR58;
reg [VAR191-1:0] VAR72;
reg [VAR191-1:0] VAR164;
reg [VAR191-1:0] VAR108;
reg [VAR191-1:0] VAR128;
reg [VAR191-1:0] VAR145;
reg [VAR191-1:0] VAR24;
reg [VAR191-1:0] VAR161;
reg [VAR191-1:0] VAR160;
reg [VAR191-1:0] VAR117;
reg [VAR191-1:0] VAR139;
reg [VAR191-1:0] VAR211;
reg [VAR191-1:0] VAR199;
reg [VAR191-1:0] VAR53;
reg [VAR191-1:0] VAR35;
reg [VAR191-1:0] VAR91;
reg [VAR191-1:0] VAR44;
reg [VAR191-1:0] VAR105;
reg [VAR191-1:0] VAR30;
reg [VAR191-1:0] VAR43;
reg [VAR191-1:0] VAR185;
reg [VAR191-1:0] VAR138;
reg [VAR191-1:0] VAR63;
reg [VAR191-1:0] VAR106;
reg [VAR191-1:0] VAR171;
reg [VAR191-1:0] VAR77;
reg [VAR191-1:0] VAR41;
reg [VAR191-1:0] VAR212;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR133 <= 0;
VAR92 <= 0;
VAR158 <= 0;
VAR215 <= 0;
VAR39 <= 0;
VAR15 <= 0;
VAR198 <= 0;
VAR58 <= 0;
VAR72 <= 0;
VAR164 <= 0;
VAR108 <= 0;
VAR128 <= 0;
VAR145 <= 0;
VAR24 <= 0;
VAR161 <= 0;
VAR160 <= 0;
VAR117 <= 0;
VAR139 <= 0;
VAR211 <= 0;
VAR199 <= 0;
VAR53 <= 0;
VAR35 <= 0;
VAR91 <= 0;
VAR44 <= 0;
VAR105 <= 0;
VAR30 <= 0;
VAR43 <= 0;
VAR185 <= 0;
VAR138 <= 0;
VAR63 <= 0;
VAR106 <= 0;
VAR171 <= 0;
VAR77 <= 0;
VAR41 <= 0;
VAR212 <= 0;
end else begin
if(VAR98) begin
VAR133 <= VAR177;
VAR92 <= VAR133;
VAR158 <= VAR92;
VAR215 <= VAR158;
VAR39 <= VAR215;
VAR15 <= VAR39;
VAR198 <= VAR15;
VAR58 <= VAR198;
VAR72 <= VAR58;
VAR164 <= VAR72;
VAR108 <= VAR164;
VAR128 <= VAR108;
VAR145 <= VAR128;
VAR24 <= VAR145;
VAR161 <= VAR24;
VAR160 <= VAR161;
VAR117 <= VAR160;
VAR139 <= VAR117;
VAR211 <= VAR139;
VAR199 <= VAR211;
VAR53 <= VAR199;
VAR35 <= VAR53;
VAR91 <= VAR35;
VAR44 <= VAR91;
VAR105 <= VAR44;
VAR30 <= VAR105;
VAR43 <= VAR30;
VAR185 <= VAR43;
VAR138 <= VAR185;
VAR63 <= VAR138;
VAR106 <= VAR63;
VAR171 <= VAR106;
VAR77 <= VAR171;
VAR41 <= VAR77;
VAR212 <= VAR41;
end end
end
endmodule
module MODULE5 (
clk,
VAR98,
VAR84,
VAR37,
VAR131);
input clk;
input VAR98;
input [17:0] VAR84;
input [17:0] VAR37;
output [17:0] VAR131;
reg [17:0] VAR131;
always @(posedge clk) begin
if(VAR98) begin
VAR131 <= VAR84 + VAR37;
end
end
endmodule
module MODULE1 (
clk,
VAR98,
VAR84,
VAR37,
VAR131);
input clk;
input VAR98;
input [17:0] VAR84;
input [17:0] VAR37;
output [17:0] VAR131;
reg [17:0] VAR131;
always @(posedge clk) begin
if(VAR98) begin
VAR131 <= VAR84 * VAR37;
end
end
endmodule
module MODULE4 (
clk,
VAR98,
VAR84,
VAR131);
input clk;
input VAR98;
input [17:0] VAR84;
output [17:0] VAR131;
reg [17:0] VAR131;
always @(posedge clk) begin
if(VAR98) begin
VAR131 <= VAR84;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/einvp/sky130_fd_sc_hvl__einvp_1.v
| 2,138 |
module MODULE1 (
VAR8 ,
VAR5 ,
VAR4 ,
VAR6,
VAR1,
VAR9 ,
VAR2
);
output VAR8 ;
input VAR5 ;
input VAR4 ;
input VAR6;
input VAR1;
input VAR9 ;
input VAR2 ;
VAR7 VAR3 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR8 ,
VAR5 ,
VAR4
);
output VAR8 ;
input VAR5 ;
input VAR4;
supply1 VAR6;
supply0 VAR1;
supply1 VAR9 ;
supply0 VAR2 ;
VAR7 VAR3 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/acl_fp_atan.v
| 1,346 |
module MODULE1(VAR5, VAR6, enable, VAR13, VAR11);
input VAR5, VAR6, enable;
input [31:0] VAR13;
output [31:0] VAR11;
VAR2 VAR9(
.VAR8(VAR5),
.reset(~VAR6),
.enable(enable),
.VAR12(VAR13[31]),
.VAR1(VAR13[30:23]),
.VAR4(VAR13[22:0]),
.VAR10(VAR11[31]),
.VAR7(VAR11[30:23]),
.VAR3(VAR11[22:0])
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o2111a/sky130_fd_sc_lp__o2111a.pp.blackbox.v
| 1,427 |
module MODULE1 (
VAR8 ,
VAR3 ,
VAR10 ,
VAR6 ,
VAR9 ,
VAR1 ,
VAR5,
VAR4,
VAR2 ,
VAR7
);
output VAR8 ;
input VAR3 ;
input VAR10 ;
input VAR6 ;
input VAR9 ;
input VAR1 ;
input VAR5;
input VAR4;
input VAR2 ;
input VAR7 ;
endmodule
|
apache-2.0
|
bigeagle/riffa
|
fpga/riffa_hdl/one_hot_mux.v
| 4,779 |
module MODULE1
parameter VAR2 = 2,
parameter VAR1 = VAR2*VAR10
)
(
input [VAR2-1:0] VAR9,
input [VAR1-1:0] VAR6,
output [VAR10-1:0] VAR8);
genvar VAR4;
wire [VAR10-1:0] VAR7[(1<<VAR2):1];
reg [VAR10-1:0] VAR5;
assign VAR8 = VAR5;
generate
for( VAR4 = 0 ; VAR4 < VAR2; VAR4 = VAR4 + 1 ) begin : VAR3
assign VAR7[(1<<VAR4)] = VAR6[VAR10*VAR4 +: VAR10];
end
if(VAR2 == 1) begin
always @ begin
case(VAR9)
2'b01: VAR5 = VAR7[1];
2'b10: VAR5 = VAR7[2];
default:VAR5 = VAR7[1];
endcase end
end else if( VAR2 == 4) begin
always @ begin
case(VAR9)
8'b00000001: VAR5 = VAR7[1];
8'b00000010: VAR5 = VAR7[2];
8'b00000100: VAR5 = VAR7[4];
8'b00001000: VAR5 = VAR7[8];
8'b00010000: VAR5 = VAR7[16];
8'b00100000: VAR5 = VAR7[32];
8'b01000000: VAR5 = VAR7[64];
8'b10000000: VAR5 = VAR7[128];
default:VAR5 = VAR7[1];
endcase end
end
endgenerate
endmodule
|
bsd-3-clause
|
lab1-ufba/Genius
|
fsm.v
| 27,926 |
module MODULE1( VAR50, VAR49, VAR23, VAR15, VAR9, VAR73, VAR27, VAR25, VAR62, VAR76, VAR61, VAR67, VAR43, VAR16, VAR54, VAR75, VAR57, VAR17, VAR37, VAR42, VAR31, VAR34, VAR66 );
input VAR50; input VAR49; input VAR9; input VAR73; input VAR27; input VAR25; input VAR23; input [1:0] VAR57; input [6:0] VAR37; input [6:0] VAR42; input [6:0] VAR31; input [6:0] VAR34;
output reg VAR62; output reg VAR76; output reg VAR61; output reg VAR67; output reg [1:0] VAR15; output reg [6:0] VAR43; output reg [6:0] VAR16; output reg [6:0] VAR54; output reg [6:0] VAR75; output reg [11:0] VAR17; output reg [5:0] VAR66;
reg VAR74; reg VAR47; reg VAR22; reg [1:0] VAR5; reg [5:0] VAR26; reg [5:0] VAR60; reg [4:0] VAR69; reg [4:0] VAR32; reg [4:0] VAR58; reg [1:0] VAR3[31:0]; reg pulse; reg [11:0] VAR24; reg [4:0] VAR8; reg [4:0] VAR72; reg VAR39;
parameter VAR36=6'b000000,
VAR46=6'b000001,
VAR45=6'b000010,
VAR12=6'b000011,
VAR33=6'b000100,
VAR55=6'b000101,
VAR30=6'b100010,
VAR40=6'b000110,
VAR1=6'b000111,
VAR7=6'b001000,
VAR44=6'b001001,
VAR14=6'b001010,
VAR52=6'b001011,
VAR41=6'b100011,
VAR20=6'b001100,
VAR18=6'b001101,
VAR77=6'b001110,
VAR48=6'b001111,
VAR35=6'b100100,
VAR6=6'b010000,
VAR21=6'b010001,
VAR28=6'b010010,
VAR68=6'b010011,
VAR71=6'b010100,
VAR29=6'b010101,
VAR70=6'b010110,
VAR51=6'b010111,
VAR19=6'b011000,
VAR2=6'b011001,
VAR11=6'b011010,
VAR63=6'b011011,
VAR56=6'b011100,
VAR4=6'b011101,
VAR10=6'b011110,
VAR78=6'b011111,
VAR64=6'b100000,
VAR59=6'b100001;
parameter VAR65=2'b00,
VAR53=2'b01,
VAR13=2'b10,
VAR38=2'b11;
always@ begin
if(pulse < 2) begin
VAR62=VAR62;
VAR76=VAR76;
VAR61=VAR61;
VAR67=VAR67;
VAR15=VAR15;
VAR43=VAR43;
VAR16=VAR16;
VAR54=VAR54;
VAR75=VAR75;
case(VAR66)
VAR36: begin
VAR8=0;
VAR72=0;
VAR39=0;
VAR58=0;
VAR24=0;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR15=0;
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
if(VAR73==0) begin
VAR43=~7'b1110110; VAR16=~7'b0011101; VAR54=~7'b0111101; VAR75=~7'b0110000; VAR15=2'b00;
end
end
VAR45: begin
if(VAR23==1) begin
VAR43=~7'b0000000;
VAR16=~7'b0000000;
VAR54=~7'b0000000;
VAR75=~7'b0000000;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR43=~7'b1110110; VAR16=~7'b0011101; VAR54=~7'b0111101; VAR75=~7'b1101101; VAR15=2'b00;
end
else if(VAR73==0)begin
VAR74=0; VAR43=~7'b0111101; VAR16=~7'b0010000; VAR54=~7'b1000111; VAR75=~7'b0110000; VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR33: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR43=~7'b1110110; VAR16=~7'b0011101; VAR54=~7'b0111101; VAR75=~7'b0110000; VAR15=2'b00;
end
else if(VAR73==0) begin
VAR74=1; VAR43=~7'b0111101; VAR16=~7'b0010000; VAR54=~7'b1000111; VAR75=~7'b0110000; VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR40: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR43=~7'b0111101; VAR16=~7'b0010000; VAR54=~7'b1000111; VAR75=~7'b1101101; VAR15=2'b00;
end
else if(VAR73==0) begin
VAR60=8;
VAR43=~7'b0111110; VAR16=~7'b1001111; VAR54=~7'b0001110; VAR75=~7'b0110000; VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR7: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR43=~7'b0111101; VAR16=~7'b0010000; VAR54=~7'b1000111; VAR75=~7'b1111001; VAR15=2'b00;
end
else if(VAR73==0) begin
VAR60=16;
VAR43=~7'b0111110; VAR16=~7'b1001111; VAR54=~7'b0001110; VAR75=~7'b0110000; VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR14: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR43=~7'b0111101; VAR16=~7'b0010000; VAR54=~7'b1000111; VAR75=~7'b0110000; VAR15=2'b00;
end
else if(VAR73==0) begin
VAR60=32;
VAR43=~7'b0111110; VAR16=~7'b1001111; VAR54=~7'b0001110; VAR75=~7'b0110000; VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR20: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0)begin
VAR43=~7'b0111110; VAR16=~7'b1001111; VAR54=~7'b0001110; VAR75=~7'b1101101; VAR15=2'b00;
end
else if(VAR73==0 && VAR74==0) begin
VAR47=0;
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
VAR15=0;
end
else if(VAR73==0 && VAR74==1) begin
VAR47=0;
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b0110000; VAR15=0;
end
else
VAR15=2'b11;
end
VAR77: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR9==0) begin
VAR15=0;
VAR43=~7'b0111110; VAR16=~7'b1001111; VAR54=~7'b0001110; VAR75=~7'b0110000; end
else if(VAR73==0 && VAR74==0) begin
VAR47=1;
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
VAR15=0;
end
else if(VAR73==0 && VAR74==1) begin
VAR47=1;
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b0110000; VAR15=0;
end
else
VAR15=2'b11;
end
VAR6: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR15=2'b00;
end
else if(VAR74==0) begin
VAR3[VAR32]=VAR57;
VAR15=0;
end
else if(VAR74==1) begin
if(VAR9==0 && VAR73==1 && VAR27==1 && VAR25==1) begin
VAR5=2'b00;
VAR3[VAR32]=2'b00;
VAR15=0;
VAR39=~VAR22;
end
else if(VAR73==0 && VAR9==1 && VAR27==1 && VAR25==1) begin
VAR5=2'b01;
VAR3[VAR32]=2'b01;
VAR15=0;
VAR39=~VAR22;
end
else if(VAR27==0 && VAR9==1 && VAR73==1 && VAR25==1) begin
VAR5=2'b10;
VAR3[VAR32]=2'b10;
VAR15=0;
VAR39=~VAR22;
end
else if(VAR25==0 && VAR9==1 && VAR73==1 && VAR27==1) begin
VAR5=2'b11;
VAR3[VAR32]=2'b11;
VAR15=0;
VAR39=~VAR22;
end
else
VAR15=2'b11;
end
end
VAR21: begin
if(VAR73==1 && VAR9==1 && VAR27 == 1 && VAR25 == 1 && VAR23 == 1) begin
if(VAR22==0) begin
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b0110000; VAR15=2'b00;
end
else begin
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b1101101; VAR15=2'b00;
end
end
else
VAR15=2'b10;
end
VAR28: begin
if(VAR69<=VAR32) begin
if(VAR23==1) begin
VAR15=0;
case(VAR3[VAR69])
VAR65: begin
VAR62=1;
VAR76=0;
VAR61=0;
VAR67=0;
end
VAR53: begin
VAR62=0;
VAR76=1;
VAR61=0;
VAR67=0;
end
VAR13: begin
VAR62=0;
VAR76=0;
VAR61=1;
VAR67=0;
end
VAR38: begin
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=1;
end
endcase
VAR5=VAR3[VAR69];
end
else
VAR15=2-VAR47;
end
else if(VAR69>VAR32) begin
VAR15=2'b00;
end
end
VAR68: begin
if(VAR23==1) begin
VAR15=0;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR8=VAR69+1;
end
else
VAR15=1;
end
VAR71: begin
if(VAR23==1) begin
VAR62=1;
VAR76=1;
VAR61=1;
VAR67=1;
VAR15=2'b00;
if(VAR74==1) begin
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR39=~VAR22;
VAR58=VAR69-1;
end
else if(VAR74==0) begin
VAR43=~7'b1100111; VAR16=~7'b1001111; VAR54=~7'b0000101; VAR75=~7'b0111101; end
end
else if(VAR9==0 && VAR73==1 && VAR27==1 && VAR25==1) begin
VAR5=2'b00;
VAR62=1;
VAR15=0;
VAR8=VAR69-1;
end
else if(VAR73==0 && VAR9==1 && VAR27==1 && VAR25==1) begin
VAR5=2'b01;
VAR76=1;
VAR15=0;
VAR8=VAR69-1;
end
else if(VAR27==0 && VAR9==1 && VAR73==1 && VAR25==1) begin
VAR5=2'b10;
VAR61=1;
VAR15=0;
VAR8=VAR69-1;
end
else if(VAR25==0 && VAR9==1 && VAR73==1 && VAR27==1) begin
VAR5=2'b11;
VAR67=1;
VAR15=0;
VAR8=VAR69-1;
end
else begin
VAR15=2-VAR47;
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
end
end
VAR29: begin
if(VAR23==1) begin
VAR62=1;
VAR76=1;
VAR61=1;
VAR67=1;
VAR15=2'b00;
if(VAR74==0) begin
VAR43=~7'b1100111; VAR16=~7'b1001111; VAR54=~7'b0000101; VAR75=~7'b0111101; end
else if(VAR74==1) begin
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR39=~VAR22;
VAR58=VAR69;
end
end
else if(VAR9==1 && VAR73==1 && VAR27==1 && VAR25==1) begin
VAR15=2'b00;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
end
else
VAR15=2-VAR47;
end
VAR70: begin
if(VAR5==VAR3[VAR32-VAR69])begin
if(VAR69>0) begin
VAR15=0;
VAR24=VAR17 + VAR60/8 + VAR47 +1;
end
else if(VAR69==0) begin
if(VAR32<VAR60-1) begin
VAR15=2'b00;
VAR72=VAR32+1;
VAR24=VAR17+VAR60/8+VAR47+1;
end
else if(VAR32==VAR60-1) begin
VAR24=VAR17+VAR60/8+VAR47+1;
VAR43=~7'b1011111; VAR16=~7'b1110111; VAR54=~7'b0010101; VAR75=~7'b0010111; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
end
end
else if(VAR5!=VAR3[VAR32-VAR69]) begin if(VAR74==0) begin VAR43=~7'b1100111; VAR16=~7'b1001111; VAR54=~7'b0000101; VAR75=~7'b0111101; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
else if(VAR74==1) begin VAR39=~VAR22;
VAR58=VAR69;
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
end
end
VAR51: begin
if(VAR74==0) begin
VAR15=0;
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
end
else if(VAR23==1) begin
VAR15=0;
end
else
VAR43=~7'b0010101; VAR16=~7'b1001110; VAR54=~7'b0011101; VAR75=~7'b0000101; VAR15=2'b10;
end
VAR19: begin
if(VAR23==1) begin
VAR24=VAR17-(VAR32 - VAR69)*(VAR60/8 + VAR47 + 1);
VAR62=0; VAR76=0; VAR61=0; VAR67=0; VAR15=2'b00;
VAR8=0;
if(VAR22==0) begin
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b0110000; end
else begin
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b1100111; VAR75=~7'b1101101; end
end
else
VAR15 = 2'b10;
end
VAR2: begin
if(VAR69>VAR32) begin
VAR15=2'b00;
end
else if(VAR23==1) begin
VAR5=VAR3[VAR69];
VAR15=2'b00;
case(VAR3[VAR69])
VAR65: VAR62=1;
VAR53: VAR76=1;
VAR13: VAR61=1;
VAR38: VAR67=1;
endcase
end
else
VAR15=2-VAR47;
end
VAR11: begin
if(VAR23==1) begin
VAR15=2'b00;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR8=VAR69+1;
end
else
VAR15=2'b01;
end
VAR63: begin
if(VAR23==1) begin
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
else if(VAR9==0 && VAR73==1 && VAR27==1 && VAR25==1) begin VAR5=VAR65;
VAR62=1;
VAR15=2'b00;
VAR8=VAR69-1;
end
else if(VAR73==0 && VAR9==1 && VAR27==1 && VAR25==1) begin
VAR5=VAR53;
VAR76=1;
VAR15=2'b00;
VAR8=VAR69-1;
end
else if(VAR27==0 && VAR73==1 && VAR9==1 && VAR25==1) begin
VAR5=VAR13;
VAR61=1;
VAR15=2'b00;
VAR8=VAR69-1;
end
else if(VAR25==0 && VAR73==1 && VAR27==1 && VAR9==1) begin
VAR5=VAR38;
VAR67=1;
VAR15=2'b00;
VAR8=VAR69-1;
end
else begin
VAR15=2-VAR47;
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
end
end
VAR56: begin
if(VAR23==1) begin
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
else if(VAR9==1 && VAR73==1 && VAR27==1 && VAR25==1) begin
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR15=2'b00;
end
else
VAR15=2-VAR47;
end
VAR4: begin
if(VAR5!=VAR3[VAR32-VAR69]) begin
VAR43=~7'b1001111; VAR16=~7'b0000101; VAR54=~7'b0000101; VAR75=~7'b0011101; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
else if(VAR69>0) begin
VAR24=VAR17 + VAR60/8 + VAR47 + 1;
VAR15=2'b00;
end
else if(VAR69==0) begin
VAR24=VAR17+VAR60/8+VAR47+1;
VAR43=~7'b1011111; VAR16=~7'b1110111; VAR54=~7'b0010101; VAR75=~7'b0010111; VAR62=1; VAR76=1; VAR61=1; VAR67=1; VAR15=2'b00;
end
end
VAR10: begin
if(VAR23==1) begin
VAR15=2'b00;
if(VAR69>VAR58) begin
VAR24=VAR17 - (VAR32 - VAR58)*(VAR60/8 + VAR47 + 1);
if(VAR22==1) begin
VAR43=~7'b1100111; VAR16=~7'b0110000; VAR54=~7'b1011111; VAR75=~7'b1110111; end
else if(VAR22==0) begin
VAR43=~7'b1100111; VAR16=~7'b1101101; VAR54=~7'b1011111; VAR75=~7'b1110111; end
end
else if(VAR69<VAR58) begin
if(VAR22==1) begin
VAR43=~7'b1100111; VAR16=~7'b1101101; VAR54=~7'b1011111; VAR75=~7'b1110111; end
else if(VAR22==0) begin
VAR43=~7'b1100111; VAR16=~7'b0110000; VAR54=~7'b1011111; VAR75=~7'b1110111; end
end
else if(VAR69==VAR58) begin
VAR43=~7'b1001111; VAR16=~7'b1110110; VAR54=~7'b1100111; VAR75=~7'b1110111; end
end
else
VAR15=2'b10;
end
VAR78: begin
if(VAR23==1) begin
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
VAR15=2'b00;
end
else
VAR15=2'b10;
end
VAR64: begin
if(VAR23==1) begin
VAR43=~0;
VAR16=~0;
VAR54=~0;
VAR75=~0;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR15=2'b00;
end
else if(VAR9==0 || VAR73==0 || VAR27==0 || VAR25==0) begin
VAR43=~VAR34;
VAR16=~VAR31;
VAR54=~VAR42;
VAR75=~VAR37;
VAR62=0;
VAR76=0;
VAR61=0;
VAR67=0;
VAR15=2'b00;
end
else
VAR15=2'b11;
end
VAR59: begin
if(VAR9==1) begin
if(VAR73==1) begin
if(VAR27==1) begin
if(VAR25==1) begin
VAR43=~7'b0000000; VAR16=~7'b0000000; VAR54=~7'b0000000; VAR75=~7'b0000000; end
end
end
end
end
endcase
end
end
always@(posedge VAR49) begin
if(VAR50==1) begin
VAR66<=VAR36;
pulse<=0;
VAR69<=0;
VAR32<=0;
VAR17<=0;
VAR22<=0;
end
else begin
VAR66<=VAR26;
pulse<=~pulse;
VAR69<=VAR8;
VAR32<=VAR72;
VAR17<=VAR24;
VAR22<=VAR39;
end
end
endmodule
|
gpl-3.0
|
ptracton/Picoblaze
|
projects/timers/rtl/timers_top.v
| 3,484 |
module MODULE1 (
VAR17, VAR11,
VAR16, VAR18
) ;
input VAR16;
input VAR18;
output [3:0] VAR17;
output [7:0] VAR11;
wire VAR6; wire VAR14;
wire [7:0] VAR13;
wire [7:0] VAR3;
wire [7:0] VAR31;
wire [7:0] VAR7;
wire [7:0] VAR10;
wire [3:0] VAR17;
wire [7:0] VAR11;
VAR25 VAR20(
.VAR6 (VAR6),
.VAR14 (VAR14),
.VAR16 (VAR16),
.VAR18 (VAR18));
VAR8 VAR19(
.VAR13 (VAR13[7:0]),
.VAR3 (VAR3[7:0]),
.VAR2 (VAR2),
.VAR24 (VAR24),
.VAR26 (VAR26),
.clk (VAR6),
.VAR31 (VAR31[7:0]),
.interrupt (interrupt),
.VAR30 (VAR30),
.VAR22 (VAR14));
assign VAR31 = VAR7| VAR10;
assign interrupt = VAR5;
assign VAR30 = 0;
VAR12 #(.VAR1(8'h10))
VAR28(
.VAR4(VAR10),
.VAR21(VAR17),
.VAR15(VAR11),
.clk(VAR6),
.reset(VAR14),
.VAR13(VAR13),
.VAR29(VAR3),
.VAR24(VAR24),
.VAR2(VAR2)
) ;
VAR9 VAR23(
.VAR4(VAR7),
.interrupt(VAR5),
.clk(VAR6),
.reset(VAR14),
.VAR13(VAR13),
.VAR29(VAR3),
.VAR24(VAR24),
.VAR2(VAR2),
.VAR27(1'b0)
) ;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a21oi/sky130_fd_sc_hs__a21oi.functional.pp.v
| 1,934 |
module MODULE1 (
VAR11,
VAR2,
VAR13 ,
VAR4 ,
VAR3 ,
VAR14
);
input VAR11;
input VAR2;
output VAR13 ;
input VAR4 ;
input VAR3 ;
input VAR14 ;
wire VAR10 ;
wire VAR7 ;
wire VAR6;
and VAR5 (VAR10 , VAR4, VAR3 );
nor VAR1 (VAR7 , VAR14, VAR10 );
VAR9 VAR12 (VAR6, VAR7, VAR11, VAR2);
buf VAR8 (VAR13 , VAR6 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a311oi/sky130_fd_sc_ms__a311oi.blackbox.v
| 1,396 |
module MODULE1 (
VAR9 ,
VAR1,
VAR4,
VAR8,
VAR5,
VAR7
);
output VAR9 ;
input VAR1;
input VAR4;
input VAR8;
input VAR5;
input VAR7;
supply1 VAR3;
supply0 VAR2;
supply1 VAR6 ;
supply0 VAR10 ;
endmodule
|
apache-2.0
|
markusC64/1541ultimate2
|
fpga/nios_dut/nios_dut/synthesis/submodules/csr_block.v
| 13,500 |
module MODULE1 (
clk,
reset,
VAR9,
VAR2,
VAR19,
VAR12,
VAR4,
VAR45,
VAR24,
VAR44,
VAR21,
VAR18,
VAR36,
VAR37,
VAR38,
VAR22,
VAR11,
VAR13,
VAR20,
VAR32,
VAR8,
VAR3,
VAR28,
VAR43,
VAR7,
VAR29,
VAR23,
VAR33,
VAR16,
VAR17,
VAR40,
VAR5
);
parameter VAR6 = 3;
localparam VAR27 = 3'b001;
input clk;
input reset;
input [31:0] VAR9;
input VAR2;
input [3:0] VAR19;
output wire [31:0] VAR12;
input VAR4;
input [VAR6-1:0] VAR45;
output wire VAR24;
input VAR44;
input VAR21;
input VAR18;
input VAR36;
input VAR37; input VAR11; output wire VAR13;
output reg VAR38;
output reg VAR22;
output reg VAR20;
output wire VAR32;
output wire VAR8;
output wire VAR3;
input [31:0] VAR28;
input [31:0] VAR43;
input [15:0] VAR7;
input VAR29;
input VAR23;
input VAR33;
input [7:0] VAR16;
input VAR17;
input [7:0] VAR40;
input VAR5;
wire [31:0] VAR39;
reg [31:0] VAR14;
reg [31:0] VAR26;
reg [31:0] VAR46;
reg irq; wire VAR41;
wire VAR42;
wire VAR31;
wire VAR1;
wire VAR15;
wire VAR10;
wire VAR30;
wire VAR35; wire VAR25;
wire VAR34;
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR46 <= 0;
end
else if (VAR4 == 1)
begin
VAR46 <= VAR26;
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR14[31:1] <= 0;
end
else
begin
if (VAR35 == 1) begin
VAR14[31:1] <= 0;
end
else
begin
if ((VAR45 == VAR27) & (VAR2 == 1) & (VAR19[0] == 1))
begin
VAR14[7:1] <= VAR9[7:1]; end
if ((VAR45 == VAR27) & (VAR2 == 1) & (VAR19[1] == 1))
begin
VAR14[15:8] <= VAR9[15:8];
end
if ((VAR45 == VAR27) & (VAR2 == 1) & (VAR19[2] == 1))
begin
VAR14[23:16] <= VAR9[23:16];
end
if ((VAR45 == VAR27) & (VAR2 == 1) & (VAR19[3] == 1))
begin
VAR14[31:24] <= VAR9[31:24];
end
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR14[0] <= 0;
end
else
begin
if (VAR35 == 1)
begin
VAR14[0] <= 0;
end
else
begin
case ({VAR15, VAR10})
2'b00: VAR14[0] <= VAR14[0];
2'b01: VAR14[0] <= 1'b0;
2'b10: VAR14[0] <= 1'b1;
2'b11: VAR14[0] <= 1'b1; endcase
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR20 <= 0;
end
else
begin
if (VAR25 == 1)
begin
VAR20 <= 1;
end
else if (VAR34 == 1)
begin
VAR20 <= 0;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR38 <= 0;
end
else
begin
case ({VAR31, VAR10})
2'b00: VAR38 <= VAR38;
2'b01: VAR38 <= 1'b0;
2'b10: VAR38 <= 1'b1;
2'b11: VAR38 <= 1'b0;
endcase
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR22 <= 0;
end
else
begin
case ({VAR1, VAR10})
2'b00: VAR22 <= VAR22;
2'b01: VAR22 <= 1'b0;
2'b10: VAR22 <= 1'b1;
2'b11: VAR22 <= 1'b0;
endcase
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
irq <= 0;
end
else
begin
if (VAR35 == 1)
begin
irq <= 0;
end
else
begin
case ({VAR42, VAR41})
2'b00: irq <= irq;
2'b01: irq <= 1'b1;
2'b10: irq <= 1'b0;
2'b11: irq <= 1'b1; endcase
end
end
end
generate
if (VAR6 == 3)
begin
always @ (VAR45 or VAR39 or VAR14 or VAR43 or VAR7 or VAR28)
begin
case (VAR45)
3'b000: VAR26 = VAR39;
3'b001: VAR26 = VAR14;
3'b010: VAR26 = VAR43;
3'b011: VAR26 = VAR7;
default: VAR26 = VAR28; endcase
end
end
else
begin
always @ (VAR45 or VAR39 or VAR14 or VAR43 or VAR7)
begin
case (VAR45)
3'b000: VAR26 = VAR39;
3'b001: VAR26 = VAR14;
3'b010: VAR26 = VAR43;
default: VAR26 = VAR7; endcase
end
end
endgenerate
assign VAR42 = (VAR45 == 0) & (VAR2 == 1) & (VAR19[1] == 1) & (VAR9[9] == 1); assign VAR41 = (VAR30 == 1) & (VAR44 == 1) & ((VAR33 == 1) | ((VAR40 & VAR16) != 0) | ((VAR5 & VAR17) == 1)); assign VAR24 = irq;
assign VAR10 = (VAR45 == VAR27) & (VAR2 == 1) & (VAR19[0] == 1) & (VAR9[0] == 0);
assign VAR31 = (VAR44 == 1) & (VAR32 == 1) & (VAR40 != 0); assign VAR1 = (VAR44 == 1) & (VAR8 == 1) & (VAR5 == 1); assign VAR15 = ((VAR45 == VAR27) & (VAR2 == 1) & (VAR19[0] == 1) & (VAR9[0] == 1)) | (VAR31 == 1) | (VAR1 == 1) ; assign VAR13 = VAR14[0];
assign VAR25 = (VAR45 == VAR27) & (VAR2 == 1) & (VAR19[0] == 1) & (VAR9[1] == 1);
assign VAR34 = (VAR20 == 1) & (VAR11 == 0);
assign VAR35 = VAR14[1];
assign VAR32 = VAR14[2];
assign VAR8 = VAR14[3];
assign VAR30 = VAR14[4];
assign VAR3 = VAR14[5];
assign VAR12 = VAR46;
assign VAR39 = {{22{1'b0}}, irq, VAR22, VAR38, VAR20, VAR37, VAR23, VAR29, VAR36, VAR18, VAR21};
endmodule
|
gpl-3.0
|
olajep/oh
|
src/adi/hdl/library/axi_dmac/request_arb.v
| 32,580 |
module MODULE1 #(
parameter VAR172 = 64,
parameter VAR128 = 64,
parameter VAR220 = 24,
parameter VAR174 = VAR257(VAR128/8),
parameter VAR188 = VAR257(VAR172/8),
parameter VAR19 = 0,
parameter VAR297 = 2,
parameter VAR312 = 32,
parameter VAR63 = 1,
parameter VAR216 = 1,
parameter VAR215 = 1,
parameter VAR177 = 0,
parameter VAR252 = 0,
parameter VAR81 = 128,
parameter VAR42 = 7,
parameter VAR148 = 8,
parameter VAR292 = VAR257(VAR148*2),
parameter VAR22 = 8,
parameter VAR249 = 8,
parameter VAR65 = 0)(
input VAR107,
input VAR231,
input VAR180,
output VAR168,
input [VAR312-1:VAR174] VAR95,
input [VAR312-1:VAR188] VAR102,
input [VAR220-1:0] VAR119,
input VAR247,
input VAR86,
output VAR244,
output [VAR42-1:0] VAR2,
output VAR23,
output VAR10,
input VAR104,
output VAR16,
input VAR224,
input VAR92,
input VAR77,
input VAR187,
output [VAR312-1:0] VAR138,
output [VAR249-1:0] VAR112,
output [ 2:0] VAR190,
output [ 1:0] VAR162,
output [ 2:0] VAR278,
output [ 3:0] VAR222,
output VAR287,
input VAR48,
output [VAR128-1:0] VAR179,
output [(VAR128/8)-1:0] VAR127,
input VAR185,
output VAR88,
output VAR286,
input VAR192,
input [ 1:0] VAR132,
output VAR313,
input VAR52,
output VAR166,
output [VAR312-1:0] VAR175,
output [VAR22-1:0] VAR213,
output [ 2:0] VAR71,
output [ 1:0] VAR239,
output [ 2:0] VAR154,
output [ 3:0] VAR91,
input [VAR172-1:0] VAR34,
output VAR211,
input VAR98,
input VAR41,
input [ 1:0] VAR233,
input VAR123,
output VAR274,
input VAR217,
input [VAR172-1:0] VAR111,
input VAR234,
input [0:0] VAR84,
output VAR140,
input VAR74,
input VAR155,
output VAR157,
output [VAR128-1:0] VAR20,
output VAR178,
output VAR54,
input VAR259,
input VAR171,
input [VAR172-1:0] VAR136,
output VAR226,
input VAR306,
output VAR235,
input VAR76,
input VAR141,
output VAR201,
output [VAR128-1:0] VAR100,
output VAR245,
output VAR310,
output [VAR292-1:0] VAR282,
output [VAR292-1:0] VAR59,
output [VAR292-1:0] VAR181,
output [VAR292-1:0] VAR105,
output [VAR292-1:0] VAR13,
output [VAR292-1:0] VAR194,
output [VAR292-1:0] VAR152,
output [VAR292-1:0] VAR82,
input VAR51,
output VAR137,
input VAR186,
output VAR300,
input VAR314,
output VAR240,
output VAR6,
input VAR64,
output VAR229,
input VAR62,
output VAR133,
output [7:0] VAR80
);
localparam VAR126 = 0;
localparam VAR153 = 1;
localparam VAR279 = 2;
localparam VAR17 = VAR312 - VAR174;
localparam VAR46 = VAR312 - VAR188;
localparam VAR237 = VAR42 - VAR188;
localparam VAR33 = VAR42 - VAR174;
localparam VAR232 = VAR220 - VAR42;
reg VAR79[0:2**VAR292-1];
reg VAR25[0:2**VAR292-1];
wire VAR70;
wire VAR96;
wire [VAR292-1:0] VAR236;
wire [VAR292-1:0] VAR198;
wire [VAR292-1:0] VAR50;
wire VAR169;
wire VAR1;
wire VAR116;
wire VAR268;
wire VAR267;
wire VAR250;
wire VAR206;
wire VAR204;
wire VAR68;
wire VAR113;
wire [VAR17-1:0] VAR109;
wire VAR260;
wire VAR18;
wire VAR87;
wire [1:0] VAR261;
wire VAR199;
wire [VAR42-1:0] VAR197;
wire VAR254;
wire [VAR292-1:0] VAR150;
wire [VAR292-1:0] VAR210;
wire [VAR292-1:0] VAR241;
wire [VAR292-1:0] VAR272;
wire VAR110;
wire VAR37;
wire [VAR128-1:0] VAR214;
wire VAR243;
wire VAR275;
wire VAR230;
wire [VAR128-1:0] VAR144;
wire VAR40;
wire VAR212;
wire VAR315;
wire [VAR17-1:0] VAR131;
wire [VAR46-1:0] VAR85;
wire [VAR237-1:0] VAR307;
wire VAR115;
wire VAR89;
reg [VAR17-1:0] VAR173 = 'h0;
reg VAR38 = 1'b0;
wire [VAR292-1:0] VAR130;
reg [VAR292-1:0] VAR26;
wire [VAR292-1:0] VAR184;
wire [VAR292-1:0] VAR183;
wire VAR90;
wire [VAR172-1:0] VAR270;
wire VAR97;
wire VAR8;
wire VAR238;
wire VAR69;
wire [VAR172-1:0] VAR189;
wire VAR9;
wire VAR163;
wire VAR21;
wire VAR55;
wire [VAR237-1:0] VAR255;
wire [VAR42-1:0] VAR303;
wire VAR47;
wire [VAR292-1:0] VAR290;
wire VAR223;
reg VAR5 = 1'b0;
wire VAR283;
wire VAR311;
wire VAR225;
wire [VAR292+3-1:0] VAR58;
wire VAR49;
wire VAR167;
wire [1:0] VAR203;
wire VAR288;
wire VAR129;
wire [VAR292+3-1:0] VAR56;
reg VAR209 = 1'b1;
wire VAR219;
wire VAR242;
assign VAR282 = VAR150;
assign VAR105 = VAR272;
assign VAR13 = VAR130;
assign VAR82 = VAR183;
always @(posedge VAR107)
begin
VAR79[VAR236] <= VAR70;
end
always @(posedge VAR6)
begin
VAR25[VAR198] <= VAR96;
end
generate if (VAR19 == VAR126) begin
wire VAR159;
wire VAR246;
wire [VAR33-1:0] VAR291;
wire [VAR237-1:0] VAR273;
assign VAR137 = VAR224;
assign VAR300 = VAR92;
wire [VAR292-1:0] VAR280;
wire VAR99 = VAR25[VAR280];
wire VAR218 = VAR25[VAR272];
assign VAR59 = VAR280;
assign VAR181 = VAR241;
assign VAR210 = VAR280;
VAR44 #(
.VAR292(VAR292),
.VAR139(VAR128),
.VAR248(VAR312),
.VAR316(VAR33),
.VAR121(VAR174),
.VAR81(VAR81),
.VAR42(VAR42),
.VAR160(VAR249)
) VAR269 (
.VAR147(VAR224),
.VAR281(VAR186),
.enable(VAR314),
.VAR124(VAR240),
.VAR180(VAR68),
.VAR168(VAR113),
.VAR227(VAR109),
.VAR266(VAR159),
.VAR114(VAR246),
.VAR61(VAR291),
.VAR10(VAR18),
.VAR104(VAR87),
.VAR182(VAR261),
.VAR15(VAR199),
.VAR161(VAR254),
.VAR298(VAR197),
.VAR236(VAR150),
.VAR50(VAR272),
.VAR57(VAR280),
.VAR143(VAR99),
.VAR53(VAR218),
.VAR43(VAR110),
.VAR135(VAR37),
.VAR12(VAR214),
.VAR191(VAR243),
.VAR303(VAR303),
.VAR47(VAR47),
.VAR290(VAR290),
.VAR223(VAR223),
.VAR48(VAR48),
.VAR287(VAR287),
.VAR138(VAR138),
.VAR112(VAR112),
.VAR190(VAR190),
.VAR162(VAR162),
.VAR278(VAR278),
.VAR222(VAR222),
.VAR185(VAR185),
.VAR88(VAR88),
.VAR179(VAR179),
.VAR127(VAR127),
.VAR286(VAR286),
.VAR192(VAR192),
.VAR132(VAR132),
.VAR313(VAR313)
);
VAR294 #(
.VAR276(VAR237),
.VAR117(0),
.VAR264(VAR216)
) VAR293 (
.VAR123(VAR6),
.VAR165(VAR64),
.VAR217(VAR21),
.VAR274(VAR55),
.VAR256(),
.VAR111(VAR255),
.VAR142(),
.VAR74(VAR137),
.VAR35(VAR186),
.VAR157(VAR159),
.VAR155(VAR246),
.VAR20(VAR273),
.VAR262()
);
if (VAR237 == VAR33) begin
assign VAR291 = VAR273;
end
if (VAR237 < VAR33) begin
assign VAR291 = {VAR273,
{VAR33 - VAR237{1'b1}}};
end
if (VAR237 > VAR33) begin
assign VAR291 = VAR273[VAR237-1 -: VAR33];
end
end else begin
assign VAR287 = 1'b0;
assign VAR138 = 'h00;
assign VAR112 = 'h00;
assign VAR190 = 'h00;
assign VAR162 = 'h00;
assign VAR278 = 'h00;
assign VAR222 = 'h00;
assign VAR88 = 1'b0;
assign VAR179 = 'h00;
assign VAR127 = 'h00;
assign VAR286 = 1'b0;
assign VAR313 = 1'b0;
assign VAR55 = 1'b1;
assign VAR254 = 1'b0;
assign VAR197 = 'h0;
end
if (VAR19 == VAR153) begin
assign VAR137 = VAR74;
assign VAR300 = 1'b1;
wire [VAR292-1:0] VAR284;
wire VAR73 = VAR25[VAR284];
wire VAR53 = VAR25[VAR272];
assign VAR210 = VAR150;
assign VAR59 = 'h00;
assign VAR181 = VAR284;
VAR305 #(
.VAR292(VAR292),
.VAR36(VAR128),
.VAR316(VAR33)
) VAR118 (
.VAR123(VAR74),
.VAR165(VAR186),
.enable(VAR314),
.VAR124(VAR240),
.VAR180(VAR68),
.VAR168(VAR113),
.VAR247(VAR260),
.VAR10(VAR18),
.VAR104(VAR87),
.VAR182(VAR261),
.VAR15(VAR199),
.VAR50(VAR272),
.VAR284(VAR284),
.VAR158(VAR54),
.VAR73(VAR73),
.VAR53(VAR53),
.VAR43(VAR110),
.VAR135(VAR37),
.VAR12(VAR214),
.VAR191(VAR243),
.VAR157(VAR157),
.VAR155(VAR155),
.VAR20(VAR20),
.VAR178(VAR178)
);
end else begin
assign VAR157 = 1'b0;
assign VAR178 = 1'b0;
assign VAR54 = 1'b0;
assign VAR20 = 'h00;
end
if (VAR19 == VAR279) begin
assign VAR137 = VAR76;
assign VAR300 = 1'b1;
wire [VAR292-1:0] VAR284;
wire VAR73 = VAR25[VAR284];
wire VAR53 = VAR25[VAR272];
assign VAR210 = VAR150;
assign VAR59 = 'h00;
assign VAR181 = VAR284;
VAR265 #(
.VAR292(VAR292),
.VAR276(VAR128),
.VAR316(VAR33)
) VAR301 (
.clk(VAR76),
.VAR253(VAR186),
.enable(VAR314),
.VAR124(VAR240),
.VAR180(VAR68),
.VAR168(VAR113),
.VAR10(VAR18),
.VAR104(VAR87),
.VAR182(VAR261),
.VAR15(VAR199),
.VAR50(VAR272),
.VAR284(VAR284),
.VAR73(VAR73),
.VAR53(VAR53),
.VAR43(VAR110),
.VAR135(VAR37),
.VAR12(VAR214),
.VAR191(VAR243),
.en(VAR141),
.valid(VAR201),
.dout(VAR100),
.VAR156(VAR245),
.VAR158(VAR310)
);
end else begin
assign VAR201 = 1'b0;
assign VAR100 = 'h0;
assign VAR245 = 1'b0;
assign VAR310 = 1'b0;
end endgenerate
generate if (VAR297 == VAR126) begin
wire [VAR292-1:0] VAR78;
wire [VAR292-1:0] VAR176;
wire VAR295 = VAR79[VAR176];
assign VAR198 = VAR176;
assign VAR96 = VAR295;
assign VAR6 = VAR77;
assign VAR229 = VAR187;
assign VAR194 = VAR176;
assign VAR152 = VAR78;
VAR289 #(
.VAR292(VAR292),
.VAR139(VAR172),
.VAR248(VAR312),
.VAR316(VAR237),
.VAR121(VAR188),
.VAR160(VAR22)
) VAR101 (
.VAR147(VAR77),
.VAR281(VAR64),
.enable(VAR62),
.VAR124(VAR133),
.VAR180(VAR212),
.VAR168(VAR315),
.VAR227(VAR85),
.VAR146(VAR307),
.VAR266(VAR21),
.VAR114(VAR55),
.VAR61(VAR255),
.VAR236(VAR26),
.VAR50(VAR183),
.VAR57(VAR176),
.VAR284(VAR78),
.VAR143(VAR295),
.VAR43(VAR90),
.VAR12(VAR270),
.VAR191(VAR97),
.VAR52(VAR52),
.VAR166(VAR166),
.VAR175(VAR175),
.VAR213(VAR213),
.VAR71(VAR71),
.VAR239(VAR239),
.VAR154(VAR154),
.VAR91(VAR91),
.VAR211(VAR211),
.VAR98(VAR98),
.VAR34(VAR34),
.VAR41(VAR41),
.VAR233(VAR233)
);
end else begin
assign VAR166 = 1'b0;
assign VAR175 = 'h00;
assign VAR213 = 'h00;
assign VAR71 = 'h00;
assign VAR239 = 'h00;
assign VAR91 = 'h00;
assign VAR154 = 'h00;
assign VAR211 = 1'b0;
end
if (VAR297 == VAR153) begin
assign VAR6 = VAR123;
assign VAR229 = 1'b1;
wire VAR28 = VAR79[VAR183];
assign VAR194 = 'h00;
assign VAR152 = 'h00;
VAR94 #(
.VAR292(VAR292),
.VAR36(VAR172),
.VAR316(VAR237)
) VAR72 (
.VAR123(VAR123),
.VAR165(VAR64),
.enable(VAR62),
.VAR124(VAR133),
.VAR180(VAR212),
.VAR168(VAR315),
.VAR146(VAR307),
.VAR86(VAR115),
.VAR247(VAR89),
.VAR236(VAR26),
.VAR50(VAR183),
.VAR244(VAR28),
.VAR288(VAR288),
.VAR129(VAR129),
.VAR56(VAR56),
.VAR266(VAR21),
.VAR114(VAR55),
.VAR61(VAR255),
.VAR238(VAR238),
.VAR198(VAR198),
.VAR96(VAR96),
.VAR43(VAR90),
.VAR12(VAR270),
.VAR191(VAR97),
.VAR308(VAR8),
.VAR217(VAR217),
.VAR274(VAR274),
.VAR111(VAR111),
.VAR234(VAR234),
.VAR84(VAR84),
.VAR140(VAR140)
);
VAR294 #(
.VAR276(VAR292 + 3),
.VAR117(0),
.VAR264(VAR63)
) VAR11 (
.VAR123(VAR6),
.VAR165(VAR64),
.VAR217(VAR288),
.VAR274(VAR129),
.VAR256(),
.VAR111(VAR56),
.VAR142(),
.VAR74(VAR107),
.VAR35(VAR231),
.VAR157(VAR225),
.VAR155(1'b1),
.VAR20(VAR58),
.VAR262()
);
end else begin
assign VAR274 = 1'b0;
assign VAR140 = 1'b0;
assign VAR288 = 1'b0;
assign VAR56 = 'h0;
assign VAR225 = 'b0;
assign VAR58 = 'h0;
assign VAR8 = 1'b0;
assign VAR238 = 1'b0;
end
if (VAR297 == VAR279) begin
wire VAR28 = VAR79[VAR183];
assign VAR198 = VAR183;
assign VAR96 = VAR28;
assign VAR6 = VAR259;
assign VAR229 = 1'b1;
assign VAR194 = 'h00;
assign VAR152 = 'h00;
VAR66 #(
.VAR292(VAR292),
.VAR276(VAR172),
.VAR316(VAR237)
) VAR228 (
.clk(VAR259),
.VAR253(VAR64),
.enable(VAR62),
.VAR124(VAR133),
.VAR180(VAR212),
.VAR168(VAR315),
.VAR146(VAR307),
.VAR86(VAR115),
.VAR236(VAR26),
.VAR50(VAR183),
.VAR244(VAR28),
.VAR266(VAR21),
.VAR114(VAR55),
.VAR61(VAR255),
.VAR43(VAR90),
.VAR12(VAR270),
.VAR191(VAR97),
.en(VAR171),
.din(VAR136),
.VAR202(VAR226),
.sync(VAR306),
.VAR158(VAR235)
);
end else begin
assign VAR226 = 1'b0;
assign VAR235 = 1'b0;
end endgenerate
VAR149 #(
.VAR7(VAR292),
.VAR264(VAR63)
) VAR45 (
.VAR151(VAR6),
.VAR134(1'b1),
.in(VAR236),
.out(VAR130)
);
function VAR4;
input [VAR292-1:0] VAR122;
input [VAR292-1:0] VAR145;
begin
VAR4 = VAR122[VAR292-1] == VAR145[VAR292-1];
if (VAR292 >= 2) begin
if (VAR122[VAR292-2] == VAR145[VAR292-2]) begin
VAR4 = 1'b1;
end
end
if (VAR292 >= 3) begin
if (VAR122[VAR292-3:0] != VAR145[VAR292-3:0]) begin
VAR4 = 1'b1;
end
end
end
endfunction
VAR120 #(.VAR264(VAR63)) VAR221 (
.VAR304(VAR107),
.VAR108(VAR242),
.VAR151(VAR6),
.VAR30(VAR219)
);
always @(posedge VAR6) begin
if (VAR64 == 1'b0) begin
VAR209 <= 'b1;
end else if (VAR288) begin
VAR209 <= 'b0;
end else if (VAR219) begin
VAR209 <= 'b1;
end
end
always @(posedge VAR6) begin
if (VAR64 == 1'b0) begin
VAR26 <= 'h00;
end else if (VAR288) begin
VAR26 <= VAR56[VAR292-1:0];
end else if (VAR26 != VAR130 &&
VAR4(VAR26, VAR184) &&
VAR209) begin
VAR26 <= VAR29(VAR26);
end
end
VAR149 #(
.VAR7(VAR292),
.VAR264(VAR215)
) VAR193 (
.VAR151(VAR107),
.VAR134(1'b1),
.in(VAR272),
.out(VAR50)
);
VAR271 #(
.VAR276(VAR172 + 2),
.VAR103(VAR252),
.VAR32(0)
) VAR93 (
.clk(VAR6),
.VAR253(VAR64),
.VAR205(VAR90),
.VAR285(),
.VAR309({VAR270,VAR97,VAR8}),
.VAR106(VAR69),
.VAR75(1'b1),
.VAR170({VAR189,VAR9,VAR163})
);
VAR27 #(
.VAR83(VAR172),
.VAR164(VAR128),
.VAR292(VAR292),
.VAR81(VAR81),
.VAR264(VAR216),
.VAR188(VAR188),
.VAR42(VAR42),
.VAR65(VAR65)
) VAR67 (
.VAR6(VAR6),
.VAR24(~VAR64),
.VAR60(VAR69),
.VAR270(VAR189),
.VAR200(VAR9),
.VAR251({VAR188{1'b1}}),
.VAR258(VAR163),
.VAR184(VAR184),
.VAR137(VAR137),
.VAR208(~VAR186),
.VAR207(VAR275),
.VAR296(VAR230),
.VAR214(VAR144),
.VAR302(VAR40),
.VAR303(VAR303),
.VAR47(VAR47),
.VAR290(VAR290),
.VAR223(VAR223),
.VAR150(VAR150),
.VAR210(VAR210),
.VAR241(VAR241),
.VAR80(VAR80)
);
VAR271 #(
.VAR276(VAR128 + 1),
.VAR103(VAR177),
.VAR32(VAR177)
) VAR277 (
.clk(VAR137),
.VAR253(VAR186),
.VAR205(VAR275),
.VAR285(VAR230),
.VAR309({
VAR40,
VAR144
}),
.VAR106(VAR110),
.VAR75(VAR37),
.VAR170({
VAR243,
VAR214
})
);
assign VAR116 = VAR180 & VAR168;
assign VAR206 = VAR180 & VAR168;
assign VAR168 = VAR268 & VAR204;
VAR294 #(
.VAR276(VAR17 + 1),
.VAR117(0),
.VAR264(VAR216)
) VAR3 (
.VAR123(VAR6),
.VAR165(VAR64),
.VAR217(VAR283),
.VAR274(VAR311),
.VAR256(),
.VAR111({
VAR173,
VAR38
}),
.VAR142(),
.VAR74(VAR137),
.VAR35(VAR186),
.VAR157(VAR68),
.VAR155(VAR113),
.VAR20({
VAR109,
VAR260
}),
.VAR262()
);
VAR294 #(
.VAR276(VAR17 + VAR46 + VAR237 + 2),
.VAR117(0),
.VAR264(VAR63)
) VAR299 (
.VAR123(VAR107),
.VAR165(VAR231),
.VAR217(VAR206),
.VAR274(VAR204),
.VAR256(),
.VAR111({
VAR95,
VAR102,
VAR119[VAR42-1:VAR188],
VAR86,
VAR247
}),
.VAR142(),
.VAR74(VAR6),
.VAR35(VAR64),
.VAR157(VAR31),
.VAR155(VAR14),
.VAR20({
VAR131,
VAR85,
VAR307,
VAR115,
VAR89
}),
.VAR262()
);
always @(posedge VAR6) begin
if (VAR212 == 1'b1 && VAR315 == 1'b1) begin
VAR173 <= VAR131;
VAR38 <= VAR89;
end
end
always @(posedge VAR6) begin
if (VAR64 == 1'b0) begin
VAR5 <= 1'b0;
end else if (VAR212 == 1'b1 && VAR315 == 1'b1) begin
VAR5 <= 1'b1;
end else if (VAR311 == 1'b1) begin
VAR5 <= 1'b0;
end
end
assign VAR283 = VAR5 == 1'b1 && VAR238 == 1'b0;
assign VAR14 = VAR315 && VAR311;
assign VAR212 = VAR31 && VAR14;
VAR125 #(
.VAR292(VAR292),
.VAR232(VAR232)
) VAR196 (
.clk(VAR107),
.VAR253(VAR231),
.VAR236(VAR236),
.VAR50(VAR50),
.VAR288(VAR225),
.VAR56(VAR58),
.VAR242(VAR242),
.VAR16(VAR16),
.VAR49(VAR49),
.VAR167(VAR167),
.VAR203(VAR203),
.VAR180(VAR116),
.VAR168(VAR268),
.VAR39(VAR119[VAR220-1:VAR42]),
.VAR247(VAR247),
.enable(VAR51),
.VAR244(VAR70)
);
VAR263 #(
.VAR172(VAR172),
.VAR128(VAR128),
.VAR220(VAR220),
.VAR42(VAR42),
.VAR188(VAR188),
.VAR215(VAR215)
) VAR195(
.VAR137(VAR137),
.VAR186(VAR186),
.VAR18(VAR18),
.VAR87(VAR87),
.VAR261(VAR261),
.VAR254(VAR254),
.VAR199(VAR199),
.VAR197(VAR197),
.VAR107(VAR107),
.VAR231(VAR231),
.VAR53(VAR244),
.VAR2(VAR2),
.VAR23(VAR23),
.VAR10(VAR10),
.VAR104(VAR104),
.VAR49(VAR49),
.VAR167(VAR167),
.VAR203(VAR203)
);
endmodule
|
mit
|
twlostow/dsi-shield
|
hdl/rtl/fmlarb/fml_wb_bridge.v
| 3,725 |
module MODULE1
parameter VAR11 = 26
)
(
input VAR24,
input VAR21,
output reg [VAR11-1:0] VAR22,
output reg VAR3,
output reg VAR5,
input VAR23,
output reg [3:0] VAR18,
output reg [31:0] VAR26,
input [31:0] VAR12,
input [31:0] VAR4,
input [31:0] VAR20,
input [3:0] VAR9,
input VAR16,
input VAR14,
input VAR8,
output reg VAR2,
output reg VAR6,
output reg [31:0] VAR10 );
reg VAR19;
reg VAR15;
reg VAR1;
reg [1:0] state;
reg [3:0] VAR13;
always@(posedge VAR24)
if(!VAR21)
begin
VAR6 <= 1;
VAR2 <= 0;
VAR22 <= 0;
VAR3 <= 0;
VAR5 <= 0;
VAR18 <= 0;
VAR26 <= 0;
state <= VAR17;
end else begin case(state)
VAR6 <= 0;
VAR2 <= 0;
VAR3 <= 1;
VAR22 <= VAR4;
VAR18 <= VAR9;
VAR5 <= VAR8;
VAR1 <= VAR8;
VAR26 <= VAR20;
state <= VAR25;
VAR6 <= 0;
end else begin
VAR6 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
begin
VAR6 <= 1;
if(VAR23)
begin
VAR26 <= 0;
VAR18 <= VAR1 ? 0 : 4'hf;
VAR3 <= 0;
VAR10 <= VAR12;
state <= VAR7;
VAR13 <= 0;
end
end
begin
VAR13 <= VAR13 + 1;
VAR6 <= 1;
if(VAR13 == 2)
begin
VAR3 <= 0;
VAR5 <= 0;
VAR2 <= 1;
state <= VAR17;
VAR18 <= 0;
VAR26 <= 0;
end
end
endcase end
endmodule
|
lgpl-3.0
|
theapi/de0-nano
|
pong/quadrature_decoder.v
| 1,680 |
module MODULE1(
VAR7,
VAR1,
VAR6,
VAR9,
VAR3,
VAR8,
VAR4
);
input VAR7, VAR1, VAR6, VAR9;
output VAR3;
output VAR8;
output [3:0] VAR4;
reg [2:0] VAR5;
reg [2:0] VAR2;
always @(posedge VAR7 or posedge VAR1) begin
if (VAR1) begin
VAR5 <= 0;
end else begin
VAR5 <= {VAR5[1:0], VAR6};
end
end
always @(posedge VAR7 or posedge VAR1) begin
if (VAR1) begin
VAR2 <= 0;
end else begin
VAR2 <= {VAR2[1:0], VAR9};
end
end
assign VAR3 = VAR5[1] ^ VAR5[2] ^ VAR2[1] ^ VAR2[2];
assign VAR8 = VAR5[1] ^ VAR2[2];
assign VAR4 = 4'd0;
endmodule
|
mit
|
egyp7/mor1kx
|
rtl/verilog/mor1kx_fetch_espresso.v
| 10,050 |
module MODULE1
(
VAR33, VAR15, VAR19, VAR8,
VAR2, VAR29, VAR49, VAR14,
VAR50, VAR48, VAR22,
clk, rst, VAR42, VAR28, VAR11, VAR37,
VAR26, VAR9, VAR5, VAR41,
VAR3, VAR7, VAR24,
VAR47
);
parameter VAR40 = 32;
parameter VAR13 = 5;
parameter VAR43 = {{(VAR40-13){1'b0}},
input clk, rst;
output [VAR40-1:0] VAR33;
output VAR15;
output VAR19;
input VAR42;
input VAR28;
input [VAR23-1:0] VAR11;
input VAR37;
output reg [VAR23-1:0] VAR8;
output VAR2;
output [VAR13-1:0] VAR29;
output [VAR13-1:0] VAR49;
output [VAR40-1:0] VAR14;
output [VAR40-1:0] VAR50;
input VAR26;
input [VAR40-1:0] VAR9;
input VAR5;
input [VAR40-1:0] VAR41;
input VAR3;
input VAR7;
input VAR24;
input VAR47;
output reg VAR48;
output VAR22;
reg [VAR40-1:0] VAR36;
reg VAR20;
reg VAR16;
reg [VAR40-1:0] VAR1;
reg VAR46;
reg VAR38;
reg VAR4;
reg VAR34;
reg VAR35;
wire [VAR40-1:0] VAR21;
wire VAR12;
wire VAR39;
wire VAR44;
wire VAR17;
wire VAR30;
wire VAR51;
wire VAR25;
assign VAR30 = VAR26 & VAR37;
assign VAR12 = (VAR28 | VAR42) & !(VAR30);
assign VAR21 = VAR36 + 4;
assign VAR33 = VAR36;
assign VAR15 = VAR20;
assign VAR19 = 0;
assign VAR22 = (VAR37 | VAR3 |
VAR47) &
VAR2;
assign VAR29 = VAR1[VAR6];
assign VAR49 = VAR1[VAR45];
assign VAR51 = VAR1[VAR31]==VAR18 ||
VAR1[VAR31]==VAR27;
assign VAR25 = VAR51 & VAR12;
always @(posedge clk VAR10)
if (rst)
VAR36 <= VAR43;
else if (VAR3 |
(((VAR12 & !VAR42) | VAR30) &
(!VAR7 | !VAR16) &
!VAR25) |
VAR17 |
VAR5)
VAR36 <= VAR5 ? VAR41 :
(VAR3 | VAR30) ?
VAR9 : VAR21;
assign VAR14 = VAR36;
assign VAR50 = VAR21;
always @(posedge clk VAR10)
if (rst)
VAR20 <= 1;
else if (VAR3 | VAR5)
VAR20 <= 1;
else if (VAR37)
VAR20 <= !VAR26 & !VAR24;
else if (VAR24)
VAR20 <= VAR20 & !VAR12;
else if (!VAR20 & !VAR7 &
!VAR35 & !VAR25 &
!VAR24 & !VAR47)
VAR20 <= 1;
else if (VAR12 & (VAR3 |
VAR7 | VAR42 | VAR47))
VAR20 <= 0;
always @(posedge clk VAR10)
if (rst)
begin
VAR34 <= 0;
VAR46 <= 0;
end
else
begin
VAR34 <= VAR12;
VAR46 <= VAR26;
end
always @(posedge clk VAR10)
if (rst)
VAR4 <= 0;
else
VAR4 <= VAR22 & VAR26;
assign VAR2 = (VAR34 | VAR16) &
!(VAR4);
assign VAR44 = VAR26 & !VAR46;
assign VAR17 = VAR44 &
VAR39;
always @(posedge clk VAR10)
if (rst)
VAR8 <= {VAR32,26'd0};
else if (VAR3 | (VAR24 & !VAR7))
VAR8 <= {VAR32,26'd0};
else if ((VAR37 & (
VAR34 |
VAR12 |
VAR16
) &
!VAR46 ) |
(VAR26 & VAR37 & VAR38) |
(VAR39 & VAR47))
VAR8 <= VAR1;
always @(posedge clk VAR10)
if (rst)
VAR48 <= 0;
else if ((VAR37 | VAR3) & VAR26 |
VAR24)
VAR48 <= 0;
else if (VAR20)
VAR48 <= VAR42;
always @(posedge clk VAR10)
if (rst)
VAR38 <= 0;
else
VAR38 <= VAR12 & !VAR34;
assign VAR39 = !VAR12 & VAR34;
always @(posedge clk VAR10)
if (rst)
VAR16 <= 0;
else if (VAR3)
VAR16 <= 0;
else if (VAR37)
VAR16 <= VAR28 & !VAR26;
else if (VAR28 & VAR7)
VAR16 <= 1;
always @(posedge clk VAR10)
if (rst)
VAR1 <= {VAR32,26'd0};
else if (VAR28 & (!VAR7 | !VAR16) &
!VAR30)
VAR1 <= VAR11;
always @(posedge clk VAR10)
if (rst)
VAR35 <= 0;
else if (VAR3)
VAR35 <= 0;
else if (VAR42)
VAR35 <= 1;
endmodule
|
mpl-2.0
|
spacemonkeydelivers/mor1kx
|
rtl/verilog/mor1kx_branch_predictor_saturation_counter.v
| 3,938 |
module MODULE1
(
input clk,
input rst,
output VAR2,
input VAR11, input VAR10, input VAR6, input VAR13, input VAR1, input VAR9,
input VAR5, input VAR3 );
localparam [1:0]
VAR14 = 2'b00,
VAR7 = 2'b01,
VAR4 = 2'b10,
VAR12 = 2'b11;
reg [1:0] state = VAR4;
assign VAR2 = (state[1] && VAR6) || (!state[1] && VAR13);
wire VAR8 = (VAR11 && VAR9) || (VAR10 && !VAR9);
always @(posedge clk) begin
if (rst) begin
state <= VAR4;
end else begin
if (VAR5 && VAR1) begin
if (!VAR8) begin
case (state)
VAR12:
state <= VAR4;
VAR4:
state <= VAR7;
VAR7:
state <= VAR14;
VAR14:
state <= VAR14;
endcase
end else begin
case (state)
VAR14:
state <= VAR7;
VAR7:
state <= VAR4;
VAR4:
state <= VAR12;
VAR12:
state <= VAR12;
endcase
end
end
end
end
endmodule
|
mpl-2.0
|
sehugg/8bitworkshop
|
presets/verilog/sound_generator.v
| 2,971 |
module MODULE1(clk, reset, VAR12,
VAR22,VAR15, VAR6,
VAR3, VAR7, VAR9, VAR4);
input clk, reset;
output reg VAR12 = 0;
input [9:0] VAR22; input [11:0] VAR15; input [11:0] VAR6; input VAR3; input VAR7; input [2:0] VAR9; input [2:0] VAR4;
reg [3:0] VAR1; reg [17:0] VAR20; reg VAR23; reg [12:0] VAR17; reg VAR19; reg [12:0] VAR13; reg VAR8;
reg [15:0] VAR16;
VAR2 #(16'b1000000001011,0) VAR5(
.clk(clk),
.reset(reset),
.enable(VAR1 == 0 && VAR17 == 0),
.VAR16(VAR16)
);
wire [11:0] VAR18 = VAR20[17] ? ~VAR20[17:6] : VAR20[17:6];
wire [11:0] VAR11 = VAR18 >> VAR9;
always @(posedge clk) begin
VAR1 <= VAR1 + 1;
if (VAR1 == 0) begin
if (reset || VAR13 == 0) begin
VAR8 <= ~VAR8;
if (VAR3)
VAR13 <= VAR6 + VAR11;
end
else
VAR13 <= VAR6 + 0;
end else
VAR13 <= VAR13 - 1;
if (reset || VAR20 == 0) begin
VAR23 <= ~VAR23;
VAR20 <= {VAR22, 8'b0};
end else
VAR20 <= VAR20 - 1;
if (reset || VAR17 == 0) begin
if (VAR16[0])
VAR19 <= ~VAR19;
if (VAR7)
VAR17 <= VAR15 + VAR11;
end
else
VAR17 <= VAR15 + 0;
end else
VAR17 <= VAR17 - 1;
VAR12 <= (VAR23 | ~VAR4[2])
& (VAR19 | ~VAR4[1])
& (VAR8 | ~VAR4[0]);
end
end
endmodule
module MODULE2(clk, reset, VAR21, VAR10, VAR24, VAR12);
input clk, reset;
output VAR21;
output VAR10;
output VAR12;
output [2:0] VAR24;
assign VAR21 = 0;
assign VAR10 = 0;
assign VAR24 = {VAR12,1'b0,1'b0};
MODULE1 MODULE1(
.clk(clk),
.reset(reset),
.VAR12(VAR12),
.VAR22(1000),
.VAR15(90),
.VAR6(250),
.VAR3(1),
.VAR7(1),
.VAR9(1),
.VAR4(3)
);
endmodule
|
gpl-3.0
|
duttondj/DigitalDesignI-P4
|
project4.v
| 3,142 |
module MODULE1(VAR6, VAR14, VAR33, VAR17, VAR23, VAR19, VAR26, VAR21, VAR10, VAR25);
input VAR6;
input[1:0] VAR14;
input[2:0] VAR33;
output [0:6] VAR17, VAR23, VAR19, VAR26, VAR21, VAR10;
output [7:0] VAR25;
wire enable;
wire[7:0] VAR3, VAR35, VAR4;
VAR2 VAR12(.VAR34(VAR6),.reset(VAR14[0]),.VAR20(VAR14[1]),.VAR9(enable));
VAR7 VAR28 (.VAR34(VAR6),
.enable(enable),
.reset(VAR14[0]),
.VAR29(VAR33[1:0]),
.VAR15(VAR33[2]),
.VAR4(VAR4),
.VAR35(VAR35),
.VAR3(VAR3),
.VAR13(VAR25[3]),
.VAR24(VAR25[1]),
.VAR32(VAR25[0]),
.VAR27(VAR25[2]));
VAR11 VAR22 (.VAR5(VAR3[3:0]),
.VAR30(VAR10));
VAR11 VAR16 (.VAR5(VAR3[7:4]),
.VAR30(VAR21));
VAR11 VAR1 (.VAR5(VAR35[3:0]),
.VAR30(VAR26));
VAR11 VAR18 (.VAR5(VAR35[7:4]),
.VAR30(VAR19));
VAR11 VAR8 (.VAR5(VAR4[3:0]),
.VAR30(VAR23));
VAR11 VAR31 (.VAR5(VAR4[7:4]),
.VAR30(VAR17));
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_read_valid_selector.v
| 2,287 |
module MODULE1(
VAR11,
VAR19,
VAR9,
VAR22,
VAR5,
VAR18
);
parameter VAR6 = "";
localparam VAR21 = 2**VAR6;
input VAR11;
input VAR19;
input [VAR21-1:0] VAR9;
input [VAR6-1:0] VAR22;
output VAR5;
output VAR18;
wire [VAR21-1:0] VAR2;
reg [VAR21-1:0] VAR4;
reg VAR5;
reg VAR15;
reg VAR18;
wire [VAR21-1:0] VAR8;
VAR17 VAR12(
.VAR1 (VAR22),
.VAR14 (VAR2)
,
.VAR3 (),
.VAR10 (),
.VAR20 (),
.enable ()
);
always @(posedge VAR19 or negedge VAR11)
begin
if (~VAR11)
VAR4 <= {VAR21{1'b0}};
end
else
VAR4 <= VAR2;
end
assign VAR8 = VAR4 & VAR9;
always @(posedge VAR19 or negedge VAR11)
begin
if (~VAR11)
begin
VAR5 <= 1'b0;
VAR18 <= 1'b0;
end
else
begin
VAR5 <= |VAR8;
VAR18 <= |VAR8;
end
end
endmodule
|
lgpl-3.0
|
aj-michael/Digital-Systems
|
Lab6-Part2/ReadTempI2C.v
| 1,190 |
module MODULE1(VAR1,VAR8,VAR4,VAR20,VAR11,VAR13,VAR2,VAR10,VAR3,VAR9);
input [19:0] VAR1;
input [29:0] VAR8;
input [7:0] VAR4;
input VAR20;
input VAR11;
input VAR13;
output [7:0] VAR2;
output VAR10;
output VAR3;
output VAR9;
wire VAR18;
wire VAR5;
wire VAR16;
wire VAR6;
wire VAR14;
wire VAR7;
VAR19 VAR15(VAR20,VAR3,VAR11,VAR9,VAR13,VAR18,VAR10,VAR5,VAR16,VAR6,VAR14,VAR7);
VAR17 VAR12(VAR1,VAR8,VAR4,VAR18,VAR20,VAR5,VAR11,VAR16,VAR6,VAR14,VAR7,VAR2,VAR3,VAR9);
endmodule
|
mit
|
trivoldus28/pulsarch-verilog
|
verif/env/cmp/multicycle_mon.v
| 77,386 |
module MODULE1(
clk, VAR1
);
input clk;
input VAR1;
reg enable;
VAR2 VAR4;
integer VAR3;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-2.0
|
sergev/vak-opensource
|
hardware/pdp11/control.v
| 58,449 |
module MODULE1 (
input wire [15:0] VAR83, input wire [2:0] VAR110, output reg [2:0] VAR76, output reg [2:0] VAR111, output reg [2:0] VAR24, output reg [1:0] VAR7, output reg [9:0] VAR78, output reg [2:0] VAR146, output reg VAR184, output reg VAR203, output reg VAR80, output reg VAR22, output reg VAR137, output reg VAR98, output reg VAR37, output reg VAR204, output reg VAR207 );
VAR24 = 7; \
VAR111 = 7; \
VAR7 = 0; \
VAR78 = VAR96; \
VAR37 = 0; \
VAR80 = 0; \
VAR203 = 0; \
VAR204 = 0; \
VAR184 = 0; \
VAR146 = 0; \
VAR98 = 0; \
VAR22 = 0; \
VAR137 = 0; \
VAR207 = 0
always @(VAR83 or VAR110) begin
casez ({ VAR110, VAR83 })
{ 3'd0, 16'VAR198 }: begin VAR157;
VAR76 = 1; VAR24 = 7; VAR7 = VAR120; VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR207 = 1; VAR22 = 1; end
default: begin VAR157;
VAR76 = 0; VAR62 VAR139 %VAR9", , VAR110, VAR83);
end
{ 3'd1, 16'VAR149 }, { 3'd1, 16'VAR156 }, { 3'd1, 16'VAR8 }, { 3'd1, 16'VAR57 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR24 = VAR83[2:0]; VAR7 = VAR120; VAR78 = VAR83[15:6]; VAR37 = 1; VAR80 = 1; VAR184 = VAR83[15]; VAR203 = 0; end
{ 3'd1, 16'VAR11 }, { 3'd1, 16'VAR175 }, { 3'd1, 16'VAR21 }, { 3'd1, 16'VAR70 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd2, 16'VAR11 }, { 3'd2, 16'VAR175 },
{ 3'd2, 16'VAR21 },
{ 3'd2, 16'VAR70 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR164 }, { 3'd1, 16'VAR191 }, { 3'd1, 16'VAR17 }, { 3'd1, 16'VAR140 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[2:0] <= 5) ? VAR118 : VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd2, 16'VAR164 }, { 3'd2, 16'VAR191 },
{ 3'd2, 16'VAR17 },
{ 3'd2, 16'VAR140 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR59 }, { 3'd1, 16'VAR174 }, { 3'd1, 16'VAR29 }, { 3'd1, 16'VAR115 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd2, 16'VAR59 }, { 3'd2, 16'VAR174 },
{ 3'd2, 16'VAR29 },
{ 3'd2, 16'VAR115 }: begin VAR157;
VAR76 = 3; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd3, 16'VAR59 }, { 3'd3, 16'VAR174 },
{ 3'd3, 16'VAR29 },
{ 3'd3, 16'VAR115 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR18 }, { 3'd1, 16'VAR114 }, { 3'd1, 16'VAR113 }, { 3'd1, 16'VAR163 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[2:0] <= 5) ? VAR5 : VAR35;
VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR18 }, { 3'd2, 16'VAR114 },
{ 3'd2, 16'VAR113 },
{ 3'd2, 16'VAR163 }: begin VAR157;
VAR76 = 3; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd3, 16'VAR18 }, { 3'd3, 16'VAR114 },
{ 3'd3, 16'VAR113 },
{ 3'd3, 16'VAR163 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR67 }, { 3'd1, 16'VAR101 }, { 3'd1, 16'VAR12 }, { 3'd1, 16'VAR190 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35; VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR67 }, { 3'd2, 16'VAR101 },
{ 3'd2, 16'VAR12 },
{ 3'd2, 16'VAR190 }: begin VAR157;
VAR76 = 3; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR137 = 1; end
{ 3'd3, 16'VAR67 }, { 3'd3, 16'VAR101 },
{ 3'd3, 16'VAR12 },
{ 3'd3, 16'VAR190 }: begin VAR157;
VAR76 = 4; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR67 }, { 3'd4, 16'VAR101 },
{ 3'd4, 16'VAR12 },
{ 3'd4, 16'VAR190 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR39 }, { 3'd1, 16'VAR171 }, { 3'd1, 16'VAR187 }, { 3'd1, 16'VAR26 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd2, 16'VAR39 }, { 3'd2, 16'VAR171 },
{ 3'd2, 16'VAR187 },
{ 3'd2, 16'VAR26 }: begin VAR157;
VAR76 = 3; VAR24 = VAR83[2:0]; VAR146 = VAR151; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd3, 16'VAR39 }, { 3'd3, 16'VAR171 },
{ 3'd3, 16'VAR187 },
{ 3'd3, 16'VAR26 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR176 }, { 3'd1, 16'VAR20 }, { 3'd1, 16'VAR178 }, { 3'd1, 16'VAR34 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd2, 16'VAR176 }, { 3'd2, 16'VAR20 },
{ 3'd2, 16'VAR178 },
{ 3'd2, 16'VAR34 }: begin VAR157;
VAR76 = 3; VAR24 = VAR83[2:0]; VAR146 = VAR151; VAR137 = 1; end
{ 3'd3, 16'VAR176 }, { 3'd3, 16'VAR20 },
{ 3'd3, 16'VAR178 },
{ 3'd3, 16'VAR34 }: begin VAR157;
VAR76 = 4; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR176 }, { 3'd4, 16'VAR20 },
{ 3'd4, 16'VAR178 },
{ 3'd4, 16'VAR144 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR7 = VAR168; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'VAR133 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = VAR83[8:6]; VAR7 = VAR120; VAR78 = VAR83[15:6]; VAR37 = 1; VAR80 = 1; end
{ 3'd1, 16'VAR153 }: begin VAR157;
VAR76 = 5; VAR111 = VAR83[2:0]; VAR146 = VAR77; VAR22 = 1; end
{ 3'd5, 16'VAR46 }: begin VAR157;
VAR76 = 0; VAR24 = VAR83[8:6]; VAR7 = VAR188; VAR78 = VAR83[15:6]; VAR37 = 1; VAR80 = 1; end
{ 3'd1, 16'VAR85 }: begin VAR157;
VAR76 = 5; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd1, 16'VAR71 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd6, 16'VAR46 }: begin VAR157;
VAR76 = 5; VAR146 = VAR43; VAR22 = 1; end
{ 3'd1, 16'VAR107 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35;
VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR107 }: begin VAR157;
VAR76 = 5; VAR111 = VAR83[2:0]; VAR146 = VAR77; VAR22 = 1; end
{ 3'd1, 16'VAR32 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35; VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR32 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR22 = 1; end
{ 3'd1, 16'VAR49 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR49 }: begin VAR157;
VAR76 = 5; VAR111 = VAR83[2:0]; VAR146 = VAR134; VAR22 = 1; end
{ 3'd1, 16'VAR47 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR47 }: begin VAR157;
VAR76 = 6; VAR111 = VAR83[2:0]; VAR146 = VAR134; VAR22 = 1; end
{ 3'd1, 16'VAR94 }, { 3'd1, 16'VAR179 }, { 3'd1, 16'VAR119 }, { 3'd1, 16'VAR65 }, { 3'd1, 16'VAR129 }, { 3'd1, 16'VAR68 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[8:6]; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR83[15:6]; VAR37 = 1; VAR80 = 1; VAR203 = 0; end
{ 3'd1, 16'VAR117 }, { 3'd1, 16'VAR199 }, { 3'd1, 16'VAR45 }, { 3'd1, 16'VAR128 }, { 3'd1, 16'VAR170 }, { 3'd1, 16'VAR127 }: begin VAR157;
VAR76 = 4; VAR111 = VAR83[8:6]; VAR146 = VAR77; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR10 }, { 3'd1, 16'VAR44 }, { 3'd1, 16'VAR186 }, { 3'd1, 16'VAR109 }, { 3'd1, 16'VAR202 }, { 3'd1, 16'VAR100 }: begin VAR157;
VAR76 = 4; VAR24 = VAR83[8:6]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[8:6] <= 5) ? VAR118 : VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR193 }, { 3'd1, 16'VAR38 }, { 3'd1, 16'VAR131 }, { 3'd1, 16'VAR66 }, { 3'd1, 16'VAR28 }, { 3'd1, 16'VAR194 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[8:6]; VAR7 = VAR120;
VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR193 }, { 3'd2, 16'VAR38 },
{ 3'd2, 16'VAR131 },
{ 3'd2, 16'VAR66 },
{ 3'd2, 16'VAR28 },
{ 3'd2, 16'VAR194 }: begin VAR157;
VAR76 = 4; VAR146 = VAR43; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR124 }, { 3'd1, 16'VAR122 }, { 3'd1, 16'VAR52 }, { 3'd1, 16'VAR99 }, { 3'd1, 16'VAR64 }, { 3'd1, 16'VAR56 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[8:6]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[2:0] <= 5) ? VAR5 : VAR35;
VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR124 }, { 3'd2, 16'VAR122 },
{ 3'd2, 16'VAR52 },
{ 3'd2, 16'VAR99 },
{ 3'd2, 16'VAR64 },
{ 3'd2, 16'VAR56 }: begin VAR157;
VAR76 = 4; VAR111 = VAR83[8:6]; VAR146 = VAR77; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR82 }, { 3'd1, 16'VAR106 }, { 3'd1, 16'VAR88 }, { 3'd1, 16'VAR58 }, { 3'd1, 16'VAR92 }, { 3'd1, 16'VAR155 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[8:6]; VAR7 = VAR120;
VAR78 = VAR35; VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR82 }, { 3'd2, 16'VAR106 },
{ 3'd2, 16'VAR88 },
{ 3'd2, 16'VAR58 },
{ 3'd2, 16'VAR92 },
{ 3'd2, 16'VAR155 }: begin VAR157;
VAR76 = 3; VAR24 = VAR83[8:6]; VAR146 = VAR183; VAR22 = 1; end
{ 3'd3, 16'VAR82 }, { 3'd3, 16'VAR106 },
{ 3'd3, 16'VAR88 },
{ 3'd3, 16'VAR58 },
{ 3'd3, 16'VAR92 },
{ 3'd3, 16'VAR155 }: begin VAR157;
VAR76 = 4; VAR146 = VAR43; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR53 }, { 3'd1, 16'VAR130 }, { 3'd1, 16'VAR14 }, { 3'd1, 16'VAR154 }, { 3'd1, 16'VAR1 }, { 3'd1, 16'VAR97 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR53 }, { 3'd2, 16'VAR130 },
{ 3'd2, 16'VAR14 },
{ 3'd2, 16'VAR154 },
{ 3'd2, 16'VAR1 },
{ 3'd2, 16'VAR97 }: begin VAR157;
VAR76 = 4; VAR111 = VAR83[8:6]; VAR146 = VAR134; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd1, 16'VAR30 }, { 3'd1, 16'VAR200 }, { 3'd1, 16'VAR61 }, { 3'd1, 16'VAR19 }, { 3'd1, 16'VAR173 }, { 3'd1, 16'VAR201 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR30 }, { 3'd2, 16'VAR200 },
{ 3'd2, 16'VAR61 },
{ 3'd2, 16'VAR19 },
{ 3'd2, 16'VAR173 },
{ 3'd2, 16'VAR201 }: begin VAR157;
VAR76 = 3; VAR111 = VAR83[8:6]; VAR146 = VAR134; VAR22 = 1; end
{ 3'd3, 16'VAR30 }, { 3'd3, 16'VAR200 },
{ 3'd3, 16'VAR61 },
{ 3'd3, 16'VAR19 },
{ 3'd3, 16'VAR173 },
{ 3'd3, 16'VAR201 }: begin VAR157;
VAR76 = 4; VAR146 = VAR43; VAR184 = VAR83[15]; VAR22 = 1; end
{ 3'd4, 16'VAR177 }, { 3'd4, 16'VAR6 }, { 3'd4, 16'VAR169 }, { 3'd4, 16'VAR105 }, { 3'd4, 16'VAR13 }, { 3'd4, 16'VAR138 }: begin VAR157;
VAR76 = 0; VAR24 = VAR83[2:0]; VAR7 = VAR188; VAR78 = VAR83[15:6]; VAR37 = 1; VAR80 = 1; VAR203 = 0; end
{ 3'd4, 16'VAR132 }, { 3'd4, 16'VAR142 }, { 3'd4, 16'VAR48 }, { 3'd4, 16'VAR189 }, { 3'd4, 16'VAR89 }, { 3'd4, 16'VAR126 }: begin VAR157;
VAR76 = 7; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR147 }, { 3'd4, 16'VAR87 }, { 3'd4, 16'VAR182 }, { 3'd4, 16'VAR166 }, { 3'd4, 16'VAR31 }, { 3'd4, 16'VAR116 }: begin VAR157;
VAR76 = 7; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[2:0] <= 5) ? VAR118 : VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR91 }, { 3'd4, 16'VAR104 }, { 3'd4, 16'VAR121 }, { 3'd4, 16'VAR84 }, { 3'd4, 16'VAR2 }, { 3'd4, 16'VAR160 }: begin VAR157;
VAR76 = 5; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd5, 16'VAR91 }, { 3'd5, 16'VAR104 },
{ 3'd5, 16'VAR121 },
{ 3'd5, 16'VAR84 },
{ 3'd5, 16'VAR2 },
{ 3'd5, 16'VAR160 }: begin VAR157;
VAR76 = 7; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR40 }, { 3'd4, 16'VAR172 }, { 3'd4, 16'VAR158 }, { 3'd4, 16'VAR23 }, { 3'd4, 16'VAR81 }, { 3'd4, 16'VAR167 }: begin VAR157;
VAR76 = 5; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR83[15] && (VAR83[2:0] <= 5) ? VAR5 : VAR35;
VAR80 = 1; VAR203 = 0; end
{ 3'd5, 16'VAR40 }, { 3'd5, 16'VAR172 },
{ 3'd5, 16'VAR158 },
{ 3'd5, 16'VAR23 },
{ 3'd5, 16'VAR81 },
{ 3'd5, 16'VAR167 }: begin VAR157;
VAR76 = 7; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR125 }, { 3'd4, 16'VAR195 }, { 3'd4, 16'VAR42 }, { 3'd4, 16'VAR208 }, { 3'd4, 16'VAR205 }, { 3'd4, 16'VAR72 }: begin VAR157;
VAR76 = 5; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35; VAR80 = 1; VAR203 = 0; end
{ 3'd5, 16'VAR125 }, { 3'd5, 16'VAR195 },
{ 3'd5, 16'VAR42 },
{ 3'd5, 16'VAR208 },
{ 3'd5, 16'VAR205 },
{ 3'd5, 16'VAR72 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR137 = 1; end
{ 3'd6, 16'VAR125 }, { 3'd6, 16'VAR195 },
{ 3'd6, 16'VAR42 },
{ 3'd6, 16'VAR208 },
{ 3'd6, 16'VAR205 },
{ 3'd6, 16'VAR72 }: begin VAR157;
VAR76 = 7; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR103 }, { 3'd4, 16'VAR141 }, { 3'd4, 16'VAR86 }, { 3'd4, 16'VAR143 }, { 3'd4, 16'VAR197 }, { 3'd4, 16'VAR69 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd5, 16'VAR103 }, { 3'd5, 16'VAR141 },
{ 3'd5, 16'VAR86 },
{ 3'd5, 16'VAR143 },
{ 3'd5, 16'VAR197 },
{ 3'd5, 16'VAR69 }: begin VAR157;
VAR76 = 7; VAR24 = VAR83[2:0]; VAR146 = VAR151; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd4, 16'VAR36 }, { 3'd4, 16'VAR74 }, { 3'd4, 16'VAR181 }, { 3'd4, 16'VAR41 }, { 3'd4, 16'VAR159 }, { 3'd4, 16'VAR180 }: begin VAR157;
VAR76 = 5; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR137 = 1; end
{ 3'd5, 16'VAR36 }, { 3'd5, 16'VAR74 },
{ 3'd5, 16'VAR181 },
{ 3'd5, 16'VAR41 },
{ 3'd5, 16'VAR159 },
{ 3'd5, 16'VAR180 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR146 = VAR151; VAR137 = 1; end
{ 3'd6, 16'VAR36 }, { 3'd6, 16'VAR74 },
{ 3'd6, 16'VAR181 },
{ 3'd6, 16'VAR41 },
{ 3'd6, 16'VAR159 },
{ 3'd6, 16'VAR180 }: begin VAR157;
VAR76 = 7; VAR146 = VAR55; VAR184 = VAR83[15]; VAR137 = 1; VAR98 = 1; end
{ 3'd7, 16'VAR198 }: begin VAR157;
VAR76 = 0; VAR7 = VAR15; VAR78 = VAR83[15:6]; VAR37 = 1; VAR204 = 1; VAR184 = VAR83[15]; VAR146 = VAR108; end
{ 3'd1, 16'o000000 }: begin VAR157;
VAR76 = 0;
end
{ 3'd1, 16'o000240 }: begin VAR157;
VAR76 = 0; end
{ 3'd1, 16'VAR136 }, { 3'd1, 16'VAR33 }, { 3'd1, 16'VAR206 }, { 3'd1, 16'VAR192 }: begin VAR157;
VAR76 = 0; VAR24 = 7; VAR7 = VAR188; VAR78 = {VAR83[15:8], 2'b0}; VAR80 = 1; VAR203 = 0; end
{ 3'd1, 16'VAR4 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = 7; VAR7 = VAR120; VAR78 = VAR83[15:6]; VAR80 = 1; end
{ 3'd1, 16'VAR73 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = 7; VAR146 = VAR77; VAR80 = 1; VAR203 = 1; end
{ 3'd1, 16'VAR95 }: begin VAR157;
VAR76 = 5; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd5, 16'VAR60 }: begin VAR157;
VAR76 = 0; VAR24 = 7; VAR7 = VAR188; VAR78 = VAR83[15:6]; VAR80 = 1; end
{ 3'd1, 16'VAR150 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR135; VAR80 = 1; VAR203 = 0; VAR146 = VAR183; VAR22 = 1; end
{ 3'd6, 16'VAR60 }: begin VAR157;
VAR76 = 0; VAR24 = 7; VAR146 = VAR43; VAR80 = 1; VAR203 = 1; end
{ 3'd1, 16'VAR3 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35;
VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR3 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = 7; VAR146 = VAR77; VAR80 = 1; end
{ 3'd1, 16'VAR196 }: begin VAR157;
VAR76 = 2; VAR24 = VAR83[2:0]; VAR7 = VAR120;
VAR78 = VAR35; VAR80 = 1; VAR203 = 0; end
{ 3'd2, 16'VAR196 }: begin VAR157;
VAR76 = 6; VAR24 = VAR83[2:0]; VAR146 = VAR183; VAR22 = 1; end
{ 3'd1, 16'VAR93 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR146 = VAR183; VAR137 = 1; end
{ 3'd2, 16'VAR93 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = 7; VAR7 = VAR168; VAR78 = VAR148; VAR80 = 1; end
{ 3'd1, 16'VAR152 }: begin VAR157;
VAR76 = 2; VAR24 = 7; VAR7 = VAR120;
VAR78 = VAR135;
VAR80 = 1; VAR146 = VAR183; VAR22 = 1; end
{ 3'd2, 16'VAR152 }: begin VAR157;
VAR76 = 0; VAR111 = VAR83[2:0]; VAR24 = 7; VAR146 = VAR134; VAR80 = 1; VAR203 = 1; end
{ 3'd1, 16'VAR50 }, { 3'd1, 16'VAR16 },
{ 3'd1, 16'VAR185 }, { 3'd1, 16'VAR79 }, { 3'd1, 16'VAR112 }, { 3'd1, 16'VAR165 }, { 3'd1, 16'VAR27 },
{ 3'd1, 16'VAR161 }, { 3'd1, 16'VAR145 }, { 3'd1, 16'VAR75 }, { 3'd1, 16'VAR123 }, { 3'd1, 16'VAR51 },
{ 3'd1, 16'VAR102 },
{ 3'd1, 16'VAR54 }: { 3'd1, 16'VAR63 }: { 3'd1, 16'VAR25 }: { 3'd1, 16'VAR162 }, VAR90
endcase
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/tap/sky130_fd_sc_lp__tap.behavioral.pp.v
| 1,189 |
module MODULE1 (
VAR2,
VAR4,
VAR1 ,
VAR3
);
input VAR2;
input VAR4;
input VAR1 ;
input VAR3 ;
endmodule
|
apache-2.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_30.v
| 20,605 |
module MODULE1 (
clk,
reset,
VAR100,
VAR69,
VAR134,
VAR185,
VAR124
);
parameter VAR137 = 18;
parameter VAR104 = 30;
parameter VAR131 = 15;
localparam VAR142 = 31;
input clk;
input reset;
input VAR100;
input VAR69;
input [VAR137-1:0] VAR134; output VAR185;
output [VAR137-1:0] VAR124;
localparam VAR26 = 18; localparam VAR125 = 36; localparam VAR170 = 17;
localparam VAR66 = 30;
reg [VAR137-1:0] VAR50;
reg [VAR137-1:0] VAR120;
reg [VAR137-1:0] VAR52;
reg [VAR137-1:0] VAR4;
reg [VAR137-1:0] VAR74;
reg [VAR137-1:0] VAR133;
reg [VAR137-1:0] VAR160;
reg [VAR137-1:0] VAR34;
reg [VAR137-1:0] VAR112;
reg [VAR137-1:0] VAR96;
reg [VAR137-1:0] VAR83;
reg [VAR137-1:0] VAR119;
reg [VAR137-1:0] VAR107;
reg [VAR137-1:0] VAR171;
reg [VAR137-1:0] VAR71;
always@(posedge clk) begin
VAR50 <= 18'd88;
VAR120 <= 18'd0;
VAR52 <= -18'd97;
VAR4 <= -18'd197;
VAR74 <= -18'd294;
VAR133 <= -18'd380;
VAR160 <= -18'd447;
VAR34 <= -18'd490;
VAR112 <= -18'd504;
VAR96 <= -18'd481;
VAR83 <= -18'd420;
VAR119 <= -18'd319;
VAR107 <= -18'd178;
VAR171 <= 18'd0;
VAR71 <= 18'd212;
end
reg [VAR142-1:0] VAR182;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR182 <= 0;
end else begin
if(VAR100) begin
VAR182 <= {VAR182[VAR142-2:0], VAR69};
end else begin
VAR182 <= VAR182;
end
end
end
wire [VAR137-1:0] VAR77;
wire [VAR137-1:0] VAR164;
wire [VAR137-1:0] VAR97;
wire [VAR137-1:0] VAR28;
wire [VAR137-1:0] VAR27;
wire [VAR137-1:0] VAR118;
wire [VAR137-1:0] VAR56;
wire [VAR137-1:0] VAR2;
wire [VAR137-1:0] VAR41;
wire [VAR137-1:0] VAR30;
wire [VAR137-1:0] VAR155;
wire [VAR137-1:0] VAR126;
wire [VAR137-1:0] VAR102;
wire [VAR137-1:0] VAR139;
wire [VAR137-1:0] VAR105;
wire [VAR137-1:0] VAR127;
wire [VAR137-1:0] VAR108;
wire [VAR137-1:0] VAR91;
wire [VAR137-1:0] VAR169;
wire [VAR137-1:0] VAR17;
wire [VAR137-1:0] VAR25;
wire [VAR137-1:0] VAR76;
wire [VAR137-1:0] VAR92;
wire [VAR137-1:0] VAR73;
wire [VAR137-1:0] VAR129;
wire [VAR137-1:0] VAR36;
wire [VAR137-1:0] VAR168;
wire [VAR137-1:0] VAR135;
wire [VAR137-1:0] VAR156;
wire [VAR137-1:0] VAR149;
MODULE2 MODULE33(
.clk(clk), .VAR100(VAR100),
.VAR47(VAR134),
.VAR31(VAR77),
.VAR159(VAR164),
.VAR49(VAR97),
.VAR39(VAR28),
.VAR116(VAR27),
.VAR29(VAR118),
.VAR85(VAR56),
.VAR90(VAR2),
.VAR122(VAR41),
.VAR45(VAR30),
.VAR18(VAR155),
.VAR103(VAR126),
.VAR6(VAR102),
.VAR82(VAR139),
.VAR51(VAR105),
.VAR13(VAR127),
.VAR165(VAR108),
.VAR151(VAR91),
.VAR123(VAR169),
.VAR150(VAR17),
.VAR79(VAR25),
.VAR68(VAR76),
.VAR181(VAR92),
.VAR35(VAR73),
.VAR8(VAR129),
.VAR154(VAR36),
.VAR21(VAR168),
.VAR19(VAR135),
.VAR113(VAR156),
.VAR1(VAR149),
.reset(reset) );
wire [VAR137-1:0] VAR128;
wire [VAR137-1:0] VAR162;
wire [VAR137-1:0] VAR7;
wire [VAR137-1:0] VAR38;
wire [VAR137-1:0] VAR37;
wire [VAR137-1:0] VAR10;
wire [VAR137-1:0] VAR80;
wire [VAR137-1:0] VAR86;
wire [VAR137-1:0] VAR62;
wire [VAR137-1:0] VAR46;
wire [VAR137-1:0] VAR172;
wire [VAR137-1:0] VAR140;
wire [VAR137-1:0] VAR57;
wire [VAR137-1:0] VAR173;
wire [VAR137-1:0] VAR67;
MODULE5 VAR148(
.VAR132 (VAR77),
.VAR111 (VAR149),
.VAR157(VAR128)
);
MODULE5 VAR153(
.VAR132 (VAR164),
.VAR111 (VAR156),
.VAR157(VAR162)
);
MODULE5 VAR84(
.VAR132 (VAR97),
.VAR111 (VAR135),
.VAR157(VAR7)
);
MODULE5 VAR32(
.VAR132 (VAR28),
.VAR111 (VAR168),
.VAR157(VAR38)
);
MODULE5 VAR163(
.VAR132 (VAR27),
.VAR111 (VAR36),
.VAR157(VAR37)
);
MODULE5 VAR109(
.VAR132 (VAR118),
.VAR111 (VAR129),
.VAR157(VAR10)
);
MODULE5 VAR87(
.VAR132 (VAR56),
.VAR111 (VAR73),
.VAR157(VAR80)
);
MODULE5 VAR15(
.VAR132 (VAR2),
.VAR111 (VAR92),
.VAR157(VAR86)
);
MODULE5 VAR12(
.VAR132 (VAR41),
.VAR111 (VAR76),
.VAR157(VAR62)
);
MODULE5 VAR177(
.VAR132 (VAR30),
.VAR111 (VAR25),
.VAR157(VAR46)
);
MODULE5 VAR114(
.VAR132 (VAR155),
.VAR111 (VAR17),
.VAR157(VAR172)
);
MODULE5 VAR89(
.VAR132 (VAR126),
.VAR111 (VAR169),
.VAR157(VAR140)
);
MODULE5 VAR42(
.VAR132 (VAR102),
.VAR111 (VAR91),
.VAR157(VAR57)
);
MODULE5 VAR63(
.VAR132 (VAR139),
.VAR111 (VAR108),
.VAR157(VAR173)
);
MODULE5 VAR143(
.VAR132 (VAR105),
.VAR111 (VAR127),
.VAR157(VAR67)
);
wire [VAR137-1:0] VAR59;
wire [VAR137-1:0] VAR20;
wire [VAR137-1:0] VAR138;
wire [VAR137-1:0] VAR48;
wire [VAR137-1:0] VAR110;
wire [VAR137-1:0] VAR55;
wire [VAR137-1:0] VAR75;
wire [VAR137-1:0] VAR179;
wire [VAR137-1:0] VAR16;
wire [VAR137-1:0] VAR95;
wire [VAR137-1:0] VAR161;
wire [VAR137-1:0] VAR58;
wire [VAR137-1:0] VAR147;
wire [VAR137-1:0] VAR152;
wire [VAR137-1:0] VAR23;
MODULE4 VAR24(
.VAR132 (VAR128),
.VAR111 (VAR50),
.VAR157(VAR59)
);
MODULE4 VAR130(
.VAR132 (VAR162),
.VAR111 (VAR120),
.VAR157(VAR20)
);
MODULE4 VAR176(
.VAR132 (VAR7),
.VAR111 (VAR52),
.VAR157(VAR138)
);
MODULE4 VAR141(
.VAR132 (VAR38),
.VAR111 (VAR4),
.VAR157(VAR48)
);
MODULE4 VAR44(
.VAR132 (VAR37),
.VAR111 (VAR74),
.VAR157(VAR110)
);
MODULE4 VAR136(
.VAR132 (VAR10),
.VAR111 (VAR133),
.VAR157(VAR55)
);
MODULE4 VAR88(
.VAR132 (VAR80),
.VAR111 (VAR160),
.VAR157(VAR75)
);
MODULE4 VAR72(
.VAR132 (VAR86),
.VAR111 (VAR34),
.VAR157(VAR179)
);
MODULE4 VAR11(
.VAR132 (VAR62),
.VAR111 (VAR112),
.VAR157(VAR16)
);
MODULE4 VAR3(
.VAR132 (VAR46),
.VAR111 (VAR96),
.VAR157(VAR95)
);
MODULE4 VAR93(
.VAR132 (VAR172),
.VAR111 (VAR83),
.VAR157(VAR161)
);
MODULE4 VAR167(
.VAR132 (VAR140),
.VAR111 (VAR119),
.VAR157(VAR58)
);
MODULE4 VAR106(
.VAR132 (VAR57),
.VAR111 (VAR107),
.VAR157(VAR147)
);
MODULE4 VAR54(
.VAR132 (VAR173),
.VAR111 (VAR171),
.VAR157(VAR152)
);
MODULE4 VAR99(
.VAR132 (VAR67),
.VAR111 (VAR71),
.VAR157(VAR23)
);
wire [VAR137-1:0] VAR64;
wire [VAR137-1:0] VAR9;
wire [VAR137-1:0] VAR174;
wire [VAR137-1:0] VAR81;
wire [VAR137-1:0] VAR5;
wire [VAR137-1:0] VAR178;
wire [VAR137-1:0] VAR180;
wire [VAR137-1:0] VAR70;
MODULE5 VAR22(
.VAR132 (VAR59),
.VAR111 (VAR20),
.VAR157(VAR64)
);
MODULE5 VAR101(
.VAR132 (VAR138),
.VAR111 (VAR48),
.VAR157(VAR9)
);
MODULE5 VAR14(
.VAR132 (VAR110),
.VAR111 (VAR55),
.VAR157(VAR174)
);
MODULE5 VAR166(
.VAR132 (VAR75),
.VAR111 (VAR179),
.VAR157(VAR81)
);
MODULE5 VAR146(
.VAR132 (VAR16),
.VAR111 (VAR95),
.VAR157(VAR5)
);
MODULE5 VAR144(
.VAR132 (VAR161),
.VAR111 (VAR58),
.VAR157(VAR178)
);
MODULE5 VAR60(
.VAR132 (VAR147),
.VAR111 (VAR152),
.VAR157(VAR180)
);
MODULE3 VAR115(
.VAR132 (VAR23),
.VAR157(VAR70)
);
wire [VAR137-1:0] VAR78;
wire [VAR137-1:0] VAR175;
wire [VAR137-1:0] VAR94;
wire [VAR137-1:0] VAR40;
MODULE5 VAR33(
.VAR132 (VAR64),
.VAR111 (VAR9),
.VAR157(VAR78)
);
MODULE5 VAR53(
.VAR132 (VAR174),
.VAR111 (VAR81),
.VAR157(VAR175)
);
MODULE5 VAR158(
.VAR132 (VAR5),
.VAR111 (VAR178),
.VAR157(VAR94)
);
MODULE5 VAR183(
.VAR132 (VAR180),
.VAR111 (VAR70),
.VAR157(VAR40)
);
wire [VAR137-1:0] VAR98;
wire [VAR137-1:0] VAR184;
MODULE5 VAR65(
.VAR132 (VAR78),
.VAR111 (VAR175),
.VAR157(VAR98)
);
MODULE5 VAR61(
.VAR132 (VAR94),
.VAR111 (VAR40),
.VAR157(VAR184)
);
wire [VAR137-1:0] VAR43;
MODULE5 VAR117(
.VAR132 (VAR98),
.VAR111 (VAR184),
.VAR157(VAR43)
);
reg [17:0] VAR124;
always @(posedge clk) begin
if(VAR100) begin
VAR124 <= VAR43;
end
end
assign VAR185 = VAR182[VAR142-1];
endmodule
module MODULE2 (
clk,
VAR100,
VAR47,
VAR31,
VAR159,
VAR49,
VAR39,
VAR116,
VAR29,
VAR85,
VAR90,
VAR122,
VAR45,
VAR18,
VAR103,
VAR6,
VAR82,
VAR51,
VAR13,
VAR165,
VAR151,
VAR123,
VAR150,
VAR79,
VAR68,
VAR181,
VAR35,
VAR8,
VAR154,
VAR21,
VAR19,
VAR113,
VAR1,
reset);
parameter VAR145 = 1;
input clk;
input VAR100;
input [VAR145-1:0] VAR47;
output [VAR145-1:0] VAR31;
output [VAR145-1:0] VAR159;
output [VAR145-1:0] VAR49;
output [VAR145-1:0] VAR39;
output [VAR145-1:0] VAR116;
output [VAR145-1:0] VAR29;
output [VAR145-1:0] VAR85;
output [VAR145-1:0] VAR90;
output [VAR145-1:0] VAR122;
output [VAR145-1:0] VAR45;
output [VAR145-1:0] VAR18;
output [VAR145-1:0] VAR103;
output [VAR145-1:0] VAR6;
output [VAR145-1:0] VAR82;
output [VAR145-1:0] VAR51;
output [VAR145-1:0] VAR13;
output [VAR145-1:0] VAR165;
output [VAR145-1:0] VAR151;
output [VAR145-1:0] VAR123;
output [VAR145-1:0] VAR150;
output [VAR145-1:0] VAR79;
output [VAR145-1:0] VAR68;
output [VAR145-1:0] VAR181;
output [VAR145-1:0] VAR35;
output [VAR145-1:0] VAR8;
output [VAR145-1:0] VAR154;
output [VAR145-1:0] VAR21;
output [VAR145-1:0] VAR19;
output [VAR145-1:0] VAR113;
output [VAR145-1:0] VAR1;
reg [VAR145-1:0] VAR31;
reg [VAR145-1:0] VAR159;
reg [VAR145-1:0] VAR49;
reg [VAR145-1:0] VAR39;
reg [VAR145-1:0] VAR116;
reg [VAR145-1:0] VAR29;
reg [VAR145-1:0] VAR85;
reg [VAR145-1:0] VAR90;
reg [VAR145-1:0] VAR122;
reg [VAR145-1:0] VAR45;
reg [VAR145-1:0] VAR18;
reg [VAR145-1:0] VAR103;
reg [VAR145-1:0] VAR6;
reg [VAR145-1:0] VAR82;
reg [VAR145-1:0] VAR51;
reg [VAR145-1:0] VAR13;
reg [VAR145-1:0] VAR165;
reg [VAR145-1:0] VAR151;
reg [VAR145-1:0] VAR123;
reg [VAR145-1:0] VAR150;
reg [VAR145-1:0] VAR79;
reg [VAR145-1:0] VAR68;
reg [VAR145-1:0] VAR181;
reg [VAR145-1:0] VAR35;
reg [VAR145-1:0] VAR8;
reg [VAR145-1:0] VAR154;
reg [VAR145-1:0] VAR21;
reg [VAR145-1:0] VAR19;
reg [VAR145-1:0] VAR113;
reg [VAR145-1:0] VAR1;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR31 <= 0;
VAR159 <= 0;
VAR49 <= 0;
VAR39 <= 0;
VAR116 <= 0;
VAR29 <= 0;
VAR85 <= 0;
VAR90 <= 0;
VAR122 <= 0;
VAR45 <= 0;
VAR18 <= 0;
VAR103 <= 0;
VAR6 <= 0;
VAR82 <= 0;
VAR51 <= 0;
VAR13 <= 0;
VAR165 <= 0;
VAR151 <= 0;
VAR123 <= 0;
VAR150 <= 0;
VAR79 <= 0;
VAR68 <= 0;
VAR181 <= 0;
VAR35 <= 0;
VAR8 <= 0;
VAR154 <= 0;
VAR21 <= 0;
VAR19 <= 0;
VAR113 <= 0;
VAR1 <= 0;
end else begin
if(VAR100) begin
VAR31 <= VAR47;
VAR159 <= VAR31;
VAR49 <= VAR159;
VAR39 <= VAR49;
VAR116 <= VAR39;
VAR29 <= VAR116;
VAR85 <= VAR29;
VAR90 <= VAR85;
VAR122 <= VAR90;
VAR45 <= VAR122;
VAR18 <= VAR45;
VAR103 <= VAR18;
VAR6 <= VAR103;
VAR82 <= VAR6;
VAR51 <= VAR82;
VAR13 <= VAR51;
VAR165 <= VAR13;
VAR151 <= VAR165;
VAR123 <= VAR151;
VAR150 <= VAR123;
VAR79 <= VAR150;
VAR68 <= VAR79;
VAR181 <= VAR68;
VAR35 <= VAR181;
VAR8 <= VAR35;
VAR154 <= VAR8;
VAR21 <= VAR154;
VAR19 <= VAR21;
VAR113 <= VAR19;
VAR1 <= VAR113;
end end
end
endmodule
module MODULE5 (
VAR132,
VAR111,
VAR157);
input clk;
input VAR100;
input [17:0] VAR132;
input [17:0] VAR111;
output [17:0] VAR157;
assign VAR157 = VAR132 + VAR111;
endmodule
module MODULE4 (
VAR132,
VAR111,
VAR157);
input clk;
input VAR100;
input [17:0] VAR132;
input [17:0] VAR111;
output [17:0] VAR157;
assign VAR157 = VAR132 * VAR111;
endmodule
module MODULE3 (
VAR132,
VAR157);
input clk;
input VAR100;
input [17:0] VAR132;
output [17:0] VAR157;
assign VAR157 = VAR132;
endmodule
|
mit
|
ptracton/pmodacl2
|
soc/display/display.v
| 3,454 |
module MODULE1 (
VAR15, VAR3,
clk, reset, VAR8, VAR9, VAR7, VAR13
) ;
input clk;
input reset;
input [3:0] VAR8;
input [3:0] VAR9;
input [3:0] VAR7;
input [3:0] VAR13;
output [3:0] VAR15;
output [7:0] VAR3;
reg [3:0] VAR15 = 4'hF;
reg [7:0] VAR3 = 8'h00;
wire VAR10;
VAR12 VAR14(
.VAR16(VAR10),
.VAR6(),
.clk(clk),
.reset(reset),
.VAR17(32'd100000),
.VAR11(1'b1),
.VAR1(VAR10)
);
function [7:0] VAR5;
input [3:0] VAR4;
begin
case (VAR4)
4'h0: VAR5 = 8'b11000000;
4'h1: VAR5 = 8'b11111001;
4'h2: VAR5 = 8'b10100100;
4'h3: VAR5 = 8'b10110000;
4'h4: VAR5 = 8'b10011001;
4'h5: VAR5 = 8'b10010010;
4'h6: VAR5 = 8'b10000010;
4'h7: VAR5 = 8'b11111000;
4'h8: VAR5 = 8'b10000000;
4'h9: VAR5 = 8'b10011000;
default VAR5 = 8'b10000000;
endcase end
endfunction
reg [1:0] state = 2'b00;
reg [1:0] VAR2 = 2'b00;
always @(posedge clk)
if (reset)
state <= 2'b00;
else
state <= VAR2;
always @(*) begin
case (state)
2'b00 : begin
VAR15 = 4'b1110;
VAR3 = VAR5(VAR8);
VAR2 = (VAR10) ? 2'b01: 2'b00;
end
2'b01 : begin
VAR15 = 4'b1101;
VAR3 = VAR5(VAR9);
VAR2 = (VAR10) ? 2'b10: 2'b01;
end
2'b10 : begin
VAR15 = 4'b1011;
VAR3 = VAR5(VAR7);
VAR2 = (VAR10) ? 2'b11: 2'b10;
end
2'b11 : begin
VAR15 = 4'b0111;
VAR3 = VAR5(VAR13);
VAR2 = (VAR10) ? 2'b00: 2'b11;
end
endcase end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdfrtp/sky130_fd_sc_ms__sdfrtp.pp.symbol.v
| 1,517 |
module MODULE1 (
input VAR8 ,
output VAR2 ,
input VAR5,
input VAR10 ,
input VAR4 ,
input VAR6 ,
input VAR9 ,
input VAR1 ,
input VAR7 ,
input VAR3
);
endmodule
|
apache-2.0
|
Monash-2015-Ultrasonic/Logs
|
Final System Code/SYSTEMV3/Source/IP/FIFO_ADC/FIFO_ADC_bb.v
| 5,432 |
module MODULE1 (
VAR6,
VAR2,
VAR1,
VAR3,
VAR5,
VAR7,
VAR8,
VAR4);
input VAR6;
input [15:0] VAR2;
input VAR1;
input VAR3;
input VAR5;
output VAR7;
output VAR8;
output [15:0] VAR4;
endmodule
|
gpl-2.0
|
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
|
bin_Dilation_Operation/ip/Dilation/acl_atomics.v
| 10,840 |
module MODULE1
(
VAR41, VAR67,
VAR40,
VAR22,
VAR66,
VAR36,
VAR26,
VAR32,
VAR48,
VAR20,
VAR19,
VAR74,
VAR62,
VAR82,
VAR14,
VAR75,
VAR5,
VAR23,
VAR39,
VAR15,
VAR1,
VAR70
);
parameter VAR29=27; parameter VAR11=256; parameter VAR34=6;
parameter VAR59=32;
parameter VAR31=32; parameter VAR16=5;
parameter VAR73=4096;
localparam VAR78=VAR37(VAR31);
localparam VAR47=VAR37(VAR73);
localparam VAR52=VAR37(VAR11);
localparam VAR57=0;
localparam VAR43=1;
localparam VAR49=2;
localparam VAR51=3;
localparam VAR27=4;
localparam VAR2=5;
localparam VAR60=6;
localparam VAR38=7;
localparam VAR50=8;
localparam VAR7=9;
localparam VAR44=10;
localparam VAR79=0;
localparam VAR28=1;
localparam VAR17=2;
localparam VAR81=3;
input logic VAR41;
input logic VAR67;
input logic VAR40;
input logic VAR22;
input logic [VAR34-1:0] VAR66;
input logic [VAR29-1:0] VAR36;
input logic [VAR11-1:0] VAR26;
input logic [VAR59-1:0] VAR32;
output logic VAR48;
output logic [VAR11-1:0] VAR20;
output logic VAR19;
output logic VAR74;
output VAR62;
output VAR82;
output [VAR34-1:0] VAR14;
output [VAR29-1:0] VAR75;
output [VAR11-1:0] VAR5;
output [VAR59-1:0] VAR23;
input VAR39;
input [VAR11-1:0] VAR15;
input VAR1;
input VAR70;
wire VAR55;
reg [VAR31-1:0] VAR24;
reg [VAR31-1:0] VAR30;
reg [VAR52-1:0] VAR68;
reg [VAR16-1:0] VAR65;
reg [VAR34-1:0] VAR64;
reg [7:0] counter;
wire VAR71; wire VAR9;
reg [VAR31-1:0] VAR3;
wire [VAR31-1:0] VAR25;
wire [VAR31-1:0] VAR83;
wire [VAR31-1:0] VAR54;
wire [VAR31-1:0] VAR35;
wire [VAR31-1:0] VAR10;
wire [VAR31-1:0] VAR72;
wire [VAR31-1:0] VAR4;
wire [VAR31-1:0] VAR80;
wire [VAR31-1:0] VAR45;
wire [VAR31-1:0] VAR77;
wire [VAR31-1:0] VAR21;
wire [VAR31-1:0] VAR13;
reg [VAR47-1:0] VAR58;
reg [VAR47-1:0] VAR42;
reg [VAR47-1:0] VAR12;
wire VAR69;
wire VAR63;
reg [1:0] VAR18;
wire VAR53;
wire VAR61;
wire VAR46;
wire VAR8;
reg [VAR11-1:0] VAR76;
reg [VAR59-1:0] VAR33;
reg [VAR29-1:0] VAR56;
always@(posedge VAR41 or negedge VAR67)
begin
if ( !VAR67 ) begin
VAR76 <= {VAR11{1'b0}};
VAR33 <= {VAR59{1'b0}};
VAR56 <= {VAR29{1'b0}};
VAR24 <= {VAR31{1'b0}};
VAR30 <= {VAR31{1'b0}};
VAR68 <= {VAR52{1'b0}};
VAR65 <= {VAR16{1'b0}};
VAR64 <= {VAR34{1'b0}};
end
else if( VAR71 ) begin
VAR24 <= VAR26[32:1];
VAR30 <= VAR26[64:33];
VAR65 <= VAR26[70:65];
VAR68 <= ( VAR31 * VAR26[75:71]);
VAR33 <= VAR32;
VAR56 <= VAR36;
VAR64 <= VAR66;
end
else if( VAR46 ) begin
VAR76 <= ( VAR13 << VAR68 );
end
else if( VAR9 ) begin
VAR76 <= {VAR11{1'b0}};
end
end
assign VAR55 = VAR40 & VAR26[0:0];
assign VAR19 = VAR1;
assign VAR74 = VAR70;
assign VAR20 = VAR15;
assign VAR62 = ( VAR40 & VAR53 );
assign VAR82 = ( VAR22 & VAR53 ) | VAR8;
assign VAR14 = VAR8 ? VAR64 : VAR66;
assign VAR75 = VAR8 ? VAR56 : VAR36;
assign VAR5 = VAR8 ? VAR76 : VAR26;
assign VAR23 = VAR8 ? VAR33 : VAR32;
assign VAR48 = VAR39 | VAR61 | VAR46 | VAR8;
always@(posedge VAR41 or negedge VAR67)
begin
if ( !VAR67 ) begin
VAR18 <= VAR79;
end
else begin
if( VAR53 & VAR71 ) VAR18 <= VAR28;
end
else if( VAR61 & VAR63 ) VAR18 <= VAR17;
end
else if( VAR46 ) VAR18 <= VAR81;
else if( VAR8 & VAR9 ) VAR18 <= VAR79;
end
end
assign VAR53 = ( VAR18 == VAR79 );
assign VAR61 = ( VAR18 == VAR28 );
assign VAR46 = ( VAR18 == VAR17 );
assign VAR8 = ( VAR18 == VAR81 );
assign VAR71 = ( VAR55 & VAR53 & ~VAR39 );
assign VAR9 = ( VAR8 & ~VAR39 );
always@(posedge VAR41 or negedge VAR67)
begin
if ( !VAR67 ) begin
VAR3 <= {VAR31{1'VAR6}};
end
else begin
VAR3 <= VAR15[VAR68 +: VAR31];
end
end
assign VAR25 = VAR3 + VAR24;
assign VAR83 = VAR3 - VAR24;
assign VAR54 = VAR24;
assign VAR35 = VAR3 + 1;
assign VAR10 = VAR3 - 1;
assign VAR72 = ( VAR3 == VAR24 ) ? VAR30 : VAR3;
assign VAR4 = ( VAR3 < VAR24 ) ? VAR3 : VAR24;
assign VAR80 = ( VAR3 > VAR24 ) ? VAR3 : VAR24;
assign VAR45 = ( VAR3 & VAR24 );
assign VAR77 = ( VAR3 | VAR24 );
assign VAR21 = ( VAR3 ^ VAR24 );
assign VAR13 =
( VAR65 == VAR57 ) ? VAR25 :
( VAR65 == VAR43 ) ? VAR83 :
( VAR65 == VAR49 ) ? VAR54 :
( VAR65 == VAR51 ) ? VAR35 :
( VAR65 == VAR27 ) ? VAR10 :
( VAR65 == VAR2 ) ? VAR72 :
( VAR65 == VAR60 ) ? VAR4 :
( VAR65 == VAR38 ) ? VAR80 :
( VAR65 == VAR50 ) ? VAR45 :
( VAR65 == VAR7 ) ? VAR77 :
( VAR65 == VAR44 ) ? VAR21 : {VAR31{1'VAR6}};
always@(posedge VAR41 or negedge VAR67)
begin
if ( !VAR67 ) begin
VAR58 <= { VAR47{1'b0} };
VAR42 <= { VAR47{1'b0} };
VAR12 <= {1'b0};
end
else begin
if( VAR62 & ~VAR39 ) begin
VAR58 <= VAR58 + VAR66;
end
if( VAR1 ) begin
VAR42 <= VAR42 + 1;
end
if( VAR71 ) begin
VAR12 <= VAR58;
end
end
end
assign VAR69 = VAR61 & ( VAR12 == VAR42 );
assign VAR63 = ( VAR69 & VAR1 );
endmodule
|
mit
|
svofski/mahponk
|
src/robohand.v
| 1,587 |
module MODULE1(reset, VAR5, VAR7, VAR8);
parameter VAR1 = 10'd0;
parameter VAR9 = 10'd0;
input reset;
input VAR5;
input [9:0] VAR7;
output reg[9:0] VAR8;
reg VAR2;
reg [9:0] VAR6;
reg [9:0] VAR11;
reg [2:0] VAR3;
always @(negedge VAR5 or posedge reset) begin
if (reset) begin
VAR8 <= VAR9/2;
end
else begin
if (VAR7 < VAR6 || VAR7 > VAR11)
VAR8 <= VAR4(VAR2 == 1'b0 ? VAR8 + 1'b1 : VAR8 - 1'b1);
end
end
always @(posedge VAR5) begin
VAR6 <= VAR8 - VAR1/2;
VAR11 <= VAR8 + VAR1/2;
VAR2 <= VAR7 < VAR8;
end
function [9:0] VAR4;
input [9:0] VAR10;
begin
if (VAR10 < VAR1/2)
VAR4 = VAR1/2;
end
else
if (VAR10 > VAR9-VAR1/2)
VAR4 = VAR9-VAR1/2;
else
VAR4 = VAR10;
end
endfunction
endmodule
|
bsd-2-clause
|
lvd2/zxevo
|
fpga/baseconf/trunk/video/video_vga_double.v
| 2,774 |
module MODULE1(
input wire clk,
input wire VAR1,
input wire VAR5,
input wire [ 5:0] VAR3,
input wire VAR14,
output reg [ 5:0] VAR16
);
reg [9:0] VAR13; reg [9:0] VAR9;
reg VAR6;
reg VAR15;
wire [ 7:0] VAR4;
always @(posedge clk) if( VAR1 )
VAR6 <= ~VAR6;
always @(posedge clk)
begin
if( VAR5 )
begin
VAR13[9:8] <= 2'b00;
VAR13[5:4] <= 2'b11;
end
else
begin
if( VAR13[9:8]!=2'b11 ) begin
VAR15 <= ~VAR15;
if( VAR15 )
begin
VAR13 <= VAR13 + 10'd1;
end
end
end
end
always @(posedge clk)
begin
if( VAR14 )
begin
VAR9[9:8] <= 2'b00;
VAR9[5:4] <= 2'b11;
end
else
begin
if( VAR9[9:8]!=2'b11 )
begin
VAR9 <= VAR9 + 10'd1;
end
end
end
always @(posedge clk)
begin
if( VAR9[9:8]!=2'b11 )
VAR16 <= VAR4[5:0];
end
else
VAR16 <= 6'd0;
end
MODULE2 MODULE1( .clk(clk),
.VAR7({VAR13[9:8], VAR6, VAR13[7:0]}),
.VAR2({2'b00,VAR3}),
.VAR15(VAR15),
.VAR12({VAR9[9:8], (~VAR6), VAR9[7:0]}),
.VAR11(VAR4)
);
endmodule
module MODULE2(
input wire clk,
input wire [10:0] VAR7,
input wire [ 7:0] VAR2,
input wire VAR15,
input wire [10:0] VAR12,
output reg [ 7:0] VAR11
);
reg [7:0] VAR8 [0:1535];
always @(posedge clk)
begin
if( VAR15 )
begin
VAR8[VAR7] <= VAR2;
end
VAR11 <= VAR8[VAR12];
end
endmodule
|
gpl-3.0
|
ankitshah009/High-Radix-Adaptive-CORDIC
|
HCORDIC_Verilog/PreProcessY.v
| 4,082 |
module MODULE1(
input [31:0] VAR11,
input [3:0] VAR48,
input [31:0] VAR20,
input [7:0] VAR36,
input VAR52,
output [31:0] VAR38,
output VAR14,
output [31:0] VAR39,
output [3:0] VAR49,
output [7:0] VAR30
);
reg [31:0] VAR28 = 32'hbf800000;
wire [31:0] VAR1;
assign VAR1 = VAR28;
wire VAR5,VAR26,VAR45,VAR50;
wire [3:0] VAR59,VAR53,VAR19,VAR17;
wire [31:0] VAR4,VAR32,VAR40,VAR23;
wire [31:0] VAR8,VAR3,VAR31,VAR41;
wire [35:0] VAR2,VAR21;
wire [35:0] VAR12,VAR25;
wire [7:0] VAR34;
wire [27:0] VAR55,VAR18;
wire [31:0] VAR51,VAR35,VAR56;
wire [7:0] VAR44,VAR7,VAR57,VAR54;
VAR47 VAR22 (
.VAR43(VAR11),
.VAR48(VAR48),
.VAR37(VAR1),
.VAR6(VAR20),
.VAR36(VAR36),
.VAR52(VAR52),
.VAR5(VAR5),
.VAR34(VAR34),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR59(VAR59),
.VAR4(VAR4),
.VAR44(VAR44)
);
VAR24 VAR33 (
.VAR4(VAR4),
.VAR59(VAR59),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR34(VAR34),
.VAR44(VAR44),
.VAR52(VAR52),
.VAR26(VAR26),
.VAR21(VAR21),
.VAR25(VAR25),
.VAR3(VAR3),
.VAR53(VAR53),
.VAR32(VAR32),
.VAR7(VAR7)
);
VAR42 VAR10 (
.VAR32(VAR32),
.VAR53(VAR53),
.VAR26(VAR26),
.VAR21(VAR21),
.VAR25(VAR25),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR52(VAR52),
.VAR45(VAR45),
.VAR31(VAR31),
.VAR55(VAR55),
.VAR19(VAR19),
.VAR40(VAR40),
.VAR57(VAR57)
);
VAR29 VAR13 (
.VAR40(VAR40),
.VAR19(VAR19),
.VAR45(VAR45),
.VAR31(VAR31),
.VAR55(VAR55),
.VAR57(VAR57),
.VAR52(VAR52),
.VAR50(VAR50),
.VAR41(VAR41),
.VAR18(VAR18),
.VAR17(VAR17),
.VAR23(VAR23),
.VAR54(VAR54)
);
VAR46 VAR9 (
.VAR23(VAR23),
.VAR17(VAR17),
.VAR50(VAR50),
.VAR41(VAR41),
.VAR18(VAR18),
.VAR54(VAR54),
.VAR52(VAR52),
.VAR27(VAR38),
.VAR14(VAR14),
.VAR15(VAR39),
.VAR58(VAR49),
.VAR16(VAR30)
);
endmodule
|
apache-2.0
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd/gcd.cache/ip/2018.2/e50e3d2e678dd930/gcd_block_design_auto_pc_0_stub.v
| 4,585 |
module MODULE1(VAR49, VAR27, VAR44, VAR56,
VAR25, VAR38, VAR51, VAR50, VAR24, VAR17,
VAR9, VAR31, VAR13, VAR30, VAR45, VAR48, VAR52,
VAR11, VAR16, VAR32, VAR57, VAR14, VAR23, VAR29,
VAR21, VAR3, VAR58, VAR43, VAR35, VAR41,
VAR54, VAR37, VAR2, VAR53, VAR33, VAR22, VAR10,
VAR1, VAR47, VAR42, VAR7, VAR40, VAR12,
VAR59, VAR20, VAR4, VAR34, VAR5, VAR19,
VAR18, VAR36, VAR28, VAR39, VAR6, VAR46,
VAR8, VAR26, VAR15, VAR55)
;
input VAR49;
input VAR27;
input [11:0]VAR44;
input [31:0]VAR56;
input [3:0]VAR25;
input [2:0]VAR38;
input [1:0]VAR51;
input [1:0]VAR50;
input [3:0]VAR24;
input [2:0]VAR17;
input [3:0]VAR9;
input VAR31;
output VAR13;
input [11:0]VAR30;
input [31:0]VAR45;
input [3:0]VAR48;
input VAR52;
input VAR11;
output VAR16;
output [11:0]VAR32;
output [1:0]VAR57;
output VAR14;
input VAR23;
input [11:0]VAR29;
input [31:0]VAR21;
input [3:0]VAR3;
input [2:0]VAR58;
input [1:0]VAR43;
input [1:0]VAR35;
input [3:0]VAR41;
input [2:0]VAR54;
input [3:0]VAR37;
input VAR2;
output VAR53;
output [11:0]VAR33;
output [31:0]VAR22;
output [1:0]VAR10;
output VAR1;
output VAR47;
input VAR42;
output [31:0]VAR7;
output [2:0]VAR40;
output VAR12;
input VAR59;
output [31:0]VAR20;
output [3:0]VAR4;
output VAR34;
input VAR5;
input [1:0]VAR19;
input VAR18;
output VAR36;
output [31:0]VAR28;
output [2:0]VAR39;
output VAR6;
input VAR46;
input [31:0]VAR8;
input [1:0]VAR26;
input VAR15;
output VAR55;
endmodule
|
mit
|
drichmond/riffa
|
fpga/xilinx/zc706/riffa_wrapper_zc706.v
| 38,582 |
module MODULE1
parameter VAR215 = 128,
parameter VAR357 = 256,
parameter VAR59 = 5,
parameter VAR114 = "VAR139")
( input [VAR215-1:0] VAR27,
input [(VAR215/8)-1:0] VAR262,
input VAR107,
input VAR363,
output VAR130,
input [VAR287-1:0] VAR98,
output VAR54,
output VAR113,
output [VAR215-1:0] VAR19,
output [(VAR215/8)-1:0] VAR179,
output VAR339,
output VAR338,
input VAR303,
output [VAR221-1:0] VAR265,
output VAR45,
input [VAR6-1:0] VAR347,
input [VAR189-1:0] VAR359,
input [VAR299-1:0] VAR342,
input [VAR190-1:0] VAR199,
input [VAR190-1:0] VAR291,
input [VAR190-1:0] VAR282,
input [VAR190-1:0] VAR116,
input [VAR64-1:0] VAR238,
input [VAR334-1:0] VAR108,
output [VAR239-1:0] VAR316,
input VAR280,
input VAR159,
output VAR75,
input VAR213,
input VAR4,
output VAR186,
input [VAR168-1:0] VAR123, output [VAR168-1:0] VAR343, input [VAR168-1:0] VAR321, output [VAR168-1:0] VAR266, output [(VAR168*VAR162)-1:0] VAR233, output [(VAR168*VAR353)-1:0] VAR127, output [(VAR168*VAR215)-1:0] VAR115, output [VAR168-1:0] VAR276, input [VAR168-1:0] VAR100,
input [VAR168-1:0] VAR126, input [VAR168-1:0] VAR325, output [VAR168-1:0] VAR335, input [VAR168-1:0] VAR240, input [(VAR168*VAR162)-1:0] VAR210, input [(VAR168*VAR353)-1:0] VAR304, input [(VAR168*VAR215)-1:0] VAR38, input [VAR168-1:0] VAR219, output [VAR168-1:0] VAR295);
localparam VAR91 = "VAR131"; localparam VAR271 = VAR357 * 2;
localparam VAR177 = "VAR109";
localparam VAR95 = VAR215 / 32;
localparam VAR120 = 1;
localparam VAR118 = 1;
localparam VAR184 = 4;
wire clk;
wire VAR67;
wire VAR69;
wire VAR84;
wire VAR164;
wire VAR23;
wire [VAR215-1:0] VAR313;
wire VAR356;
wire VAR201;
wire [(VAR215/32)-1:0] VAR329;
wire [VAR31(VAR215/32)-1:0] VAR249;
wire [VAR76-1:0] VAR211;
wire VAR97;
wire [VAR31(VAR215/32)-1:0] VAR51;
wire [VAR242-1:0] VAR175;
wire [VAR290-1:0] VAR346;
wire [VAR155-1:0] VAR160;
wire [VAR194-1:0] VAR187;
wire [VAR202-1:0] VAR99;
wire [VAR214-1:0] VAR37;
wire [VAR90-1:0] VAR217;
wire VAR243;
wire [VAR215-1:0] VAR25;
wire VAR286;
wire [(VAR215/32)-1:0] VAR293;
wire VAR18;
wire [VAR31(VAR215/32)-1:0] VAR145;
wire [VAR76-1:0] VAR178;
wire VAR147;
wire [VAR31(VAR215/32)-1:0] VAR273;
wire [VAR242-1:0] VAR43;
wire [VAR209-1:0] VAR56;
wire [VAR77-1:0] VAR234;
wire [VAR290-1:0] VAR65;
wire [VAR194-1:0] VAR50;
wire [VAR41-1:0] VAR204;
wire [VAR330-1:0] VAR82;
wire [VAR72-1:0] VAR122;
wire [VAR202-1:0] VAR309;
wire VAR42;
wire VAR5;
wire [VAR215-1:0] VAR288;
wire VAR318;
wire [VAR31(VAR215/32)-1:0] VAR320;
wire VAR157;
wire [VAR31(VAR215/32)-1:0] VAR298;
wire VAR185;
wire VAR224;
wire [VAR76-1:0] VAR301;
wire [VAR242-1:0] VAR28;
wire [VAR155-1:0] VAR34;
wire [VAR194-1:0] VAR112;
wire [VAR202-1:0] VAR144;
wire [VAR214-1:0] VAR206;
wire [VAR290-1:0] VAR9;
wire [VAR72-1:0] VAR48;
wire [VAR209-1:0] VAR302;
wire [VAR77-1:0] VAR326;
wire VAR245;
wire VAR235;
wire VAR223;
wire VAR331;
wire [VAR215-1:0] VAR345;
wire VAR277;
wire [VAR31(VAR215/32)-1:0] VAR74;
wire VAR21;
wire [VAR31(VAR215/32)-1:0] VAR103;
wire VAR176;
wire VAR327;
wire [VAR76-1:0] VAR270;
wire [VAR242-1:0] VAR102;
wire [VAR41-1:0] VAR323;
wire [VAR202-1:0] VAR188;
wire [VAR290-1:0] VAR40;
wire [VAR209-1:0] VAR252;
wire [VAR77-1:0] VAR161;
wire [VAR194-1:0] VAR36;
wire VAR197;
wire VAR89;
wire VAR307;
wire VAR29;
wire [VAR215-1:0] VAR24;
wire VAR101;
wire [VAR247-1:0] VAR163;
wire VAR57;
wire [VAR247-1:0] VAR81;
wire VAR73;
wire [VAR330-1:0] VAR111;
wire VAR124;
wire [VAR215-1:0] VAR229;
wire VAR80;
wire [VAR247-1:0] VAR246;
wire VAR151;
wire [VAR247-1:0] VAR61;
wire VAR248;
wire VAR328;
wire [VAR215-1:0] VAR259;
wire [VAR312-1:0] VAR172;
wire [(VAR215/32)-1:0] VAR55;
wire VAR364 = 0;
wire VAR366;
wire [VAR215-1:0] VAR105 = 0;
wire [VAR369-1:0] VAR94 = 0;
wire VAR166 = 0;
wire [(VAR215/32)-1:0] VAR44 = 0;
wire VAR192 = 0;
wire VAR241;
wire [VAR215-1:0] VAR173 = 0;
wire [VAR193-1:0] VAR137 = 0;
wire VAR352 = 0;
wire [(VAR215/32)-1:0] VAR62 = 0;
wire VAR230 = 0;
wire VAR8 = 0;
wire [VAR215-1:0] VAR289;
wire [VAR344-1:0] VAR333;
wire VAR92;
wire [(VAR215/32)-1:0] VAR332;
wire VAR218;
wire VAR362 = 0;
wire VAR296;
wire [VAR90-1:0] VAR216;
wire VAR355;
wire VAR53;
wire [VAR110-1:0] VAR237;
wire [VAR39-1:0] VAR3;
wire [VAR83-1:0] VAR195;
wire [VAR136-1:0] VAR208;
wire [VAR64-1:0] VAR68;
wire [VAR334-1:0] VAR133;
wire VAR228;
wire VAR258;
genvar VAR354;
reg VAR35;
reg VAR251;
assign clk = VAR213;
assign VAR67 = VAR4;
VAR336
.VAR215 (VAR215))
VAR142
( .VAR358 (VAR24[VAR215-1:0]),
.VAR12 (VAR73),
.VAR134 (VAR57),
.VAR106 (VAR81[VAR31(VAR215/32)-1:0]),
.VAR311 (VAR101),
.VAR205 (VAR163[VAR31(VAR215/32)-1:0]),
.VAR196 (VAR111[VAR330-1:0]),
.VAR254 (VAR124),
.VAR348 (VAR216[VAR90-1:0]),
.VAR183 (VAR296),
.VAR244 (VAR3[VAR39-1:0]),
.VAR220 (VAR237[VAR110-1:0]),
.VAR294 (VAR208[VAR136-1:0]),
.VAR93 (VAR195[VAR83-1:0]),
.VAR257 (VAR53),
.VAR269 (VAR355),
.VAR310 (VAR68[VAR64-1:0]),
.VAR297 (VAR133[VAR334-1:0]),
.VAR371 (VAR258),
.VAR169 (clk),
.VAR152 (VAR67),
.VAR132 (VAR29),
.VAR125 (VAR229[VAR215-1:0]),
.VAR236 (VAR248),
.VAR150 (VAR151),
.VAR149 (VAR61[VAR31(VAR215/32)-1:0]),
.VAR158 (VAR80),
.VAR26 (VAR246[VAR31(VAR215/32)-1:0]),
.VAR256 (VAR228),
.VAR130 (VAR130),
.VAR54 (VAR54),
.VAR113 (VAR113),
.VAR19 (VAR19[VAR215-1:0]),
.VAR179 (VAR179[(VAR215/8)-1:0]),
.VAR339 (VAR339),
.VAR338 (VAR338),
.VAR265 (VAR265[VAR221-1:0]),
.VAR45 (VAR45),
.VAR316 (VAR316[VAR239-1:0]),
.VAR75 (VAR75),
.VAR27 (VAR27[VAR215-1:0]),
.VAR262 (VAR262[(VAR215/8)-1:0]),
.VAR107 (VAR107),
.VAR363 (VAR363),
.VAR98 (VAR98[VAR287-1:0]),
.VAR303 (VAR303),
.VAR347 (VAR347[VAR6-1:0]),
.VAR359 (VAR359[VAR189-1:0]),
.VAR342 (VAR342[VAR299-1:0]),
.VAR199 (VAR199[VAR190-1:0]),
.VAR291 (VAR291[VAR190-1:0]),
.VAR282 (VAR282[VAR190-1:0]),
.VAR116 (VAR116[VAR190-1:0]),
.VAR238 (VAR238[VAR64-1:0]),
.VAR108 (VAR108[VAR334-1:0]),
.VAR280 (VAR280),
.VAR159 (VAR159));
VAR267
.VAR215 (VAR215),
.VAR59 (VAR59),
.VAR118 (VAR118),
.VAR120 (VAR120),
.VAR177 (VAR177))
VAR225
( .VAR58 (VAR313[VAR215-1:0]),
.VAR317 (VAR329[(VAR215/32)-1:0]),
.VAR14 (VAR356),
.VAR203 (VAR201),
.VAR171 (VAR249[VAR31(VAR215/32)-1:0]),
.VAR165 (VAR211[VAR76-1:0]),
.VAR148 (VAR97),
.VAR367 (VAR51[VAR31(VAR215/32)-1:0]),
.VAR315 (VAR175[VAR242-1:0]),
.VAR11 (VAR346[VAR290-1:0]),
.VAR278 (VAR160[VAR155-1:0]),
.VAR268 (VAR187[VAR194-1:0]),
.VAR350 (VAR99[VAR202-1:0]),
.VAR85 (VAR37[VAR214-1:0]),
.VAR292 (VAR217[VAR90-1:0]),
.VAR253 (VAR243),
.VAR274 (VAR25[VAR215-1:0]),
.VAR141 (VAR293[(VAR215/32)-1:0]),
.VAR121 (VAR286),
.VAR226 (VAR18),
.VAR32 (VAR145[VAR31(VAR215/32)-1:0]),
.VAR231 (VAR147),
.VAR1 (VAR273[VAR31(VAR215/32)-1:0]),
.VAR174 (VAR178[VAR76-1:0]),
.VAR47 (VAR43[VAR242-1:0]),
.VAR284 (VAR56[VAR209-1:0]),
.VAR255 (VAR234[VAR77-1:0]),
.VAR181 (VAR65[VAR290-1:0]),
.VAR368 (VAR50[VAR194-1:0]),
.VAR285 (VAR204[VAR41-1:0]),
.VAR370 (VAR82[VAR330-1:0]),
.VAR361 (VAR122[VAR72-1:0]),
.VAR86 (VAR309[VAR202-1:0]),
.VAR49 (VAR42),
.VAR272 (VAR185),
.VAR46 (VAR235),
.VAR30 (VAR223),
.VAR66 (VAR176),
.VAR70 (VAR89),
.VAR283 (VAR307),
.VAR20 (VAR186),
.VAR125 (VAR229),
.VAR236 (VAR248),
.VAR150 (VAR151),
.VAR149 (VAR61),
.VAR158 (VAR80),
.VAR26 (VAR246),
.VAR132 (VAR29),
.VAR305 (clk),
.VAR275 (VAR67),
.VAR348 (VAR216[VAR90-1:0]),
.VAR128 (VAR5),
.VAR33 (VAR288[VAR215-1:0]),
.VAR140 (VAR318),
.VAR337 (VAR320[VAR31(VAR215/32)-1:0]),
.VAR117 (VAR157),
.VAR349 (VAR298[VAR31(VAR215/32)-1:0]),
.VAR232 (VAR224),
.VAR96 (VAR301[VAR76-1:0]),
.VAR119 (VAR28[VAR242-1:0]),
.VAR182 (VAR34[VAR155-1:0]),
.VAR2 (VAR112[VAR194-1:0]),
.VAR156 (VAR144[VAR202-1:0]),
.VAR16 (VAR206[VAR214-1:0]),
.VAR322 (VAR9[VAR290-1:0]),
.VAR167 (VAR48[VAR72-1:0]),
.VAR264 (VAR302[VAR209-1:0]),
.VAR104 (VAR326[VAR77-1:0]),
.VAR200 (VAR245),
.VAR52 (VAR331),
.VAR170 (VAR345[VAR215-1:0]),
.VAR324 (VAR277),
.VAR207 (VAR74[VAR31(VAR215/32)-1:0]),
.VAR261 (VAR21),
.VAR7 (VAR103[VAR31(VAR215/32)-1:0]),
.VAR279 (VAR327),
.VAR250 (VAR270[VAR76-1:0]),
.VAR15 (VAR102[VAR242-1:0]),
.VAR129 (VAR323[VAR41-1:0]),
.VAR153 (VAR188[VAR202-1:0]),
.VAR143 (VAR40[VAR290-1:0]),
.VAR306 (VAR252[VAR209-1:0]),
.VAR263 (VAR161[VAR77-1:0]),
.VAR260 (VAR36[VAR194-1:0]),
.VAR308 (VAR197),
.VAR358 (VAR24),
.VAR12 (VAR73),
.VAR134 (VAR57),
.VAR106 (VAR81),
.VAR311 (VAR101),
.VAR205 (VAR163),
.VAR196 (VAR111),
.VAR254 (VAR124),
.VAR154 (VAR69),
.VAR87 (VAR84),
.VAR360 (VAR23),
.VAR314 (VAR164),
.VAR341 (VAR8),
.VAR340 (VAR241),
.VAR227 (VAR218),
.VAR281 (VAR92),
.VAR300 (VAR289[VAR215-1:0]),
.VAR222 (VAR332[(VAR215/32)-1:0]),
.VAR146 (VAR333[VAR344-1:0]),
.VAR135 (VAR366),
.VAR212 (VAR328),
.VAR63 (VAR259[VAR215-1:0]),
.VAR138 (VAR55[(VAR215/32)-1:0]),
.VAR88 (VAR172[VAR312-1:0]),
.VAR10 (VAR230),
.VAR22 (VAR352),
.VAR198 (VAR173[VAR215-1:0]),
.VAR191 (VAR62[(VAR215/32)-1:0]),
.VAR60 (VAR137[VAR193-1:0]),
.VAR351 (VAR192),
.VAR17 (VAR166),
.VAR180 (VAR105[VAR215-1:0]),
.VAR319 (VAR44[(VAR215/32)-1:0]),
.VAR78 (VAR94[VAR369-1:0]),
.VAR365 (VAR362),
.VAR13 (VAR364)
);
VAR71
.VAR215 (VAR215),
.VAR168 (VAR168),
.VAR271 (VAR271),
.VAR177 (VAR177),
.VAR91 (VAR91),
.VAR114 (VAR114),
.VAR184 (VAR184))
VAR79
( .VAR33 (VAR288[VAR215-1:0]),
.VAR128 (VAR5),
.VAR140 (VAR318),
.VAR337 (VAR320[VAR31(VAR215/32)-1:0]),
.VAR117 (VAR157),
.VAR349 (VAR298[VAR31(VAR215/32)-1:0]),
.VAR232 (VAR224),
.VAR96 (VAR301[VAR76-1:0]),
.VAR119 (VAR28[VAR242-1:0]),
.VAR182 (VAR34[VAR155-1:0]),
.VAR2 (VAR112[VAR194-1:0]),
.VAR156 (VAR144[VAR202-1:0]),
.VAR16 (VAR206[VAR214-1:0]),
.VAR322 (VAR9[VAR290-1:0]),
.VAR167 (VAR48[VAR72-1:0]),
.VAR264 (VAR302[VAR209-1:0]),
.VAR104 (VAR326[VAR77-1:0]),
.VAR200 (VAR245),
.VAR52 (VAR331),
.VAR170 (VAR345[VAR215-1:0]),
.VAR324 (VAR277),
.VAR207 (VAR74[VAR31(VAR215/32)-1:0]),
.VAR261 (VAR21),
.VAR7 (VAR103[VAR31(VAR215/32)-1:0]),
.VAR279 (VAR327),
.VAR250 (VAR270[VAR76-1:0]),
.VAR15 (VAR102[VAR242-1:0]),
.VAR129 (VAR323[VAR41-1:0]),
.VAR153 (VAR188[VAR202-1:0]),
.VAR143 (VAR40[VAR290-1:0]),
.VAR306 (VAR252[VAR209-1:0]),
.VAR263 (VAR161[VAR77-1:0]),
.VAR260 (VAR36[VAR194-1:0]),
.VAR308 (VAR197),
.VAR256 (VAR228),
.VAR169 (clk),
.VAR274 (VAR25[VAR215-1:0]),
.VAR121 (VAR286),
.VAR226 (VAR18),
.VAR32 (VAR145[VAR31(VAR215/32)-1:0]),
.VAR141 (VAR293[(VAR215/32)-1:0]),
.VAR231 (VAR147),
.VAR1 (VAR273[VAR31(VAR215/32)-1:0]),
.VAR174 (VAR178[VAR76-1:0]),
.VAR47 (VAR43[VAR242-1:0]),
.VAR284 (VAR56[VAR209-1:0]),
.VAR255 (VAR234[VAR77-1:0]),
.VAR181 (VAR65[VAR290-1:0]),
.VAR368 (VAR50[VAR194-1:0]),
.VAR285 (VAR204[VAR41-1:0]),
.VAR370 (VAR82[VAR330-1:0]),
.VAR361 (VAR122[VAR72-1:0]),
.VAR86 (VAR309[VAR202-1:0]),
.VAR49 (VAR42),
.VAR14 (VAR356),
.VAR58 (VAR313[VAR215-1:0]),
.VAR203 (VAR201),
.VAR171 (VAR249[VAR31(VAR215/32)-1:0]),
.VAR317 (VAR329[(VAR215/32)-1:0]),
.VAR148 (VAR97),
.VAR367 (VAR51[VAR31(VAR215/32)-1:0]),
.VAR165 (VAR211[VAR76-1:0]),
.VAR315 (VAR175[VAR242-1:0]),
.VAR11 (VAR346[VAR290-1:0]),
.VAR278 (VAR160[VAR155-1:0]),
.VAR268 (VAR187[VAR194-1:0]),
.VAR350 (VAR99[VAR202-1:0]),
.VAR85 (VAR37[VAR214-1:0]),
.VAR292 (VAR217[VAR90-1:0]),
.VAR253 (VAR243),
.VAR272 (VAR185),
.VAR46 (VAR235),
.VAR30 (VAR223),
.VAR66 (VAR176),
.VAR70 (VAR89),
.VAR283 (VAR307),
.VAR348 (VAR216[VAR90-1:0]),
.VAR183 (VAR296),
.VAR244 (VAR3[VAR39-1:0]),
.VAR220 (VAR237[VAR110-1:0]),
.VAR294 (VAR208[VAR136-1:0]),
.VAR93 (VAR195[VAR83-1:0]),
.VAR257 (VAR53),
.VAR269 (VAR355),
.VAR310 (VAR68[VAR64-1:0]),
.VAR297 (VAR133[VAR334-1:0]),
.VAR371 (VAR258),
.VAR154 (VAR69),
.VAR87 (VAR84),
.VAR275 (VAR67),
.VAR186 (VAR186),
.VAR343 (VAR343[VAR168-1:0]),
.VAR266 (VAR266[VAR168-1:0]),
.VAR233 (VAR233[(VAR168*32)-1:0]),
.VAR127 (VAR127[(VAR168*31)-1:0]),
.VAR115 (VAR115[(VAR168*VAR215)-1:0]),
.VAR276 (VAR276[VAR168-1:0]),
.VAR335 (VAR335[VAR168-1:0]),
.VAR295 (VAR295[VAR168-1:0]),
.VAR123 (VAR123[VAR168-1:0]),
.VAR321 (VAR321[VAR168-1:0]),
.VAR100 (VAR100[VAR168-1:0]),
.VAR126 (VAR126[VAR168-1:0]),
.VAR325 (VAR325[VAR168-1:0]),
.VAR240 (VAR240[VAR168-1:0]),
.VAR210 (VAR210[(VAR168*32)-1:0]),
.VAR304 (VAR304[(VAR168*31)-1:0]),
.VAR38 (VAR38[(VAR168*VAR215)-1:0]),
.VAR219 (VAR219[VAR168-1:0]));
endmodule
|
bsd-3-clause
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/aoi21/gf180mcu_fd_sc_mcu9t5v0__aoi21_4.behavioral.pp.v
| 1,561 |
module MODULE1( VAR6, VAR2, VAR7, VAR3, VAR9, VAR8 );
input VAR6, VAR2, VAR3;
inout VAR9, VAR8;
output VAR7;
VAR1 VAR5(.VAR6(VAR6),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3),.VAR9(VAR9),.VAR8(VAR8));
VAR1 VAR4(.VAR6(VAR6),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3),.VAR9(VAR9),.VAR8(VAR8));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a21boi/sky130_fd_sc_ms__a21boi.pp.blackbox.v
| 1,401 |
module MODULE1 (
VAR5 ,
VAR3 ,
VAR8 ,
VAR7,
VAR2,
VAR1,
VAR6 ,
VAR4
);
output VAR5 ;
input VAR3 ;
input VAR8 ;
input VAR7;
input VAR2;
input VAR1;
input VAR6 ;
input VAR4 ;
endmodule
|
apache-2.0
|
omicronns/studies-sys-rek
|
de1-soc/src/image_processor.v
| 1,533 |
module MODULE1 (
input [7:0] VAR13,
input [7:0] VAR23,
input [7:0] VAR4,
input VAR2,
input VAR6,
input VAR3,
input VAR12,
input VAR18,
input VAR10,
output [7:0] VAR14,
output [7:0] VAR1,
output [7:0] VAR24,
output VAR11,
output VAR21,
output VAR8,
output VAR15,
output [23:0] VAR7
);
VAR17 VAR20(
.VAR18(VAR18),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR16(VAR10),
.VAR7(VAR7)
);
wire [7:0] VAR5;
assign VAR14 = VAR5;
assign VAR1 = VAR5;
assign VAR24 = VAR5;
VAR19 VAR9 (
.VAR18(VAR18),
.VAR13(VAR13),
.VAR23(VAR23),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR22(VAR5),
.VAR11(VAR11),
.VAR21(VAR21),
.VAR8(VAR8),
.VAR15(VAR15)
);
endmodule
|
mit
|
ECE492-Team5/Platform
|
soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_lcd_16207_0.v
| 2,326 |
module MODULE1 (
address,
VAR9,
clk,
read,
VAR6,
write,
VAR7,
VAR4,
VAR8,
VAR5,
VAR1,
VAR2
)
;
output VAR4;
output VAR8;
output VAR5;
inout [ 7: 0] VAR1;
output [ 7: 0] VAR2;
input [ 1: 0] address;
input VAR9;
input clk;
input read;
input VAR6;
input write;
input [ 7: 0] VAR7;
wire VAR4;
wire VAR8;
wire VAR5;
wire [ 7: 0] VAR1;
wire [ 7: 0] VAR2;
assign VAR5 = address[0];
assign VAR8 = address[1];
assign VAR4 = read | write;
assign VAR1 = (address[0]) ? {8{1'VAR3}} : VAR7;
assign VAR2 = VAR1;
endmodule
|
gpl-3.0
|
aj-michael/Digital-Systems
|
Pong/Phase1/ipcore_dir/Clock50MHz/example_design/Clock50MHz_exdes.v
| 4,762 |
module MODULE1
parameter VAR12 = 100
)
( input VAR25,
input VAR21,
output [1:1] VAR15,
output VAR20
);
localparam VAR11 = 16;
wire VAR16 = VAR21;
reg VAR24;
reg VAR10;
reg VAR17;
reg VAR7;
wire VAR23;
wire VAR9;
wire clk;
reg [VAR11-1:0] counter;
VAR4 VAR3
( .VAR25 (VAR25),
.VAR26 (VAR23));
assign VAR9 = ~clk;
VAR5 VAR2
(.VAR14 (VAR15[1]),
.VAR22 (clk),
.VAR18 (VAR9),
.VAR1 (1'b1),
.VAR8 (1'b1),
.VAR19 (1'b0),
.VAR6 (1'b0),
.VAR13 (1'b0));
assign clk = VAR23;
always @(posedge VAR16 or posedge clk) begin
if (VAR16) begin
VAR24 <= 1'b1;
VAR10 <= 1'b1;
VAR17 <= 1'b1;
VAR7 <= 1'b1;
end
else begin
VAR24 <= 1'b0;
VAR10 <= VAR24;
VAR17 <= VAR10;
VAR7 <= VAR17;
end
end
always @(posedge clk or posedge VAR7) begin
if (VAR7) begin
end else begin
end
end
assign VAR20 = counter[VAR11-1];
endmodule
|
mit
|
golfit/QcmMasterController
|
lut.v
| 3,722 |
module MODULE1(clk,VAR1, state);
input clk;
input [13:0] VAR1;
output reg [6:0] state;
always @(posedge clk) begin
end
if(VAR1<VAR2[0]) state=7'b1010000; else if(VAR1<VAR2[1]) state=7'b1001111;
else if(VAR1<VAR2[2]) state=7'b1001110;
else if(VAR1<VAR2[3]) state=7'b1001101;
else if(VAR1<VAR2[4]) state=7'b1001100;
else if(VAR1<VAR2[5]) state=7'b1001011;
else if(VAR1<VAR2[6]) state=7'b1001010;
else if(VAR1<VAR2[7]) state=7'b1001001;
else if(VAR1<VAR2[8]) state=7'b1001000;
else if(VAR1<VAR2[9]) state=7'b1000111;
else if(VAR1<VAR2[10]) state=7'b1000110;
else if(VAR1<VAR2[11]) state=7'b1000101;
else if(VAR1<VAR2[12]) state=7'b1000100;
else if(VAR1<VAR2[13]) state=7'b1000011;
else if(VAR1<VAR2[14]) state=7'b1000010;
else if(VAR1<VAR2[15]) state=7'b1000001;
else if(VAR1<VAR2[16]) state=7'b1000000;
else if(VAR1<VAR2[17]) state=7'b111111;
else if(VAR1<VAR2[18]) state=7'b111110;
else if(VAR1<VAR2[19]) state=7'b111101;
else if(VAR1<VAR2[20]) state=7'b111100;
else if(VAR1<VAR2[21]) state=7'b111011;
else if(VAR1<VAR2[22]) state=7'b111010;
else if(VAR1<VAR2[23]) state=7'b111001;
else if(VAR1<VAR2[24]) state=7'b111000;
else if(VAR1<VAR2[25]) state=7'b110111;
else if(VAR1<VAR2[26]) state=7'b110110;
else if(VAR1<VAR2[27]) state=7'b110101;
else if(VAR1<VAR2[28]) state=7'b110100;
else if(VAR1<VAR2[29]) state=7'b110011;
else if(VAR1<VAR2[30]) state=7'b110010;
else if(VAR1<VAR2[31]) state=7'b110001;
else if(VAR1<VAR2[32]) state=7'b110000;
else if(VAR1<VAR2[33]) state=7'b101111;
else if(VAR1<VAR2[34]) state=7'b101110;
else if(VAR1<VAR2[35]) state=7'b101101;
else if(VAR1<VAR2[36]) state=7'b101100;
else if(VAR1<VAR2[37]) state=7'b101011;
else if(VAR1<VAR2[38]) state=7'b101010;
else if(VAR1<VAR2[39]) state=7'b101001;
else if(VAR1<VAR2[40]) state=7'b101000;
else if(VAR1<VAR2[41]) state=7'b100111;
else if(VAR1<VAR2[42]) state=7'b100110;
else if(VAR1<VAR2[43]) state=7'b100101;
else if(VAR1<VAR2[44]) state=7'b100100;
else if(VAR1<VAR2[45]) state=7'b100011;
else if(VAR1<VAR2[46]) state=7'b100010;
else if(VAR1<VAR2[47]) state=7'b100001;
else if(VAR1<VAR2[48]) state=7'b100000;
else if(VAR1<VAR2[49]) state=7'b11111;
else if(VAR1<VAR2[50]) state=7'b11110;
else if(VAR1<VAR2[51]) state=7'b11101;
else if(VAR1<VAR2[52]) state=7'b11100;
else if(VAR1<VAR2[53]) state=7'b11011;
else if(VAR1<VAR2[54]) state=7'b11010;
else if(VAR1<VAR2[55]) state=7'b11001;
else if(VAR1<VAR2[56]) state=7'b11000;
else if(VAR1<VAR2[57]) state=7'b10111;
else if(VAR1<VAR2[58]) state=7'b10110;
else if(VAR1<VAR2[59]) state=7'b10101;
else if(VAR1<VAR2[60]) state=7'b10100;
else if(VAR1<VAR2[61]) state=7'b10011;
else if(VAR1<VAR2[62]) state=7'b10010;
else if(VAR1<VAR2[63]) state=7'b10001;
else if(VAR1<VAR2[64]) state=7'b10000;
else if(VAR1<VAR2[65]) state=7'b1111;
else if(VAR1<VAR2[66]) state=7'b1110;
else if(VAR1<VAR2[67]) state=7'b1101;
else if(VAR1<VAR2[68]) state=7'b1100;
else if(VAR1<VAR2[69]) state=7'b1011;
else if(VAR1<VAR2[70]) state=7'b1010;
else if(VAR1<VAR2[71]) state=7'b1001;
else if(VAR1<VAR2[72]) state=7'b1000;
else if(VAR1<VAR2[73]) state=7'b111;
else if(VAR1<VAR2[74]) state=7'b110;
else if(VAR1<VAR2[75]) state=7'b101;
else if(VAR1<VAR2[76]) state=7'b100;
else if(VAR1<VAR2[77]) state=7'b11;
else if(VAR1<VAR2[78]) state=7'b10;
else if(VAR1<VAR2[79]) state=7'b1;
else state=7'b0;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfrbp/sky130_fd_sc_ls__sdfrbp.pp.blackbox.v
| 1,515 |
module MODULE1 (
VAR2 ,
VAR10 ,
VAR4 ,
VAR5 ,
VAR3 ,
VAR9 ,
VAR7,
VAR8 ,
VAR6 ,
VAR1 ,
VAR11
);
output VAR2 ;
output VAR10 ;
input VAR4 ;
input VAR5 ;
input VAR3 ;
input VAR9 ;
input VAR7;
input VAR8 ;
input VAR6 ;
input VAR1 ;
input VAR11 ;
endmodule
|
apache-2.0
|
Siliciumer/DOS-Mario-FPGA
|
sources/board.v
| 5,063 |
module MODULE1 (
input wire [9:0] VAR47,
input wire VAR53,
input wire [9:0] VAR14,
input wire VAR10,
input wire VAR41,
input wire [23:0] VAR12,
input wire VAR8,
input wire clk,
input wire rst,
input wire [7:0] VAR46,
input wire [5:0] VAR51,
input wire [5:0] VAR20,
output reg [7:0] VAR15,
output reg [3:0] VAR38,
output reg [9:0] VAR40,
output reg VAR18,
output reg [9:0] VAR49,
output reg VAR27,
output reg [23:0] VAR17,
output reg VAR32
);
localparam VAR30 = 1 ;
localparam VAR44 = 0 ;
localparam VAR6 = 2 ;
localparam VAR48 = 3 ;
localparam VAR4 = 4 ;
localparam VAR28 = 5 ;
localparam VAR7 = 6 ;
localparam VAR34 = 7 ;
localparam VAR16 = 8 ;
localparam VAR5 = 9 ;
localparam VAR11 = 10;
localparam VAR21 = 11;
localparam VAR45 = 12;
localparam VAR50 = 13;
localparam VAR24 = 14;
localparam VAR13 = 15;
localparam VAR22 = 16;
localparam VAR43 = 17;
localparam VAR26 = 18;
localparam VAR36 = 19;
localparam VAR9 = 20;
localparam VAR39 = 21;
localparam VAR55 = 22;
localparam VAR19 = 23;
localparam VAR23 = 24;
localparam VAR42 = 25;
localparam VAR37 = 26;
localparam VAR56 = 27;
localparam VAR3 = 28;
localparam VAR52 = 29;
localparam VAR2 = 30;
localparam VAR29 = 31;
localparam VAR57 = 32;
localparam VAR25 = 33;
localparam VAR31 = 34;
reg [23:0] VAR35;
reg [7:0] VAR33;
reg [3:0] VAR54;
reg [11:0] VAR1;
always @* begin
VAR54 = ((479 - VAR14)>>2)/10;
VAR33 = VAR46 + ((VAR47 + VAR51)>>2)/10;
end
always @* begin
case(VAR20) VAR30 : VAR35 = 24'h02020 ;
VAR44 : VAR35 = VAR12;
VAR6 : VAR35 = 24'h6A3D1E ;
VAR48 : VAR35 = 24'hBB7700 ;
VAR4 : VAR35 = 24'h000000 ;
VAR28 : VAR35 = 24'h000000 ;
VAR7 : VAR35 = 24'h105010 ;
VAR34 : VAR35 = 24'h000000 ;
VAR16 : VAR35 = 24'h000000 ;
VAR5 : VAR35 = 24'h701010 ;
VAR11 : VAR35 = 24'h000000 ;
VAR21 : VAR35 = 24'h6A3D1E ;
VAR45 : VAR35 = 24'h6A3D1E ;
VAR50 : VAR35 = 24'h6A3D1E ;
VAR24 : VAR35 = 24'h503020 ;
VAR13 : VAR35 = 24'h6A3D1E ;
VAR22 : VAR35 = 24'h105010 ;
VAR43 : VAR35 = VAR12 ;
VAR26 : VAR35 = 24'h6A3D1E ;
VAR36 : VAR35 = VAR12 ;
VAR9 : VAR35 = 24'h105010 ;
VAR39 : VAR35 = 24'h105010 ;
VAR55 : VAR35 = 24'h0000f0 ;
VAR19 : VAR35 = 24'h277502 ;
VAR23 : VAR35 = VAR12 ;
VAR42 : VAR35 = 24'h3030f0 ;
VAR37: VAR35 = 24'h000000 ;
VAR3: VAR35 = 24'hffff00 ;
VAR52: VAR35 = 24'h000000 ;
VAR2: VAR35 = 24'h000000 ;
VAR29: VAR35 = 24'h000000 ;
VAR25: VAR35 = 24'hb07020 ;
VAR31: VAR35 = 24'h7A4D2E ;
default: VAR35 = VAR12;
endcase
end
always @(posedge clk or posedge rst) begin
if(rst) begin
VAR15 <= 0;
VAR38 <= 0;
end
else begin
VAR15 <= VAR33;
VAR38 <= VAR54;
end
end
always @(posedge VAR41 or posedge rst) begin
if(rst) begin
VAR17 <= 0;
VAR40 <= 0;
VAR18 <= 0;
VAR49 <= 0;
VAR27 <= 0;
VAR32 <= 0;
end
else begin
VAR17 <= VAR35;
VAR40 <= VAR47;
VAR18 <= VAR53;
VAR49 <= VAR14;
VAR27 <= VAR10;
VAR32 <= VAR8;
end
end
endmodule
|
mit
|
LukeBi/DotRunner
|
project.v
| 7,990 |
module MODULE4(
VAR52,
VAR33,
VAR27,
VAR4,
VAR40,
VAR5,
VAR26,
VAR11,
VAR29,
VAR2,
VAR23,
VAR50
);
input VAR52;
input [9:0] VAR27;
input [3:0] VAR33;
output VAR4;
output VAR40;
output VAR5;
output VAR26;
output VAR11;
output [9:0] VAR29;
output [9:0] VAR2;
output [9:0] VAR23;
output [9:0] VAR50;
wire [2:0] VAR56;
wire [7:0] VAR47;
wire [6:0] VAR21;
wire VAR3 = VAR33[0];
VAR10 VAR30(
.VAR3(VAR3),
.VAR51(VAR52),
.VAR56(VAR56),
.VAR47(VAR47),
.VAR21(VAR21),
.VAR8(1),
.VAR29(VAR29),
.VAR2(VAR2),
.VAR23(VAR23),
.VAR40(VAR40),
.VAR5(VAR5),
.VAR57(VAR26),
.VAR46(VAR11),
.VAR4(VAR4));
wire [27:0] VAR37 = 28'b0000001011011100011011000000;
wire [159:0] VAR7;
wire VAR14, VAR39;
MODULE1 MODULE2(
.clk(VAR52),
.VAR43(~VAR33[2]),
.VAR20(~VAR33[1]),
.VAR14(VAR14),
.VAR3(VAR3),
.VAR39(VAR39)
);
MODULE3 MODULE1(
.clk(VAR52),
.VAR14(VAR14),
.VAR39(VAR39),
.VAR55(~VAR33[3]),
.VAR37(VAR37),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR50(VAR50[9:0])
);
MODULE2 MODULE3(
.VAR32(VAR7),
.clk(VAR52),
.VAR3(VAR3),
.VAR47(VAR47),
.VAR21(VAR21),
.VAR56(VAR56)
);
endmodule
module MODULE1(
input clk,
input VAR43,
input VAR20,
input VAR3,
output reg VAR14,
output reg VAR39
);
reg [5:0] VAR28, VAR24;
localparam VAR19 = 5'MODULE3,
VAR13 = 5'd1,
VAR17 = 5'd2,
VAR48 = 5'd3;
always@
begin: VAR6
VAR14 = 1'b0;
VAR39 = 1'b0;
case (VAR28)
VAR19: begin
VAR14 = 1'b1;
end
VAR17: begin
VAR39 = 1'b1;
end
default: begin
end
endcase
end
always@(posedge clk)
begin: VAR44
if (!VAR3)
VAR28 <= VAR19;
end
else
VAR28 <= VAR24;
end
endmodule
module MODULE3 (
input clk,
input VAR14,
input VAR39,
input VAR55,
input [27:0] VAR37,
input VAR3,
output reg [159:0] VAR7,
output [9:0] VAR50
);
reg [27:0] VAR16;
reg [319:0] VAR1;
reg [1:0] VAR31 = 2'b00;
reg VAR38 = 1'b0;
reg VAR41 = 1'b1;
always@(posedge clk) begin
if (!VAR3) begin
VAR16 <= VAR37;
VAR31 <= 2'b00;
VAR41 <= 1'b1;
VAR38 <= 1'b0;
end
else if (VAR14) begin
VAR16 <= VAR37;
VAR31 <= 2'b00;
VAR7 <= 160'b0;
VAR1[319:0] <= 320'b00000000000000000100000000000100000000001000000000000000001000000000000000000100000000000000010000000000110000000000000000010000000000000000010000000000000001000000000001000000000000100000000000000001000000000000000011000000000000000010000000000000000001000000000000110000000000001100000000000000000100000000000000001100;
VAR41 <= 1'b1;
end
else begin
if (VAR16 == 28'b0) begin
VAR16 <= VAR37;
VAR7 = VAR7 << 2;
VAR7[1:0] = VAR1[319:318];
VAR1[319:0] = {VAR1[317:0], VAR1[319:318]};
if (VAR55 || (VAR31) != 2'b00) begin
if (VAR31 == 2'b11)
VAR41 = 1'b0;
if (VAR41)
VAR31 += 1;
end
else
VAR31 -= 1
if (VAR31 == 2'b00)
VAR41 = 1'b1;
end
VAR7[159:158] = VAR31;
end
else
VAR16 <= VAR16 - 1;
end
end
assign VAR50[4] = VAR38;
assign VAR50[6] = VAR41;
assign VAR50[9:8] = VAR31;
endmodule
module MODULE2 (
input [159:0] VAR32,
input clk,
input VAR3,
output reg [7:0] VAR47,
output reg [6:0] VAR21,
output reg [2:0] VAR56
);
reg [7:0] VAR15=8'd2;
reg [6:0] VAR35 = 7'd80;
reg [2:0] VAR16 = 3'b000;
reg [10:0] counter = 11'b0;
reg [4:0] VAR45; = 5'b0;
reg [2:0] VAR53 = 3'b0;
reg [159:0] VAR12;
always@(posedge clk) begin
if (!VAR3) begin
VAR15 <= 8'd2;
VAR35 <= 7'd80;
VAR16 <= 3'b000;
counter <= 11'b0;
VAR12 <= VAR32<<2;
end
else begin
if (counter < 11'd652) begin
if (counter < 11'd20) begin
if counter < 11'd10
VAR47 <= 8'MODULE3;
end
else
VAR47 <= 8'd1;
VAR45 = counter % 10;
VAR21 = VAR35 - VAR45;
VAR53 = VAR32[159:158] * 2;
if (VAR45 == 5'MODULE3)
VAR56 = 3'b110;
end
else if (VAR45 < VAR53 || VAR45 > VAR53 + 3)
VAR56 = 3'b000;
end
else
VAR56 = 3'b101;
end
else
begin
VAR16 = (counter-20) % 8;
VAR47 <= VAR15 + VAR16[2];
if (VAR16[1:0] == 2'b00) begin
VAR56 <= 3'b110;
VAR21 <= VAR35;
end
else begin
if (VAR16[1:0] > VAR12[159:158])
VAR56 = 3'b000;
end
else
VAR56 = 3'b011;
VAR21 <= VAR35 - VAR16[1:0];
end
if (VAR16 == 3'b111)
begin
VAR15 <= VAR15 + 2;
VAR12 <= VAR12 << 2;
end
end
counter = counter + 1;
end
else begin
VAR15 <= 8'd2;
VAR35 <= 7'd80;
VAR16 <= 3'b000;
counter <= 11'b0;
VAR12 <= VAR32 << 2;
end
end
end
endmodule
|
gpl-3.0
|
comododragon/SHA256_FPGA
|
Full/Verilog/sha256_k_constants.v
| 4,856 |
module MODULE1(
input wire [5 : 0] addr,
output wire [31 : 0] VAR1
);
reg [31 : 0] VAR2;
assign VAR1 = VAR2;
always @*
begin : VAR3
case(addr)
00: VAR2 = 32'h428a2f98;
01: VAR2 = 32'h71374491;
02: VAR2 = 32'hb5c0fbcf;
03: VAR2 = 32'he9b5dba5;
04: VAR2 = 32'h3956c25b;
05: VAR2 = 32'h59f111f1;
06: VAR2 = 32'h923f82a4;
07: VAR2 = 32'hab1c5ed5;
08: VAR2 = 32'hd807aa98;
09: VAR2 = 32'h12835b01;
10: VAR2 = 32'h243185be;
11: VAR2 = 32'h550c7dc3;
12: VAR2 = 32'h72be5d74;
13: VAR2 = 32'h80deb1fe;
14: VAR2 = 32'h9bdc06a7;
15: VAR2 = 32'hc19bf174;
16: VAR2 = 32'he49b69c1;
17: VAR2 = 32'hefbe4786;
18: VAR2 = 32'h0fc19dc6;
19: VAR2 = 32'h240ca1cc;
20: VAR2 = 32'h2de92c6f;
21: VAR2 = 32'h4a7484aa;
22: VAR2 = 32'h5cb0a9dc;
23: VAR2 = 32'h76f988da;
24: VAR2 = 32'h983e5152;
25: VAR2 = 32'ha831c66d;
26: VAR2 = 32'hb00327c8;
27: VAR2 = 32'hbf597fc7;
28: VAR2 = 32'hc6e00bf3;
29: VAR2 = 32'hd5a79147;
30: VAR2 = 32'h06ca6351;
31: VAR2 = 32'h14292967;
32: VAR2 = 32'h27b70a85;
33: VAR2 = 32'h2e1b2138;
34: VAR2 = 32'h4d2c6dfc;
35: VAR2 = 32'h53380d13;
36: VAR2 = 32'h650a7354;
37: VAR2 = 32'h766a0abb;
38: VAR2 = 32'h81c2c92e;
39: VAR2 = 32'h92722c85;
40: VAR2 = 32'ha2bfe8a1;
41: VAR2 = 32'ha81a664b;
42: VAR2 = 32'hc24b8b70;
43: VAR2 = 32'hc76c51a3;
44: VAR2 = 32'hd192e819;
45: VAR2 = 32'hd6990624;
46: VAR2 = 32'hf40e3585;
47: VAR2 = 32'h106aa070;
48: VAR2 = 32'h19a4c116;
49: VAR2 = 32'h1e376c08;
50: VAR2 = 32'h2748774c;
51: VAR2 = 32'h34b0bcb5;
52: VAR2 = 32'h391c0cb3;
53: VAR2 = 32'h4ed8aa4a;
54: VAR2 = 32'h5b9cca4f;
55: VAR2 = 32'h682e6ff3;
56: VAR2 = 32'h748f82ee;
57: VAR2 = 32'h78a5636f;
58: VAR2 = 32'h84c87814;
59: VAR2 = 32'h8cc70208;
60: VAR2 = 32'h90befffa;
61: VAR2 = 32'ha4506ceb;
62: VAR2 = 32'hbef9a3f7;
63: VAR2 = 32'hc67178f2;
endcase end endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlrtp/sky130_fd_sc_hs__dlrtp.behavioral.v
| 2,226 |
module MODULE1 (
VAR17,
VAR4 ,
VAR16 ,
VAR14 ,
VAR13 ,
VAR2
);
input VAR17;
input VAR4 ;
input VAR16 ;
output VAR14 ;
input VAR13 ;
input VAR2 ;
wire VAR18 ;
reg VAR5 ;
wire VAR19 ;
wire VAR20 ;
wire VAR11 ;
wire VAR10;
wire VAR12 ;
wire VAR8 ;
wire VAR9 ;
wire VAR7 ;
not VAR1 (VAR18 , VAR10 );
VAR15 VAR3 (VAR12 , VAR19, VAR20, VAR18, VAR5, VAR13, VAR2);
assign VAR8 = ( VAR13 === 1'b1 );
assign VAR9 = ( VAR8 && ( VAR10 === 1'b1 ) );
assign VAR7 = ( VAR8 && ( VAR17 === 1'b1 ) );
buf VAR6 (VAR14 , VAR12 );
endmodule
|
apache-2.0
|
romovs/xula-lib-verilog
|
HostIo.v
| 23,110 |
module MODULE1 (VAR1, VAR55, VAR20, VAR9, VAR5, VAR29);
output VAR1;
output VAR55;
output VAR20;
output VAR9;
output VAR5;
input VAR29;
VAR54 VAR33
(
.VAR11(VAR1), .VAR38(VAR55), .VAR37(VAR20), .VAR7(VAR9), .VAR47(VAR5), .VAR26(VAR29), .VAR35(1'b0)
);
endmodule
module MODULE4 (VAR19, VAR8, VAR17, VAR46, VAR13, VAR42, VAR49, VAR41, VAR64);
parameter [7:0] VAR66 = 'b11111111;
parameter [7:0] VAR24 = 24;
parameter [7:0] VAR39 = 24;
input wire [VAR24-1:0] VAR19; output reg [VAR39-1:0] VAR8; output wire VAR17; input wire VAR46; input wire VAR13; input wire VAR42; input wire VAR49; input wire VAR41; output wire VAR64;
localparam [1:0] VAR10 = 2'b01;
localparam [1:0] VAR60 = 2'b10;
localparam [1:0] VAR63 = 2'b11;
localparam VAR15 = 16;
localparam VAR21 = VAR39 > VAR24 ? VAR39 : VAR24;
localparam VAR61 = VAR21 > VAR15 ? VAR21 : VAR15;
wire VAR34;
reg [VAR61-1:0] VAR67;
reg VAR43;
reg [7:0] VAR23;
reg [31:0] VAR2;
reg VAR22;
wire VAR62;
reg [1:0] VAR36;
reg VAR51;
reg [4:0] VAR32;
assign VAR34 = (VAR13 == 0 && VAR49 == 1 && VAR42 == 1);
always @(posedge VAR46) begin
if (VAR34 == 0 || (VAR22 == 1 && VAR2 == 1)) begin
VAR23 <= 0;
VAR2[30:0] <= 0;
VAR2[31] <= 1; VAR22 <= 0;
end
else begin
if (VAR22 == 0) begin
VAR2 <= {VAR41, VAR2[31:1]};
VAR23 <= {VAR2[0], VAR23[7:1]};
VAR22 <= VAR23[0];
end else begin VAR2 <= VAR2 - 1;
end
end
if (VAR62 == 1 && VAR13 == 0) begin
if (VAR51 == 0) begin
VAR36 <= {VAR41, VAR36[1 : 1]};
VAR51 <= VAR36[0]; end else begin
case(VAR36)
VAR10 : begin
if (VAR32 == 0) begin
VAR32 <= VAR15; VAR67[VAR15-1:0] <= {VAR24[7:0], VAR39[7:0]};
end else begin VAR67 <= VAR67 >> 1;
VAR32 <= VAR32 - 1; end
end
VAR60 : begin
if (VAR39 == 1) begin
VAR8 <= VAR41;
VAR43 <= 1;
end
else begin
if (VAR67[0] == 0) begin
VAR67 <= VAR67 >> 1;
VAR67[VAR39-1] <= VAR41;
end
else begin
VAR8 <= VAR67[VAR39-1:0];
VAR43 <= 1;
VAR67 <= 0;
VAR67[VAR39-1] <= 1;
end
end
end
VAR63:begin
if (VAR32 == 0) begin
VAR32 <= VAR24-1; VAR67[VAR24-1:0] <= VAR19;
end else begin VAR67 <= VAR67 >> 1;
VAR32 <= VAR32 - 1; end
end
endcase
end
end else begin VAR36 <= 2'b10; VAR51 <= 0;
VAR67 <= 0;
if (VAR39 > 1) begin
VAR67[VAR39-1] <= 1;
end
VAR32 <= 0;
VAR43 <= 0;
end
end
assign VAR17 = (VAR43 == 1 ? !VAR46 : 0);
assign VAR64 = (VAR62 == 1 ? VAR67[0] : 0);
assign VAR62 = ((VAR23 == VAR66 && VAR22 == 1) ? 1 : 0);
endmodule
module MODULE3 (VAR18, VAR12, VAR16, VAR45, VAR69, VAR57, VAR68);
input VAR18; input VAR12; input VAR16; output reg VAR45; input VAR69; input VAR57; output reg VAR68;
wire VAR48;
VAR40 sync
(
.VAR12(VAR12),
.VAR14(VAR16),
.VAR58(VAR48)
);
reg VAR50 = 1;
always @(posedge VAR12) begin
if (VAR48 == 0) begin
VAR45 <= 0;
end else if (VAR50 == 0) begin
VAR45 <= 1;
end else if (VAR69 == 1 || VAR57 == 1) begin
VAR45 <= 0;
end
VAR50 <= VAR48; end
always @(posedge VAR12) begin
if (VAR48 == 0) begin
VAR68 <= 0;
end else if (VAR57 == 1) begin
VAR68 <= 1;
end
end
endmodule
module MODULE2 (VAR30, VAR28, VAR25, VAR59, VAR27, VAR56, VAR46, VAR13, VAR42, VAR49, VAR41, VAR64);
parameter [7:0] VAR66 = 'b11111111;
parameter [7:0] VAR65 = 16;
parameter [7:0] VAR31 = 16;
output wire [VAR31-1:0] VAR30;
output reg [VAR65-1:0] VAR28;
input wire [VAR65-1:0] VAR25;
output wire VAR59;
output wire VAR27;
input wire VAR56;
input wire VAR46;
input wire VAR13;
input wire VAR42;
input wire VAR49;
input wire VAR41;
output wire VAR64;
localparam VAR15 = 16;
localparam VAR10 = 'b01;
localparam VAR60 = 'b10;
localparam VAR63 = 'b11;
localparam VAR61 = VAR15 > VAR65 ? VAR15 : VAR65;
reg [VAR31-1:0] VAR6 = 0;
reg VAR53;
reg VAR4;
reg [VAR65-1:0] VAR52;
reg VAR3 = 0;
reg VAR44 = 0;
wire VAR34;
reg [VAR61-1:0] VAR67;
reg [7:0] VAR23;
reg [31:0] VAR2;
reg VAR22;
wire VAR62;
reg [1:0] VAR36;
reg VAR51;
reg [4:0] VAR32 = 0;
assign VAR34 = (VAR13 == 0 && VAR49 == 1 && VAR42 == 1);
always @(posedge VAR46) begin
if (VAR34 == 0 || (VAR22 == 1 && VAR2 == 1)) begin
VAR23 <= 0;
VAR2[30:0] <= 0;
VAR2[31] <= 1; VAR22 <= 0;
end
else begin if (VAR22 == 0) begin
VAR2 <= {VAR41, VAR2[31:1]};
VAR23 <= {VAR2[0], VAR23[7:1]};
VAR22 <= VAR23[0]; end else begin VAR2 <= VAR2 - 1;
end
end
if ((VAR62 == 1 || VAR44 == 1) && VAR13 == 0 ) begin
if (VAR51 == 0) begin
VAR36 <= {VAR41, VAR36[1 : 1]};
VAR51 <= VAR36[0]; end else begin
case(VAR36)
VAR10 : begin
if (VAR32 == 0) begin
VAR67[VAR15-1:0] <= {VAR65[VAR15/2-1:0], VAR31[VAR15/2-1:0]};
VAR32 <= VAR61;
end else begin
VAR67 <= VAR67 >> 1;
VAR32 <= VAR32 - 1;
end
end
VAR60 : begin
if (VAR53 == 0) begin
VAR6 <= {VAR41, VAR6[VAR31-1:1]};
VAR53 <= VAR6[0];
end
else begin
if (VAR67[0] == 0) begin
VAR67 <= {VAR41, VAR67[VAR65-1:1]};
end else begin
VAR28 <= {VAR41, VAR67[VAR65-1:1] };
VAR67 <= 0;
VAR67[VAR65-1] <= 1;
VAR44 <= 1;
end
if (VAR44 == 1 && VAR56 == 1) begin
VAR44 <= 0; VAR6 <= VAR6 + 1; end
end
end
VAR63:begin
if (VAR53 == 0) begin
VAR6 <= {VAR41, VAR6[VAR31-1 :1]}; VAR53 <= VAR6[0];
VAR3 <= VAR6[0]; VAR32 <= VAR65-1; end else begin
if (VAR4 == 0) begin
if (VAR3 == 1 && VAR56 == 1) begin VAR3 <= 0; VAR52 <= VAR25;
VAR4 <= 1;
VAR6 <= VAR6 + 1; end else if (VAR2 >= VAR61) begin
VAR3 <= 1; end
end
if (VAR32 != 0) begin VAR67 <= VAR67 >> 1;
VAR32 <= VAR32 - 1;
end else begin VAR67 <= VAR52; VAR32 <= VAR65-1;
VAR4 <= 0;
end
end
end
endcase
end
end else begin VAR36 <= 2'b10; VAR51 <= 0;
VAR67 <= 0;
VAR67[VAR65-1] <= 1;
VAR32 <= 0;
VAR6 <= 0;
VAR6[VAR31-1] <= 1;
VAR53 <= 0;
VAR44 <= 0;
VAR3 <= 0;
VAR4 <= 0;
end
end
assign VAR59 = VAR44;
assign VAR27 = VAR3;
assign VAR30 = VAR6;
assign VAR64 = (VAR62 == 1) ? VAR67[0] : 0;
assign VAR62 = (VAR23 == VAR66 && VAR22 == 1) ? 1 : 0;
endmodule
|
gpl-2.0
|
tmatsuya/milkymist-ml401
|
cores/lm32/rtl/lm32_instruction_unit.v
| 29,678 |
module MODULE1 (
VAR142,
VAR104,
VAR33,
VAR118,
VAR1,
VAR114,
VAR130,
VAR12,
VAR87,
VAR21,
VAR45,
VAR7,
VAR38,
VAR55,
VAR63,
VAR73,
VAR97,
VAR3,
VAR46,
VAR151,
VAR153,
VAR52,
VAR8,
VAR83,
VAR123,
VAR82,
VAR127,
VAR107,
VAR131,
VAR79,
VAR64,
VAR133,
VAR39,
VAR67,
VAR75,
VAR25,
VAR16,
VAR102,
VAR14,
VAR135,
VAR11,
VAR150,
VAR54,
VAR86,
VAR146,
VAR147,
VAR115,
VAR98,
VAR70,
VAR129,
VAR40,
VAR35,
VAR17,
VAR74,
VAR119,
VAR89,
VAR61
);
parameter VAR121 = 1; parameter VAR95 = 512; parameter VAR29 = 16; parameter VAR47 = 0; parameter VAR32 = 0;
localparam VAR124 = VAR29 == 4 ? 1 : VAR134(VAR29)-1-2;
localparam VAR26 = 2;
localparam VAR60 = (VAR26+VAR124-1);
input VAR142; input VAR104;
input VAR33; input VAR118; input VAR1; input VAR114; input VAR130; input VAR12; input VAR87; input VAR21;
input VAR45; input [VAR101] VAR7;
input VAR38; input [VAR101] VAR55; VAR42
input VAR63;
input VAR73; input VAR97; input [VAR101] VAR3;
input VAR46; VAR42
input VAR151; input VAR153; input VAR52;
input [VAR144] VAR8; input [VAR144] VAR83; input VAR123; VAR42
input [VAR144] VAR82; input VAR127; input VAR107; input VAR131; VAR42
input VAR79; input VAR64; input [VAR125] VAR133; input [VAR144] VAR39; VAR42
output [VAR101] VAR67; reg [VAR101] VAR67;
output [VAR101] VAR75; reg [VAR101] VAR75;
output [VAR101] VAR25; reg [VAR101] VAR25;
output [VAR101] VAR16; reg [VAR101] VAR16;
output [VAR101] VAR102; reg [VAR101] VAR102;
output VAR14; wire VAR14;
output VAR135; wire VAR135;
output VAR11; wire VAR11;
output VAR150; wire VAR150;
output [VAR144] VAR54; wire [VAR144] VAR54;
output [VAR144] VAR86; VAR58 VAR136
reg [VAR144] VAR86;
wire [VAR144] VAR86;
output [VAR144] VAR146; reg [VAR144] VAR146;
output VAR147; reg VAR147;
output [VAR126] VAR115; VAR58 VAR136
reg [VAR126] VAR115;
wire [VAR126] VAR115;
output VAR98; reg VAR98;
output VAR70; VAR58 VAR136
reg VAR70;
wire VAR70;
output [VAR141] VAR129; reg [VAR141] VAR129;
output VAR40; reg VAR40;
output [VAR100] VAR35; wire [VAR100] VAR35;
output [VAR125] VAR17; reg [VAR125] VAR17;
output VAR74; wire VAR74;
output VAR119; reg VAR119;
output [VAR139] VAR89; wire [VAR139] VAR89;
output [VAR139] VAR61; reg [VAR139] VAR61;
reg [VAR101] VAR18;
reg [VAR101] VAR77; VAR42
wire VAR23; wire [VAR101] VAR20; reg VAR2; reg [VAR139] VAR44; wire [VAR139] VAR13; wire [VAR141] VAR128; wire [VAR141] VAR94; wire VAR34; wire [VAR101] VAR56; else
reg [VAR139] VAR15; VAR42
wire VAR80; reg VAR51; wire [VAR139] VAR53; VAR42
wire [VAR139] VAR89; VAR42
reg VAR66; VAR42
reg VAR6; VAR42
VAR10
.VAR99 (VAR152),
.VAR81 (VAR30/4-VAR71/4+1),
.VAR50 (VAR143(VAR30/4-VAR71/4+1)),
.VAR9 (VAR117),
.VAR111 (VAR30/4-VAR71/4+1),
.VAR72 (VAR143(VAR30/4-VAR71/4+1)),
.VAR91 (VAR117),
.VAR140 ("VAR62"),
.VAR112 ("VAR62"),
.VAR85 ("enable"),
.VAR5 ("sync"),
.VAR109 (VAR31),
.VAR49 (VAR41),
.VAR22 ("VAR10")
)
VAR36 (
.VAR65 (VAR142),
.VAR19 (VAR142),
.VAR48 (VAR104),
.VAR28 (VAR104),
.VAR149 ({32{1'b0}}),
.VAR84 (VAR8),
.VAR103 (VAR18[(VAR134(VAR30/4-VAR71/4+1)-1)+2-1:2]),
.VAR93 (VAR83[(VAR134(VAR30/4-VAR71/4+1)-1)+2-1:2]),
.VAR90 (!VAR33),
.VAR116 (!VAR114 || !VAR130),
.VAR92 (VAR69),
.VAR68 (VAR123),
.VAR27 (VAR53),
.VAR106 (VAR54)
);
VAR37 #(
.VAR121 (VAR121),
.VAR95 (VAR95),
.VAR29 (VAR29),
.VAR47 (VAR47),
.VAR32 (VAR32)
) VAR88 (
.VAR142 (VAR142),
.VAR104 (VAR104),
.VAR33 (VAR33),
.VAR118 (VAR118),
.VAR45 (VAR45),
.VAR87 (VAR87),
.VAR43 (VAR18),
.VAR105 (VAR67),
.VAR4 (VAR23),
.VAR76 (VAR2),
.VAR138 (VAR44),
.VAR46 (VAR46),
.VAR59 (VAR14),
.VAR137 (VAR135),
.VAR78 (VAR11),
.VAR57 (VAR20),
.VAR113 (VAR150),
.VAR122 (VAR13)
);
assign VAR23 = (VAR12 == VAR24)
&& (VAR21 == VAR69)
&& (VAR151 == VAR69)
&& (VAR51 == VAR69)
;
always @
begin
case (VAR39[1:0])
2'b00: VAR17 = VAR82[VAR120];
2'b01: VAR17 = VAR82[VAR96];
2'b10: VAR17 = VAR82[VAR110];
2'b11: VAR17 = VAR82[VAR145];
endcase
end
always @(posedge VAR142 VAR132)
begin
if (VAR104 == VAR24)
begin
VAR147 <= VAR69;
VAR98 <= VAR69;
VAR146 <= {VAR117{1'b0}};
VAR129 <= VAR108;
VAR40 <= VAR69;
VAR44 <= {VAR148{1'b0}};
VAR2 <= VAR69;
VAR66 <= VAR69;
VAR70 <= VAR69;
VAR115 <= 4'b1111;
VAR6 <= VAR69;
end
else
begin
VAR2 <= VAR69;
if (VAR147 == VAR24)
begin
if ((VAR127 == VAR24) || (VAR107 == VAR24))
begin
if (VAR6 == VAR24)
begin
VAR147 <= VAR69;
VAR98 <= VAR69;
VAR70 <= VAR69;
VAR6 <= VAR69;
end
else
begin
if (VAR34 == VAR24)
begin
VAR147 <= VAR69;
VAR98 <= VAR69;
VAR40 <= VAR69;
end
VAR146[VAR60:VAR26] <= VAR146[VAR60:VAR26] + 1'b1;
VAR129 <= VAR94;
VAR2 <= VAR24;
VAR44 <= VAR82;
end
end
if (VAR107 == VAR24)
begin
VAR66 <= VAR24;
end
end
else
begin
if ((VAR11 == VAR24) && (VAR2 == VAR69))
begin
VAR115 <= 4'b1111;
VAR146 <= {VAR56, 2'b00};
VAR147 <= VAR24;
VAR98 <= VAR24;
VAR129 <= VAR128;
VAR66 <= VAR69;
end
else
begin
if ((VAR79 == VAR24) || (VAR64 == VAR24))
begin
case (VAR39[1:0])
2'b00: VAR115 <= 4'b1000;
2'b01: VAR115 <= 4'b0100;
2'b10: VAR115 <= 4'b0010;
2'b11: VAR115 <= 4'b0001;
endcase
VAR146 <= VAR39;
VAR86 <= {4{VAR133}};
VAR147 <= VAR24;
VAR98 <= VAR24;
VAR70 <= VAR64;
VAR129 <= VAR108;
VAR6 <= VAR24;
end
end
if (VAR38 == VAR24)
VAR66 <= VAR69;
if (VAR73 == VAR24)
VAR66 <= VAR69;
end
end
end
always @(posedge VAR142 VAR132)
begin
if (VAR104 == VAR24)
begin
VAR147 <= VAR69;
VAR98 <= VAR69;
VAR146 <= {VAR117{1'b0}};
VAR129 <= VAR108;
VAR40 <= VAR69;
VAR15 <= {VAR148{1'b0}};
VAR66 <= VAR69;
end
else
begin
if (VAR147 == VAR24)
begin
if((VAR127 == VAR24) || (VAR107 == VAR24))
begin
VAR147 <= VAR69;
VAR98 <= VAR69;
VAR15 <= VAR82;
end
if (VAR107 == VAR24)
begin
VAR66 <= VAR24;
end
end
else
begin
if ( (VAR33 == VAR69)
&& (VAR80 == VAR69)
)
begin
VAR115 <= 4'b1111;
VAR146 <= {VAR18, 2'b00};
VAR147 <= VAR24;
VAR98 <= VAR24;
VAR66 <= VAR69;
end
else
begin
if ( (VAR33 == VAR69)
&& (VAR80 == VAR24)
)
begin
VAR66 <= VAR69;
end
end
end
end
end
always @(posedge VAR142 VAR132)
begin
if (VAR104 == VAR24)
begin
VAR61 <= {VAR148{1'b0}};
VAR119 <= VAR69;
end
else
begin
if (VAR1 == VAR69)
begin
VAR61 <= VAR89;
VAR119 <= VAR66;
end
end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfrbp/sky130_fd_sc_hs__dfrbp_2.v
| 2,298 |
module MODULE2 (
VAR4,
VAR3 ,
VAR2 ,
VAR9 ,
VAR8 ,
VAR6 ,
VAR7
);
input VAR4;
input VAR3 ;
input VAR2 ;
output VAR9 ;
output VAR8 ;
input VAR6 ;
input VAR7 ;
VAR5 VAR1 (
.VAR4(VAR4),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR4,
VAR3 ,
VAR2 ,
VAR9 ,
VAR8
);
input VAR4;
input VAR3 ;
input VAR2 ;
output VAR9 ;
output VAR8 ;
supply1 VAR6;
supply0 VAR7;
VAR5 VAR1 (
.VAR4(VAR4),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/clkinv/gf180mcu_fd_sc_mcu7t5v0__clkinv_1.behavioral.v
| 1,116 |
module MODULE1( VAR1, VAR5 );
input VAR1;
output VAR5;
VAR2 VAR4(.VAR1(VAR1),.VAR5(VAR5));
VAR2 VAR3(.VAR1(VAR1),.VAR5(VAR5));
|
apache-2.0
|
combinatorylogic/soc
|
backends/small1/hw/rtl/core.v
| 26,414 |
module MODULE1(
input clk, input rst,
output reg [31:0] VAR19,
output reg VAR127,
input [31:0] VAR113,
input VAR162,
input [31:0] VAR111, input VAR27, input VAR4,
output VAR143, output VAR119, output [31:0] VAR107, output [31:0] VAR47,
input irq, input [3:0] VAR38, output VAR128, output VAR149,
output reg [31:0] VAR33,
input [3:0] VAR46,
input VAR164,
input VAR94,
output reg VAR72,
input VAR169,
output [31:0] VAR130,
output [31:0] VAR66,
output VAR31,
output [31:0] VAR156,
input [31:0] VAR115,
input [31:0] VAR146
);
parameter VAR132 = VAR125-1; parameter VAR87 = 28'h2000; reg VAR88;
reg VAR8;
reg VAR159;
reg VAR51;
assign VAR128 = VAR8;
assign VAR149 = VAR88;
reg [31:0] VAR136;
reg [31:0] VAR93;
reg [31:0] VAR158;
always @(posedge clk)
begin
if (!rst) begin
VAR136 <= 0;
end else begin
VAR136 <= VAR136 + 1;
end
end
parameter VAR76 = 32'h20100;
parameter VAR29 = 0;
parameter VAR167 = 1;
parameter VAR49 = 2;
parameter VAR73 = 3;
parameter VAR120 = 4;
parameter VAR145 = 5;
parameter VAR21 = 6;
parameter VAR86 = 7;
parameter VAR109 = 8;
parameter VAR17 = 9;
parameter VAR64 = VAR17;
parameter VAR64 = VAR49;
parameter VAR81 = 10;
parameter VAR45 = 11;
parameter VAR52 = 12;
parameter VAR124 = 13;
parameter VAR65 = 14;
parameter VAR101 = 15;
parameter VAR36 = 16;
parameter VAR62 = 17;
parameter VAR108 = 18;
reg [31:0] VAR140;
reg [31:0] VAR138;
reg [31:0] VAR53;
reg [31:0] VAR68;
reg VAR34;
reg VAR97;
reg [31:0] VAR96;
reg [31:0] VAR104;
reg [31:0] VAR99;
reg [31:0] VAR141;
reg VAR11;
reg [31:0] VAR67;
reg [31:0] VAR133;
reg VAR165;
reg VAR30;
reg [31:0] VAR83;
reg [31:0] VAR57;
reg [7:0] state;
reg [31:0] VAR35;
reg [31:0] VAR92;
reg [31:0] VAR9;
reg [31:0] VAR100;
reg [31:0] VAR157;
reg [31:0] VAR10;
always @(posedge clk) begin
VAR9 <= VAR35 * VAR92; VAR100 <= VAR9; VAR157 <= VAR100; VAR10 <= VAR157; end
wire [31:0] VAR153;
wire [31:0] VAR151;
wire [2:0] VAR79;
assign VAR79 = VAR67[2:0];
wire [31:0] VAR77;
wire signed [31:0] VAR23;
assign VAR23 = {(VAR67[31]==1)?8'b11111111:8'b0, VAR67[31:8]};
assign VAR77 = {8'b0, VAR67[31:8]};
wire [4:0] VAR78;
assign VAR78 = VAR67[7:3];
wire signed [31:0] VAR126;
assign VAR126 = (VAR78==VAR155?0:VAR53) + VAR23;
wire signed [31:0] VAR105;
assign VAR105 = VAR126 + VAR68;
wire signed [31:0] VAR61;
assign VAR61 = (VAR78 == VAR70||VAR78==VAR155)?VAR105:VAR126;
reg VAR1;
wire [32:0] VAR139;
reg VAR102;
reg VAR13;
wire VAR14 = VAR77[0];
wire VAR69 = VAR78==VAR60 || VAR78 == VAR6
|| VAR78 == VAR95 || VAR78 == VAR116;
wire VAR150 =
(VAR78 == VAR60)?(VAR92 < VAR35):
(VAR78 == VAR6)?(VAR92 <= VAR35):
(VAR78 == VAR95)?(VAR92 > VAR35):
(VAR78 == VAR116)?(VAR92 >= VAR35):0;
wire VAR71 =
(VAR78 == VAR60)?((VAR92) < (VAR35)):
(VAR78 == VAR6)?((VAR92) <= (VAR35)):
(VAR78 == VAR95)?((VAR92) > (VAR35)):
(VAR78 == VAR116)?((VAR92) >= (VAR35)):0;
assign VAR139 = ((VAR78 == VAR148)? VAR35 + VAR92:
(VAR78 == VAR20)? VAR35 & VAR92:
(VAR78 == VAR144)? VAR35 | VAR92:
(VAR78 == VAR75)? VAR35 ^ VAR92:
(VAR78 == VAR110)? (VAR35 == VAR92):
(VAR69)?{31'b0,VAR14?VAR71:VAR150}:
(VAR78 == VAR15)? (~VAR35):
(VAR78 == VAR135)? {VAR35[30:0],1'b0}:
(VAR78 == VAR91
|| VAR78 == VAR55)?
{(VAR78==VAR91?VAR35[31]:1'b0),VAR35[31:1]}:
(VAR78 == VAR25)?(VAR34?VAR92:VAR35):
VAR35);
wire [31:0] VAR54;
wire [31:0] VAR42;
wire [31:0] VAR63;
wire VAR80;
assign VAR80 = VAR35 != 0;
assign VAR42 = VAR140 + VAR23;
assign VAR63 = VAR140 + 1;
assign VAR54 = ((VAR78 == VAR142)?VAR77:
(VAR78 == VAR123)?VAR42:
(VAR78 == VAR26)?(VAR80?VAR77:VAR63):
(VAR78 == VAR112)?(VAR80?VAR42:VAR63):
(VAR78 == VAR131)?VAR35:
(VAR78 == VAR163)?(VAR140 + VAR35):VAR63);
wire VAR122;
assign VAR122 = ((VAR78 == VAR131) || (VAR78 == VAR163)
|| (VAR78 == VAR26) || (VAR78 == VAR112));
assign VAR130 = VAR88?(VAR132 - VAR153):VAR153;
assign VAR66 = VAR88?(VAR132 - VAR151):VAR151;
reg VAR7;
reg [31:0] VAR161;
assign VAR31 = (state == VAR29) && (VAR102||VAR13);
assign VAR153 =
VAR7?VAR161:
( (state == VAR64)? ((VAR79 == VAR41)?VAR61:VAR138-1):
VAR31? ((VAR79 == VAR16)?VAR61:VAR138) : 0 );
assign VAR151 = ( (VAR138>2)?VAR138-2:0 );
assign VAR156 = VAR133;
wire [31:0] VAR89;
assign VAR89 = VAR102?(VAR7?VAR138:VAR138+1):VAR138;
assign VAR107 = VAR83;
assign VAR143 = VAR30;
assign VAR119 = VAR165;
assign VAR47 = VAR57;
reg [31:0] VAR2;
reg [31:0] VAR129;
reg [31:0] VAR24;
reg [31:0] VAR56;
reg [31:0] VAR43;
reg VAR121;
always @(posedge clk)
begin
if (~rst) begin state <= VAR29; VAR140 <= VAR76; VAR138 <= 32'h0; VAR53 <= 32'h0;
VAR68 <= 0;
VAR121 <= 0;
VAR2 <= 0;
VAR133 <= 0;
VAR35 <= 0;
VAR92 <= 0;
VAR127 <= 0;
VAR102 <= 0;
VAR13 <= 0;
VAR165 <= 1'b0;
VAR30 <= 1'b0;
VAR83 <= 32'b0;
VAR88 <= 0;
VAR8 <= 0;
VAR159 <= 0;
VAR51 <= 0;
VAR67 <= 0;
VAR24 <= 0;
VAR56 <= 0;
VAR43 <= 0;
VAR129 <= 0;
VAR1 <= 0;
VAR72 <= 0;
VAR93 <= 0;
VAR158 <= 0;
VAR7 <= 0;
end else if(~VAR169) begin case (state) VAR81: begin if (VAR164 && VAR94) begin
state <= VAR29;
end
end
VAR45: begin if (~VAR94) begin
VAR72 <= 0;
state <= VAR81;
end
end
VAR29: begin if (VAR102) begin
VAR138 <= VAR89;
VAR7 <= 0;
VAR129 <= VAR133;
VAR102 <= 0;
end
if (VAR13) begin
VAR13 <= 0;
end
if (VAR138 >= VAR132) begin
end
if (VAR164 && ~VAR94) begin
state <= VAR81;
end else
if (VAR164 && VAR1) begin
VAR1 <= 0;
VAR72 <= 1;
state <= VAR45;
end else
begin
if (VAR94) VAR1 <= 1;
if (irq && !VAR159 && !VAR8 && !VAR51) begin
VAR159 <= 1;
VAR88 <= 1;
VAR96 <= VAR140;
VAR104 <= VAR89;
VAR99 <= VAR53;
VAR141 <= VAR68;
VAR11 <= VAR97;
VAR140 <= 0; VAR138 <= 0; VAR53 <= 0;
VAR68 <= 0;
VAR165 <= 1;
VAR83 <= {VAR87,VAR38};
state <= VAR86;
end else begin
VAR127 <= 1;
VAR19 <= VAR140;
state <= VAR167;
VAR8 <= 0;
end
end end VAR86: begin
if (VAR27) begin
VAR140 <= VAR111;
state <= VAR29;
VAR165 <= 0;
end else begin
state <= VAR86;
end
end
VAR167: begin if (VAR162) begin
VAR67 <= VAR113;
VAR43 <= VAR113;
state <= VAR17;
state <= VAR49;
VAR127 <= 0;
end else begin
state <= VAR167;
end
end VAR117 VAR106
VAR17: begin
state <= VAR49;
end
VAR49: begin VAR117 VAR44
if (VAR121) begin
end
VAR93 <= VAR93 + 1;
VAR24 <= VAR115;
VAR56 <= VAR146;
case (VAR79)
VAR39: begin VAR35 <= VAR115;
VAR92 <= VAR146;
if (VAR78 == VAR32) begin
if (VAR146 > 1 && VAR146 < 999)
end
else
if (VAR146 == 0)
("%VAR82", VAR115[7:0]);
end
else if (VAR146 == 1) begin
end
if (VAR146 == 999) VAR121 <= VAR115[0];
end
VAR138 <= (VAR78 == VAR15 || VAR78 == VAR55 || VAR78 == VAR91
|| VAR78 == VAR135)?VAR138-1:VAR138 - 2;
if (VAR78 == VAR98) begin
state <= VAR52;
end else
state <= VAR120;
VAR140 <= VAR63; end
VAR84: begin VAR35 <= VAR115;
state <= VAR73;
end
VAR74: begin state <= VAR29;
VAR102 <= 1;
VAR140 <= VAR63;
VAR133 <= (VAR78==VAR160?VAR23:
VAR78==VAR18?VAR77:
VAR78==VAR85?VAR77:
VAR78==VAR154?{VAR77[15:0],VAR115[15:0]}:0);
if (VAR78 == VAR154) VAR138 <= VAR138 - 1;
end
VAR41: begin VAR133 <= VAR115; VAR140 <= VAR63;
state <= VAR29;
VAR102 <= 1;
end
VAR16: begin VAR140 <= VAR63;
VAR35 <= VAR115; VAR133 <= VAR115;
VAR138 <= VAR138 - 1;
state <= VAR29;
VAR13 <= 1;
end
VAR40: begin if ((VAR115 & 32'hffff0000) == 0) begin
VAR7 <= 1;
VAR161 <= VAR115;
state <= VAR108;
end else begin
VAR158 <= VAR158 + 1;
state <= VAR145;
VAR165 <= 1;
VAR83 <= VAR115;
end
VAR138 <= VAR138 - 1;
VAR140 <= VAR63;
end
VAR166: begin
if ((VAR115 & 32'hffff0000) == 0) begin
state <= VAR29;
VAR102 <= 1;
VAR7 <= 1;
VAR161 <= VAR115;
VAR133 <= VAR146;
end else begin
VAR158 <= VAR158 + 1;
state <= VAR21;
VAR165 <= 0;
VAR30 <= 1;
VAR83 <= VAR115;
VAR57 <= VAR146;
end
VAR140 <= VAR63;
VAR138 <= VAR138 - 2;
end
VAR48: begin
if (VAR78 == VAR134) begin
end else begin
if (VAR78 == VAR22
|| VAR78 == VAR152
|| VAR78 == VAR58
|| VAR78 == VAR50
|| VAR78 == VAR137
|| VAR78 == VAR5) begin
state <= VAR29;
VAR102 <= 1;
end
else begin
if (VAR78 == VAR147
|| VAR78 == VAR3
|| VAR78 == VAR12
|| VAR78 == VAR59
|| VAR78 == VAR90
|| VAR78 == VAR28
|| VAR78 == VAR118) begin
VAR138 <= VAR138 - 1;
end
state <= VAR29;
end
VAR133 <= (VAR78 == VAR22)?VAR138:
(VAR78 == VAR58)?VAR140:
(VAR78 == VAR152)?VAR53:
(VAR78 == VAR50)?VAR97:
(VAR78 == VAR137)?
((VAR77 == 0)?VAR136:
(VAR77 == 1)?VAR93:
(VAR77 == 2)?VAR158:0):
VAR115;
if (VAR78 == VAR147) VAR138 <= VAR115;
if (VAR78 == VAR3)
VAR53 <= VAR115;
if (VAR78 == VAR28)
VAR34 <= VAR115[0];
if (VAR78 == VAR12)
VAR68 <= VAR115;
if (VAR78 == VAR59) VAR140 <= VAR115;
end
else if (VAR78 == VAR90)
begin
VAR140 <= VAR115;
VAR138 <= VAR68;
end else VAR140 <= VAR63;
if (VAR78 == VAR103) VAR51 <= 1;
end
else if (VAR78 == VAR168) VAR51 <= 0;
end end
endcase end VAR73: begin
if (VAR78 == VAR37) begin
VAR140 <= VAR96;
VAR138 <= VAR104;
VAR53 <= VAR99;
VAR68 <= VAR141;
VAR97 <= VAR11;
VAR8 <= 1;
VAR88 <= 0;
VAR159 <= 0;
state <= VAR29;
end else begin VAR117 VAR44
if (VAR121) begin
= %VAR114 -- %VAR114 [%VAR114]", VAR35, VAR140, VAR54, VAR138);
end
VAR2 <= VAR140;
VAR140 <= VAR54; state <= VAR29;
if (VAR122) begin
VAR138 <= VAR138 - 1; end
end
end
VAR120: begin state <= VAR29;
VAR102 <= 1;
VAR133 <= VAR139[31:0]; VAR97 <= VAR139[32];
if (VAR121) begin
end
end
VAR52: state <= VAR124;
VAR124: state <= VAR65;
VAR65: state <= VAR101;
VAR101: state <= VAR36;
VAR36: begin
VAR133 <= VAR157;
state <= VAR29;
VAR102 <= 1;
end
VAR108: begin
state <= VAR109;
VAR7 <= 0;
end
VAR109: begin
VAR133 <= VAR115;
VAR7 <= 0;
state <= VAR29;
VAR102 <= 1;
end
VAR145: begin if (VAR27) begin
VAR133 <= VAR111;
state <= VAR29;
VAR102 <= 1;
VAR165 <= 0;
end else begin
state <= VAR145;
end
end
VAR21: begin if (VAR4) begin
state <= VAR29;
VAR30 <= 0;
end else begin
state <= VAR21;
end
end
VAR62: begin
end
endcase
end
end
always @(posedge clk)
if (!rst) begin
VAR33 <= 0;
end else begin
case (VAR46)
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
models/udp_isolatchhv_pp_plg_s/sky130_fd_sc_hvl__udp_isolatchhv_pp_plg_s.blackbox.v
| 1,511 |
module MODULE1 (
VAR6,
VAR5 ,
VAR1 ,
VAR4 ,
VAR2 ,
VAR3
);
output VAR6;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR2 ;
input VAR3 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlclkp/sky130_fd_sc_lp__dlclkp_4.v
| 2,154 |
module MODULE1 (
VAR9,
VAR4,
VAR7 ,
VAR2,
VAR8,
VAR3 ,
VAR1
);
output VAR9;
input VAR4;
input VAR7 ;
input VAR2;
input VAR8;
input VAR3 ;
input VAR1 ;
VAR6 VAR5 (
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR9,
VAR4,
VAR7
);
output VAR9;
input VAR4;
input VAR7 ;
supply1 VAR2;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR1 ;
VAR6 VAR5 (
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
ridecore/ridecore
|
src/fpga/ram_sync.v
| 6,676 |
module MODULE2 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
output reg [VAR20-1:0] VAR16,
input wire [VAR6-1:0] VAR28,
input wire [VAR20-1:0] VAR18,
input wire VAR2
);
reg [VAR20-1:0] VAR15 [0:VAR26-1];
always @ (posedge clk) begin
VAR16 <= VAR15[VAR9];
if (VAR2)
VAR15[VAR28] <= VAR18;
end
endmodule
module MODULE6 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
input wire [VAR6-1:0] VAR14,
output reg [VAR20-1:0] VAR16,
output reg [VAR20-1:0] VAR11,
input wire [VAR6-1:0] VAR28,
input wire [VAR20-1:0] VAR18,
input wire VAR2
);
reg [VAR20-1:0] VAR15 [0:VAR26-1];
always @ (posedge clk) begin
VAR16 <= VAR15[VAR9];
VAR11 <= VAR15[VAR14];
if (VAR2)
VAR15[VAR28] <= VAR18;
end
endmodule
module MODULE4 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
input wire [VAR6-1:0] VAR14,
output reg [VAR20-1:0] VAR16,
output reg [VAR20-1:0] VAR11,
input wire [VAR6-1:0] VAR10,
input wire [VAR6-1:0] VAR3,
input wire [VAR20-1:0] VAR31,
input wire [VAR20-1:0] VAR29,
input wire VAR7,
input wire VAR24
);
reg [VAR20-1:0] VAR15 [0:VAR26-1];
always @ (posedge clk) begin
VAR16 <= VAR15[VAR9];
VAR11 <= VAR15[VAR14];
if (VAR7)
VAR15[VAR10] <= VAR31;
if (VAR24)
VAR15[VAR3] <= VAR29;
end
endmodule
module MODULE1 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
input wire [VAR6-1:0] VAR14,
input wire [VAR6-1:0] VAR5,
input wire [VAR6-1:0] VAR4,
output wire [VAR20-1:0] VAR16,
output wire [VAR20-1:0] VAR11,
output wire [VAR20-1:0] VAR27,
output wire [VAR20-1:0] VAR22,
input wire [VAR6-1:0] VAR28,
input wire [VAR20-1:0] VAR18,
input wire VAR2
);
MODULE6
MODULE2(
.clk(clk),
.VAR9(VAR9),
.VAR14(VAR14),
.VAR16(VAR16),
.VAR11(VAR11),
.VAR28(VAR28),
.VAR18(VAR18),
.VAR2(VAR2)
);
MODULE6
MODULE1(
.clk(clk),
.VAR9(VAR5),
.VAR14(VAR4),
.VAR16(VAR27),
.VAR11(VAR22),
.VAR28(VAR28),
.VAR18(VAR18),
.VAR2(VAR2)
);
endmodule
module MODULE3 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
input wire [VAR6-1:0] VAR14,
input wire [VAR6-1:0] VAR5,
input wire [VAR6-1:0] VAR4,
output wire [VAR20-1:0] VAR16,
output wire [VAR20-1:0] VAR11,
output wire [VAR20-1:0] VAR27,
output wire [VAR20-1:0] VAR22,
input wire [VAR6-1:0] VAR10,
input wire [VAR6-1:0] VAR3,
input wire [VAR20-1:0] VAR31,
input wire [VAR20-1:0] VAR29,
input wire VAR7,
input wire VAR24
);
MODULE4
MODULE2(
.clk(clk),
.VAR9(VAR9),
.VAR14(VAR14),
.VAR16(VAR16),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR31(VAR31),
.VAR29(VAR29),
.VAR7(VAR7),
.VAR24(VAR24)
);
MODULE4
MODULE1(
.clk(clk),
.VAR9(VAR5),
.VAR14(VAR4),
.VAR16(VAR27),
.VAR11(VAR22),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR31(VAR31),
.VAR29(VAR29),
.VAR7(VAR7),
.VAR24(VAR24)
);
endmodule
module MODULE5 #(
parameter VAR6 = VAR23,
parameter VAR20 = VAR13,
parameter VAR26 = 32
)
(
input wire clk,
input wire [VAR6-1:0] VAR9,
input wire [VAR6-1:0] VAR14,
input wire [VAR6-1:0] VAR5,
input wire [VAR6-1:0] VAR4,
input wire [VAR6-1:0] VAR30,
input wire [VAR6-1:0] VAR17,
output wire [VAR20-1:0] VAR16,
output wire [VAR20-1:0] VAR11,
output wire [VAR20-1:0] VAR27,
output wire [VAR20-1:0] VAR22,
output wire [VAR20-1:0] VAR12,
output wire [VAR20-1:0] VAR8,
input wire [VAR6-1:0] VAR10,
input wire [VAR6-1:0] VAR3,
input wire [VAR20-1:0] VAR31,
input wire [VAR20-1:0] VAR29,
input wire VAR7,
input wire VAR24
);
MODULE4
MODULE2(
.clk(clk),
.VAR9(VAR9),
.VAR14(VAR14),
.VAR16(VAR16),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR31(VAR31),
.VAR29(VAR29),
.VAR7(VAR7),
.VAR24(VAR24)
);
MODULE4
MODULE1(
.clk(clk),
.VAR9(VAR5),
.VAR14(VAR4),
.VAR16(VAR27),
.VAR11(VAR22),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR31(VAR31),
.VAR29(VAR29),
.VAR7(VAR7),
.VAR24(VAR24)
);
MODULE4
MODULE3(
.clk(clk),
.VAR9(VAR30),
.VAR14(VAR17),
.VAR16(VAR12),
.VAR11(VAR8),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR31(VAR31),
.VAR29(VAR29),
.VAR7(VAR7),
.VAR24(VAR24)
);
endmodule VAR25 wire
|
bsd-3-clause
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_amphy/alt_mem_ddrx_sideband.v
| 55,641 |
module MODULE1
VAR61 = 3,
VAR153 = 2, VAR124 = 1,
VAR143 = 4,
VAR114 = 1,
VAR95 = 1, VAR100 = 3,
VAR80 = 4,
VAR59 = 2,
VAR134 = 0,
VAR129 = 10,
VAR91 = 13,
VAR78 = 10,
VAR3 = 10,
VAR60 = 10,
VAR117 = 6,
VAR90 = 2, VAR156 = 16
)
(
VAR36,
VAR11,
VAR10,
VAR120,
VAR144,
VAR126,
VAR108,
VAR76,
VAR112,
VAR6,
VAR70,
VAR48,
VAR74,
VAR41,
VAR31,
VAR110,
VAR147,
VAR103,
VAR145,
VAR94,
VAR69,
VAR123,
VAR7,
VAR118,
VAR128,
VAR43,
VAR8,
VAR58,
VAR12,
VAR17,
VAR97,
VAR24,
VAR62,
VAR26,
VAR142,
VAR84,
VAR22,
VAR135,
VAR47,
VAR141,
VAR151,
VAR65,
VAR154,
VAR29,
VAR146,
VAR75,
VAR55
);
localparam VAR44 = 32'h49444C45;
localparam VAR157 = 32'h20415246;
localparam VAR28 = 32'h2050444E;
localparam VAR96 = 32'h20535246;
localparam VAR133 = 32'h696e6974;
localparam VAR37 = 32'h70636861;
localparam VAR71 = 32'h72667368;
localparam VAR158 = 32'h7064776e;
localparam VAR51 = 32'h736c7266;
localparam VAR66 = 32'h64656570;
localparam VAR77 = 32'h7a63616c;
localparam VAR106 = 32'h6471746b;
localparam VAR27 = 32'h64716c6e;
localparam VAR40 = VAR3;
localparam VAR72 = VAR91;
localparam VAR99 = VAR156;
localparam integer VAR116 = 2**VAR100;
localparam integer VAR23 = 2**VAR80;
input VAR36;
input VAR11;
input VAR10;
input VAR120;
input [VAR95-1:0] VAR144;
output VAR126;
input VAR108;
input [VAR95-1:0] VAR76;
output VAR112;
input VAR6;
input [VAR95-1:0] VAR70;
output VAR48;
output VAR74;
output VAR41;
output VAR31;
output [VAR95-1:0] VAR110;
output [VAR95-1:0] VAR147;
output [VAR95-1:0] VAR103;
output [VAR95-1:0] VAR145;
output [VAR95-1:0] VAR94;
output [VAR95-1:0] VAR69;
output [VAR95-1:0] VAR123;
output [VAR143-1:0] VAR7;
output [VAR59-1:0] VAR118;
input [VAR114-1:0] VAR128;
input [(VAR143*VAR114)-1:0] VAR43;
input [VAR143-1:0] VAR8;
input [VAR129 - 1 : 0] VAR58;
input [VAR91 - 1 : 0] VAR12;
input [VAR78 - 1 : 0] VAR17;
input [VAR3 - 1 : 0] VAR97;
input [VAR60 - 1 : 0] VAR24;
input [VAR117 - 1 : 0] VAR62;
input [VAR156 - 1 : 0] VAR26;
input VAR142;
input [VAR95-1:0] VAR84;
input [VAR95-1:0] VAR22;
input VAR135;
input VAR47;
output [VAR95-1:0] VAR141;
input [VAR95-1:0] VAR151;
output [VAR95-1:0] VAR65;
input VAR154;
input VAR29;
input [VAR61 - 1 : 0] VAR146;
input [VAR80 - 1 : 0] VAR75;
input VAR55;
wire VAR112;
wire VAR48;
wire VAR74;
wire [VAR59-1:0] VAR118;
reg [VAR95-1:0] VAR147;
reg [VAR95-1:0] VAR103;
reg [VAR95-1:0] VAR145;
reg [VAR95-1:0] VAR94;
reg [VAR95-1:0] VAR69;
reg [VAR95-1:0] VAR123;
reg [VAR143-1:0] VAR7;
reg [VAR95-1:0] VAR50;
reg [VAR95-1:0] VAR140;
reg [VAR95-1:0] VAR68;
reg [VAR95-1:0] VAR81;
reg [VAR95-1:0] VAR30;
reg [VAR95-1:0] VAR125;
reg [VAR95-1:0] VAR73;
reg [VAR95-1:0] VAR110;
reg [VAR95-1:0] VAR9;
reg [VAR95-1:0] VAR21;
reg [VAR95-1:0] VAR34;
reg [VAR95-1:0] VAR141;
reg [VAR95-1:0] VAR65;
reg [VAR95-1:0] VAR54;
reg [VAR95-1:0] VAR113;
reg [VAR95-1:0] VAR107;
reg [VAR95-1:0] VAR105;
reg [VAR95-1:0] VAR82;
reg VAR83;
reg [VAR95-1:0] VAR33;
reg [VAR95-1:0] VAR63;
wire [VAR95-1:0] VAR85;
wire [VAR95-1:0] VAR138;
wire [VAR95-1:0] VAR122;
reg [VAR23-1:0] VAR42 [VAR95-1:0];
reg [VAR95-1:0] VAR115;
reg [VAR95-1:0] VAR98;
reg [VAR95-1:0] VAR53;
reg [VAR95-1:0] VAR150;
reg [VAR95-1:0] VAR139;
reg [VAR95-1:0] VAR5;
wire VAR86;
wire [VAR95-1:0] VAR111;
wire [VAR95-1:0] VAR49;
wire [VAR95-1:0] VAR19;
reg [VAR99 - 1 : 0] VAR4;
reg VAR136;
reg [VAR95-1:0] VAR92; reg [VAR95-1:0] VAR79;
reg [VAR95-1:0] VAR32;
reg VAR89;
reg VAR16;
reg VAR104;
reg VAR18;
reg [VAR95-1:0] VAR102;
reg VAR20;
reg VAR152;
reg [VAR95-1:0] VAR119;
reg VAR109;
reg VAR38;
reg [VAR95-1:0] VAR39;
reg VAR2;
reg VAR56;
integer VAR35;
assign VAR118 = {VAR59{1'b0}};
generate
genvar VAR121;
for (VAR121 = 0; VAR121 < VAR95; VAR121 = VAR121 + 1)
begin : VAR45
assign VAR122[VAR121] = VAR22[VAR121];
assign VAR138[VAR121] = ~VAR84[VAR121];
always @(posedge VAR36, negedge VAR11)
begin
if (!VAR11)
begin
VAR42[VAR121] <= 0;
end
else
begin
if (!VAR122[VAR121])
VAR42[VAR121] <= 0;
end
else
VAR42[VAR121] <= {VAR42[VAR121][VAR23 -2 :0],VAR122[VAR121]};
end
end
end
endgenerate
assign VAR126 = (!(VAR55 && VAR146 == VAR46 && VAR95 != 1)) ? |VAR50 : ((|VAR50 | VAR18) & VAR89);
assign VAR112 = |VAR81;
assign VAR48 = |VAR68;
assign VAR74 = |VAR140;
generate
begin
genvar VAR1;
if (VAR124 == 1)
begin
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR147 <= 0;
VAR103 <= 0;
VAR145 <= 0;
VAR94 <= 0;
VAR69 <= 0;
VAR123 <= 0;
end
else
begin
VAR147 <= VAR125;
VAR103 <= VAR50;
VAR145 <= VAR81;
VAR94 <= VAR140;
VAR69 <= VAR68;
VAR123 <= VAR73;
end
end
for (VAR1 = 0;VAR1 < VAR143;VAR1 = VAR1 + 1)
begin : VAR130
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR7 [VAR1] <= 1'b0;
end
else
begin
if (VAR8[VAR1])
begin
VAR7 [VAR1] <= VAR125 [VAR128];
end
else
begin
VAR7 [VAR1] <= VAR125 [VAR43 [(VAR1 + 1) * VAR114 - 1 : VAR1 * VAR114]];
end
end
end
end
end
else
begin
always @
begin
VAR7 [VAR1] = VAR125 [VAR43 [(VAR1 + 1) * VAR114 - 1 : VAR1 * VAR114]];
end
end
end
end
endgenerate
always @(posedge VAR36, negedge VAR11)
begin
if (!VAR11)
begin
VAR89 <= 0;
VAR109 <= 0;
VAR2 <= 0;
VAR20 <= 0;
VAR104 <= VAR16;
VAR18 <= 0;
end
else
begin
VAR89 <= VAR16;
VAR109 <= VAR38;
VAR2 <= VAR56;
VAR20 <= VAR152;
VAR104 <= VAR16;
if (VAR16 && !VAR104)
VAR18 <= |VAR50;
end
else
VAR18 <= 0;
end
end
always @
begin
VAR35 = 0;
VAR152 = 1'b0;
if (|VAR50 || |VAR125 || |VAR73)
begin
if (|VAR30)
begin
VAR81 = VAR102;
VAR152 = 1'b1;
end
else
VAR81 = 0;
end
else
begin
if (!(VAR55 && VAR146 == VAR46 && VAR95 != 1))
VAR81 = VAR102;
end
else
begin
for (VAR35 = 0;VAR35 < VAR95;VAR35 = VAR35 + 1)
begin
if (VAR35%2 == 0)
begin
VAR81[VAR35] = VAR102[VAR35];
VAR152= |VAR102;
end
else if (VAR35%2 == 1 && VAR20)
VAR81[VAR35] = VAR102[VAR35];
end
else
VAR81[VAR35] = 0;
end
end
end
end
assign VAR41 = |VAR34;
assign VAR31 = |VAR34;
assign VAR86 = (VAR124 == 1) ? (VAR135 | VAR47) : 1'b0;
always @(posedge VAR36, negedge VAR11)
begin
if (!VAR11)
begin
VAR82 <= 0;
VAR33 <= 0;
VAR83 <= 0;
VAR30 <= 0;
end
else
begin
if (VAR108)
VAR82 <= VAR76;
end
else
VAR82 <= 0;
VAR83 <= VAR108 & |VAR76;
VAR30 <= VAR81;
if (VAR6)
VAR33 <= VAR70;
end
else
VAR33 <= 0;
end
end
always @
begin
VAR115 [VAR64] = VAR49 [VAR64] & VAR138[VAR64] & VAR122[VAR64] & ~VAR86;
end
always @
begin
VAR53 [VAR64] = VAR49 [VAR64] & VAR138[VAR64] & VAR122[VAR64] & ~VAR86;
end
always @
begin
VAR139 [VAR64] = VAR19 [VAR64];
end
end
endgenerate
generate
genvar VAR57;
for (VAR57 = 0;VAR57 < VAR95;VAR57 = VAR57 + 1)
begin : VAR132
reg [VAR40 - 1 : 0] VAR52;
reg [31:0] state;
reg [31 : 0] VAR127;
reg VAR14;
reg VAR159;
reg VAR88;
reg VAR131;
reg VAR15;
reg VAR137;
reg VAR93;
reg VAR87;
reg VAR155;
reg VAR67;
reg VAR101;
assign VAR49 [VAR57] = VAR14;
assign VAR19 [VAR57] = VAR159 & ~((VAR15 & ~VAR137) | (VAR87 & ~VAR155));
assign VAR111 [VAR57] = VAR131;
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
VAR52 <= 0;
end
else
begin
if (VAR125[VAR57] || VAR50[VAR57] || VAR81[VAR57] || VAR140[VAR57])
VAR52 <= VAR90;
end
else if (VAR52 != {VAR40{1'b1}})
VAR52 <= VAR52 + 1'b1;
end
end
always @
begin
VAR87 = VAR81[VAR57];
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR155 <= 1'b0;
VAR67 <= 1'b0;
VAR101 <= 1'b0;
end
else
begin
VAR155 <= VAR87;
VAR67 <= VAR155;
VAR101 <= VAR67;
end
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
VAR88 <= 0;
end
else
VAR88 <= 1;
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR159 <= 1'b0;
end
else
begin
if (!VAR88) VAR159 <= 1'b1;
end
else if (( VAR15) && (!VAR137)) VAR159 <= 1'b0;
end
else if (( VAR137) && (!VAR93))
VAR159 <= 1'b1;
else if (( VAR87 ) && (!VAR155 )) VAR159 <= 1'b0;
else if (( VAR155 ) && (!VAR67 ))
VAR159 <= 1'b0;
else if (( VAR67 ) && (!VAR101 ))
VAR159 <= 1'b1;
end
end
always @
begin
if (state == VAR44)
begin
if (VAR105[VAR57] && !VAR50[VAR57])
begin
VAR21[VAR57] = 1'b1;
end
else if (VAR82[VAR57])
begin
VAR21[VAR57] = 1'b1;
end
else if (VAR33[VAR57])
begin
VAR21[VAR57] = 1'b1;
end
else if (VAR85[VAR57])
begin
VAR21[VAR57] = 1'b1;
end
else
begin
VAR21[VAR57] = 1'b0;
end
end
else
begin
VAR21[VAR57] = 1'b0;
end
end
always @(posedge VAR36, negedge VAR11)
begin : VAR148
if (!VAR11)
begin
state <= VAR133;
VAR9[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
VAR140[VAR57] <= 1'b0;
VAR68[VAR57] <= 1'b0;
VAR102[VAR57] <= 1'b0;
VAR119[VAR57] <= 1'b0;
VAR107[VAR57] <= 1'b0;
VAR39[VAR57] <= 1'b0;
VAR32[VAR57] <= 1'b0;
VAR141[VAR57] <= 1'b0;
VAR65[VAR57] <= 1'b0;
VAR54[VAR57] <= 1'b0;
VAR113[VAR57] <= 1'b0;
end
else
case(state)
VAR133 :
if (VAR10 == 1'b1)
begin
state <= VAR44;
VAR9[VAR57] <= 1'b0;
end
else
begin
state <= VAR133;
VAR9[VAR57] <= 1'b1;
end
VAR44 :
begin
VAR39[VAR57] <= 1'b0;
if (VAR119[VAR57])
begin
if (VAR73[VAR57])
begin
VAR119[VAR57] <= 1'b0;
VAR107[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
end
end
else if (VAR105[VAR57] && !VAR50[VAR57])
begin
VAR9[VAR57] <= 1'b1;
if (VAR138[VAR57])
state <= VAR71;
end
else
state <= VAR37;
end
else if (VAR82[VAR57])
begin
VAR9[VAR57] <= 1'b1;
if (VAR138[VAR57])
state <= VAR51;
end
else
state <= VAR37;
end
else if (VAR33[VAR57])
begin
VAR9[VAR57] <= 1'b1;
if (VAR138[VAR57])
state <= VAR66;
end
else
state <= VAR37;
end
else if (VAR85[VAR57])
begin
VAR9[VAR57] <= 1'b1;
if (VAR138[VAR57])
state <= VAR158;
end
else
state <= VAR37;
end
else if (VAR9[VAR57] && !VAR50[VAR57] && VAR49[VAR57])
VAR9[VAR57] <= 1'b0;
end
VAR37 :
begin
if (VAR105[VAR57] | VAR82[VAR57] | VAR85[VAR57])
begin
if (VAR125[VAR57] || VAR138[VAR57])
begin
VAR39[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
if (VAR105[VAR57])
state <= VAR71;
end
else if (VAR82[VAR57])
state <= VAR51;
end
else state <= VAR158;
end
else if (VAR105[VAR57])
begin
if ((~VAR138&VAR105)==(~VAR138&VAR122&VAR105) && !VAR86)
begin
VAR39[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
else if (VAR82[VAR57])
begin
if ((~VAR138&VAR82)==(~VAR138&VAR122&VAR82) && !VAR86)
begin
VAR39[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
else if (&VAR122 && !VAR86)
begin
VAR39[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
else
begin
state <= VAR44;
VAR39[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
end
end
VAR71 :
begin
if (VAR50[VAR57])
begin
VAR32[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
if (VAR154 && &VAR50)
state <= VAR106;
end
else if (!VAR105[VAR57] && VAR85[VAR57])
state <= VAR158;
end
else
state <= VAR44;
end
else if (VAR105[VAR57])
begin
if (!VAR138[VAR57])
state <= VAR37;
end
else if (VAR105==(VAR115&VAR105))
begin
VAR32[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
else
begin
state <= VAR44;
VAR34[VAR57] <= 1'b0;
end
end
VAR106 :
begin
if (!VAR54[VAR57] && !VAR141[VAR57] && !VAR50[VAR57] && VAR49[VAR57])
VAR141[VAR57] <= 1'b1;
end
else if (!VAR54[VAR57] && VAR79[VAR57] && VAR141[VAR57]) VAR54[VAR57] <= 1;
else if (VAR54[VAR57] && !VAR79[VAR57])
begin
VAR141[VAR57] <= 1'b0;
VAR54[VAR57] <= 1'b0;
if (!VAR105[VAR57] && VAR85[VAR57])
state <= VAR158;
end
else
state <= VAR44;
end
end
VAR27 :
begin
if (VAR73[VAR57])
begin
VAR119[VAR57] <= 1'b0;
VAR107[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
end
if (!VAR113[VAR57] && !VAR65[VAR57] && VAR49[VAR57])
VAR65[VAR57] <= 1'b1;
end
else if (!VAR113[VAR57] && VAR79[VAR57] && VAR65[VAR57])
VAR113[VAR57] <= 1;
else if (VAR113[VAR57] && !VAR79[VAR57])
begin
VAR65[VAR57] <= 1'b0;
VAR113[VAR57] <= 1'b0;
state <= VAR44;
end
end
VAR158 :
begin
if (VAR105[VAR57] && !VAR50[VAR57] && VAR139[VAR57])
begin
state <= VAR71;
VAR140[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
end
else if (!VAR85[VAR57] && VAR139[VAR57])
begin
if (VAR82[VAR57])
state <= VAR51;
end
else
state <= VAR44;
VAR140[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
end
else if (&VAR150 && !(|VAR105))
begin
VAR140[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
else
state <= VAR158;
end
VAR66 :
begin
if (!VAR33[VAR57] && VAR139[VAR57])
begin
VAR68[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
if (VAR154)
state <= VAR27;
end
else
state <= VAR44;
end
else if (VAR53[VAR57] && !VAR125[VAR57])
begin
VAR68[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
VAR51 :
begin
if (!VAR138[VAR57])
state <= VAR37;
end
else if (!VAR82[VAR57] && VAR139[VAR57])
begin
VAR102[VAR57] <= 1'b0;
VAR34[VAR57] <= 1'b0;
if (VAR146 == VAR46) begin
state <= VAR77;
VAR107[VAR57] <= 1'b1;
end
else if (VAR154 && &VAR81)
state <= VAR27;
end
else
state <= VAR44;
end
else if (VAR82==(VAR98&VAR82) && !(|VAR125))
begin
VAR102[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
end
end
VAR77 :
begin
if (VAR111[VAR57])
begin
VAR119[VAR57] <= 1'b1;
VAR34[VAR57] <= 1'b1;
if (VAR154 && &VAR111)
state <= VAR27;
end
else
state <= VAR44;
end
end
default : state <= VAR44;
endcase
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR127 <= VAR44;
VAR14 <= 1'b0;
VAR131 <= 1'b0;
end
else
begin
case (VAR127)
VAR44 :
begin
VAR131 <= 1'b0;
if (VAR52 >= VAR17)
VAR14 <= 1'b1;
end
else
VAR14 <= 1'b0;
if (VAR125[VAR57])
begin
VAR14 <= 1'b0;
end
if (VAR50[VAR57])
begin
VAR127 <= VAR157;
VAR14 <= 1'b0;
end
if (VAR81[VAR57])
begin
VAR127 <= VAR96;
VAR14 <= 1'b0;
end
if (VAR140[VAR57])
begin
VAR127 <= VAR28;
VAR14 <= 1'b0;
end
end
VAR157 :
begin
VAR131 <= 1'b0;
if (VAR52 >= VAR58)
begin
VAR127 <= VAR44;
VAR14 <= 1'b1;
end
else
begin
VAR127 <= VAR157;
VAR14 <= 1'b0;
end
end
VAR96 :
begin
if (VAR52 == VAR24) VAR131 <= 1'b1;
end
else
VAR131 <= 1'b0;
if (!VAR81[VAR57] && VAR52 >= VAR97)
begin
VAR127 <= VAR44;
VAR14 <= 1'b1;
end
else
begin
VAR127 <= VAR96;
VAR14 <= 1'b0;
end
end
VAR28 :
begin
VAR131 <= 1'b0;
if (!VAR140[VAR57] && VAR52 >= VAR62)
begin
VAR127 <= VAR44;
VAR14 <= 1'b1;
end
else
begin
VAR127 <= VAR28;
VAR14 <= 1'b0;
end
end
default :
begin
VAR127 <= VAR44;
end
endcase
end
end
end
endgenerate
generate
genvar VAR13;
for (VAR13 = 0;VAR13 < VAR95;VAR13 = VAR13 + 1)
begin : VAR149
reg [VAR72 - 1 : 0] VAR25;
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR25 <= 0;
end
else
begin
if (VAR50[VAR13])
VAR25 <= 3;
end
else if (VAR108 && |VAR76 && !VAR83)
VAR25 <= {VAR72{1'b1}};
end
else if (VAR25 != {VAR72{1'b1}})
VAR25 <= VAR25 + 1'b1;
end
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR5 [VAR13] <= 1'b0;
end
else
begin
if (VAR50[VAR13] || VAR81[VAR13])
VAR5 [VAR13] <= 1'b0;
end
else if (VAR25 >= VAR12)
VAR5 [VAR13] <= 1'b1;
end
else if (VAR108 && |VAR76 && !VAR83)
VAR5 [VAR13] <= 1'b1;
else
VAR5 [VAR13] <= 1'b0;
end
end
end
endgenerate
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR136 <= 1'b0;
end
else
begin
VAR136 <= VAR142;
end
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR4 <= 0;
end
else
begin
if ((!VAR142 && VAR136) || VAR108) VAR4 <= 3;
end
else if (VAR142 && VAR4 != {VAR99{1'b1}} && VAR10)
VAR4 <= VAR4 + 1'b1;
end
end
always @ (posedge VAR36 or negedge VAR11)
begin
if (!VAR11)
begin
VAR63 <= 0;
end
else
begin
if (VAR26 == 0) VAR63 <= 0;
end
else
begin
if (!VAR142 || VAR108) VAR63 <= 0;
end
else if (VAR63 == 0)
begin
if (VAR4 >= VAR26 && !(|VAR107))
VAR63 <= {VAR95{1'b1}};
end
else
VAR63 <= 0;
end
else if (!(VAR4 >= VAR26))
VAR63 <= 0;
end
end
end
assign VAR85 = VAR63 & {VAR95{VAR142}} & {VAR95{~(|VAR105)}};
endmodule
|
lgpl-3.0
|
javierbrito29/papiGB
|
rtl/timers.v
| 19,897 |
module MODULE1
(
input wire VAR2,
input wire VAR71,
input wire [7:0] VAR20,
input wire VAR19,
input wire VAR52,
input wire VAR29,
input wire VAR16,
input wire [3:0] VAR91, input wire [7:0] VAR72,
output wire [7:0] VAR47, output wire [7:0] VAR25, output wire [7:0] VAR64, output wire [7:0] VAR76,
output wire VAR39
);
wire [7:0] VAR7;
assign VAR7 = (1 << VAR91);
VAR70 # (8) VAR31
(
.VAR81( VAR2 ),
.VAR48( VAR71 ),
.VAR80( 8'd211 ),
.VAR40( VAR8 ),
.VAR35( VAR47 )
);
wire VAR57; assign VAR39 = ( VAR25 == 8'd255 && VAR57 ) ? 1'b1 : 1'b0;
wire [7:0] VAR43;
assign VAR43 = (VAR71) ? 8'b0 : VAR64 ;
VAR70 # (8) VAR23
(
.VAR81( VAR2 ),
.VAR48( VAR71 | VAR39 ),
.VAR80( VAR43 ),
.VAR40( VAR57 ),
.VAR35( VAR25 )
);
reg [7:0] VAR27;
assign VAR64 = VAR27;
wire [5:0] VAR84, VAR59;
VAR95 # ( 8 )VAR97
(
.VAR81( VAR2 ),
.VAR48( VAR71 ),
.VAR40(VAR16 & VAR7[7]),
.VAR85( VAR72 ),
.VAR35( VAR76 )
);
VAR46 # ( 6 ) VAR62
(
.VAR103( VAR76[1:0] ),
.VAR73( 6'd63 ), .VAR26( 6'd0 ), .VAR86( 6'd3 ), .VAR44( 6'd15 ), .VAR1( VAR84 )
);
VAR70 # (6) VAR98
(
.VAR81( VAR2 ),
.VAR48( VAR71 | VAR57 ),
.VAR80( 6'b0 ),
.VAR40( VAR76[2] & (VAR58 | VAR83) ),
.VAR35( VAR59 )
);
assign VAR57 = ((VAR59 == VAR84) &
(VAR58 | VAR83)) ? 1'b1 : 1'b0;
wire VAR33;
wire [1:0] VAR74;
reg VAR65;
wire [47:0] VAR24, VAR11;
wire [47:0] VAR28,VAR9,VAR108, VAR38;
wire [2:0] VAR51;
VAR102 #(48) VAR99
(
.VAR103(VAR20[7:4]),
.VAR73( {3'd1, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd5, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR26( {3'd1, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd3, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR86( {3'd2, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd2, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR44( {3'd2, 3'd3, 3'd2, 3'd2, 3'd3, 3'd3, 3'd3, 3'd1, 3'd2, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR67( {3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR55( {3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR10( {3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR94( {3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR14( {3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR77( {3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR50({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR41({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR17({3'd2, 3'd3, 3'd3, 3'd4, 3'd3, 3'd4, 3'd2, 3'd4, 3'd2, 3'd4, 3'd3, 3'd0, 3'd3, 3'd6, 3'd2, 3'd4}),
.VAR22({3'd2, 3'd3, 3'd3, 3'd0, 3'd3, 3'd4, 3'd2, 3'd4, 3'd2, 3'd4, 3'd3, 3'd0, 3'd3, 3'd0, 3'd2, 3'd4}),
.VAR53({3'd3, 3'd3, 3'd2, 3'd0, 3'd0, 3'd4, 3'd2, 3'd4, 3'd4, 3'd1, 3'd4, 3'd0, 3'd0, 3'd0, 3'd2, 3'd4}),
.VAR13({3'd3, 3'd3, 3'd2, 3'd1, 3'd0, 3'd4, 3'd2, 3'd4, 3'd3, 3'd2, 3'd4, 3'd1, 3'd0, 3'd0, 3'd2, 3'd4}),
.VAR1(VAR28)
);
always @ (*)
begin
case (VAR20)
8'h20,8'h28,8'h30,8'h38,8'hc0,8'hc2,8'hc4,8'hc8,
8'hca,8'hcc,8'hd0,8'hd2,8'hd4,8'hd8,8'hda,8'hdc:
VAR65 = 1'b1;
default:
VAR65 = 1'b0;
endcase
end
assign VAR33 = (VAR20 == 8'hCB) ? 1'b1 : 1'b0;
assign VAR108 = (VAR54 == 1'b1) ? VAR9 : VAR28;
assign VAR24 = (VAR33) ? VAR11 : VAR108;
VAR102 #(3) VAR87
(
.VAR103(VAR20[3:0]),
.VAR13( VAR24[2:0]),
.VAR53( VAR24[5:3]),
.VAR22( VAR24[8:6]),
.VAR17( VAR24[11:9]),
.VAR41( VAR24[14:12]),
.VAR50( VAR24[17:15]),
.VAR77( VAR24[20:18]),
.VAR14( VAR24[23:21]),
.VAR94( VAR24[26:24]),
.VAR10( VAR24[29:27]),
.VAR55( VAR24[32:30]),
.VAR67( VAR24[35:33]),
.VAR44( VAR24[38:36]),
.VAR86( VAR24[41:39]),
.VAR26( VAR24[44:42]),
.VAR73( VAR24[47:45]),
.VAR1(VAR51)
);
VAR102 #(48) VAR60
(
.VAR103(VAR20[7:4]),
.VAR73({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR26({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR86({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR44({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR67({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2}),
.VAR55({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2}),
.VAR10({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2}),
.VAR94({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd3, 3'd2}),
.VAR14({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR77({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR50({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR41({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR17({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR22({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR53({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR13({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd4, 3'd2}),
.VAR1(VAR11)
);
VAR102 #(48) VAR90
(
.VAR103(VAR20[7:4]),
.VAR73({3'd1, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd5, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR26({3'd1, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd3, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR86({3'd3, 3'd3, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1, 3'd3, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR44({3'd3, 3'd3, 3'd2, 3'd2, 3'd3, 3'd3, 3'd3, 3'd1, 3'd3, 3'd2, 3'd2, 3'd2, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR67({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR55({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR10({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR94({3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd2, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR14({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR77({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR50({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR41({3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd1, 3'd2, 3'd1}),
.VAR17({3'd5, 3'd3, 3'd4, 3'd4, 3'd6, 3'd4, 3'd2, 3'd4, 3'd5, 3'd4, 3'd4, 3'd0, 3'd6, 3'd6, 3'd2, 3'd4}),
.VAR22({3'd5, 3'd3, 3'd4, 3'd0, 3'd6, 3'd4, 3'd2, 3'd4, 3'd5, 3'd4, 3'd4, 3'd0, 3'd6, 3'd0, 3'd2, 3'd4}),
.VAR53({3'd3, 3'd3, 3'd2, 3'd0, 3'd0, 3'd4, 3'd2, 3'd4, 3'd4, 3'd1, 3'd4, 3'd0, 3'd0, 3'd0, 3'd2, 3'd4}),
.VAR13({3'd3, 3'd3, 3'd2, 3'd1, 3'd0, 3'd4, 3'd2, 3'd4, 3'd3, 3'd2, 3'd4, 3'd1, 3'd0, 3'd0, 3'd2, 3'd4}),
.VAR1(VAR9)
);
reg VAR5;
reg [1:0] VAR89, VAR45;
always @(posedge VAR2)
begin
if (VAR71 != 1)
VAR89 <= VAR45;
end
else
VAR89 <= VAR32;
end
always @( * )
begin
case (VAR89)
begin
VAR5 = 1'b0;
VAR45 = VAR66;
end
begin
VAR5 = 1'b0;
if (VAR29)
VAR45 = VAR18;
end
else
VAR45 = VAR66;
end
begin
VAR5 = 1'b0;
if (~VAR29)
VAR45 = VAR111;
end
else
VAR45 = VAR18;
end
begin
VAR5 = 1'b1;
if (VAR107 | VAR101)
VAR45 = VAR66;
end
else
VAR45 = VAR111;
end
default:
begin
VAR5 = 1'b0;
VAR45 = VAR66;
end
endcase
end
reg VAR92;
reg [1:0] VAR82, VAR4;
always @(posedge VAR2)
begin
if (VAR71 != 1)
VAR82 <= VAR4;
end
else
VAR82 <= VAR3;
end
always @( * )
begin
case (VAR82)
begin
VAR92 = 1'b0;
VAR4 = VAR30;
end
begin
VAR92 = 1'b0;
if (VAR76[2])
VAR4 = VAR12;
end
else
VAR4 = VAR30;
end
begin
VAR92 = 1'b1;
VAR4 = VAR110;
end
begin
VAR92 = 1'b0;
if (~VAR76[2])
VAR4 = VAR30;
end
else
VAR45 = VAR110;
end
default:
begin
VAR92 = 1'b0;
VAR4 = VAR30;
end
endcase
end
wire [7:0] VAR34;
assign VAR34 = (VAR5) ? VAR51 + 8'd5 : VAR51;
wire [7:0] VAR109,VAR37;
assign VAR37 = VAR25;
wire [3:0] VAR36;
wire [1:0] VAR104;
reg VAR54, VAR107;
assign {VAR74,VAR109} = (VAR75 << 2);
assign {VAR104,VAR36} = (VAR92) ? 6'b0 : (VAR75[3:0] << 2);
reg [7:0] VAR75;
reg VAR100;
always @ (posedge VAR2)
begin
if (VAR71)
begin
VAR75 = 8'b0;
end
else
begin
if (VAR107 | VAR101)
{VAR100,VAR75} = VAR75 + {4'b0,VAR34[3:0]};
end
end
reg [7:0] VAR105,VAR79;
always @(posedge VAR2 )
begin
if( VAR71!=1 )
VAR105 <= VAR79;
end
else
VAR105 <= VAR61;
end
always @( * )
begin
case (VAR105)
begin
VAR54 = 1'b0;
VAR107 = 1'b0;
VAR79 = VAR78;
end
begin
VAR54 = 1'b0;
VAR107 = 1'b0;
if ( VAR29 )
VAR79 = VAR42;
end
else if (VAR65 & VAR52 & VAR19)
VAR79 = VAR42;
end
else if (VAR65 & VAR52 & ~VAR19)
VAR79 = VAR21;
else if (VAR19)
VAR79 = VAR88;
else
VAR79 = VAR78;
end
begin
VAR54 = 1'b0;
VAR107 = 1'b1;
VAR79 = VAR78;
end
begin
VAR54 = 1'b1;
VAR107 = 1'b1;
if (~VAR29)
VAR79 = VAR78;
end
else
VAR79 = VAR56;
end
begin
VAR54 = 1'b1;
VAR107 = 1'b1;
if (VAR19)
VAR79 = VAR78;
end
else
VAR79 = VAR56;
end
begin
VAR54 = 1'b1;
VAR107 = 1'b0;
if (VAR19)
VAR79 = VAR78;
end
else
VAR79 = VAR56;
end
default:
begin
VAR54 = 1'b0;
VAR107 = 1'b0;
VAR79 = VAR61;
end
endcase
end
reg [8:0] VAR93;
reg [5:0] VAR6;
wire [5:0] VAR96;
wire [8:0] VAR49;
wire [4:0] VAR106;
wire VAR8, VAR58, VAR68, VAR101, VAR83;
assign VAR8 = VAR93[8] & (VAR107 | VAR101);
assign VAR68 = (VAR96 == VAR6) ? 1'b0 : 1'b1;
assign VAR58 = VAR68 & (VAR6[5] | VAR6[4]);
assign VAR101 = (VAR106 == 5'd23) ? 1'b1 : 1'b0;
assign VAR83 = (VAR49 == 9'd380) ? 1'b1 : 1'b0;
VAR95 # ( 6 )VAR15
(
.VAR81( VAR2 ),
.VAR48( VAR71 ),
.VAR40( VAR107 ),
.VAR85( VAR6 ),
.VAR35( VAR96 )
);
VAR70 # (9) VAR69
(
.VAR81( VAR2 ),
.VAR48( VAR71 | VAR83 ),
.VAR80( 9'b0 ),
.VAR40( VAR20 == 8'h76 ),
.VAR35( VAR49 )
);
VAR70 # (5) VAR63
(
.VAR81( VAR2 ),
.VAR48( VAR71 | VAR101 ),
.VAR80( 5'b0 ),
.VAR40( VAR20 == 8'h76 ),
.VAR35( VAR106 )
);
always @(negedge VAR2)
begin
if (VAR107 | VAR101)
begin
VAR93 = VAR109 + (VAR51 << 2);
VAR6 = VAR36 + (VAR51 << 2);
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/einvp/sky130_fd_sc_hdll__einvp_4.v
| 2,146 |
module MODULE1 (
VAR7 ,
VAR4 ,
VAR1 ,
VAR8,
VAR5,
VAR3 ,
VAR6
);
output VAR7 ;
input VAR4 ;
input VAR1 ;
input VAR8;
input VAR5;
input VAR3 ;
input VAR6 ;
VAR9 VAR2 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR7 ,
VAR4 ,
VAR1
);
output VAR7 ;
input VAR4 ;
input VAR1;
supply1 VAR8;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR6 ;
VAR9 VAR2 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o32a/sky130_fd_sc_lp__o32a_0.v
| 2,428 |
module MODULE2 (
VAR10 ,
VAR12 ,
VAR1 ,
VAR11 ,
VAR4 ,
VAR7 ,
VAR5,
VAR9,
VAR2 ,
VAR8
);
output VAR10 ;
input VAR12 ;
input VAR1 ;
input VAR11 ;
input VAR4 ;
input VAR7 ;
input VAR5;
input VAR9;
input VAR2 ;
input VAR8 ;
VAR3 VAR6 (
.VAR10(VAR10),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR10 ,
VAR12,
VAR1,
VAR11,
VAR4,
VAR7
);
output VAR10 ;
input VAR12;
input VAR1;
input VAR11;
input VAR4;
input VAR7;
supply1 VAR5;
supply0 VAR9;
supply1 VAR2 ;
supply0 VAR8 ;
VAR3 VAR6 (
.VAR10(VAR10),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
gigglesninja/digital-system-design
|
Lab4/lab4dpath_part1.v
| 1,214 |
module MODULE1(VAR22,VAR16,VAR15,VAR13,clk);
input [9:0] VAR22,VAR16,VAR15;
input clk;
output [9:0] VAR13;
wire [11:0] VAR12, VAR8, VAR19, VAR9;
wire [23:0] VAR1, VAR2, VAR20;
reg [9:0] VAR3, VAR24, VAR7, VAR4, VAR5, VAR23;
always @(posedge clk) begin
VAR3 <= VAR22;
VAR24 <= VAR16;
VAR7 <= VAR15;
end
always @(posedge clk) begin
VAR4 <= VAR3;
VAR5 <= VAR24;
VAR23 <= VAR7;
end
assign VAR8 = {VAR4, 2'b00};
assign VAR19 = {VAR5, 2'b00};
assign VAR9 = {VAR23, 2'b00};
VAR17 VAR10 (.VAR18(12'b110000000000), .VAR14(VAR8), .VAR6(VAR1));
VAR17 VAR11 (.VAR18(12'b010100000000), .VAR14(VAR19), .VAR6(VAR2));
VAR17 VAR21 (.VAR18(12'b110000000000), .VAR14(VAR9), .VAR6(VAR20));
assign VAR12 = VAR1[22:11] + VAR2[22:11] + VAR20[22:11];
assign VAR13 = VAR12[11:2];
endmodule
|
gpl-2.0
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6t_AO_RVT_FF_210930.v
| 231,279 |
module MODULE1 (VAR9, VAR3, VAR1, VAR2, VAR10);
output VAR9;
input VAR3, VAR1, VAR2, VAR10;
wire VAR5, VAR7, VAR6;
wire VAR8, VAR4, VAR11;
not (VAR8, VAR10);
not (VAR6, VAR2);
not (VAR7, VAR1);
and (VAR4, VAR7, VAR6);
not (VAR5, VAR3);
and (VAR11, VAR5, VAR6);
or (VAR9, VAR11, VAR4, VAR8);
|
bsd-3-clause
|
bunnie/novena-afe-hs-fpga
|
novena-afe-hs.srcs/sources_1/imports/imports/adc08d1020_serial.v
| 1,848 |
module MODULE1(
output wire VAR7, output reg VAR3,
output reg VAR19,
input wire [15:0] VAR21,
input wire [3:0] VAR26,
input wire VAR17,
output wire VAR12,
input wire VAR14
);
reg [15:0] VAR10;
reg [3:0] VAR8;
reg VAR23;
reg VAR5;
reg [5:0] VAR13;
reg [31:0] VAR20;
reg VAR18;
reg VAR2;
assign VAR12 = VAR5;
always @(posedge VAR14) begin
VAR10 <= VAR21;
VAR8 <= VAR26;
VAR23 <= VAR17;
VAR5 <= (VAR13[5:0] != 6'b0);
end
always @(posedge VAR14) begin
VAR18 <= VAR23;
VAR2 <= !VAR18 && VAR23;
end
VAR1 VAR22 (
.VAR11(1'b1),
.VAR4(1'b0),
.VAR9(VAR14),
.VAR25(!VAR14),
.VAR16(1'b1),
.VAR6(1'b0),
.VAR24(1'b0),
.VAR15(VAR7) );
always @(posedge VAR14) begin
if( VAR2 && (VAR13[5:0] == 6'b0) ) begin
VAR20[31:0] <= {12'b000000000001,VAR8[3:0],VAR10[15:0]};
VAR13[5:0] <= 6'b100000;
end else if( VAR13[5:0] != 6'b0 ) begin
VAR13[5:0] <= VAR13[5:0] - 6'b1;
VAR20[31:0] <= {VAR20[30:0], 1'b0};
end else begin
VAR13[5:0] <= 6'b0;
VAR20[31:0] <= 32'b0;
end
end
always @(posedge VAR14) begin
VAR3 <= VAR20[31];
VAR19 <= !(VAR13[5:0] != 6'b0);
end
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.