repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
ckdur/mriscv_vivado_arty
|
mriscv_vivado.srcs/sources_1/new/AXI_SPI_ROM_EXT.v
| 18,257 |
module MODULE1 #
(
parameter VAR87 = 32,
parameter VAR94 = 3, parameter VAR14 = 15625
)
(
input VAR19, input VAR98,
input VAR70,
output VAR63,
input [32-1:0] VAR66,
input [3-1:0] VAR6,
input VAR45,
output VAR52,
input [32-1:0] VAR79,
input [4-1:0] VAR58,
output reg VAR13,
input VAR40,
input VAR74,
output VAR55,
input [32-1:0] VAR84,
input [3-1:0] VAR96,
output reg VAR91,
input VAR29,
output reg [32-1:0] VAR2,
output VAR27,
input VAR33,
output VAR50,
output VAR15,
output VAR20,
output VAR11
);
function integer VAR93;
input integer VAR92;
integer VAR59;
begin
VAR93 = 0;
for(VAR59 = 0; 2**VAR59 < VAR92; VAR59 = VAR59 + 1)
VAR93 = VAR59 + 1;
end
endfunction
localparam integer VAR32 = 3e8;
localparam integer VAR80 = (VAR32/VAR14) - 1;
localparam integer VAR88 = VAR93(VAR80);
reg [VAR88-1:0] VAR10;
wire VAR99;
assign VAR99 = (VAR10 == VAR80)?1'b1:1'b0;
always @(posedge VAR19)
begin : VAR42
if(VAR98 == 1'b0) begin
VAR10 <= 0;
end else begin
if(~VAR99) begin
VAR10 <= VAR10+1;
end
end
end
wire VAR34;
wire VAR69; wire VAR21;
wire VAR16;
assign VAR11 = VAR34;
assign VAR20 = 1'b1;
assign VAR15 = 1'b1;
assign VAR27 = VAR21;
assign VAR50 = VAR16;
VAR72 #(
.VAR68("VAR46"), .VAR54(0.0) )
VAR77 (
.VAR9(), .VAR36(), .VAR69(VAR69), .VAR12(), .VAR19(1'b0), .VAR60(1'b0), .VAR22(1'b0), .VAR49(1'b0), .VAR37(1'b0), .VAR5(VAR34), .VAR86(1'b0), .VAR28(1'b1), .VAR7(1'b1) );
reg [31:0] VAR100, VAR56;
reg [31:0] VAR26;
reg [3:0] VAR35;
reg [1:0] VAR17;
reg VAR83;
assign VAR63 = 1'b1;
assign VAR55 = 1'b1;
assign VAR52 = 1'b1;
always @(posedge VAR19)
begin : VAR78
if(VAR98 == 1'b0) begin
VAR100 <= 0;
VAR56 <= 0;
VAR26 <= 0;
VAR35 <= 0;
VAR17 <= 2'b00;
VAR83 <= 1'b0;
end else begin
if(VAR13) begin VAR100 <= VAR100;
VAR17[0] <= 1'b0;
end else if(VAR70) begin
VAR100 <= VAR66 | 32'h00800000; VAR17[0] <= 1'b1;
end else begin
VAR100 <= VAR100;
VAR17[0] <= VAR17[0];
end
if(VAR13) begin VAR26 <= VAR26;
VAR35 <= VAR35;
VAR17[1] <= 1'b0;
end else if(VAR45) begin
VAR26 <= VAR79;
VAR35 <= VAR58;
VAR17[1] <= 1'b1;
end else begin
VAR26 <= VAR26;
VAR35 <= VAR35;
VAR17[1] <= VAR17[1];
end
if(VAR91) begin VAR56 <= VAR56;
VAR83 <= 1'b0;
end else if(VAR74) begin
VAR56 <= VAR84 | 32'h00800000;
VAR83 <= 1'b1;
end else begin
VAR56 <= VAR56;
VAR83 <= VAR83;
end
end
end
reg [VAR94-1:0] VAR81;
always @(posedge VAR19 ) begin
if(VAR98 == 1'b0) begin
VAR81 <= {VAR94{1'b0}};
end else begin
VAR81 <= VAR81 + 1;
end
end
wire VAR25; localparam [VAR94-1:0] VAR61 = ~(1 << (VAR94-1));
assign VAR25 = VAR81 == VAR61 ? 1'b1:1'b0;
wire VAR95;
assign VAR95 = VAR81 == 0 ? 1'b1:1'b0;
wire VAR67;
assign VAR67 = ~VAR81[VAR94-1];
assign VAR34 = (~VAR21)?VAR67:1'b0;
localparam VAR71 = (8+VAR87*2);
localparam VAR4 = VAR93(VAR71);
reg [VAR71-1:0] VAR89;
reg [VAR71-1:0] VAR65;
reg VAR57;
reg [VAR71-1:0] VAR53;
reg [VAR4-1:0] VAR1;
reg [VAR4-1:0] VAR8;
reg [VAR4-1:0] sync;
wire VAR47;
reg VAR48;
assign VAR47 = sync == VAR1? 1'b1:1'b0;
always @(posedge VAR19 ) begin
if(VAR98 == 1'b0) begin
sync <= {VAR4{1'b0}};
end else begin
if(VAR25 == 1'b1) begin
if((VAR48 == 1'b1 && ~(|sync)) || (|sync)) begin
if(VAR47 == 1'b1) begin
sync <= {VAR4{1'b0}};
end else begin
sync <= sync + 1;
end
end else begin
sync <= sync;
end
end
end
end
always @(posedge VAR19 ) begin
if(VAR98 == 1'b0) begin
VAR89 <= 0;
VAR1 <= 0;
VAR65 <= 0;
VAR48 <= 1'b0;
end else begin
if(VAR25 == 1'b1) begin
if(VAR57 == 1'b1 && VAR48 == 1'b0) begin
VAR1 <= VAR8;
VAR89 <= VAR53;
VAR48 <= 1'b1;
end else if(VAR47 == 1'b1 && VAR48 == 1'b1) begin
VAR1 <= VAR1;
VAR89 <= VAR89;
VAR48 <= 1'b0;
end else if(VAR48 == 1'b1) begin
VAR1 <= VAR1;
VAR89 <= VAR89 << 1;
VAR48 <= VAR48;
end else begin
VAR1 <= VAR1;
VAR89 <= VAR89;
VAR48 <= VAR48;
end
end
if(VAR95 == 1'b1) begin
if(VAR57 == 1'b1 && VAR48 == 1'b0) begin
VAR65 <= 0;
end else if(VAR47 == 1'b1 && VAR48 == 1'b1) begin
VAR65 <= {VAR65[VAR71-2:0], VAR33};
end else if(VAR48 == 1'b1) begin
VAR65 <= {VAR65[VAR71-2:0], VAR33};
end else begin
VAR65 <= VAR65;
end
end
end
end
genvar VAR31;
reg VAR3;
generate
for(VAR31 = 0; VAR31 < (VAR87/8); VAR31=VAR31+1) begin : VAR82
always @(posedge VAR19 ) begin
if(VAR98 == 1'b0) begin
VAR2[(VAR31+1)*8-1:VAR31*8] <= 0;
end else begin
if(VAR3 == 1'b1) begin
VAR2[(VAR31+1)*8-1:VAR31*8] <= VAR65[(VAR87/8 - VAR31)*8-1:(VAR87/8 - VAR31 - 1)*8];
end
end
end
end
endgenerate
assign VAR21 = ~VAR48;
assign VAR16 = (VAR21==1'b0) ?VAR89[VAR71-1]:1'VAR24;
reg [3:0] state;
reg [3:0] VAR73;
reg [3:0] VAR64;
reg [3:0] VAR85;
localparam VAR38 = 0, VAR30 = 1, VAR97 = 2, VAR39 = 3, VAR41 = 4, VAR75 = 5, VAR18 = 6, VAR23 = 7, VAR43 = 8, VAR90 = 9, VAR76 = 10, VAR51 = 11, VAR44 = 12, VAR62 = 13;
always @ (state) begin
VAR91 = 1'b0;
VAR13 = 1'b0;
VAR57 = 1'b0;
VAR53 = 0;
VAR8 = 0;
VAR3 = 1'b0;
case (state)
VAR38: begin
end
VAR62: begin
end
VAR30: begin
VAR57 = 1'b1;
VAR53 = {8'h06, 8'b00000011, 56'd0};
VAR8 = 8 -1;
end
VAR97: begin
end
VAR39: begin
VAR57 = 1'b1;
VAR53 = {8'h13, VAR56, 32'd0};
VAR8 = 8+32+32-1;
end
VAR41: begin
VAR91 = 1'b1;
end
VAR75: begin
VAR57 = VAR35[0];
VAR53 = {8'h12, VAR100, VAR26[7:0], VAR26[15:8], VAR26[23:16], VAR26[31:24]};
if(VAR35[3:0] == 4'b1111)
VAR8 = 8+32+32-1;
end
else if(VAR35[2:0] == 3'b111)
VAR8 = 8+32+24-1;
end
else if(VAR35[1:0] == 2'b11)
VAR8 = 8+32+16-1;
end
else
VAR8 = 8+32+8-1;
end
VAR18: begin
VAR57 = VAR35[1];
VAR53 = {8'h12, VAR100+1, VAR26[15:8], VAR26[23:16], VAR26[31:24], 8'd0};
if(VAR35[3:1] == 3'b111)
VAR8 = 8+32+24-1;
end
else if(VAR35[2:1] == 2'b11)
VAR8 = 8+32+16-1;
end
else
VAR8 = 8+32+8-1;
end
VAR23: begin
VAR57 = VAR35[2];
VAR53 = {8'h12, VAR100+2, VAR26[23:16], VAR26[31:24], 16'd0};
if(VAR35[3:2] == 2'b11)
VAR8 = 8+32+16-1;
end
else
VAR8 = 8+32+8-1;
end
VAR43: begin
VAR57 = VAR35[3];
VAR53 = {8'h12, VAR100+3, VAR26[31:24], 24'd0};
VAR8 = 8+32+8-1;
end
VAR90: begin
VAR13 = 1'b1;
end
VAR76: begin
VAR3 = 1'b1;
end
VAR51: begin
VAR57 = 1'b1;
VAR53 = {8'h05, 64'd0};
VAR8 = 16-1;
end
VAR44: begin
end
endcase
end
always @ (posedge VAR19 ) begin
if (VAR98 == 1'b0) begin
state <= VAR62;
VAR73 <= VAR62;
VAR64 <= VAR75;
VAR85 <= VAR18;
end else begin
case (state)
VAR38:
if(VAR25 & VAR47)
state <= VAR73;
end
else
state <= VAR38;
VAR62:
if(VAR99)
state <= VAR97;
end
else
state <= VAR62;
VAR30:
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR64;
end
VAR97:
if (VAR69) begin
if (VAR83 == 1'b1)
state <= VAR39;
end
else if (VAR17 == 2'b11) begin
state <= VAR30;
if(VAR35[0]) begin
VAR64 <= VAR75;
end else if(VAR35[1]) begin
VAR64 <= VAR18;
end else if(VAR35[2]) begin
VAR64 <= VAR23;
end else if(VAR35[3]) begin
VAR64 <= VAR43;
end else begin state <= VAR90;
end
end else
state <= VAR97;
end else begin
state <= VAR97;
end
VAR39:
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR76;
end
VAR41:
if (VAR29 == 1'b1)
state <= VAR97;
end
else
state <= VAR41;
VAR75:
if(VAR35[2:0] == 3'b111 || VAR35 == 4'b0011 || VAR35 == 4'b0001) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR90;
end
end else if(VAR35 == 4'b1011 || VAR35 == 4'b1001) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR30;
VAR64 <= VAR43;
end
end else if(VAR35[2:0] == 3'b101) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR30;
VAR64 <= VAR23;
end
end else begin state <= VAR90;
end
VAR18:
if(VAR35[2:1] == 2'b11 || VAR35[3:1] == 3'b001) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR90;
end
end else if(VAR35[3:1] == 3'b101) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR30;
VAR64 <= VAR43;
end
end else begin state <= VAR90;
end
VAR23:
if(VAR35[2] == 1'b1) begin
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR90;
end
end else begin state <= VAR90;
end
VAR43:
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR51;
VAR85 <= VAR90;
end
VAR90:
if (VAR40 == 1'b1)
state <= VAR97;
end
else
state <= VAR90;
VAR76:
state <= VAR41;
VAR51:
if(VAR48) begin
state <= VAR38;
VAR73 <= VAR44;
end
VAR44:
if (VAR65[0] == 1'b0)
state <= VAR85;
end
else
state <= VAR51;
default:
state <= VAR62;
endcase
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/a22oi/sky130_fd_sc_hvl__a22oi_1.v
| 2,360 |
module MODULE2 (
VAR9 ,
VAR11 ,
VAR1 ,
VAR7 ,
VAR10 ,
VAR2,
VAR4,
VAR6 ,
VAR3
);
output VAR9 ;
input VAR11 ;
input VAR1 ;
input VAR7 ;
input VAR10 ;
input VAR2;
input VAR4;
input VAR6 ;
input VAR3 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR9 ,
VAR11,
VAR1,
VAR7,
VAR10
);
output VAR9 ;
input VAR11;
input VAR1;
input VAR7;
input VAR10;
supply1 VAR2;
supply0 VAR4;
supply1 VAR6 ;
supply0 VAR3 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/edk/pcores/ccx2mb_v1_00_a/hdl/verilog/pcx2mb_link_ctr.v
| 2,978 |
module MODULE1 (
VAR9,
VAR10,
VAR3,
VAR5,
VAR6,
VAR4,
VAR7
);
output VAR9;
input VAR10;
input VAR3;
input VAR5;
input VAR6;
input VAR4;
input VAR7;
reg [1:0] VAR2;
wire VAR9;
wire VAR1;
wire VAR8;
assign VAR1 = VAR5 || (VAR6 && VAR4);
assign VAR8 = VAR7;
always @(posedge VAR10) begin
if (!VAR3) begin
VAR2 <= 2'b00;
end
else if (VAR1 && VAR8) begin
VAR2 <= VAR2;
end
else if (VAR1 && !VAR2[1]) begin
VAR2 <= VAR2 + 2'b01;
end
else if (VAR8) begin
VAR2 <= VAR2 - 2'b01;
end
else begin
VAR2 <= VAR2;
end
end
assign VAR9 = VAR2[1];
endmodule
|
gpl-2.0
|
azonenberg/antikernel-ipcores
|
noc/rpcv3/RPCv3RouterReceiver.v
| 7,142 |
module MODULE1
parameter VAR4 = 32,
parameter VAR11 = 16
)
(
input wire clk,
input wire VAR1,
input wire[VAR11-1:0] VAR17,
output wire VAR6,
input wire VAR14,
output wire VAR8,
output wire VAR12,
output wire[VAR4-1:0] VAR5,
output wire VAR3
);
localparam VAR2 = (VAR11 < VAR4);
localparam VAR13 = (VAR11 > VAR4);
localparam VAR15 = (VAR11 == VAR4);
generate
if(VAR2) begin
VAR10 #(
.VAR11(VAR11),
.VAR4(VAR4)
) VAR7 (
.clk(clk),
.VAR1(VAR1),
.VAR17(VAR17),
.VAR6(VAR6),
.VAR14(VAR14),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR3(VAR3)
);
end
else if(VAR13) begin
VAR16 #(
.VAR11(VAR11),
.VAR4(VAR4)
) VAR7 (
.clk(clk),
.VAR1(VAR1),
.VAR17(VAR17),
.VAR6(VAR6),
.VAR14(VAR14),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR3(VAR3)
);
end
else begin
VAR9 #(
.VAR11(VAR11),
.VAR4(VAR4)
) VAR7 (
.clk(clk),
.VAR1(VAR1),
.VAR17(VAR17),
.VAR6(VAR6),
.VAR14(VAR14),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR3(VAR3)
);
end
endgenerate
endmodule
|
bsd-3-clause
|
peteasa/parallella-fpga
|
ohLocal/memory/dv/fifo_async_104x32.v
| 1,502 |
module MODULE1
(
VAR13, VAR12, dout, VAR1, valid,
rst, VAR8, VAR4, VAR3, din, VAR11
);
parameter VAR6 = 104; parameter VAR14 = 16;
input VAR5; input VAR10; input VAR8; input VAR4;
input VAR3;
input [VAR6-1:0] din;
output VAR13;
output VAR12;
output VAR7;
input VAR11;
output [VAR6-1:0] dout;
output VAR1;
output valid;
input rst;
VAR9 VAR2 (
.VAR13 (VAR13),
.VAR12 (VAR12),
.dout (dout[VAR6-1:0]),
.VAR1 (VAR1),
.valid (valid),
.rst (rst),
.VAR8 (VAR8),
.VAR4 (VAR4),
.VAR3 (VAR3),
.din (din[VAR6-1:0]),
.VAR11 (VAR11));
endmodule
|
lgpl-3.0
|
gbraad/minimig-de1
|
rtl/audio/I2C_AV_Config.v
| 4,490 |
module MODULE1 ( VAR11,
VAR16,
VAR9,
VAR29
);
input VAR11;
input VAR16;
output VAR9;
inout VAR29;
reg [15:0] VAR6;
reg [23:0] VAR30;
reg VAR32;
reg VAR10;
wire VAR23;
wire VAR26;
reg [15:0] VAR22;
reg [3:0] VAR12;
reg [1:0] VAR36;
parameter VAR37 = 24000000; parameter VAR3 = 20000; parameter VAR25 = 11;
parameter VAR13 = 0;
parameter VAR17 = 1;
parameter VAR1 = 2;
parameter VAR18 = 3;
parameter VAR31 = 4;
parameter VAR24 = 5;
parameter VAR14 = 6;
parameter VAR8 = 7;
parameter VAR27 = 8;
parameter VAR21 = 9;
parameter VAR5 = 10;
always@(posedge VAR11 or negedge VAR16) begin
if(!VAR16) begin
VAR32 <= 1'd0;
VAR6 <= 16'd0;
end else begin
if (VAR6 < (VAR37/VAR3))
VAR6 <= VAR6 + 16'd1;
end
else begin
VAR6 <= 16'd0;
VAR32 <= ~VAR32;
end
end
end
VAR19 VAR35 (
.VAR4(VAR32), .VAR2(VAR9), .VAR34(VAR29), .VAR28(VAR30), .VAR20(VAR10), .VAR33(VAR23), .VAR7(VAR26), .VAR15(VAR16)
);
always@(posedge VAR32 or negedge VAR16) begin
if(!VAR16) begin
VAR12 <= 4'd0;
VAR36 <= 2'd0;
VAR10 <= 1'd0;
end else begin
if(VAR12 < VAR25) begin
case(VAR36)
0: begin
VAR30 <= {8'h34,VAR22};
VAR10 <= 1'd1;
VAR36 <= 2'd1;
end
1: begin
if(VAR23) begin
if(!VAR26)
VAR36 <= 2'd2;
end
else
VAR36 <= 2'd0;
VAR10 <= 1'd0;
end
end
2: begin
VAR12 <= VAR12 + 4'd1;
VAR36 <= 2'd0;
end
endcase
end
end
end
always @ (*)
begin
case(VAR12)
VAR13 : VAR22 <= 16'h0000;
VAR17 : VAR22 <= 16'h009A; VAR1 : VAR22 <= 16'h029A; VAR18 : VAR22 <= 16'h0479; VAR31 : VAR22 <= 16'h0679; VAR24 : VAR22 <= 16'h08D2; VAR14 : VAR22 <= 16'h0A06; VAR8 : VAR22 <= 16'h0C00; VAR27 : VAR22 <= 16'h0E01; VAR21 : VAR22 <= 16'h1009; VAR5 : VAR22 <= 16'h1201; default : VAR22 <= 16'h0000;
endcase
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrtn/sky130_fd_sc_lp__dlrtn_4.v
| 2,358 |
module MODULE2 (
VAR5 ,
VAR6,
VAR1 ,
VAR8 ,
VAR2 ,
VAR4 ,
VAR9 ,
VAR3
);
output VAR5 ;
input VAR6;
input VAR1 ;
input VAR8 ;
input VAR2 ;
input VAR4 ;
input VAR9 ;
input VAR3 ;
VAR10 VAR7 (
.VAR5(VAR5),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR5 ,
VAR6,
VAR1 ,
VAR8
);
output VAR5 ;
input VAR6;
input VAR1 ;
input VAR8 ;
supply1 VAR2;
supply0 VAR4;
supply1 VAR9 ;
supply0 VAR3 ;
VAR10 VAR7 (
.VAR5(VAR5),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
fbalakirev/red-pitaya-notes
|
projects/red_pitaya_0_92/red_pitaya_ams.v
| 12,356 |
module MODULE1
(
input VAR61 , input VAR74 , input [ 5-1: 0] VAR46 , input [ 5-1: 0] VAR83 ,
output [ 24-1: 0] VAR89 , output [ 24-1: 0] VAR44 , output [ 24-1: 0] VAR109 , output [ 24-1: 0] VAR3 ,
input VAR81 , input VAR117 , input [ 32-1: 0] VAR68 , input [ 32-1: 0] VAR25 , input [ 4-1: 0] VAR95 , input VAR29 , input VAR121 , output [ 32-1: 0] VAR59 , output VAR118 , output VAR6 );
wire [ 32-1: 0] addr ;
wire [ 32-1: 0] VAR97 ;
wire VAR10 ;
wire VAR55 ;
reg [ 32-1: 0] VAR94 ;
reg VAR98 ;
reg ack ;
reg [ 12-1: 0] VAR99 ;
reg [ 12-1: 0] VAR18 ;
reg [ 12-1: 0] VAR26 ;
reg [ 12-1: 0] VAR30 ;
reg [ 12-1: 0] VAR120 ;
reg [ 12-1: 0] VAR96 ;
reg [ 12-1: 0] VAR79 ;
reg [ 12-1: 0] VAR71 ;
reg [ 12-1: 0] VAR85 ;
reg [ 12-1: 0] VAR37 ;
reg [ 12-1: 0] VAR33 ;
reg [ 12-1: 0] VAR2 ;
reg [ 24-1: 0] VAR111 ;
reg [ 24-1: 0] VAR66 ;
reg [ 24-1: 0] VAR110 ;
reg [ 24-1: 0] VAR119 ;
always @(posedge VAR61) begin
if (VAR74 == 1'b0) begin
VAR111 <= 24'h0F0000 ;
VAR66 <= 24'h4E0000 ;
VAR110 <= 24'h750000 ;
VAR119 <= 24'h9C0000 ;
end
else begin
if (VAR10) begin
if (addr[19:0]==16'h20) VAR111 <= VAR97[24-1: 0] ;
if (addr[19:0]==16'h24) VAR66 <= VAR97[24-1: 0] ;
if (addr[19:0]==16'h28) VAR110 <= VAR97[24-1: 0] ;
if (addr[19:0]==16'h2C) VAR119 <= VAR97[24-1: 0] ;
end
end
end
always @(posedge VAR61) begin
VAR98 <= 1'b0 ;
casez (addr[19:0])
20'h00000 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR99} ; end
20'h00004 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR18} ; end
20'h00008 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR26} ; end
20'h0000C : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR30} ; end
20'h00010 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR120} ; end
20'h00020 : begin ack <= 1'b1; VAR94 <= {{32-24{1'b0}}, VAR111} ; end
20'h00024 : begin ack <= 1'b1; VAR94 <= {{32-24{1'b0}}, VAR66} ; end
20'h00028 : begin ack <= 1'b1; VAR94 <= {{32-24{1'b0}}, VAR110} ; end
20'h0002C : begin ack <= 1'b1; VAR94 <= {{32-24{1'b0}}, VAR119} ; end
20'h00030 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR96} ; end
20'h00034 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR79} ; end
20'h00038 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR71} ; end
20'h0003C : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR85} ; end
20'h00040 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR37} ; end
20'h00044 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR33} ; end
20'h00048 : begin ack <= 1'b1; VAR94 <= {{32-12{1'b0}}, VAR2} ; end
default : begin ack <= 1'b1; VAR94 <= 32'h0 ; end
endcase
end
assign VAR89 = VAR111 ;
assign VAR44 = VAR66 ;
assign VAR109 = VAR110 ;
assign VAR3 = VAR119 ;
wire [ 8-1: 0] VAR106 ;
wire VAR63 ;
wire [ 5-1: 0] VAR21 ;
wire VAR49 ;
wire VAR100 ;
wire [17-1: 0] VAR48 ;
wire [17-1: 0] VAR24 ;
wire VAR105 = VAR74 ;
wire [16-1: 0] VAR88 ;
wire VAR103 ;
wire [ 7-1: 0] VAR78 = {2'h0, VAR21};
wire VAR16 = VAR61 ;
wire VAR4 = VAR49 ;
wire [16-1: 0] VAR77 = 16'h0 ;
wire VAR41 = 1'b0 ;
assign VAR48 = {VAR83[4], 6'h0, VAR83[3:2], 6'h0, VAR83[1:0]}; assign VAR24 = {VAR46[4], 6'h0, VAR46[3:2], 6'h0, VAR46[1:0]};
VAR60 #(
.VAR101(16'h0000), .VAR113(16'h2f0f), .VAR23(16'h0400), .VAR20(16'h4fe0), .VAR90(16'h0303), .VAR36(16'h47e0), .VAR1(16'h0000), .VAR80(16'h0800), .VAR58(16'h0303), .VAR82(16'h0000), .VAR108(16'h0000), .VAR51(16'hb5ed), .VAR84(16'h57e4), .VAR104(16'ha147), .VAR116(16'hca33), .VAR11(16'ha93a), .VAR112(16'h52c6), .VAR52(16'h9555), .VAR12(16'hae4e), .VAR38(16'h5999), .VAR65(16'h5111), .VAR42(16'h5555), .VAR50(16'h5111), .VAR34(16'h9999), .VAR76(16'h91eb), .VAR14(16'h6aaa), .VAR72(16'h6666), .VAR32("7SERIES"), .VAR15("../../../../VAR73/VAR56/VAR22.VAR69") )
VAR27
(
.VAR75 ( VAR106 ), .VAR28 ( ), .VAR67 ( VAR63 ), .VAR40 ( VAR21 ), .VAR7 ( VAR49 ), .VAR53 ( VAR100 ), .VAR114 ( VAR48[15:0] ), .VAR54 ( VAR24[15:0] ), .VAR8 ( VAR48[16] ), .VAR107 ( VAR24[16] ), .VAR64 ( 1'b0 ), .VAR45 ( 1'b0 ), .VAR31 ( !VAR105 ), .VAR57 ( VAR88 ), .VAR19 ( VAR103 ), .VAR47 ( VAR78 ), .VAR102 ( VAR16 ), .VAR70 ( VAR4 ), .VAR5 ( VAR77 ), .VAR43 ( VAR41 ),
.VAR13 ( ), .VAR35 ( ), .VAR115 ( ), .VAR39 ( ) );
always @(posedge VAR61) begin
if (VAR103) begin
if (VAR78 == 7'd0 ) VAR96 <= VAR88[15:4]; if (VAR78 == 7'd13) VAR79 <= VAR88[15:4]; if (VAR78 == 7'd14) VAR71 <= VAR88[15:4]; if (VAR78 == 7'd6 ) VAR85 <= VAR88[15:4]; if (VAR78 == 7'd1 ) VAR37 <= VAR88[15:4]; if (VAR78 == 7'd2 ) VAR33 <= VAR88[15:4]; if (VAR78 == 7'd15) VAR2 <= VAR88[15:4];
if (VAR78 == 7'h03) VAR120 <= VAR88[15:4]; if (VAR78 == 7'd16) VAR18 <= VAR88[15:4]; if (VAR78 == 7'd17) VAR26 <= VAR88[15:4]; if (VAR78 == 7'd24) VAR99 <= VAR88[15:4]; if (VAR78 == 7'd25) VAR30 <= VAR88[15:4]; end
end
VAR122 VAR87
(
.VAR81 ( VAR81 ),
.VAR117 ( VAR117 ),
.VAR68 ( VAR68 ),
.VAR25 ( VAR25 ),
.VAR95 ( VAR95 ),
.VAR29 ( VAR29 ),
.VAR121 ( VAR121 ),
.VAR59 ( VAR59 ),
.VAR118 ( VAR118 ),
.VAR6 ( VAR6 ),
.VAR61 ( VAR61 ),
.VAR74 ( VAR74 ),
.VAR93 ( addr ),
.VAR62 ( VAR97 ),
.VAR91 ( VAR10 ),
.VAR9 ( VAR55 ),
.VAR92 ( VAR94 ),
.VAR17 ( VAR98 ),
.VAR86 ( ack )
);
endmodule
|
mit
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/ipshared/ENCLab/V2NFC100DDR_v1_0_0/81152d2e/src/NPhy_Toggle_Physical_Output_DDR100.v
| 19,052 |
module MODULE1
(
parameter VAR3 = 4
)
(
VAR32 ,
VAR36 ,
VAR41 ,
VAR34 ,
VAR69 ,
VAR8 ,
VAR38 ,
VAR53 ,
VAR26 ,
VAR13 ,
VAR6 ,
VAR22 ,
VAR16 ,
VAR81 ,
VAR71 ,
VAR58 ,
VAR18 ,
VAR50 ,
VAR47 ,
VAR42 ,
VAR33
);
input VAR32 ;
input VAR36 ;
input VAR41 ;
input VAR34 ;
input VAR69 ;
input [7:0] VAR8 ; input [31:0] VAR38 ; input [2*VAR3 - 1:0] VAR53 ; input [3:0] VAR26 ; input [3:0] VAR13 ; input [3:0] VAR6 ; input [3:0] VAR22 ; output VAR16 ;
output [7:0] VAR81 ;
output VAR71 ;
output [7:0] VAR58 ;
output [VAR3 - 1:0] VAR18 ;
output VAR50 ;
output VAR47 ;
output VAR42 ;
output VAR33 ;
reg VAR66;
reg VAR37;
reg VAR70;
reg VAR9;
always @ (posedge VAR32) begin
if (VAR41) begin
VAR66 <= 0;
VAR37 <= 1;
VAR70 <= 0;
VAR9 <= 1;
end else begin
VAR66 <= VAR34;
VAR37 <= ~VAR66;
VAR70 <= VAR69;
VAR9 <= ~VAR70;
end
end
genvar VAR49, VAR48;
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b0 ),
.VAR27 (1'b1 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b0 ),
.VAR80 (1'b1 ),
.VAR28 (1 )
)
VAR65
(
.VAR85 ( ),
.VAR46 (VAR71 ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 (VAR16 ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR8[0] ),
.VAR63 (VAR8[1] ),
.VAR62 (VAR8[2] ),
.VAR7 (VAR8[3] ),
.VAR12 (VAR8[4] ),
.VAR68 (VAR8[5] ),
.VAR35 (VAR8[6] ),
.VAR73 (VAR8[7] ),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (VAR37 ), .VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
generate
for (VAR49 = 0; VAR49 < 8; VAR49 = VAR49 + 1)
begin : VAR24
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b0 ),
.VAR27 (1'b1 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b0 ),
.VAR80 (1'b1 ),
.VAR28 (1 )
)
VAR84
(
.VAR85 ( ),
.VAR46 (VAR58[VAR49] ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 (VAR81[VAR49]),
.VAR76 (VAR36),
.VAR21 (VAR32 ),
.VAR2 (VAR38[ 0 + VAR49] ),
.VAR63 (VAR38[ 0 + VAR49] ),
.VAR62 (VAR38[ 8 + VAR49] ),
.VAR7 (VAR38[ 8 + VAR49] ),
.VAR12 (VAR38[16 + VAR49] ),
.VAR68 (VAR38[16 + VAR49] ),
.VAR35 (VAR38[24 + VAR49] ),
.VAR73 (VAR38[24 + VAR49] ),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (VAR9 ), .VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
end
endgenerate
generate
for (VAR48 = 0; VAR48 < VAR3; VAR48 = VAR48 + 1)
begin : VAR17
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b1 ),
.VAR27 (1'b0 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b1 ),
.VAR80 (1'b0 ),
.VAR28 (1 ),
.VAR5 (1'b1 ),
.VAR67 (1'b1 ),
.VAR15 (1'b1 ),
.VAR74 (1'b1 ),
.VAR77 (1'b1 ),
.VAR56 (1'b1 ),
.VAR52 (1'b1 ),
.VAR61 (1'b1 )
)
VAR40
(
.VAR85 ( ),
.VAR46 (VAR18[VAR48] ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 ( ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR53[0 + VAR48] ),
.VAR63 (VAR53[0 + VAR48] ),
.VAR62 (VAR53[0 + VAR48] ),
.VAR7 (VAR53[0 + VAR48] ),
.VAR12 (VAR53[VAR3 + VAR48]),
.VAR68 (VAR53[VAR3 + VAR48]),
.VAR35 (VAR53[VAR3 + VAR48]),
.VAR73 (VAR53[VAR3 + VAR48]),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (1'b0 ),
.VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
end
endgenerate
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b1 ),
.VAR27 (1'b0 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b1 ),
.VAR80 (1'b0 ),
.VAR28 (1 )
)
VAR10
(
.VAR85 ( ),
.VAR46 (VAR47 ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 ( ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR26[0] ),
.VAR63 (VAR26[0] ),
.VAR62 (VAR26[1] ),
.VAR7 (VAR26[1] ),
.VAR12 (VAR26[2] ),
.VAR68 (VAR26[2] ),
.VAR35 (VAR26[3] ),
.VAR73 (VAR26[3] ),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (1'b0 ),
.VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b1 ),
.VAR27 (1'b0 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b1 ),
.VAR80 (1'b0 ),
.VAR28 (1 ),
.VAR5 (1'b1 ),
.VAR67 (1'b1 ),
.VAR15 (1'b1 ),
.VAR74 (1'b1 ),
.VAR77 (1'b1 ),
.VAR56 (1'b1 ),
.VAR52 (1'b1 ),
.VAR61 (1'b1 )
)
VAR31
(
.VAR85 ( ),
.VAR46 (VAR50 ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 ( ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR13[0] ),
.VAR63 (VAR13[0] ),
.VAR62 (VAR13[1] ),
.VAR7 (VAR13[1] ),
.VAR12 (VAR13[2] ),
.VAR68 (VAR13[2] ),
.VAR35 (VAR13[3] ),
.VAR73 (VAR13[3] ),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (1'b0 ),
.VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b0 ),
.VAR27 (1'b0 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b0 ),
.VAR80 (1'b0 ),
.VAR28 (1 )
)
VAR83
(
.VAR85 ( ),
.VAR46 (VAR42 ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 ( ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR6[0]),
.VAR63 (VAR6[0]),
.VAR62 (VAR6[1]),
.VAR7 (VAR6[1]),
.VAR12 (VAR6[2]),
.VAR68 (VAR6[2]),
.VAR35 (VAR6[3]),
.VAR73 (VAR6[3]),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (1'b0 ),
.VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
VAR43
(
.VAR78 ("VAR1" ),
.VAR45 ("VAR4" ),
.VAR60 (4 ),
.VAR25 (1'b0 ),
.VAR27 (1'b0 ),
.VAR23 ("VAR51" ),
.VAR59 (1'b0 ),
.VAR80 (1'b0 ),
.VAR28 (1 )
)
VAR44
(
.VAR85 ( ),
.VAR46 (VAR33 ),
.VAR19 ( ),
.VAR14 ( ),
.VAR79 ( ),
.VAR20 ( ),
.VAR64 ( ),
.VAR76 (VAR36 ),
.VAR21 (VAR32 ),
.VAR2 (VAR22[0]),
.VAR63 (VAR22[0]),
.VAR62 (VAR22[1]),
.VAR7 (VAR22[1]),
.VAR12 (VAR22[2]),
.VAR68 (VAR22[2]),
.VAR35 (VAR22[3]),
.VAR73 (VAR22[3]),
.VAR39 (1'b1 ),
.VAR30 (VAR41 ),
.VAR57 (0 ),
.VAR82 (0 ),
.VAR55 (1'b0 ),
.VAR54 (0 ),
.VAR11 (0 ),
.VAR75 (0 ),
.VAR72 (0 ),
.VAR29 (1'b1 )
);
endmodule
|
gpl-3.0
|
sh-chris110/chris
|
FPGA/chris.convolution.ok/Qsys/chris_slave.v
| 5,898 |
module MODULE1 (
input wire [3:0] VAR25, input wire VAR33, output wire [31:0] VAR26, input wire VAR38, input wire [31:0] VAR6, output wire VAR13, input wire VAR23, input wire VAR11, output wire VAR22 );
reg [31:0] VAR42;
assign VAR26 = VAR42;
reg VAR1;
reg VAR20;
reg [31:0] VAR17[8:0];
reg VAR8;
reg [31:0] VAR5;
reg [31:0] VAR14;
assign VAR13 = VAR1&VAR20;
assign VAR22 = VAR8;
reg VAR9;
reg VAR39;
reg VAR3;
reg VAR41;
VAR30 VAR18(.clk(VAR23),
.reset(VAR11),
.VAR19(VAR17[0]),
.VAR34(VAR17[1]),
.VAR29(VAR17[2]),
.VAR16(VAR17[3]),
.VAR15(VAR17[4]),
.VAR4(VAR17[5]),
.VAR43(VAR17[6]),
.VAR2(VAR17[7]),
.VAR24(VAR17[8]),
.VAR35(VAR39),
.VAR7(VAR9),
.VAR28(VAR3),
.VAR40(VAR14)
);
reg [3:0] VAR37, VAR27;
parameter VAR10 = 4'b0001;
parameter VAR21 = 4'b0010;
parameter VAR12 = 4'b0100;
parameter VAR31 = 4'b1000;
always@(posedge VAR23)
begin
if(VAR11)
VAR37 <= VAR10;
end
else
VAR37 <= VAR27;
end
always@(VAR37 or VAR32 or VAR9 or VAR36 or VAR11)
begin
if(VAR11)
VAR27 = VAR10;
end
else
begin
case(VAR37)
VAR10:VAR27 = VAR32?VAR21:VAR10;
VAR21:VAR27 = VAR9?VAR12:VAR21;
VAR12:VAR27 = VAR36?VAR31:VAR12;
VAR31: VAR27 = VAR10;
default: VAR27 = VAR10;
endcase
end
end
always@(posedge VAR23) begin
if (VAR11) begin
VAR8 <= 1'b0;
end else begin
case(VAR37)
VAR10: begin
VAR41<= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 1'b0;
end
VAR21: begin
VAR8 <= 1'b1;
VAR41 <= 1'b1;
VAR39 <= 1'b1;
end
VAR12:begin
VAR39 <= 1'b0;
VAR3 <= 1'b1;
end
VAR31:begin
VAR41 <= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 1'b0;
VAR8 <= 1'b0;
end
default:begin
end
endcase
end
end
reg VAR32;
always @(posedge VAR23)
if (VAR11) begin
VAR20 <= 1'b1;
VAR17[0] <= 32'h00000000;
VAR17[1] <= 32'h00000000;
VAR17[2] <= 32'h00000000;
VAR17[3] <= 32'h00000000;
VAR17[4] <= 32'h00000000;
VAR17[5] <= 32'h00000000;
VAR17[6] <= 32'h00000000;
VAR17[7] <= 32'h00000000;
VAR17[8] <= 32'h00000000;
VAR32 <= 1'b0;
end else if (!VAR13 && VAR38) begin
case (VAR25[3:0])
4'b0000: VAR17[0] <= VAR6;
4'b0001: VAR17[1] <= VAR6;
4'b0010: VAR17[2] <= VAR6;
4'b0011: VAR17[3] <= VAR6;
4'b0100: VAR17[4] <= VAR6;
4'b0101: VAR17[5] <= VAR6;
4'b0110: VAR17[6] <= VAR6;
4'b0111: VAR17[7] <= VAR6;
4'b1000:begin
VAR17[8] <= VAR6;
VAR32 <= 1'b1; end
endcase
VAR20 <= 1'b1;
end else if (VAR13 && VAR38 && VAR41 == 1'b0)begin
VAR20 <= 1'b0;
end else begin
if(VAR3) begin
VAR32 <= 1'b0;
end
VAR20 <= 1'b1;
end
reg VAR36;
always @(posedge VAR23)
if (VAR11) begin
VAR1 <= 1'b1;
end else if (!VAR13 && VAR33) begin
VAR1 <= 1'b1;
VAR36 <= 1'b1;
end else if(VAR13 && VAR33 && VAR9) begin
case (VAR25[3:0])
4'b0000: VAR42 <= VAR17[0];
4'b0001: VAR42 <= VAR17[1];
4'b0010: VAR42 <= VAR17[2];
4'b0011: VAR42 <= VAR17[3];
4'b0100: VAR42 <= VAR17[4];
4'b0101: VAR42 <= VAR17[5];
4'b0110: VAR42 <= VAR17[6];
4'b0111: VAR42 <= VAR17[7];
4'b1000: VAR42 <= VAR17[8];
4'b1001: VAR42 <= VAR14;
default:VAR42 <= 32'hffffffff;
endcase
VAR1 <= 1'b0;
end else begin
VAR1 <= 1'b1;
if (VAR3) begin
VAR36 <= 1'b0;
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o31a/sky130_fd_sc_lp__o31a.pp.symbol.v
| 1,351 |
module MODULE1 (
input VAR2 ,
input VAR4 ,
input VAR8 ,
input VAR7 ,
output VAR5 ,
input VAR3 ,
input VAR1,
input VAR9,
input VAR6
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/clkbuf/gf180mcu_fd_sc_mcu9t5v0__clkbuf_20.behavioral.v
| 1,113 |
module MODULE1( VAR3, VAR4 );
input VAR3;
output VAR4;
VAR1 VAR2(.VAR3(VAR3),.VAR4(VAR4));
VAR1 VAR5(.VAR3(VAR3),.VAR4(VAR4));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nor3/sky130_fd_sc_hdll__nor3.pp.symbol.v
| 1,322 |
module MODULE1 (
input VAR4 ,
input VAR3 ,
input VAR6 ,
output VAR7 ,
input VAR5 ,
input VAR1,
input VAR8,
input VAR2
);
endmodule
|
apache-2.0
|
zhaishaomin/ring_network-based-multicore-
|
core/core_id.v
| 15,218 |
module MODULE1( clk,
rst,
VAR43,
VAR42,
VAR78,
VAR24,
VAR30,
VAR48,
VAR99,
VAR16,
VAR96,
VAR90,
VAR32,
VAR25,
VAR71,
VAR89,
VAR15,
VAR61,
VAR94,
VAR6,
VAR5,
VAR76,
VAR8,
VAR73,
VAR70,
VAR87,
VAR82,
VAR28,
VAR64,
VAR55,
VAR31,
VAR33,
VAR91,
VAR104,
VAR98,
VAR14,
VAR32,
VAR84,
VAR29,
VAR38,
VAR105,
VAR3,
VAR52,
VAR47,
VAR69,
VAR88,
VAR74,
VAR80,
VAR22,
VAR72,
VAR44
);
parameter VAR35=6'b000000;
parameter VAR11=6'b100011;
parameter VAR85=6'b101011;
parameter VAR50=6'b000100;
parameter VAR100=6'b000010;
parameter VAR46=6'b110000;
parameter VAR51=6'b111000;
parameter VAR4=6'b000101;
parameter VAR18=6'b000110;
parameter VAR53=6'b000111;
parameter VAR2=6'b000001;
parameter VAR67=6'b000001;
parameter VAR13=6'b000011;
parameter VAR40=6'b001001;
parameter VAR65=6'b001010;
parameter VAR106=6'b001011;
parameter VAR77=6'b001100;
parameter VAR97=6'b001101;
parameter VAR92=6'b001110;
parameter VAR27=6'b001111;
parameter VAR56=2'b00;
parameter VAR103=2'b01;
parameter VAR86=2'b10;
parameter VAR36=2'b11;
input clk;
input rst;
input VAR43;
input [1:0] VAR42;
input [31:0] VAR78;
input [1:0] VAR24;
input [2:0] VAR30;
input [31:0] VAR48;
input VAR99;
input [4:0] VAR16;
input [31:0] VAR96;
input VAR90;
input VAR32;
input [4:0] VAR25;
input [4:0] VAR71;
input VAR89;
input [4:0] VAR61;
input [31:0] VAR6;
input VAR15;
input [4:0] VAR94;
input [31:0] VAR5;
output VAR76;
output VAR8;
output [31:0] VAR70;
output VAR73;
output [1:0] VAR87;
output VAR82;
output VAR28;
output VAR64;
output [1:0] VAR55;
output [2:0] VAR31;
output VAR33;
output [31:0] VAR91;
output VAR104;
output VAR98;
output VAR14;
output VAR32;
output VAR84;
output [3:0] VAR29;
output [1:0] VAR38;
output VAR105;
output [31:0] VAR3;
output [31:0] VAR52;
output [4:0] VAR47;
output [4:0] VAR88;
output [4:0] VAR69;
output VAR74;
output [1:0] VAR80;
output VAR22;
output VAR72;
output [31:0] VAR44;
wire VAR26;
wire VAR17;
wire VAR79;
wire VAR58;
wire VAR101;
wire VAR81;
assign VAR81=VAR26||VAR17||VAR79||VAR58;
assign VAR31=VAR30;
assign VAR55=VAR24;
assign VAR76=(VAR99&&(VAR16==VAR48[25:21])||(VAR16==VAR48[20:16]))?1'b1:1'b0;
assign VAR8=( (VAR90&&( (VAR25==VAR48[25:21]) || (VAR25==VAR48[20:16]) ) ) ||
(VAR32&&((VAR71==VAR48[25:21])||(VAR71==VAR48[20:16]))) )?1'b1:1'b0;
wire [31:0] VAR44;
wire [15:0] VAR19;
assign VAR19=VAR48[15]?16'hffff:16'h0000;
assign VAR44={VAR19,VAR48[15:0]};
wire [31:0] VAR39;
wire [31:0] VAR41;
wire [31:0] VAR57;
wire [31:0] VAR102;
wire [31:0] VAR34;
assign VAR39={VAR44[31],VAR44[28:0],2'b00};
assign VAR41=VAR96+VAR39;
assign VAR57={VAR96[31:28],VAR48[25:0],2'b00};
assign VAR102={VAR96[31:28],VAR48[25:0],2'b00};
assign VAR34=VAR3;
reg VAR105;
reg VAR21;
reg VAR12;
reg VAR45;
reg VAR75;
reg [3:0] VAR29;
reg VAR83;
reg [1:0] VAR38;
reg VAR9;
reg VAR22;
reg VAR72;
reg VAR59;
reg VAR95;
assign VAR14=VAR75;
assign VAR98=VAR9;
assign VAR32=VAR45;
assign VAR84=VAR83;
assign VAR47=VAR48[25:21];
assign VAR69=VAR48[20:16];
assign VAR88=VAR48[15:11];
always@
begin
if(VAR15&&(VAR94!=5'b00000)&& !(VAR89&&(VAR61!=5'b00000)&&(VAR61!=VAR47)) &&(VAR94==VAR47))
VAR68=2'b01;
end
else if(VAR89&&(VAR61!=5'b00000)&&(VAR61==VAR47))
VAR68=2'b10;
else
VAR68=2'b00;
if(VAR15&&(VAR94!=5'b00000)&& !(VAR89&&(VAR61!=5'b00000)&&(VAR61!=VAR69)) &&(VAR94==VAR69))
VAR54=2'b01;
else if(VAR89&&(VAR61!=5'b00000)&&(VAR61==VAR69))
VAR54=2'b10;
else
VAR54=2'b00;
end
VAR1 VAR93( .clk(clk),
.rst(rst),
.VAR49(VAR48[25:21]),
.VAR62(VAR48[20:16]),
.VAR37(VAR15),
.VAR66(VAR94),
.VAR60(VAR5),
.VAR10(VAR3),
.VAR20(VAR52)
);
reg [31:0] VAR7;
reg [31:0] VAR63;
always@
begin
case(VAR54)
2'b00:VAR63=VAR52;
2'b01:VAR63=VAR5;
2'b10:VAR63=VAR6;
default:VAR63=VAR52;
endcase
end
wire VAR23;
assign VAR23=(!(VAR99&&VAR16==VAR47))&&(!(VAR32&&VAR71==VAR47))?1'b1:1'b0;
reg VAR73;
reg [31:0] VAR70;
reg [1:0] VAR87;
reg VAR82;
reg VAR28;
reg VAR64;
always@
begin
VAR104=1'b0;
VAR33=1'b0;
VAR91=VAR78;
if(!VAR59&&VAR42==VAR86&&VAR43)
begin
VAR104=1'b1;
end
else if(!VAR95&&VAR42==VAR36&&VAR43)
begin
VAR33=1'b1;
VAR91=VAR78;
end
end
assign VAR26=(VAR12&&(VAR7<=32'h00000000)&&(VAR29==4'b1010));
assign VAR17=(VAR12&&(VAR7>32'h00000000)&&(VAR29==4'b1011));
assign VAR79=(VAR12&&(VAR7<32'h00000000)&&(VAR29==4'b1100));
assign VAR58=(VAR12&&(VAR7>=32'h00000000)&&(VAR29==4'b1101));
assign VAR101=VAR7==VAR63?1'b1:1'b0;
assign VAR80=(VAR101&&VAR12==1'b1)?2'b01:VAR21?2'b10:2'b00;
assign VAR74=|VAR80;
endmodule
|
apache-2.0
|
catompiler/fpgalibs
|
uart/uart.v
| 18,668 |
module MODULE2 #(parameter VAR14=16)
(input wire clk, input wire rst, input wire VAR13,
input wire[VAR14-1:0] VAR25, output wire out);
VAR10 #(VAR14) VAR20(.clk(clk), .rst(rst), .VAR13(VAR13),
.VAR24(VAR25), .out(), .VAR23(out));
endmodule
module MODULE1 (input wire clk, input wire rst, input wire VAR13, input wire VAR9,
input wire VAR18, input wire VAR2, input wire VAR1,
input wire[7:0] VAR12, input wire VAR21, output wire VAR17, output wire VAR26);
reg[3:0] state;
wire VAR22 = |state;
wire VAR5 = state[3];
wire VAR8 = (~state[3] & state[2] & ~state[1] & ~state[0]);
wire[3:0] VAR16 = {1'b1, VAR4, 1'b0, 1'b1};
wire[7:0] VAR27;
wire VAR15 = rst & VAR22 & ~VAR8;
wire VAR11 = VAR13 & VAR22 & VAR9;
wire VAR6;
wire VAR19 = VAR21 & ~VAR22;
wire VAR29 = VAR13 & VAR6 & VAR5;
wire VAR3;
wire[7:0] VAR7;
wire VAR28 = ^VAR7;
wire VAR4 = (~VAR2 & VAR28) | (VAR2 & ~VAR28);
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/and4bb/sky130_fd_sc_hdll__and4bb.pp.symbol.v
| 1,342 |
module MODULE1 (
input VAR9 ,
input VAR8 ,
input VAR4 ,
input VAR2 ,
output VAR7 ,
input VAR1 ,
input VAR5,
input VAR3,
input VAR6
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkinv/sky130_fd_sc_lp__clkinv_16.v
| 2,042 |
module MODULE1 (
VAR1 ,
VAR2 ,
VAR7,
VAR8,
VAR4 ,
VAR3
);
output VAR1 ;
input VAR2 ;
input VAR7;
input VAR8;
input VAR4 ;
input VAR3 ;
VAR6 VAR5 (
.VAR1(VAR1),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR1,
VAR2
);
output VAR1;
input VAR2;
supply1 VAR7;
supply0 VAR8;
supply1 VAR4 ;
supply0 VAR3 ;
VAR6 VAR5 (
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand4b/sky130_fd_sc_ls__nand4b.pp.blackbox.v
| 1,347 |
module MODULE1 (
VAR1 ,
VAR5 ,
VAR9 ,
VAR6 ,
VAR7 ,
VAR4,
VAR3,
VAR2 ,
VAR8
);
output VAR1 ;
input VAR5 ;
input VAR9 ;
input VAR6 ;
input VAR7 ;
input VAR4;
input VAR3;
input VAR2 ;
input VAR8 ;
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/Mibench/firmware/outputs/localram.v
| 52,818 |
module MODULE1(clk, addr, VAR40, VAR80, VAR32, en, reset);
input clk;
input [13:2] addr;
input [31:0] VAR40;
output [31:0] VAR80;
input [3:0] VAR32;
input en;
input reset;
VAR39 VAR42(
.VAR5 (VAR80[3:0]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[3:0]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[0])
);
VAR39 VAR76(
.VAR5 (VAR80[7:4]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[7:4]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[0])
);
VAR39 VAR65(
.VAR5 (VAR80[11:8]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[11:8]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[1])
);
VAR39 VAR60(
.VAR5 (VAR80[15:12]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[15:12]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[1])
);
VAR39 VAR6(
.VAR5 (VAR80[19:16]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[19:16]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[2])
);
VAR39 VAR78(
.VAR5 (VAR80[23:20]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[23:20]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[2])
);
VAR39 VAR23(
.VAR5 (VAR80[27:24]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[27:24]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[3])
);
VAR39 VAR47(
.VAR5 (VAR80[31:28]),
.VAR53 (addr[13:2]),
.VAR57 (clk),
.VAR25 (VAR40[31:28]),
.VAR22 (en),
.VAR11 (reset),
.VAR18 (VAR32[3])
);
endmodule
|
mit
|
javierbrito29/papiGB
|
rtl/sound_controller_modules/SoundControllerChannel1.v
| 5,582 |
module MODULE1 (
input wire VAR23, input wire VAR33,
input wire VAR18, input wire VAR5, input wire VAR12, input wire VAR20,
input wire [7:0] VAR27,
input wire [7:0] VAR24,
input wire [7:0] VAR3,
input wire [7:0] VAR21,
input wire [7:0] VAR11,
output reg [4:0] VAR4,
output wire VAR7
);
reg [2:0] VAR19;
reg VAR13;
reg [2:0] VAR28;
reg [17:0] VAR14;
reg [11:0] VAR22;
reg [5:0] VAR9;
reg [19:0] VAR32;
reg [1:0] VAR15;
reg VAR1;
reg VAR17;
reg VAR30;
reg [10:0] VAR8;
reg [10:0] VAR2;
reg [3:0] VAR6;
reg [18:0] VAR29;
reg [18:0] VAR31;
reg [3:0] VAR26;
reg VAR10;
wire [4:0] VAR25, VAR16;
always @(posedge VAR23) begin
if (VAR33 || VAR11[7]) begin
VAR9 <= VAR24[5:0];
VAR32 <= 64-VAR24[5:0]; VAR17 <= 0; VAR15 <= VAR24[7:6];
VAR1 <= VAR11[6];
VAR29 <= VAR3[2:0];
VAR31 <= VAR3[2:0]; VAR10 <= VAR3[3];
VAR26 <= VAR3[7:4];
VAR6 <= VAR3[7:4];
VAR30 <= 0;
VAR8[10:0] <= 2048-{VAR11[2:0],VAR21[7:0]};
VAR2[10:0] <= 2048-{VAR11[2:0],VAR21[7:0]};
VAR22 <= 2048-{VAR11[2:0],VAR21[7:0]};
VAR19 <= VAR27[2:0];
VAR13 <= VAR27[3];
VAR28 <= VAR27[6:4];
VAR14 <= VAR27[6:4];
end
end
always @(posedge VAR18) begin
if (VAR29 != 0) begin if (VAR31 ==1 ) begin
VAR31 <= VAR29; if(VAR10) begin VAR6 <= ((VAR6 == 4'hF) ? VAR6 : VAR6+1); end
else begin
VAR6 <= ((VAR6 == 4'h0) ? VAR6 : VAR6-1); end
end
else begin
VAR31 <= VAR31-1;
end
end
end
always @(posedge VAR20) begin
if (VAR2 ==0) begin
VAR2 <= VAR8;
VAR30 <= ~VAR30;
end
else begin
VAR2 <= VAR2-1;
end
end
always @(posedge VAR12) begin
if (VAR14 ==1 && VAR19 != 0 && VAR28 > 0) begin if (VAR13) begin
VAR22 <= VAR8 + (VAR8 >> VAR19);
end
else begin
VAR22 <= VAR8 - (VAR8 >> VAR19);
end
end
if (VAR14 ==0 && VAR28 > 0) begin if (VAR22 == 1 && VAR8 == 1) begin VAR17 = 1'b1 ;
end
else if (VAR22 <= 2047) begin VAR8 <= VAR22;
end
VAR14 <= VAR28;
end
else begin
VAR14 = VAR14-1;
end
end
always @(posedge VAR5) begin
if (VAR32 == 0) begin
VAR32 <= 64-VAR9;
VAR17 <= (VAR1 || VAR17); end
else begin
VAR32 <= VAR32-1;
end
end
assign VAR25 = 5'd15 + VAR6;
assign VAR16 = 5'd15 - VAR6;
always @(posedge VAR23) begin
if (VAR17) begin
VAR4[4:0] <= 5'd15;
end
else begin
if (VAR30) begin
VAR4[4:0] <= VAR25[4:0];
end
else begin
VAR4[4:0] <= VAR16[4:0];
end
end
end
assign VAR7 = VAR17;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nor3b/sky130_fd_sc_lp__nor3b.pp.symbol.v
| 1,341 |
module MODULE1 (
input VAR8 ,
input VAR1 ,
input VAR5 ,
output VAR4 ,
input VAR2 ,
input VAR3,
input VAR7,
input VAR6
);
endmodule
|
apache-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/sdr_lib/ddc_chain.v
| 6,872 |
module MODULE1
parameter VAR90 = 0,
parameter VAR53 = 0,
parameter VAR116 = 24
)
(input clk, input rst, input VAR64,
input VAR17, input [7:0] VAR11, input [31:0] VAR85,
input VAR100, input [7:0] VAR124, input [31:0] VAR86,
input [VAR116-1:0] VAR43,
input [VAR116-1:0] VAR29,
output [31:0] VAR46,
input VAR72,
output VAR106,
output [31:0] VAR74
);
localparam VAR14 = 25;
localparam VAR81 = 24;
wire VAR35;
wire [31:0] VAR128;
reg [31:0] VAR16;
wire [17:0] VAR18;
wire [VAR14-1:0] VAR63, VAR120;
wire [VAR116-1:0] VAR36, VAR88;
wire [VAR116-1:0] VAR105, VAR41;
wire [VAR116-1:0] VAR6, VAR129;
wire [VAR116-1:0] VAR92, VAR94;
wire VAR33, VAR67, VAR38;
wire VAR49, VAR96;
wire [7:0] VAR89;
reg [VAR116-1:0] VAR103, VAR47;
wire VAR104;
wire VAR70;
VAR15 #(.VAR109(VAR90+0)) VAR80
(.clk(clk),.rst(rst),.VAR106(VAR17),.addr(VAR11),
.in(VAR85),.out(VAR128),.VAR59());
VAR15 #(.VAR109(VAR90+1), .VAR122(18)) VAR117
(.clk(clk),.rst(rst),.VAR106(VAR17),.addr(VAR11),
.in(VAR85),.out(VAR18),.VAR59());
VAR15 #(.VAR109(VAR90+2), .VAR122(10)) VAR51
(.clk(clk),.rst(rst),.VAR106(VAR17),.addr(VAR11),
.in(VAR85),.out({VAR49, VAR96, VAR89}),.VAR59());
VAR15 #(.VAR109(VAR90+3), .VAR122(2)) VAR101
(.clk(clk),.rst(rst),.VAR106(VAR17),.addr(VAR11),
.in(VAR85),.out({VAR104,VAR70}),.VAR59());
always @(posedge clk)
if(VAR70)
begin
VAR103 <= VAR29;
VAR47 <= VAR104 ? 0 : VAR43;
end
else
begin
VAR103 <= VAR43;
VAR47 <= VAR104 ? 0 : VAR29;
end
always @(posedge clk)
if(rst)
VAR16 <= 0;
else if(~VAR35)
VAR16 <= 0;
else
VAR16 <= VAR16 + VAR128;
wire [VAR116-1:0] VAR61, VAR13;
wire [VAR14-1:0] VAR113, VAR98;
VAR84 #(.VAR19(VAR116), .VAR54(VAR14)) VAR97 (.in(VAR61), .out(VAR113));
VAR84 #(.VAR19(VAR116), .VAR54(VAR14)) VAR68 (.in(VAR13), .out(VAR98));
VAR107 #(.VAR62(VAR14))
VAR126(.VAR30(clk), .reset(rst), .enable(VAR35),
.VAR71(VAR113),. VAR40(VAR98), .VAR87(VAR16[31:32-VAR81]),
.VAR95(VAR63),.VAR82(VAR120),.VAR60() );
VAR24 #(.VAR19(VAR14), .VAR54(VAR116)) VAR39
(.clk(clk), .in(VAR63), .VAR121(1'b1), .out(VAR36));
VAR24 #(.VAR19(VAR14), .VAR54(VAR116)) VAR31
(.clk(clk), .in(VAR120), .VAR121(1'b1), .out(VAR88));
VAR44 VAR44(.VAR30(clk),.reset(rst),.enable(VAR35),.VAR20(VAR89),
.VAR114(1),.VAR1(VAR33) );
VAR12 #(.VAR91(VAR116))
VAR69 (.VAR30(clk),.reset(rst),.enable(VAR35),
.VAR20(VAR89),.VAR121(1'b1),.VAR48(VAR33),
.VAR34(VAR36),.VAR73(VAR105));
VAR12 #(.VAR91(VAR116))
VAR7 (.VAR30(clk),.reset(rst),.enable(VAR35),
.VAR20(VAR89),.VAR121(1'b1),.VAR48(VAR33),
.VAR34(VAR88),.VAR73(VAR41));
VAR93 #(.VAR116(VAR116)) VAR37
(.clk(clk),.rst(rst),.VAR25(~VAR49),.VAR72(VAR35),
.VAR22(VAR33),.VAR2(VAR105),.VAR58(VAR67),.VAR77(VAR6));
VAR93 #(.VAR116(VAR116)) VAR21
(.clk(clk),.rst(rst),.VAR25(~VAR49),.VAR72(VAR35),
.VAR22(VAR33),.VAR2(VAR41),.VAR58(),.VAR77(VAR129));
wire [8:0] VAR127 = VAR49 ? {VAR89,1'b0} : {1'b0,VAR89};
hbdec #(.VAR116(VAR116)) VAR102
(.clk(clk),.rst(rst),.VAR25(~VAR96),.VAR72(VAR35),.VAR8(VAR127),
.VAR22(VAR67),.VAR2(VAR6),.VAR58(VAR38),.VAR77(VAR92));
hbdec #(.VAR116(VAR116)) VAR66
(.clk(clk),.rst(rst),.VAR25(~VAR96),.VAR72(VAR35),.VAR8(VAR127),
.VAR22(VAR67),.VAR2(VAR129),.VAR58(),.VAR77(VAR94));
wire [35:0] VAR4, VAR5;
VAR23 VAR75
(.VAR123(VAR4), .VAR10(VAR92[VAR116-1:VAR116-18]), .VAR111(VAR18), .VAR115(clk), .VAR55(VAR38), .VAR119(rst) );
VAR23 VAR42
(.VAR123(VAR5), .VAR10(VAR94[VAR116-1:VAR116-18]), .VAR111(VAR18), .VAR115(clk), .VAR55(VAR38), .VAR119(rst) );
reg [VAR116-1:0] VAR79, VAR26;
reg VAR83;
always @(posedge clk) begin
VAR83 <= VAR38;
VAR79 <= VAR4[33:34-VAR116];
VAR26 <= VAR5[33:34-VAR116];
end
wire [31:0] VAR125;
wire VAR99;
VAR56 #(.VAR78(VAR116),.VAR52(16)) VAR76
(.clk(clk),.reset(rst), .in(VAR79),.VAR121(VAR83), .out(VAR125[31:16]), .VAR48(VAR99));
VAR56 #(.VAR78(VAR116),.VAR52(16)) VAR108
(.clk(clk),.reset(rst), .in(VAR26),.VAR121(VAR83), .out(VAR125[15:0]), .VAR48());
VAR57 #(.VAR53(VAR53), .VAR116(VAR116)) VAR32(
.VAR30(clk), .reset(rst), .VAR45(VAR64), .enable(VAR72),
.VAR17(VAR100), .VAR11(VAR124), .VAR85(VAR86),
.VAR110(VAR103), .VAR118(VAR47),
.VAR50(VAR61), .VAR3(VAR13),
.VAR112(VAR125), .VAR9(VAR99), .VAR27(VAR35),
.VAR65(VAR46), .VAR28(VAR106));
assign VAR74 = {VAR49, VAR96, VAR72, VAR106, VAR33, VAR67, VAR38};
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o2111a/sky130_fd_sc_ls__o2111a.symbol.v
| 1,393 |
module MODULE1 (
input VAR5,
input VAR1,
input VAR3,
input VAR2,
input VAR9,
output VAR10
);
supply1 VAR6;
supply0 VAR4;
supply1 VAR8 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dffnrsnq/gf180mcu_fd_sc_mcu9t5v0__dffnrsnq_4.behavioral.pp.v
| 9,064 |
module MODULE1( VAR61, VAR37, VAR91, VAR6, VAR13, VAR42, VAR40 );
input VAR61, VAR37, VAR6, VAR91;
inout VAR42, VAR40;
output VAR13;
reg VAR44;
VAR86 VAR58(.VAR61(VAR61),.VAR37(VAR37),.VAR91(VAR91),.VAR6(VAR6),.VAR13(VAR13),.VAR42(VAR42),.VAR40(VAR40),.VAR44(VAR44));
VAR86 VAR49(.VAR61(VAR61),.VAR37(VAR37),.VAR91(VAR91),.VAR6(VAR6),.VAR13(VAR13),.VAR42(VAR42),.VAR40(VAR40),.VAR44(VAR44));
not VAR73(VAR18,VAR37);
and VAR90(VAR26,VAR6,VAR18);
and VAR75(VAR83,VAR91,VAR26);
and VAR24(VAR50,VAR6,VAR37);
and VAR89(VAR36,VAR91,VAR50);
and VAR20(VAR48,VAR91,VAR6);
buf VAR70(VAR28,VAR91);
not VAR7(VAR80,VAR61);
not VAR1(VAR43,VAR37);
and VAR72(VAR4,VAR43,VAR80);
and VAR2(VAR21,VAR91,VAR4);
not VAR9(VAR87,VAR61);
and VAR81(VAR23,VAR37,VAR87);
and VAR77(VAR35,VAR91,VAR23);
not VAR30(VAR74,VAR37);
and VAR45(VAR56,VAR74,VAR61);
and VAR54(VAR14,VAR91,VAR56);
and VAR67(VAR22,VAR37,VAR61);
and VAR5(VAR11,VAR91,VAR22);
not VAR34(VAR12,VAR61);
not VAR82(VAR88,VAR37);
and VAR19(VAR15,VAR88,VAR12);
not VAR17(VAR53,VAR61);
and VAR60(VAR78,VAR37,VAR53);
not VAR57(VAR76,VAR37);
and VAR52(VAR79,VAR76,VAR61);
and VAR16(VAR38,VAR37,VAR61);
buf VAR27(VAR25,VAR6);
not VAR29(VAR64,VAR61);
not VAR3(VAR8,VAR37);
and VAR39(VAR71,VAR8,VAR64);
and VAR63(VAR10,VAR6,VAR71);
not VAR66(VAR41,VAR61);
and VAR33(VAR62,VAR37,VAR41);
and VAR55(VAR47,VAR6,VAR62);
not VAR51(VAR65,VAR37);
and VAR46(VAR84,VAR65,VAR61);
and VAR85(VAR69,VAR6,VAR84);
and VAR68(VAR31,VAR37,VAR61);
and VAR32(VAR59,VAR6,VAR31);
|
apache-2.0
|
Jafet95/proy_3_grupo_2_sem_1_2016
|
generador_figuras_v2.v
| 2,713 |
module MODULE1
(
input wire VAR12,input wire [9:0] VAR25, VAR22,output wire VAR20,
output reg [7:0] VAR3 );
localparam VAR7 = 640;
localparam VAR21 = 480;
localparam VAR17 = 160; localparam VAR9 = 479; localparam VAR24 = 64;localparam VAR18 = 255;
localparam VAR15 = 48;localparam VAR19 = 303;localparam VAR8 = 352;localparam VAR11 = 447;
localparam VAR23 = 336;localparam VAR4 = 591;localparam VAR14 = 352;localparam VAR2 = 447;
wire VAR16, VAR10, VAR13;
wire [7:0] VAR1, VAR6, VAR5;
assign VAR16 = (VAR17<=VAR25)&&(VAR25<=VAR9)
&&(VAR24<=VAR22)&&(VAR22<=VAR18);
assign VAR1 = 8'h1E;
assign VAR10 = (VAR15<=VAR25)&&(VAR25<=VAR19)
&&(VAR8<=VAR22)&&(VAR22<=VAR11);
assign VAR6 = 8'h1E;
assign VAR13 = (VAR23<=VAR25)&&(VAR25<=VAR4)
&&(VAR14<=VAR22)&&(VAR22<=VAR2);
assign VAR5 = 8'h1E;
always @*
begin
if(~VAR12)
VAR3 = 8'b0;
end
else
if (VAR16) VAR3 = VAR1;
else if (VAR10) VAR3 = VAR6;
else if (VAR13) VAR3 = VAR5;
else VAR3 = 8'b0;end
assign VAR20 = VAR16 | VAR10 | VAR13;
endmodule
|
mit
|
shailcoolboy/Warp-Trinity
|
PlatformSupport/Deprecated/pcores/radio_controller_v1_04_a/hdl/verilog/user_logic.v
| 27,355 |
/* VAR79 VAR106:
module MODULE1
(
VAR100,
VAR5,
VAR61,
VAR2,
VAR98,
VAR88,
VAR140,
VAR119,
VAR10,
VAR104,
VAR127,
VAR141,
VAR60,
VAR77,
VAR35,
VAR128,
VAR152,
VAR69,
VAR149,
VAR90,
VAR22,
VAR16,
VAR48,
VAR54,
VAR148,
VAR28,
VAR42,
VAR57,
VAR58,
VAR31,
VAR84,
VAR45,
VAR64,
VAR87,
VAR124,
VAR89,
VAR36,
VAR86,
VAR151,
VAR70,
VAR78,
VAR50,
VAR30,
VAR23,
VAR118,
VAR40,
VAR17,
VAR18,
VAR95,
VAR66,
VAR14,
VAR56,
VAR105,
VAR120,
VAR123,
VAR94,
VAR9,
VAR72,
VAR103,
VAR112,
VAR107,
VAR145,
VAR143,
VAR29,
VAR12,
VAR38,
VAR129,
VAR146,
VAR133,
VAR101,
VAR44,
VAR15,
VAR93,
VAR55,
VAR41,
VAR126,
VAR81,
VAR3,
VAR26,
VAR137,
VAR71,
VAR32,
VAR46,
VAR125,
VAR80,
VAR1,
VAR13,
VAR132,
VAR115,
VAR59,
VAR33,
VAR39,
VAR117,
VAR67,
VAR24,
VAR121,
VAR142,
VAR150,
VAR53,
VAR113,
VAR68,
VAR114,
VAR65,
VAR34,
VAR62,
VAR82,
VAR19, VAR130, VAR6, VAR73, VAR83, VAR91, VAR8, VAR109, VAR4, VAR49, VAR63 );
parameter VAR27 = 32;
parameter VAR47 = 8;
output VAR100;
output VAR5;
output VAR61;
output VAR2;
output VAR98;
output VAR88;
output VAR140;
output VAR119;
output VAR10;
output VAR104;
output VAR127;
output VAR141;
output VAR60;
output VAR77;
input VAR35;
output VAR128;
output VAR152;
output [0 : 1] VAR69;
output [0 : 2] VAR149;
output VAR90;
output VAR22;
input VAR16;
input VAR48;
output VAR54;
output VAR148;
input [0 : 3] VAR28;
output VAR42;
output VAR57;
input VAR58;
output VAR31;
input [0 : 9] VAR84;
input VAR45;
output VAR64;
input VAR87;
output VAR124;
output VAR89;
output VAR36;
output VAR86;
input VAR151;
output VAR70;
output VAR78;
output [0 : 1] VAR50;
output [0 : 2] VAR30;
output VAR23;
output VAR118;
input VAR40;
input VAR17;
output VAR18;
output VAR95;
input [0 : 3] VAR66;
output VAR14;
output VAR56;
input VAR105;
output VAR120;
input [0 : 9] VAR123;
input VAR94;
output VAR9;
input VAR72;
output VAR103;
output VAR112;
output VAR107;
output VAR145;
input VAR143;
output VAR29;
output VAR12;
output [0 : 1] VAR38;
output [0 : 2] VAR129;
output VAR146;
output VAR133;
input VAR101;
input VAR44;
output VAR15;
output VAR93;
input [0 : 3] VAR55;
output VAR41;
output VAR126;
input VAR81;
output VAR3;
input [0 : 9] VAR26;
input VAR137;
output VAR71;
input VAR32;
output VAR46;
output VAR125;
output VAR80;
output VAR1;
input VAR13;
output VAR132;
output VAR115;
output [0 : 1] VAR59;
output [0 : 2] VAR33; output VAR39;
output VAR117; input VAR67;
input VAR24;
output VAR121;
output VAR142;
input [0 : 3] VAR150;
output VAR53; output VAR113; input VAR68;
output VAR114; input [0 : 9] VAR65;
input VAR34;
output VAR62;
input VAR82;
input VAR19;
input VAR130;
input [0 : VAR27-1] VAR6;
input [0 : VAR27/8-1] VAR73;
input [0 : VAR47-1] VAR83;
input [0 : VAR47-1] VAR91;
output [0 : VAR27-1] VAR8;
output VAR109;
output VAR4;
output VAR49;
output VAR63;
reg [0 : VAR27-1] VAR92;
reg [0 : VAR27-1] VAR122;
reg [0 : VAR27-1] VAR20;
reg [0 : VAR27-1] VAR7;
reg [0 : VAR27-1] VAR75;
reg [0 : VAR27-1] VAR21;
reg [0 : VAR27-1] VAR102;
reg [0 : VAR27-1] VAR147;
wire [0 : 7] VAR110;
wire [0 : 7] VAR52;
reg [0 : VAR27-1] VAR108;
wire VAR139;
wire VAR76;
integer VAR116, VAR111;
wire [7:0] VAR131;
wire VAR25;
wire [13:0] VAR85;
wire [7:0] VAR11;
wire VAR99;
wire [17:0] VAR37;
assign VAR127 = ~VAR92[31];
assign VAR141 = VAR92[30];
assign VAR60 = VAR92[29];
assign VAR77 = (VAR92[27])?VAR92[28]:VAR87;
assign VAR128 = ~VAR92[26];
assign VAR152 = ~VAR92[25];
assign VAR69[0] = VAR92[23];
assign VAR69[1] = VAR92[24];
assign VAR90 = VAR92[22];
assign VAR149[0] = ~VAR45;
assign VAR149[1] = VAR141;
assign VAR149[2] = ~VAR35;
assign VAR54 = VAR92[18];
assign VAR148 = VAR92[17];
assign VAR31 = VAR92[16];
assign VAR64 = VAR92[10];
assign VAR22 = 1'b1; assign VAR42 = 1'b0; assign VAR57 = 1'b0;
assign VAR124 = ~VAR122[31];
assign VAR89 = VAR122[30];
assign VAR36 = VAR122[29];
assign VAR86 = (VAR122[27])?VAR122[28]:VAR72;
assign VAR70 = ~VAR122[26];
assign VAR78 = ~VAR122[25];
assign VAR50[0] = VAR122[23];
assign VAR50[1] = VAR122[24];
assign VAR23 = VAR122[22];
assign VAR30[0] = ~VAR94;
assign VAR30[1] = VAR89;
assign VAR30[2] = ~VAR151;
assign VAR18 = VAR122[18];
assign VAR95 = VAR122[17];
assign VAR120 = VAR122[16];
assign VAR9 = VAR122[10];
assign VAR118 = 1'b1; assign VAR14 = 1'b0; assign VAR56 = 1'b0;
assign VAR103 = ~VAR20[31];
assign VAR112 = VAR20[30];
assign VAR107 = VAR20[29];
assign VAR145 = (VAR20[27])?VAR20[28]:VAR32;
assign VAR29 = ~VAR20[26];
assign VAR12 = ~VAR20[25];
assign VAR38[0] = VAR20[23];
assign VAR38[1] = VAR20[24];
assign VAR146 = VAR20[22];
assign VAR129[0] = ~VAR137;
assign VAR129[1] = VAR112;
assign VAR129[2] = ~VAR143;
assign VAR15 = VAR20[18];
assign VAR93 = VAR20[17];
assign VAR3 = VAR20[16];
assign VAR71 = VAR20[10];
assign VAR133 = 1'b1; assign VAR41 = 1'b0; assign VAR126 = 1'b0;
assign VAR46 = ~VAR7[31];
assign VAR125 = VAR7[30];
assign VAR80 = VAR7[29];
assign VAR1 = (VAR7[27])?VAR7[28]:VAR82;
assign VAR132 = ~VAR7[26];
assign VAR115 = ~VAR7[25];
assign VAR59[0] = VAR7[23];
assign VAR59[1] = VAR7[24];
assign VAR39 = VAR7[22];
assign VAR33[0] = ~VAR34;
assign VAR33[1] = VAR125;
assign VAR33[2] = ~VAR13;
assign VAR121 = VAR7[18];
assign VAR142 = VAR7[17];
assign VAR114 = VAR7[16];
assign VAR62 = VAR7[10];
assign VAR117 = 1'b1; assign VAR53 = 1'b0; assign VAR113 = 1'b0;
assign VAR61 = VAR131[0];
assign VAR2 = VAR131[1];
assign VAR98 = VAR131[2];
assign VAR88 = VAR131[3];
assign VAR140 = VAR131[4];
assign VAR119 = VAR131[5];
assign VAR10 = VAR131[6];
assign VAR104 = VAR131[7];
assign VAR85 = VAR75[18:31];
assign VAR99 = VAR21[31];
assign VAR11 = VAR102[24:31];
assign VAR37 = VAR147[14:31];
VAR138 VAR138(
.VAR136(VAR19),
.VAR97(VAR130),
.VAR85(VAR85),
.VAR11(VAR11),
.VAR99(VAR99),
.VAR37(VAR37),
.VAR144(VAR91[4]),
.VAR135(VAR6[23]),
.VAR74(VAR25),
.VAR131(VAR131),
.VAR51(VAR100),
.VAR43(VAR5)
);
assign
VAR110 = VAR91[0:7],
VAR52 = VAR83[0:7],
VAR76 = VAR91[0] || VAR91[1] || VAR91[2] || VAR91[3] || VAR91[4] || VAR91[5] || VAR91[6] || VAR91[7],
VAR139 = VAR83[0] || VAR83[1] || VAR83[2] || VAR83[3] || VAR83[4] || VAR83[5] || VAR83[6] || VAR83[7];
always @( posedge VAR19 )
begin: VAR134
if ( VAR130 == 1 )
begin
VAR92 <= 0;
VAR122 <= 0;
VAR20 <= 0;
VAR7 <= 0;
VAR75 <= 0;
VAR21 <= 0;
VAR102 <= 0;
VAR147 <= 0;
end
else
case ( VAR110 )
8'b10000000 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR92[VAR111] <= VAR6[VAR111];
8'b01000000 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR122[VAR111] <= VAR6[VAR111];
8'b00100000 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR20[VAR111] <= VAR6[VAR111];
8'b00010000 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR7[VAR111] <= VAR6[VAR111];
8'b00001000 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR75[VAR111] <= VAR6[VAR111];
8'b00000100 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR21[VAR111] <= VAR6[VAR111];
8'b00000010 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR102[VAR111] <= VAR6[VAR111];
8'b00000001 :
for ( VAR116 = 0; VAR116 <= (VAR27/8)-1; VAR116 = VAR116+1 )
if ( VAR73[VAR116] == 1 )
for ( VAR111 = VAR116*8; VAR111 <= VAR116*8+7; VAR111 = VAR111+1 )
VAR147[VAR111] <= VAR6[VAR111];
default : ;
endcase
end
always @( VAR52 or VAR92 or VAR122 or VAR20 or VAR7 or VAR75 or VAR21 or VAR102 or VAR147 )
begin: VAR96
case ( VAR52 )
8'b10000000 : VAR108 <= {VAR84[0:9],
VAR92[10],
VAR45,
VAR58,
VAR28[3],
VAR28[2],
VAR28[1],
VAR28[0],
VAR92[17:18],
VAR48,
VAR16,
VAR22,
VAR92[22:26],
VAR35,
VAR92[28:31]};
8'b01000000 : VAR108 <= {VAR123[0:9],
VAR122[10],
VAR94,
VAR105,
VAR66[3],
VAR66[2],
VAR66[1],
VAR66[0],
VAR122[17:18],
VAR17,
VAR40,
VAR118,
VAR122[22:26],
VAR151,
VAR122[28:31]};
8'b00100000 : VAR108 <= {VAR26[0:9],
VAR20[10],
VAR137,
VAR81,
VAR55[3],
VAR55[2],
VAR55[1],
VAR55[0],
VAR20[17:18],
VAR44,
VAR101,
VAR133,
VAR20[22:26],
VAR143,
VAR20[28:31]};
8'b00010000 : VAR108 <= {VAR65[0:9],
VAR7[10],
VAR34,
VAR68,
VAR150[3],
VAR150[2],
VAR150[1],
VAR150[0],
VAR7[17:18],
VAR24,
VAR67,
VAR117,
VAR7[22:26],
VAR13,
VAR7[28:31]};
8'b00001000 : VAR108 <= {VAR75[0:22], VAR25, VAR75[24:31]};
8'b00000100 : VAR108 <= VAR21;
8'b00000010 : VAR108 <= VAR102;
8'b00000001 : VAR108 <= VAR147;
default : VAR108 <= 0;
endcase
end
assign VAR8 = VAR108;
assign VAR109 = VAR76 || VAR139;
assign VAR49 = 0;
assign VAR4 = 0;
assign VAR63 = 0;
endmodule
|
bsd-2-clause
|
onchipuis/mriscv_vivado
|
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/phy/mig_7series_v4_0_poc_top.v
| 16,253 |
module MODULE1 #
(parameter VAR48 = 2,
parameter VAR15 = 10,
parameter VAR57 = 95,
parameter VAR35 = "VAR11",
parameter VAR29 = 100,
parameter VAR31 = 0,
parameter VAR63 = 0,
parameter VAR47 = 8,
parameter VAR12 = 128,
parameter VAR68 = 7,
parameter VAR6 =112)
(
VAR41, VAR33, VAR20, VAR56, VAR40,
VAR38, VAR53, VAR17,
VAR34,
VAR42, rst, VAR51, VAR3, VAR44,
VAR58, VAR1, VAR72, VAR78,
VAR75, clk
);
localparam VAR36 = 2;
input clk; input VAR75; input VAR78; input [VAR48-1:0] VAR72; input VAR1; input [1:0] VAR58; input VAR44; input VAR3; input VAR51; input rst; input VAR42;
output [1023:0] VAR20; output VAR33; output VAR41;
wire [VAR68+1:0] VAR61; wire [VAR68:0] VAR5; wire [VAR68-1:0] VAR43; wire [VAR68-1:0] VAR49; wire [VAR68-1:0] VAR19; wire [VAR68-1:0] VAR9; wire [VAR68-1:0] VAR67; wire [VAR68-1:0] VAR39; wire [VAR68-1:0] VAR46; wire [VAR68-1:0] VAR69; wire [VAR68-1:0] VAR30; wire [VAR68-1:0] VAR4; wire [VAR68-1:0] VAR64; wire [VAR68-1:0] VAR60; wire [VAR68-1:0] VAR13; wire VAR71; wire [1:0] VAR18; wire VAR10; wire VAR14; wire [VAR47-1:0] VAR54; wire [VAR47:0] VAR66; wire [VAR47:0] VAR22; wire [VAR47:0] VAR32; wire VAR26; wire [VAR47:0] VAR59; wire VAR55; wire [VAR36-1:0] VAR16; wire [VAR68-1:0] VAR2; wire [VAR68:0] VAR37;
output VAR56;
output [VAR68-1:0] VAR40;
output [VAR68-1:0] VAR38;
output VAR53;
output VAR17;
output VAR34;
VAR52 #
(
.VAR15 (VAR15),
.VAR35 (VAR35),
.VAR47 (VAR47),
.VAR36 (VAR36),
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR29 (VAR29))
VAR73
(
.VAR56 (VAR56),
.VAR41 (VAR41),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR10 (VAR10),
.VAR14 (VAR14),
.VAR54 (VAR54[VAR47-1:0]),
.VAR22 (VAR22[VAR47:0]),
.VAR32 (VAR32[VAR47:0]),
.VAR26 (VAR26),
.VAR55 (VAR55),
.VAR16 (VAR16[VAR36-1:0]),
.VAR2 (VAR2[VAR68-1:0]),
.clk (clk),
.VAR44 (VAR44),
.VAR3 (VAR3),
.VAR51 (VAR51),
.rst (rst),
.VAR66 (VAR66[VAR47:0]),
.VAR59 (VAR59[VAR47:0]));
VAR70 #
(
.VAR63 (VAR63),
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR29 (VAR29))
VAR25
(
.VAR61 (VAR61[VAR68+1:0]),
.VAR5 (VAR5[VAR68:0]),
.VAR46 (VAR46[VAR68-1:0]),
.VAR53 (VAR53),
.VAR17 (VAR17),
.VAR34 (VAR34),
.VAR69 (VAR69[VAR68-1:0]),
.VAR18 (VAR18[1:0]),
.VAR37 (VAR37[VAR68:0]),
.clk (clk),
.VAR75 (VAR75),
.VAR78 (VAR78),
.VAR1 (VAR1),
.VAR58 (VAR58[1:0]),
.VAR30 (VAR30[VAR68-1:0]),
.VAR4 (VAR4[VAR68-1:0]),
.VAR40 (VAR40[VAR68-1:0]),
.VAR64 (VAR64[VAR68-1:0]),
.VAR60 (VAR60[VAR68-1:0]),
.VAR38 (VAR38[VAR68-1:0]),
.rst (rst),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR10 (VAR10),
.VAR14 (VAR14),
.VAR42 (VAR42));
VAR62 #
(
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR29 (VAR29))
VAR74
(
.VAR7 (VAR19[VAR68-1:0]), .VAR28 (VAR39[VAR68-1:0]), .VAR21 (VAR40[VAR68-1:0]), .VAR27 (VAR38[VAR68-1:0]), .clk (clk),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR10 (VAR10),
.VAR24 (VAR78), .VAR45 (1'b1), .VAR2 (VAR2[VAR68-1:0]));
VAR62 #
(
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR29 (VAR29))
VAR76
(
.VAR7 (VAR49[VAR68-1:0]), .VAR28 (VAR67[VAR68-1:0]), .VAR21 (VAR4[VAR68-1:0]), .VAR27 (VAR60[VAR68-1:0]), .clk (clk),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR10 (VAR10),
.VAR24 (VAR75), .VAR45 (1'b1), .VAR2 (VAR2[VAR68-1:0]));
wire VAR50 = ~VAR78;
wire VAR65 = ~VAR75;
VAR62 #
(
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR29 (VAR29))
VAR8
(
.VAR7 (VAR43[VAR68-1:0]), .VAR28 (VAR9[VAR68-1:0]), .VAR21 (VAR30[VAR68-1:0]), .VAR27 (VAR64[VAR68-1:0]), .clk (clk),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR10 (VAR10),
.VAR24 (VAR50), .VAR45 (VAR65), .VAR2 (VAR2[VAR68-1:0]));
VAR23 #
(
.VAR31 (VAR31),
.VAR48 (VAR48),
.VAR57 (VAR57),
.VAR47 (VAR47),
.VAR12 (VAR12),
.VAR36 (VAR36),
.VAR68 (VAR68),
.VAR29 (VAR29))
VAR77
(
.VAR20 (VAR20[1023:0]),
.VAR33 (VAR33),
.VAR66 (VAR66[VAR47:0]),
.VAR59 (VAR59[VAR47:0]),
.clk (clk),
.VAR61 (VAR61[VAR68+1:0]),
.VAR5 (VAR5[VAR68:0]),
.VAR43 (VAR43[VAR68-1:0]),
.VAR49 (VAR49[VAR68-1:0]),
.VAR19 (VAR19[VAR68-1:0]),
.VAR9 (VAR9[VAR68-1:0]),
.VAR67 (VAR67[VAR68-1:0]),
.VAR39 (VAR39[VAR68-1:0]),
.VAR75 (VAR75),
.VAR78 (VAR78),
.VAR72 (VAR72[VAR48-1:0]),
.VAR46 (VAR46[VAR68-1:0]),
.VAR53 (VAR53),
.VAR1 (VAR1),
.VAR17 (VAR17),
.VAR34 (VAR34),
.VAR56 (VAR56),
.VAR69 (VAR69[VAR68-1:0]),
.VAR30 (VAR30[VAR68-1:0]),
.VAR4 (VAR4[VAR68-1:0]),
.VAR40 (VAR40[VAR68-1:0]),
.VAR64 (VAR64[VAR68-1:0]),
.VAR60 (VAR60[VAR68-1:0]),
.VAR38 (VAR38[VAR68-1:0]),
.rst (rst),
.VAR13 (VAR13[VAR68-1:0]),
.VAR71 (VAR71),
.VAR18 (VAR18[1:0]),
.VAR10 (VAR10),
.VAR14 (VAR14),
.VAR54 (VAR54[VAR47-1:0]),
.VAR22 (VAR22[VAR47:0]),
.VAR32 (VAR32[VAR47:0]),
.VAR26 (VAR26),
.VAR55 (VAR55),
.VAR16 (VAR16[VAR36-1:0]),
.VAR2 (VAR2[VAR68-1:0]),
.VAR37 (VAR37[VAR68:0]));
endmodule
|
mit
|
KorotkiyEugene/LAG_sv_syn_quartus
|
LAG_tree_arbiter.v
| 2,841 |
module MODULE1 (request, VAR1, VAR10, clk, VAR4);
parameter VAR17=0;
parameter VAR6=20;
parameter VAR14=4;
parameter VAR15=VAR6/VAR14;
input [VAR6-1:0] request;
output [VAR6-1:0] VAR1;
input VAR10;
input clk, VAR4;
logic [VAR6-1:0] VAR18;
logic [VAR15-1:0] VAR3, VAR16;
logic [VAR15-1:0] VAR5, VAR13;
logic [VAR15-1:0] VAR11;
genvar VAR7;
generate
for (VAR7=0; VAR7<VAR15; VAR7=VAR7+1) begin:VAR12
if (VAR17==0) begin
VAR2 #(.VAR6(VAR14),
.VAR17(1)) VAR9
(.request(request[(VAR7+1)*VAR14-1:VAR7*VAR14]),
.VAR1(VAR18[(VAR7+1)*VAR14-1:VAR7*VAR14]),
.VAR10(VAR11[VAR7]),
.clk, .VAR4);
end else begin
VAR2 #(.VAR6(VAR14),
.VAR17(VAR17)) VAR9
(.request(request[(VAR7+1)*VAR14-1:VAR7*VAR14]),
.VAR1(VAR18[(VAR7+1)*VAR14-1:VAR7*VAR14]),
.VAR10(VAR11[VAR7] & VAR10),
.clk, .VAR4);
end
assign VAR16[VAR7] = |request[(VAR7+1)*VAR14-1:VAR7*VAR14];
assign VAR1[(VAR7+1)*VAR14-1:VAR7*VAR14]=
VAR18[(VAR7+1)*VAR14-1:VAR7*VAR14] & {VAR14{VAR3[VAR7]}};
assign VAR5[VAR7]= VAR3[VAR7];
end
if (VAR17==2) begin
always@(posedge clk) begin
if (!VAR4) begin
VAR13<='0;
end else begin
VAR13<=VAR5;
end
end
assign VAR11=VAR13;
end else begin
assign VAR11=VAR5;
end
endgenerate
VAR2 #(.VAR6(VAR15),
.VAR17(VAR17)) VAR8
(.request(VAR16),
.VAR1(VAR3),
.VAR10(VAR10),
.clk, .VAR4);
endmodule
|
gpl-2.0
|
sittner/lcnc-mdsio
|
vhdl/source/can/can_top.v
| 24,494 |
module MODULE1
(
VAR87,
VAR24,
VAR21,
VAR94,
VAR10,
VAR60,
VAR41,
VAR112,
VAR76,
VAR50,
VAR98,
VAR88,
VAR52,
VAR12,
VAR46,
VAR47,
VAR100,
VAR27,
VAR49,
VAR51,
VAR83
,
VAR38, VAR33, VAR9 VAR79
);
parameter VAR78 = 1;
input VAR87;
input VAR24;
input [7:0] VAR21;
output [7:0] VAR94;
input VAR10;
input VAR60;
input VAR41;
input [7:0] VAR112;
output VAR76;
reg VAR76;
reg VAR139;
reg VAR72;
reg VAR123;
reg VAR14;
reg VAR23;
reg VAR75;
reg VAR90;
reg VAR62;
wire VAR46;
input VAR50;
input VAR98;
input VAR88;
input VAR52;
inout [7:0] VAR12;
input VAR46;
reg [7:0] VAR125;
reg VAR140;
reg VAR15;
input VAR47;
input VAR100;
output VAR27;
output VAR49;
output VAR51;
output VAR83;
input VAR38; output VAR33; input [VAR130 - 1:0] VAR9; VAR79
reg VAR48;
wire [7:0] VAR54;
wire [7:0] VAR134;
wire VAR119;
wire VAR65;
wire VAR103;
wire VAR102;
wire VAR36;
wire VAR11;
wire VAR104;
wire VAR7;
wire VAR17;
wire VAR135;
wire VAR138;
wire VAR93;
wire VAR107;
wire VAR42;
wire VAR89;
wire [7:0] VAR53;
wire [5:0] VAR131;
wire [1:0] VAR66;
wire [3:0] VAR18;
wire [2:0] VAR71;
wire VAR101;
wire [7:0] VAR80;
wire VAR6;
wire VAR34;
wire VAR141;
wire [7:0] VAR69;
wire [7:0] VAR77;
wire [7:0] VAR86;
wire [7:0] VAR57;
wire [7:0] VAR64;
wire [7:0] VAR67;
wire [7:0] VAR110;
wire [7:0] VAR129;
wire [7:0] VAR126;
wire [7:0] VAR68;
wire [7:0] VAR58;
wire [7:0] VAR3;
wire [7:0] VAR99;
wire [7:0] VAR127;
wire [7:0] VAR115;
wire [7:0] VAR128;
wire [7:0] VAR82;
wire [7:0] VAR106;
wire [7:0] VAR44;
wire [7:0] VAR26;
wire [7:0] VAR97;
wire VAR116;
wire VAR70;
wire VAR20;
wire VAR63;
wire VAR1;
wire VAR85;
wire VAR118;
wire VAR73;
wire VAR16;
wire VAR28;
wire VAR114;
wire VAR95;
wire VAR113;
wire VAR74;
wire [7:0] VAR105;
wire [7:0] VAR40;
wire VAR132; wire VAR56; wire VAR121;
wire VAR92;
wire VAR25;
wire VAR22;
wire VAR136;
wire VAR61;
wire VAR31;
wire VAR133;
wire [4:0] VAR81;
wire VAR30;
wire VAR35;
wire [6:0] VAR117;
wire VAR37;
wire VAR108;
wire VAR109;
wire VAR45;
wire VAR120;
wire rst;
wire VAR32;
wire [7:0] addr;
wire [7:0] VAR4;
reg [7:0] VAR19;
reg VAR111;
reg VAR96;
VAR13 VAR124
(
.clk(VAR47),
.rst(rst),
.VAR116(VAR116),
.VAR32(VAR32),
.addr(addr),
.VAR4(VAR4),
.VAR19(VAR134),
.VAR8(VAR51),
.VAR70(VAR70),
.VAR73(VAR73),
.VAR95(VAR95),
.VAR113(VAR113),
.VAR74(VAR74),
.VAR105(VAR105),
.VAR40(VAR40),
.VAR121(VAR121),
.VAR92(VAR92),
.VAR25(VAR25),
.VAR22(VAR22),
.VAR136(VAR136),
.VAR61(VAR61),
.VAR31(VAR31),
.VAR133(VAR133),
.VAR81(VAR81),
.VAR30(VAR30),
.VAR35(VAR35),
.VAR117(VAR117),
.VAR119(VAR119),
.VAR65(VAR65),
.VAR103(VAR103),
.VAR102(VAR102),
.VAR122(),
.VAR36(VAR36),
.VAR104(VAR104),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR17(VAR17),
.VAR135(VAR135),
.VAR138(VAR138),
.VAR93(VAR93),
.VAR107(VAR107),
.VAR42(VAR42),
.VAR89(VAR89),
.VAR53(VAR53),
.VAR131(VAR131),
.VAR66(VAR66),
.VAR18(VAR18),
.VAR71(VAR71),
.VAR101(VAR101),
.VAR80(VAR80),
.VAR6(VAR6),
.VAR34(VAR34),
.VAR141(VAR141),
.VAR5(VAR83),
.VAR69(VAR69),
.VAR77(VAR77),
.VAR86(VAR86),
.VAR57(VAR57),
.VAR64(VAR64),
.VAR67(VAR67),
.VAR110(VAR110),
.VAR129(VAR129),
.VAR126(VAR126),
.VAR68(VAR68),
.VAR58(VAR58),
.VAR3(VAR3),
.VAR99(VAR99),
.VAR127(VAR127),
.VAR115(VAR115),
.VAR128(VAR128),
.VAR82(VAR82),
.VAR106(VAR106),
.VAR44(VAR44),
.VAR26(VAR26),
.VAR97(VAR97)
);
VAR43 VAR84
(
.clk(VAR47),
.rst(rst),
.VAR137(VAR96),
.VAR59(VAR27),
.VAR131(VAR131),
.VAR66(VAR66),
.VAR18(VAR18),
.VAR71(VAR71),
.VAR101(VAR101),
.VAR70(VAR70),
.VAR20(VAR20),
.VAR63(VAR63),
.VAR1(VAR1),
.VAR85(VAR85),
.VAR118(VAR118),
.VAR29(VAR29),
.VAR73(VAR73),
.VAR16(VAR16),
.VAR28(VAR28),
.VAR37(VAR37),
.VAR108(VAR108),
.VAR109(VAR109),
.VAR45(VAR45),
.VAR120(VAR120),
.VAR30(VAR30)
);
VAR39 VAR55
(
.clk(VAR47),
.rst(rst),
.VAR70(VAR70),
.VAR20(VAR20),
.VAR63(VAR63),
.VAR1(VAR1),
.VAR85(VAR85),
.addr(addr),
.VAR4(VAR4),
.VAR19(VAR54),
.VAR2(VAR48),
.VAR119(VAR119),
.VAR65(VAR65),
.VAR103(VAR103),
.VAR102(VAR102),
.VAR36(VAR36),
.VAR11(VAR11),
.VAR104(VAR104),
.VAR7(VAR7),
.VAR17(VAR17),
.VAR135(VAR135),
.VAR138(VAR138),
.VAR93(VAR93),
.VAR107(VAR107),
.VAR42(VAR42),
.VAR89(VAR89),
.VAR53(VAR53),
.VAR80(VAR80),
.VAR6(VAR6),
.VAR34(VAR34),
.VAR141(VAR141),
.VAR118(VAR118),
.VAR73(VAR73),
.VAR16(VAR16),
.VAR28(VAR28),
.VAR114(VAR114),
.VAR29(VAR29),
.VAR95(VAR95),
.VAR113(VAR113),
.VAR74(VAR74),
.VAR105({VAR132, VAR105[7:0]}), .VAR40({VAR56, VAR40[7:0]}), .VAR121(VAR121),
.VAR92(VAR92),
.VAR25(VAR25),
.VAR22(VAR22),
.VAR136(VAR136),
.VAR61(VAR61),
.VAR31(VAR31),
.VAR133(VAR133),
.VAR81(VAR81),
.VAR30(VAR30),
.VAR35(VAR35),
.VAR117(VAR117),
.VAR69(VAR69),
.VAR77(VAR77),
.VAR86(VAR86),
.VAR57(VAR57),
.VAR64(VAR64),
.VAR67(VAR67),
.VAR110(VAR110),
.VAR129(VAR129),
.VAR126(VAR126),
.VAR68(VAR68),
.VAR58(VAR58),
.VAR3(VAR3),
.VAR99(VAR99),
.VAR127(VAR127),
.VAR115(VAR115),
.VAR128(VAR128),
.VAR82(VAR82),
.VAR106(VAR106),
.VAR44(VAR44),
.VAR26(VAR26),
.VAR97(VAR97),
.VAR59(VAR27),
.VAR37(VAR37),
.VAR49(VAR49),
.VAR108(VAR108),
.VAR109(VAR109),
.VAR45(VAR45),
.VAR120(VAR120)
,
.VAR38(VAR38),
.VAR33(VAR33),
.VAR9(VAR9)
);
always @ (VAR141 or addr or VAR119)
begin
if (VAR141 & (~VAR119) & ((addr >= 8'd16) && (addr <= 8'd28)) | (~VAR141) & ((addr >= 8'd20) && (addr <= 8'd29)))
VAR48 = 1'b1;
end
else
VAR48 = 1'b0;
end
always @ (posedge VAR47)
begin
if (VAR116 & (~VAR32))
begin
if (VAR48)
VAR19 <=#VAR78 VAR54;
end
else
VAR19 <=#VAR78 VAR134;
end
end
always @ (posedge VAR47 or posedge rst)
begin
if (rst)
begin
VAR111 <= 1'b1;
VAR96 <= 1'b1;
end
else
begin
VAR111 <=#VAR78 VAR100;
VAR96 <=#VAR78 VAR111;
end
end
assign VAR46 = 1'b1;
always @ (posedge VAR47 or posedge rst)
begin
if (rst)
begin
VAR139 <= 1'b0;
VAR72 <= 1'b0;
VAR123 <= 1'b0;
VAR90 <= 1'b0;
VAR62 <= 1'b0;
end
else
begin
VAR139 <=#VAR78 VAR10 & VAR60 & (~VAR62) & VAR46;
VAR72 <=#VAR78 VAR139 & (~VAR62);
VAR123 <=#VAR78 VAR72 & (~VAR62);
VAR90 <=#VAR78 VAR75;
VAR62 <=#VAR78 VAR90;
end
end
assign VAR116 = VAR72 & (~VAR123);
always @ (posedge VAR87)
begin
VAR14 <=#VAR78 VAR123;
VAR23 <=#VAR78 VAR14;
VAR75 <=#VAR78 VAR23;
end
always @ (posedge VAR87)
begin
VAR76 <=#VAR78 (VAR23 & (~VAR75));
end
assign rst = VAR24;
assign VAR32 = VAR41;
assign addr = VAR112;
assign VAR4 = VAR21;
assign VAR94 = VAR19;
always @ (posedge VAR47 or posedge rst)
begin
if (rst)
VAR125 <= 8'h0;
end
else if (VAR98)
VAR125 <=#VAR78 VAR12;
end
always @ (posedge VAR47 or posedge rst)
begin
if (rst)
begin
VAR140 <= 1'b0;
VAR15 <= 1'b0;
end
else
begin
VAR140 <=#VAR78 VAR52;
VAR15 <=#VAR78 VAR88;
end
end
assign VAR116 = ((VAR52 & (~VAR140)) | (VAR88 & (~VAR15))) & VAR46;
assign rst = VAR50;
assign VAR32 = VAR52;
assign addr = VAR125;
assign VAR4 = VAR12;
assign VAR12 = (VAR46 & VAR88)? VAR19 : 8'VAR91;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a32o/sky130_fd_sc_hdll__a32o_4.v
| 2,485 |
module MODULE1 (
VAR2 ,
VAR4 ,
VAR1 ,
VAR3 ,
VAR10 ,
VAR11 ,
VAR8,
VAR12,
VAR5 ,
VAR6
);
output VAR2 ;
input VAR4 ;
input VAR1 ;
input VAR3 ;
input VAR10 ;
input VAR11 ;
input VAR8;
input VAR12;
input VAR5 ;
input VAR6 ;
VAR9 VAR7 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR2 ,
VAR4,
VAR1,
VAR3,
VAR10,
VAR11
);
output VAR2 ;
input VAR4;
input VAR1;
input VAR3;
input VAR10;
input VAR11;
supply1 VAR8;
supply0 VAR12;
supply1 VAR5 ;
supply0 VAR6 ;
VAR9 VAR7 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR11(VAR11)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/decap/sky130_fd_sc_lp__decap_6.v
| 1,870 |
module MODULE2 (
VAR6,
VAR5,
VAR1 ,
VAR3
);
input VAR6;
input VAR5;
input VAR1 ;
input VAR3 ;
VAR4 VAR2 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE2 ();
supply1 VAR6;
supply0 VAR5;
supply1 VAR1 ;
supply0 VAR3 ;
VAR4 VAR2 ();
endmodule
|
apache-2.0
|
camacazio/icestick_JSTK2_ORGB
|
source/PmodOLED_Source/SpiCtrl_OLED.v
| 4,805 |
module MODULE1(
VAR10,
VAR6,
VAR1,
VAR11,
VAR8,
VAR21,
VAR9,
VAR18
);
input VAR10;
input VAR6;
input VAR1;
input [7:0] VAR11;
output VAR8;
output VAR21;
output VAR9;
output VAR18;
wire VAR8, VAR21, VAR9, VAR18;
reg [7:0] VAR14 = 8'h00; reg [3:0] VAR5 = 4'h0; wire VAR15; reg [1:0] counter = 2'b00; reg VAR13 = 1'b1;
reg VAR16 = 1'b0;
parameter VAR20 = 3'd0, VAR12 = 3'd1, VAR7 = 3'd2, VAR3 = 3'd3, VAR19 = 3'd4, VAR2 = 3'd5, VAR4 = 3'd6;
reg [2:0] VAR17 = VAR20;
assign VAR15 = ~counter[1];
assign VAR9 = VAR15;
assign VAR21 = VAR13;
assign VAR8 = (VAR17 == VAR20 && VAR1 == 1'b0) ? 1'b1 : 1'b0;
assign VAR18 = (VAR17 == VAR4) ? 1'b1 : 1'b0;
always @(posedge VAR10) begin
if(VAR6 == 1'b1) begin VAR17 <= VAR20;
end
else begin
case(VAR17)
VAR20 : begin
if(VAR1 == 1'b1) begin
VAR17 <= VAR12;
end
end
VAR12 : begin
if(VAR5 == 4'h8 && VAR16 == 1'b0) begin
VAR17 <= VAR7;
end
end
VAR7 : begin
VAR17 <= VAR3;
end
VAR3 : begin
VAR17 <= VAR19;
end
VAR19 : begin
VAR17 <= VAR2;
end
VAR2 : begin
VAR17 <= VAR4;
end
VAR4 : begin
if(VAR1 == 1'b0) begin
VAR17 <= VAR20;
end
end
default : VAR17 <= VAR20;
endcase
end
end
always @(posedge VAR10) begin
if(VAR17 == VAR12) begin
counter <= counter + 1'b1;
end
else begin
counter <= 2'b00;
end
end
always @(posedge VAR10) begin
if(VAR17 == VAR20) begin
VAR5 <= 4'h0;
VAR14 <= VAR11;
VAR13 <= 1'b1;
end
else if(VAR17 == VAR12) begin
if(VAR15 == 1'b0 && VAR16 == 1'b0) begin
VAR16 <= 1'b1;
VAR13 <= VAR14[7];
VAR14 <= {VAR14[6:0],1'b0};
VAR5 <= VAR5 + 1'b1;
end
else if(VAR15 == 1'b1) begin
VAR16 <= 1'b0;
end
end
end
endmodule
|
gpl-3.0
|
fabianz66/cursos-tec
|
taller-digital/Proyecto Final/proyecto-final/clock_divider.v
| 1,032 |
module MODULE1(input VAR1, input reset, input[5:0] counter, output reg VAR3);
reg[5:0] VAR2;
begin
begin
begin
end
begin
|
mit
|
kyzhai/NUNY
|
src/hardware/stage2.v
| 6,366 |
module MODULE1 (
address,
VAR53,
VAR39);
input [11:0] address;
input VAR53;
output [11:0] VAR39;
tri1 VAR53;
wire [11:0] VAR44;
wire [11:0] VAR39 = VAR44[11:0];
VAR41 VAR47 (
.VAR26 (address),
.VAR4 (VAR53),
.VAR16 (VAR44),
.VAR25 (1'b0),
.VAR9 (1'b0),
.VAR11 (1'b1),
.VAR5 (1'b0),
.VAR10 (1'b0),
.VAR15 (1'b1),
.VAR13 (1'b1),
.VAR32 (1'b1),
.VAR18 (1'b1),
.VAR35 (1'b1),
.VAR8 (1'b1),
.VAR6 (1'b1),
.VAR17 ({12{1'b1}}),
.VAR37 (1'b1),
.VAR40 (),
.VAR28 (),
.VAR46 (1'b1),
.VAR14 (1'b1),
.VAR22 (1'b0),
.VAR1 (1'b0));
VAR47.VAR21 = "VAR12",
VAR47.VAR20 = "VAR48",
VAR47.VAR49 = "VAR48",
VAR47.VAR19 = "./VAR3/VAR36.VAR7",
VAR47.VAR30 = "VAR31 VAR27",
VAR47.VAR43 = "VAR42=VAR45",
VAR47.VAR33 = "VAR41",
VAR47.VAR50 = 4096,
VAR47.VAR51 = "VAR2",
VAR47.VAR29 = "VAR12",
VAR47.VAR52 = "VAR24",
VAR47.VAR34 = 12,
VAR47.VAR23 = 12,
VAR47.VAR38 = 1;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o21a/sky130_fd_sc_ms__o21a.pp.symbol.v
| 1,344 |
module MODULE1 (
input VAR8 ,
input VAR3 ,
input VAR2 ,
output VAR4 ,
input VAR7 ,
input VAR1,
input VAR6,
input VAR5
);
endmodule
|
apache-2.0
|
Marcoslz22/Tercer_Proyecto
|
Decodificador_2.v
| 1,749 |
module MODULE1(
input [3:0] VAR1,
output reg [7:0] VAR2
);
always @(*)
begin
case (VAR1)
4'h0: begin
VAR2 <= 8'b00000011;
end
4'h1: begin
VAR2 <= 8'b10011111;
end
4'h2: begin
VAR2 <= 8'b00100101;
end
4'h3: begin
VAR2 <= 8'b00001101;
end
4'h4: begin
VAR2 <= 8'b10011001;
end
4'h5: begin
VAR2 <= 8'b01001001;
end
4'h6: begin
VAR2 <= 8'b01000001;
end
4'h7: begin
VAR2 <= 8'b00011111;
end
4'h8: begin
VAR2 <= 8'b00000001;
end
4'h9: begin
VAR2 <= 8'b00011001;
end
4'hA: begin
VAR2 <= 8'b10011001;
end
4'hB: begin
VAR2 <= 8'b010010011;
end
4'hC: begin
VAR2 <= 8'b01000001;
end
4'hD: begin
VAR2 <= 8'b00011111;
end
4'hE: begin
VAR2 <= 8'b00000001;
end
4'hF: begin
VAR2 <= 8'b00011001;
end
default: begin
VAR2 <= 8'b10011111;
end
endcase
end
endmodule
|
mit
|
kevintownsend/R3
|
verilog/spoonPacketDecoder.v
| 4,833 |
module MODULE1(
input reset,
input clk,
input [63:0] VAR1,
input VAR15,
input VAR6,
output [63:0] VAR8,
output [31:0] VAR27,
output [31:0] VAR3,
output VAR11,
output VAR21);
reg [255:0] buffer;
reg [5:0] VAR7, VAR14;
reg [5:0] VAR13;
reg [63:0] VAR24;
reg [31:0] VAR29, VAR16;
reg VAR26;
reg [4:0] VAR33;
reg [2:0] VAR9;
reg [63:0] VAR19;
integer VAR4, VAR20;
reg [7:0] VAR22;
wire [63:0] VAR30;
reg [7:0] VAR28;
reg VAR25;
reg [63:0] VAR2;
reg VAR10;
reg [63:0] VAR5;
reg VAR12;
always @(posedge clk) begin
VAR10 <= VAR15;
VAR5 <= VAR1;
VAR12 <= VAR6;
end
VAR17 VAR32(
.clk(clk),
.wr(VAR12),
.VAR18(VAR22),
.din(VAR5),
.dout(VAR30));
always @* begin
if(VAR12)
VAR22 = VAR28;
end
else
VAR22 = buffer[15:8];
if(VAR25)
VAR2 = VAR30;
else
VAR2 = VAR24;
end
always @(posedge clk)
VAR25 <= (buffer[2:0] < 5);
assign VAR21 = VAR7[4];
reg VAR31;
always @(posedge clk) begin
if(VAR7[4]) begin
case(buffer[2:0])
0:begin
buffer <= (buffer >> (1 * 8));
VAR14 = VAR7 - 1;
end
1:begin
buffer <= (buffer >> (2 * 8));
VAR14 = VAR7 - 2;
end
2:begin
buffer <= (buffer >> (3 * 8));
VAR14 = VAR7 - 3;
end
3:begin
buffer <= (buffer >> (5 * 8));
VAR14 = VAR7 - 5;
end
4:begin
buffer <= (buffer >> (7 * 8));
VAR14 = VAR7 - 7;
end
5:begin
buffer <= (buffer >> (9 * 8));
VAR14 = VAR7 - 9;
end
6:begin
buffer <= (buffer >> (11 * 8));
VAR14 = VAR7 - 11;
end
7:begin
buffer <= (buffer >> (14 * 8));
VAR14 = VAR7 - 14;
end
endcase
end else
VAR14 = VAR7;
if(VAR10) begin
for(VAR4 = 0; VAR4 < 64; VAR4 = VAR4 + 1)
buffer[{VAR14, 3'VAR23} + VAR4] <= VAR5[VAR4];
VAR7 <= VAR14 + 8;
end else
VAR7 <= VAR14;
if(reset == 1)
VAR7 <= 0;
end
always @(posedge clk) begin
if(reset == 1)begin
VAR26 <= 0;
VAR24 <= 0;
VAR29 <= 0;
VAR16 <= 0;
end else begin
VAR19 = 0;
VAR19[4:0] = buffer[7:3];
case(buffer[2:0])
0:begin
end
1:begin
end
2:begin
VAR19[12:5] = buffer[23:16];
end
3:begin
VAR19[28:5] = buffer[39:16];
end
4:begin
VAR19[44:5] = buffer[55:16];
end
5:begin
end
6:begin
VAR19[20:5] = buffer[87:72];
end
7:begin
VAR19[36:5] = buffer[103:72];
end
endcase
VAR24 <= buffer[71:8];
if((buffer[2:0] > 0) && (VAR7[4] == 1)) begin
VAR26 <= 1;
VAR33 = {1'VAR23,VAR16[3:0]} + {1'VAR23, VAR19[3:0]};
VAR16[3:0] <= VAR33[3:0];
VAR29 <= VAR29 + VAR19[35:4] + VAR33[4];
end else if((buffer[2:0] == 0) && (VAR7[4] == 1)) begin
VAR29 <= 0;
VAR16[3:0] <= 0;
VAR16[31:4] <= VAR16[31:4] + 1;
VAR26 <= 0;
end else
VAR26 <= 0;
end
end
always @(posedge clk) begin
if(reset) begin
VAR28 <= 0;
end else begin
if(VAR12)
VAR28 <= VAR28 + 1;
end
end
assign VAR11 = VAR26;
assign VAR27 = VAR29;
assign VAR3 = VAR16;
assign VAR8 = VAR2;
endmodule
|
mit
|
AnAtomInTheUniverse/578_project_col_panic
|
final_verilog/src/vcr_ovc_ctrl.v
| 6,375 |
module MODULE1
(clk, reset, VAR3, VAR30, VAR37, VAR20, VAR32, VAR5,
VAR26, VAR35, VAR33, VAR28, VAR12, VAR10, VAR19,
VAR1, VAR17);
parameter VAR38 = 4;
parameter VAR2 = 5;
parameter VAR13 = 1;
parameter VAR22 = VAR34;
parameter VAR11 = VAR24;
input clk;
input reset;
input VAR3;
input VAR30;
input [0:VAR2-1] VAR37;
input [0:VAR38-1] VAR20;
input VAR32;
input VAR5;
input [0:VAR2-1] VAR26;
input [0:VAR38-1] VAR35;
input VAR33;
input VAR28;
output VAR12;
wire VAR12;
output VAR10;
wire VAR10;
input VAR19;
input VAR1;
input VAR17;
wire VAR29;
assign VAR29 = VAR3 | VAR33;
wire VAR25;
wire VAR18, VAR16;
assign VAR18 = VAR30 | VAR25;
VAR6
.VAR11(VAR11))
VAR16
(.clk(clk),
.reset(reset),
.VAR31(VAR29),
.VAR9(VAR18),
.VAR8(VAR16));
assign VAR25 = VAR16 & ~(VAR33 & VAR12 & VAR28);
wire [0:VAR2-1] VAR15, VAR14;
assign VAR15 = VAR25 ? VAR14 : VAR37;
VAR6
.VAR11(VAR11))
VAR14
(.clk(clk),
.reset(1'b0),
.VAR31(VAR3),
.VAR9(VAR15),
.VAR8(VAR14));
wire VAR36;
wire VAR7;
generate
if(VAR13)
assign VAR36 = |(VAR26 & VAR15);
else
assign VAR36 = |(VAR26 & VAR14);
if(VAR38 == 1)
assign VAR7 = 1'b1;
else if(VAR38 > 1)
begin
wire [0:VAR38-1] VAR4, VAR23;
assign VAR4 = VAR25 ? VAR23 : VAR20;
VAR6
.VAR11(VAR11))
VAR23
(.clk(clk),
.reset(1'b0),
.VAR31(VAR3),
.VAR9(VAR4),
.VAR8(VAR23));
if(VAR13)
assign VAR7 = |(VAR35 & VAR4);
end
else
assign VAR7 = |(VAR35 & VAR23);
end
endgenerate
wire VAR21, VAR27;
assign VAR21 = VAR5 ? (VAR36 & VAR7) : VAR27;
VAR6
.VAR11(VAR11))
VAR27
(.clk(clk),
.reset(reset),
.VAR31(VAR32),
.VAR9(VAR21),
.VAR8(VAR27));
generate
if(VAR13 && (VAR22 == VAR34))
assign VAR12 = VAR16 & VAR27 & ~VAR1;
else
assign VAR12 = VAR16 & VAR27;
endgenerate
generate
case(VAR22)
begin
assign VAR10 = ~VAR25;
end
begin
assign VAR10 = ~VAR25 & ~VAR19;
end
begin
assign VAR10 = ~VAR25 & VAR17;
end
endcase
endgenerate
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/mux2/sky130_fd_sc_hd__mux2_1.v
| 2,187 |
module MODULE2 (
VAR4 ,
VAR8 ,
VAR3 ,
VAR9 ,
VAR6,
VAR5,
VAR1 ,
VAR2
);
output VAR4 ;
input VAR8 ;
input VAR3 ;
input VAR9 ;
input VAR6;
input VAR5;
input VAR1 ;
input VAR2 ;
VAR10 VAR7 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR4 ,
VAR8,
VAR3,
VAR9
);
output VAR4 ;
input VAR8;
input VAR3;
input VAR9 ;
supply1 VAR6;
supply0 VAR5;
supply1 VAR1 ;
supply0 VAR2 ;
VAR10 VAR7 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
eda-globetrotter/PicenoDecoders
|
extra_credit/spare/build1/encoder.v
| 1,236 |
module MODULE1 (VAR1,VAR3);
output reg [14:0] VAR3;
input [10:0] VAR1;
reg [3:0] VAR2;
always @(*)
begin
VAR2[0]=VAR1[0]^VAR1[1]^VAR1[3]^VAR1[4]^VAR1[6]^VAR1[8]^VAR1[10];
VAR2[1]=((VAR1[0]^VAR1[2])^(VAR1[3]^VAR1[5]))^((VAR1[6]^VAR1[9])^VAR1[10]);
VAR2[2]=((VAR1[1]^VAR1[2])^(VAR1[3]^VAR1[7]))^((VAR1[8]^VAR1[9])^VAR1[10]);
VAR2[3]=((VAR1[4]^VAR1[5])^(VAR1[6]^VAR1[7]))^((VAR1[8]^VAR1[9])^VAR1[10]);
VAR3[2]=VAR1[0];
VAR3[4]=VAR1[1];
VAR3[5]=VAR1[2];
VAR3[6]=VAR1[3];
VAR3[8]=VAR1[4];
VAR3[9]=VAR1[5];
VAR3[10]=VAR1[6];
VAR3[11]=VAR1[7];
VAR3[12]=VAR1[8];
VAR3[13]=VAR1[9];
VAR3[14]=VAR1[10];
VAR3[0]=VAR2[0];
VAR3[1]=VAR2[1];
VAR3[3]=VAR2[2];
VAR3[7]=VAR2[3];
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/fill/sky130_fd_sc_lp__fill.behavioral.pp.v
| 1,147 |
module MODULE1 (
VAR2,
VAR1,
VAR4 ,
VAR3
);
input VAR2;
input VAR1;
input VAR4 ;
input VAR3 ;
endmodule
|
apache-2.0
|
Gifts/descrypt-ztex-bruteforcer
|
user_cores/io/src/comparer.v
| 1,383 |
module MODULE1 #(parameter VAR6=63)(
input [VAR6:0] VAR3,
input VAR7,
input reset,
output [VAR6:0] VAR2,
output VAR4
);
reg [VAR6:0] VAR5;
reg VAR1;
always @(posedge VAR7)
begin
if (reset == 1)
begin
VAR5 <= 64'b0;
VAR1 <= 1;
end
else
begin
VAR5 <= VAR3;
VAR1 <= 1;
end
else
VAR1 <= 0;
end
end
assign VAR2 = VAR5;
assign VAR4 = ~VAR1;
endmodule
|
gpl-3.0
|
peteasa/oh
|
src/mio/hdl/mrx_io.v
| 3,388 |
module MODULE1 (
VAR24, VAR14,
VAR11, VAR20, VAR12, VAR3, VAR2, VAR9, VAR19
);
parameter VAR17 = 16;
input VAR11; input VAR20; input VAR12; input VAR3; input VAR2;
input [VAR17-1:0] VAR9; input VAR19;
output VAR24; output [2*VAR17-1:0] VAR14;
reg VAR24;
wire [2*VAR17-1:0] VAR16;
reg [2*VAR17-1:0] VAR13;
reg VAR23;
wire VAR7;
wire VAR21;
VAR15 VAR15(.VAR8 (VAR7),
.clk (VAR20),
.VAR1 (VAR11)
);
assign VAR21 = VAR2 ^ VAR19;
always @ (posedge VAR20 or negedge VAR7)
if(!VAR7)
VAR24 <= 1'b0;
else
VAR24 <= VAR21;
VAR6 #(.VAR18(VAR17))
VAR10(.VAR4 (VAR16[VAR17-1:0]),
.VAR22 (VAR16[2*VAR17-1:VAR17]),
.clk (VAR20),
.VAR5 (VAR21),
.din (VAR9[VAR17-1:0]));
always @ (posedge VAR20)
if(~VAR21)
VAR23 <= 1'b1;
else if (~VAR12)
VAR23 <= VAR21 ^ VAR23;
always @ (posedge VAR20)
if(VAR23)
VAR13[VAR17-1:0] <= VAR9[VAR17-1:0];
else
VAR13[2*VAR17-1:VAR17] <= VAR9[VAR17-1:0];
assign VAR14[2*VAR17-1:0] = ~VAR12 ? VAR13[2*VAR17-1:0] :
VAR12 & ~VAR3 ? {VAR16[VAR17-1:0],
VAR16[2*VAR17-1:VAR17]} :
VAR16[2*VAR17-1:0];
endmodule
|
mit
|
MeshSr/onetswitch45
|
ons45-app52-ref_ofshw/vivado/onets_7045_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/output_port_lookup_reg_master.v
| 21,948 |
module MODULE1#
(
parameter VAR7=64,
parameter VAR15=16,
parameter VAR13=VAR16(VAR15),
parameter VAR55=2,
parameter VAR19=0
)
(
input [31:0] VAR11,
input [31:0] VAR48,
input VAR45,
input VAR46,
output reg VAR37,
output reg[31:0] VAR10,
output reg VAR43,
output reg VAR23,
output reg [VAR2-1:0]VAR18,
output reg [319:0]VAR25,
input [319:0]VAR36,
output reg[VAR2-1:0] VAR39,
output reg [VAR7+31:0] VAR59,
output reg [VAR7-1:0] VAR8,
output reg VAR47,
input [VAR7+31:0] VAR41,
input [VAR7-1:0] VAR53,
output reg [VAR13-1:0] VAR29,
output reg VAR42,
input [31:0]VAR9,
input [31:0]VAR57,
output reg[7:0] VAR51,
input clk,
input reset
);
function integer VAR16;
input integer VAR38;
begin
VAR16=0;
while(2**VAR16<VAR38) begin
VAR16=VAR16+1;
end
end
endfunction reg [VAR30-1:0] VAR27;
reg [VAR7+31:0] VAR22;
reg [VAR7-1:0] VAR3;
reg [VAR13-1:0]VAR24;
reg [3:0]VAR40;
reg [4:0]VAR14,VAR28;
localparam VAR58=0,
VAR26=1,
VAR54=2,
VAR21=3,
VAR44=4,
VAR20=5,
VAR49=6,
VAR34=7,
VAR5=8,
VAR50=9,
VAR4=10,
VAR33=11,
VAR32=12,
VAR12=13,
VAR56=14,
VAR17=15,
VAR52=16,
VAR31=17;
always@(posedge clk)
if(reset) VAR14<=VAR31;
else VAR14<=VAR28;
always@
if(reset) VAR43=0;
else if(VAR14==VAR31 | VAR14==VAR54 | VAR14==VAR4) VAR43=1;
else VAR43=0;
always@
if(reset) VAR18=0;
else if(VAR14==VAR31) VAR18=VAR24;
else if(VAR14==VAR54 | VAR14==VAR4) VAR18=VAR48[15:8];
else VAR18=0;
always@(posedge clk)
VAR25<=VAR27;
always@(posedge clk)
if(reset)
VAR37<=0;
else if(VAR14==VAR52)
VAR37<=1;
else VAR37<=0;
always@(posedge clk)
if(reset)
VAR39<=0;
else if(VAR14==VAR31)
VAR39<=VAR24;
else if(VAR14==VAR21 | VAR14==VAR12)
VAR39<=VAR48[VAR2+VAR6-1:VAR6];
else VAR39<=0;
always@(posedge clk)
if(reset)
VAR47<=0;
else if(VAR14==VAR12)
VAR47<=1;
else VAR47<=0;
always@(posedge clk)
if(reset)
VAR59<=0;
else if(VAR14==VAR32)
VAR59<=VAR41;
else if(VAR14==VAR12)
begin
if(VAR7<=32)
case(VAR48[VAR35+VAR1-1:VAR35])
default: VAR59<=VAR59;
endcase
end
else case(VAR48[VAR35+VAR1-1:VAR35])
default: VAR59<=VAR59;
endcase
end
always@(posedge clk)
if(reset)
VAR8<=0;
else if(VAR14==VAR32)
VAR8<=VAR53;
else if(VAR14==VAR12)
begin
if(VAR7<=32)
case(VAR48[VAR35+VAR1-1:VAR35])
default: VAR8<=VAR8;
endcase
end
else
case(VAR48[VAR35+VAR1-1:VAR35])
default: VAR8<=VAR8;
endcase
end
always@(posedge clk)
if(reset)
VAR10<=0;
else if(VAR14==VAR33)
case(VAR48[VAR35+VAR1-1:VAR35])
default:VAR10<=32'hdeadbeef;
endcase
else if(VAR14==VAR56)
case(VAR48[VAR35+VAR1-1:VAR35])
default: VAR10<=32'hdeadbeef;
endcase
else if(VAR14==VAR49)
case(VAR48[VAR35+VAR1-1:VAR35])
default:VAR10<=32'hdeadbeef;
endcase
else if(VAR14==VAR44)
VAR10<=VAR51;
else if(VAR14==VAR17)
VAR10<=32'hdeadbeef;
always@
if(reset)
VAR42=0;
else if(VAR14==VAR20)
VAR42=1;
else VAR42=0;
always@(posedge clk)
if(reset)
VAR51<=0;
else if(VAR14==VAR44 && VAR46==0)
VAR51<=VAR11[7:0];
endmodule
|
lgpl-2.1
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o31ai/sky130_fd_sc_lp__o31ai.behavioral.pp.v
| 2,027 |
module MODULE1 (
VAR6 ,
VAR16 ,
VAR11 ,
VAR9 ,
VAR3 ,
VAR14,
VAR8,
VAR7 ,
VAR1
);
output VAR6 ;
input VAR16 ;
input VAR11 ;
input VAR9 ;
input VAR3 ;
input VAR14;
input VAR8;
input VAR7 ;
input VAR1 ;
wire VAR2 ;
wire VAR17 ;
wire VAR15;
or VAR10 (VAR2 , VAR11, VAR16, VAR9 );
nand VAR13 (VAR17 , VAR3, VAR2 );
VAR4 VAR5 (VAR15, VAR17, VAR14, VAR8);
buf VAR12 (VAR6 , VAR15 );
endmodule
|
apache-2.0
|
jepler/linuxcnc
|
src/hal/drivers/pluto_servo_firmware/quad.v
| 1,773 |
module MODULE1(clk, VAR13, VAR16, VAR11, VAR10, out);
parameter VAR7=14;
input clk, VAR13, VAR16, VAR11, VAR10;
reg [(VAR7-1):0] VAR6, VAR15; reg VAR5;
output [2*VAR7:0] out = { VAR5, VAR15, VAR6 };
reg [2:0] VAR9, VAR4;
reg [2:0] VAR8;
always @(posedge clk) VAR9 <= {VAR9[1:0], VAR13};
always @(posedge clk) VAR4 <= {VAR4[1:0], VAR16};
wire VAR1 = &VAR8;
wire VAR2 = ~|VAR8;
reg VAR3;
wire VAR12 = VAR1 && ! VAR3;
wire VAR17 = VAR9[1] ^ VAR9[2] ^ VAR4[1] ^ VAR4[2];
wire VAR14 = VAR9[1] ^ VAR4[2];
always @(posedge clk)
begin
if(VAR11 && !VAR1) VAR8 <= VAR8 + 2'b1;
end
else if(!VAR2) VAR8 <= VAR8 - 2'b1;
if(VAR1) VAR3 <= 1;
else if(VAR2) VAR3 <= 0;
if(VAR17)
begin
if(VAR14) VAR6 <= VAR6 + 1'd1;
end
else VAR6 <= VAR6 - 1'd1;
end
if(VAR12) begin
VAR15 <= VAR6;
VAR5 <= 1;
end else if(VAR10) begin
VAR5 <= 0;
end
end
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/ecc/ecc_gen.v
| 7,892 |
module MODULE1
parameter VAR5 = 72,
parameter VAR7 = 8,
parameter VAR19 = 64
)
(
VAR2
);
function integer VAR16 (input integer VAR11);
integer VAR20;
if (VAR11 == 1) VAR16 = 1;
else begin
VAR16 = 1;
for (VAR20=2; VAR20<=VAR11; VAR20=VAR20+1)
VAR16 = VAR16 * VAR20;
end
endfunction
function integer VAR3 (input integer VAR10, VAR13);
VAR3 = VAR16(VAR10)/(VAR16(VAR13)*VAR16(VAR10-VAR13));
endfunction
function [VAR7-1:0] VAR1 (input [VAR7-1:0] VAR11);
integer VAR20;
integer VAR4;
reg VAR14;
reg VAR9;
reg [VAR7-1:0] VAR15;
begin
VAR14 = 1'b0;
VAR9 = 1'b0;
VAR15 = 0;
for (VAR20=0; VAR20<VAR7; VAR20=VAR20+1)
begin
if ((&VAR11 == 1'VAR17) || VAR9) VAR1[VAR20] = VAR11[VAR20];
end
else begin
VAR1[VAR20] = 1'b0;
VAR15 = VAR15 + VAR11[VAR20];
if (VAR11[VAR20] && VAR14) begin
VAR9 = 1'b1;
for (VAR4=VAR20-1; VAR4>=0;VAR4=VAR4-1)
if (VAR4>=VAR20-VAR15) VAR1[VAR4] = 1'b1;
end
VAR14 = ~VAR11[VAR20];
end end
end endfunction
wire [VAR7-1:0] VAR22 [VAR5-1:0];
output wire [VAR5*VAR7-1:0] VAR2;
localparam VAR6 = VAR3(VAR7, 3);
localparam VAR21 = VAR3(VAR7, 5);
genvar VAR10;
genvar VAR12;
generate
for (VAR10=0; VAR10<VAR5; VAR10=VAR10+1) begin : VAR18
if (VAR10 == 0)
assign VAR22[VAR10] = {{3{1'b1}}, {VAR7-3{1'b0}}};
end
else if (VAR10 == VAR6 && VAR10 < VAR19)
assign VAR22[VAR10] = {{5{1'b1}}, {VAR7-5{1'b0}}};
else if ((VAR10 == VAR6+VAR21) && VAR10 < VAR19)
assign VAR22[VAR10] = {{7{1'b1}}, {VAR7-7{1'b0}}};
else if (VAR10 == VAR19)
assign VAR22[VAR10] = {{1{1'b1}}, {VAR7-1{1'b0}}};
else assign VAR22[VAR10] = VAR1(VAR22[VAR10-1]);
for (VAR12=0; VAR12<VAR7; VAR12=VAR12+1) begin : VAR8
assign VAR2[VAR12*VAR5+VAR10] = VAR22[VAR10][VAR12];
end
end
endgenerate
endmodule
|
lgpl-3.0
|
oblivioncth/DE0-Verilog-Processor
|
src/ID_10_Handler.v
| 6,577 |
module MODULE1 (VAR5, VAR4, VAR7, VAR17, VAR2, VAR9, VAR18, VAR3, VAR13, VAR15, VAR12, VAR8, VAR11, VAR14);
input [13:0] VAR5;
input VAR4, VAR7, VAR17;
input [2:0] VAR12;
output reg [15:0] VAR9;
output reg [18:0] VAR2;
output reg VAR18;
output reg [1:0] VAR3;
output reg VAR13;
output reg VAR15;
output reg [1:0] VAR8;
output reg [15:0] VAR11;
output reg [7:0] VAR14;
always @(VAR5) begin
casex (VAR5[13:9])
5'VAR16:
if(VAR5[7:0] >= 249) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000001; end
else begin
VAR2 = {6'b000001,VAR5[10:8],10'b0000000000};
VAR9 = {8'b00000000,VAR5[7:0]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'VAR6:
if(VAR5[7:0] >= 249) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000010; end
else begin
VAR2 = {12'b000000000000,VAR5[10:8],4'b1001};
VAR9 = {8'b00000000,VAR5[7:0]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'VAR1: begin VAR2 = {6'b011001,VAR5[10:8],VAR5[10:8],7'b0000100}; VAR9 = {VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7:0]};
case(VAR4)
1'b0: begin
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b1;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
1'b1: begin
VAR18 = 1'b1;
VAR3 = 2'b00;
VAR13 = 1'b1;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
endcase
end
5'VAR10: begin VAR2 = {6'b011001,VAR5[10:8],VAR5[10:8],7'b0000100}; VAR9 = {VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7],VAR5[7:0]};
case(VAR7)
1'b0: begin
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b1;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
1'b1: begin
VAR18 = 1'b1;
VAR3 = 2'b00;
VAR13 = 1'b1;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
endcase
end
5'b01101: begin VAR2 = {9'b000000000,VAR5[8:6],7'b0000000}; VAR9 = 16'b1111111111111111;
VAR18 = 1'b1;
VAR3 = 2'b01;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01100: begin VAR2 = {16'b0}; VAR9 = {7'b0000000,VAR5[8:0]};
VAR18 = 1'b1;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01000: begin VAR2 = {6'b111011,VAR5[8:6],VAR5[8:6],VAR5[8:6],4'b0100}; VAR9 = {12'b111111111111,VAR5[5:2]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01001: begin VAR2 = {6'b111101,VAR5[8:6],VAR5[8:6],VAR5[8:6],4'b0100}; VAR9 = {12'b111111111111,VAR5[5:2]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01011: begin VAR2 = {6'b111110,VAR5[8:6],VAR5[8:6],7'b0000100}; VAR9 = {12'b111111111111,VAR5[5:2]};
case(VAR17)
1'b0: begin
VAR18 = 1'b1;
VAR3 = 2'b01;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
1'b1: begin
VAR18 = 1'b0;
VAR3 = 2'b01;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
endcase
end
5'b01010: begin VAR2 = {6'b111110,VAR5[8:6],VAR5[8:6],7'b0000100}; VAR9 = {12'b111111111111,VAR5[5:2]};
case(VAR17)
1'b0: begin
VAR18 = 1'b0;
VAR3 = 2'b01;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
1'b1: begin
VAR18 = 1'b1;
VAR3 = 2'b01;
VAR13 = 1'b0;
VAR15 = 1'b1;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
endcase
end
5'b00000:
if(VAR12 == 3'b111) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000011; end
else begin
VAR2 = {12'b000000000000,VAR5[8:6],4'b1001};
VAR9 = 16'b0000000011111111 - {13'b0000000000000,VAR12[2:0]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b01;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b00001:
if(VAR12 == 3'b000) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000100; end
else begin
VAR2 = {6'b000001,VAR5[8:6],10'b0000000000};
VAR9 = 16'b0000000100000000 - {13'b0000000000000,VAR12[2:0]};
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b10;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01111:
if(VAR12 == 3'b000) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000101; end
else begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b0000000100000000 - {13'b0000000000000,VAR12[2:0]};
VAR18 = 1'b1;
VAR3 = 2'b10;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b10;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000000; end
5'b01110:
if(VAR12 == 3'b111) begin
VAR2 = {19'b0000000000000000000};
VAR9 = 16'b1111111111111111;
VAR18 = 1'b0;
VAR3 = 2'b00;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b00;
VAR11 = 16'b1111111111111111;
VAR14 = 8'b00000110; end
else begin
VAR2 = {15'b000000000000000,4'b0011};
VAR9 = 16'b0000000011111111 - {13'b0000000000000,VAR12[2:0]};
VAR18 = 1'b1;
VAR3 = 2'b11;
VAR13 = 1'b0;
VAR15 = 1'b0;
VAR8 = 2'b01;
VAR11 = {VAR5[8],VAR5[8],VAR5[8],VAR5[8],VAR5[8],VAR5[8],VAR5[8],VAR5[8:0]};
VAR14 = 8'b00000000; end
endcase
end
endmodule
|
mit
|
bluespec/Flute
|
builds/RV64ACDFIMSU_Flute_iverilog/Verilog_RTL/mkCSR_MIP.v
| 9,941 |
module MODULE1(VAR33,
VAR42,
VAR59,
VAR4,
VAR27,
VAR8,
VAR23,
VAR17,
VAR69,
VAR3,
VAR11,
VAR64,
VAR14,
VAR13,
VAR30,
VAR31,
VAR50);
input VAR33;
input VAR42;
input VAR59;
output [63 : 0] VAR4;
input [27 : 0] VAR27;
input [63 : 0] VAR8;
input VAR23;
output [63 : 0] VAR17;
output [63 : 0] VAR69;
input [27 : 0] VAR3;
input [63 : 0] VAR11;
input VAR64;
output [63 : 0] VAR14;
input VAR13;
input VAR30;
input VAR31;
input VAR50;
wire [63 : 0] VAR14, VAR17, VAR4, VAR69;
reg VAR54;
wire VAR68, VAR41;
reg VAR55;
wire VAR61, VAR71;
reg VAR20;
wire VAR38, VAR49;
reg VAR70;
wire VAR18, VAR6;
reg VAR40;
reg VAR67;
wire VAR63;
reg VAR35;
wire VAR1, VAR34;
reg VAR19;
reg VAR53;
wire VAR5;
reg VAR46;
reg VAR12;
wire VAR56;
reg VAR60;
wire VAR37, VAR10;
wire VAR2,
VAR47,
VAR24,
VAR32,
VAR28,
VAR36,
VAR62,
VAR29,
VAR48,
VAR51,
VAR58,
VAR65,
VAR25,
VAR52;
wire [11 : 0] VAR39, VAR45;
wire VAR7,
VAR66,
VAR44,
VAR9,
VAR43,
VAR21,
VAR26,
VAR15,
VAR22;
assign VAR32 = 1'd1 ;
assign VAR58 = VAR59 ;
assign VAR4 = { 52'd0, VAR39 } ;
assign VAR17 = { 52'd0, VAR45 } ;
assign VAR24 = 1'd1 ;
assign VAR51 = VAR23 ;
assign VAR69 =
{ 54'd0,
VAR70,
VAR19,
2'b0,
VAR35,
VAR60,
2'b0,
VAR40,
VAR46 } ;
assign VAR14 =
{ 54'd0,
VAR70,
VAR21,
2'b0,
VAR35,
VAR60,
2'b0,
VAR44,
VAR15 } ;
assign VAR47 = 1'd1 ;
assign VAR48 = VAR64 ;
assign VAR2 = 1'd1 ;
assign VAR29 = 1'd1 ;
assign VAR28 = 1'd1 ;
assign VAR65 = 1'd1 ;
assign VAR36 = 1'd1 ;
assign VAR25 = 1'd1 ;
assign VAR62 = 1'd1 ;
assign VAR52 = 1'd1 ;
assign VAR68 = VAR13 ;
assign VAR41 = 1'b1 ;
assign VAR61 = VAR31 ;
assign VAR71 = 1'b1 ;
assign VAR38 = VAR50 ;
assign VAR49 = 1'b1 ;
assign VAR18 = VAR30 ;
assign VAR6 = 1'b1 ;
always@(VAR59 or
VAR23 or VAR66 or VAR64 or VAR44)
case (1'b1)
VAR59: VAR67 = 1'd0;
VAR23: VAR67 = VAR66;
VAR64: VAR67 = VAR44;
default: VAR67 = 1'b0 ;
endcase
assign VAR63 = VAR23 || VAR64 || VAR59 ;
assign VAR1 = !VAR59 && VAR9 ;
assign VAR34 = VAR23 || VAR59 ;
always@(VAR59 or
VAR23 or VAR43 or VAR64 or VAR21)
case (1'b1)
VAR59: VAR53 = 1'd0;
VAR23: VAR53 = VAR43;
VAR64: VAR53 = VAR21;
default: VAR53 = 1'b0 ;
endcase
assign VAR5 = VAR23 || VAR64 || VAR59 ;
always@(VAR59 or
VAR23 or VAR26 or VAR64 or VAR15)
case (1'b1)
VAR59: VAR12 = 1'd0;
VAR23: VAR12 = VAR26;
VAR64: VAR12 = VAR15;
default: VAR12 = 1'b0 ;
endcase
assign VAR56 = VAR23 || VAR64 || VAR59 ;
assign VAR37 = !VAR59 && VAR22 ;
assign VAR10 = VAR23 || VAR59 ;
assign VAR39 =
{ VAR54,
1'b0,
VAR70,
VAR19,
VAR20,
1'b0,
VAR35,
VAR60,
VAR55,
1'b0,
VAR40,
VAR46 } ;
assign VAR45 =
{ VAR54,
1'b0,
VAR7,
VAR43,
VAR20,
1'b0,
VAR9,
VAR22,
VAR55,
1'b0,
VAR66,
VAR26 } ;
assign VAR7 = VAR27[18] && VAR8[9] ;
assign VAR66 = VAR27[18] && VAR8[1] ;
assign VAR44 = VAR3[18] && VAR11[1] ;
assign VAR9 = VAR27[18] && VAR8[5] ;
assign VAR43 = VAR27[13] && VAR8[8] ;
assign VAR21 = VAR3[13] && VAR11[8] ;
assign VAR26 = VAR27[13] && VAR8[0] ;
assign VAR15 = VAR3[13] && VAR11[0] ;
assign VAR22 = VAR27[13] && VAR8[4] ;
always@(posedge VAR33)
begin
if (VAR42 == VAR57)
begin
VAR54 <= VAR16 1'd0;
VAR55 <= VAR16 1'd0;
VAR20 <= VAR16 1'd0;
VAR70 <= VAR16 1'd0;
VAR40 <= VAR16 1'd0;
VAR35 <= VAR16 1'd0;
VAR19 <= VAR16 1'd0;
VAR46 <= VAR16 1'd0;
VAR60 <= VAR16 1'd0;
end
else
begin
if (VAR41) VAR54 <= VAR16 VAR68;
if (VAR71) VAR55 <= VAR16 VAR61;
if (VAR49) VAR20 <= VAR16 VAR38;
if (VAR6) VAR70 <= VAR16 VAR18;
if (VAR63) VAR40 <= VAR16 VAR67;
if (VAR34) VAR35 <= VAR16 VAR1;
if (VAR5) VAR19 <= VAR16 VAR53;
if (VAR56) VAR46 <= VAR16 VAR12;
if (VAR10) VAR60 <= VAR16 VAR37;
end
end
begin
VAR54 = 1'h0;
VAR55 = 1'h0;
VAR20 = 1'h0;
VAR70 = 1'h0;
VAR40 = 1'h0;
VAR35 = 1'h0;
VAR19 = 1'h0;
VAR46 = 1'h0;
VAR60 = 1'h0;
end
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/mux2i/sky130_fd_sc_ms__mux2i.behavioral.pp.v
| 1,934 |
module MODULE1 (
VAR5 ,
VAR9 ,
VAR11 ,
VAR2 ,
VAR15,
VAR3,
VAR13 ,
VAR4
);
output VAR5 ;
input VAR9 ;
input VAR11 ;
input VAR2 ;
input VAR15;
input VAR3;
input VAR13 ;
input VAR4 ;
wire VAR7;
wire VAR1;
VAR8 VAR10 (VAR7, VAR9, VAR11, VAR2 );
VAR6 VAR14 (VAR1, VAR7, VAR15, VAR3);
buf VAR12 (VAR5 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a222oi/sky130_fd_sc_hdll__a222oi_1.v
| 2,558 |
module MODULE1 (
VAR12 ,
VAR2 ,
VAR3 ,
VAR8 ,
VAR6 ,
VAR4 ,
VAR1 ,
VAR13,
VAR9,
VAR11 ,
VAR5
);
output VAR12 ;
input VAR2 ;
input VAR3 ;
input VAR8 ;
input VAR6 ;
input VAR4 ;
input VAR1 ;
input VAR13;
input VAR9;
input VAR11 ;
input VAR5 ;
VAR7 VAR10 (
.VAR12(VAR12),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR13(VAR13),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR12 ,
VAR2,
VAR3,
VAR8,
VAR6,
VAR4,
VAR1
);
output VAR12 ;
input VAR2;
input VAR3;
input VAR8;
input VAR6;
input VAR4;
input VAR1;
supply1 VAR13;
supply0 VAR9;
supply1 VAR11 ;
supply0 VAR5 ;
VAR7 VAR10 (
.VAR12(VAR12),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
bargei/NoC264
|
NoC264_2x2/mkinputvcqueues.v
| 19,033 |
module MODULE1(VAR72,
VAR36,
VAR27,
VAR67,
VAR42,
VAR30,
VAR45,
VAR57,
VAR47,
VAR51);
input VAR72;
input VAR36;
input VAR27;
input [69 : 0] VAR67;
input VAR42;
input VAR30;
input VAR45;
output [69 : 0] VAR57;
output [1 : 0] VAR47;
output [1 : 0] VAR51;
wire [69 : 0] VAR57;
wire [1 : 0] VAR47, VAR51;
wire [2 : 0] VAR69,
VAR22;
wire [1 : 0] VAR25,
VAR18;
reg [2 : 0] VAR1;
wire [2 : 0] VAR24;
wire VAR66;
reg [2 : 0] VAR52;
wire [2 : 0] VAR64;
wire VAR32;
reg VAR37;
wire VAR65,
VAR8;
reg VAR29;
wire VAR13,
VAR20;
reg VAR60;
wire VAR7,
VAR3;
reg VAR48;
wire VAR17,
VAR26;
reg [2 : 0] VAR6;
wire [2 : 0] VAR39;
wire VAR63;
reg [2 : 0] VAR49;
wire [2 : 0] VAR19;
wire VAR56;
wire [69 : 0] VAR38,
VAR14;
wire [3 : 0] VAR2,
VAR10;
wire VAR34;
wire [2 : 0] VAR12,
VAR62,
VAR59,
VAR33,
VAR53,
VAR68;
wire VAR40,
VAR23,
VAR35,
VAR43,
VAR9,
VAR61,
VAR4,
VAR58,
VAR50,
VAR28,
VAR21,
VAR55,
VAR11,
VAR5;
assign VAR57 = VAR14 ;
assign VAR47 =
{ VAR29,
VAR37 } ;
assign VAR51 =
{ VAR48,
VAR60 } ;
VAR46 #( 32'd70,
32'd4) VAR44(.VAR72(VAR72),
.VAR15(VAR36),
.VAR54(VAR2),
.VAR31(VAR10),
.VAR41(VAR38),
.VAR70(VAR34),
.VAR16(VAR14));
assign VAR18 = { 1'd1, VAR27 } ;
assign VAR25 = { 1'd1, VAR30 } ;
assign VAR22 = VAR62 + 3'd1 ;
assign VAR69 = VAR12 + 3'd1 ;
assign VAR24 = VAR33 ;
assign VAR66 =
VAR45 && VAR25[1] &&
!VAR25[0] ;
assign VAR64 = VAR33 ;
assign VAR32 =
VAR45 && VAR25[1] &&
VAR25[0] ;
assign VAR65 =
VAR5 ;
assign VAR8 =
VAR5 ||
VAR45 && VAR25[1] &&
VAR50 ;
assign VAR13 =
VAR11 ;
assign VAR20 =
VAR11 ||
VAR45 && VAR25[1] &&
VAR58 ;
assign VAR7 =
!VAR42 || !VAR18[1] ||
!VAR61 ;
assign VAR3 =
VAR42 && VAR18[1] &&
VAR61 ||
VAR55 ;
assign VAR17 =
!VAR42 || !VAR18[1] ||
!VAR9 ;
assign VAR26 =
VAR42 && VAR18[1] &&
VAR9 ||
VAR21 ;
assign VAR39 = VAR59 ;
assign VAR63 =
VAR42 && VAR18[1] &&
!VAR18[0] ;
assign VAR19 = VAR59 ;
assign VAR56 =
VAR42 && VAR18[1] &&
VAR18[0] ;
assign VAR2 =
{ VAR27, VAR62 } ;
assign VAR10 =
{ VAR30, VAR12 } ;
assign VAR38 = VAR67 ;
assign VAR34 = VAR42 ;
assign VAR40 =
VAR30 ?
!VAR29 :
!VAR37 ;
assign VAR23 =
VAR27 ?
!VAR48 :
!VAR60 ;
assign VAR35 =
VAR33 == VAR68 ;
assign VAR43 =
VAR59 == VAR53 ;
assign VAR9 =
(!VAR45 || !VAR25[1] ||
VAR18[0] !=
VAR25[0]) &&
VAR43 &&
VAR18[0] ;
assign VAR61 =
(!VAR45 || !VAR25[1] ||
VAR18[0] !=
VAR25[0]) &&
VAR43 &&
!VAR18[0] ;
assign VAR4 =
(!VAR45 || !VAR25[1] ||
VAR18[0] !=
VAR25[0]) &&
VAR43 ;
assign VAR58 =
(!VAR42 || !VAR18[1] ||
VAR25[0] !=
VAR18[0]) &&
VAR35 &&
VAR25[0] ;
assign VAR50 =
(!VAR42 || !VAR18[1] ||
VAR25[0] !=
VAR18[0]) &&
VAR35 &&
!VAR25[0] ;
assign VAR28 =
(!VAR42 || !VAR18[1] ||
VAR25[0] !=
VAR18[0]) &&
VAR35 ;
assign VAR12 =
VAR30 ?
VAR52 :
VAR1 ;
assign VAR62 =
VAR27 ?
VAR49 :
VAR6 ;
assign VAR21 =
VAR45 && VAR25[1] &&
(!VAR42 || !VAR18[1] ||
VAR25[0] !=
VAR18[0]) &&
VAR25[0] ;
assign VAR55 =
VAR45 && VAR25[1] &&
(!VAR42 || !VAR18[1] ||
VAR25[0] !=
VAR18[0]) &&
!VAR25[0] ;
assign VAR11 =
VAR42 && VAR18[1] &&
(!VAR45 || !VAR25[1] ||
VAR18[0] !=
VAR25[0]) &&
VAR18[0] ;
assign VAR5 =
VAR42 && VAR18[1] &&
(!VAR45 || !VAR25[1] ||
VAR18[0] !=
VAR25[0]) &&
!VAR18[0] ;
assign VAR59 = VAR42 ? VAR22 : 3'd0 ;
assign VAR33 = VAR45 ? VAR69 : 3'd0 ;
assign VAR53 =
VAR18[0] ?
VAR52 :
VAR1 ;
assign VAR68 =
VAR25[0] ?
VAR49 :
VAR6 ;
always@(posedge VAR72)
begin
if (!VAR36)
begin
VAR1 <= VAR71 3'd0;
VAR52 <= VAR71 3'd0;
VAR37 <= VAR71 1'd0;
VAR29 <= VAR71 1'd0;
VAR60 <= VAR71 1'd1;
VAR48 <= VAR71 1'd1;
VAR6 <= VAR71 3'd0;
VAR49 <= VAR71 3'd0;
end
else
begin
if (VAR66)
VAR1 <= VAR71
VAR24;
if (VAR32)
VAR52 <= VAR71
VAR64;
if (VAR8)
VAR37 <= VAR71
VAR65;
if (VAR20)
VAR29 <= VAR71
VAR13;
if (VAR3)
VAR60 <= VAR71
VAR7;
if (VAR26)
VAR48 <= VAR71
VAR17;
if (VAR63)
VAR6 <= VAR71
VAR39;
if (VAR56)
VAR49 <= VAR71
VAR19;
end
end
begin
VAR1 = 3'h2;
VAR52 = 3'h2;
VAR37 = 1'h0;
VAR29 = 1'h0;
VAR60 = 1'h0;
VAR48 = 1'h0;
VAR6 = 3'h2;
VAR49 = 3'h2;
end
always@(negedge VAR72)
begin
if (VAR36)
if (VAR42 && VAR23)
("");
if (VAR36)
if (VAR42 && VAR23)
("");
if (VAR36)
if (VAR42 && VAR23)
if (VAR36)
if (VAR42 && VAR23)
if (VAR36) if (VAR42) ("");
if (VAR36)
if (VAR45 && VAR40)
if (VAR36)
if (VAR45 && VAR40)
if (VAR36) if (VAR45) ("");
if (VAR36)
if (VAR42 && VAR18[1] &&
VAR4)
("");
if (VAR36)
if (VAR45 && VAR25[1] &&
VAR28)
("");
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/invz/gf180mcu_fd_sc_mcu9t5v0__invz_8.behavioral.v
| 1,180 |
module MODULE1( VAR2, VAR6, VAR4 );
input VAR2, VAR6;
output VAR4;
VAR1 VAR3(.VAR2(VAR2),.VAR6(VAR6),.VAR4(VAR4));
VAR1 VAR5(.VAR2(VAR2),.VAR6(VAR6),.VAR4(VAR4));
|
apache-2.0
|
alexforencich/hdg2000
|
fpga/rtl/soc_interface_wb_8.v
| 10,947 |
module MODULE1
(
input wire clk,
input wire rst,
input wire [7:0] VAR29,
input wire VAR15,
output wire VAR57,
input wire VAR47,
output wire [7:0] VAR35,
output wire VAR3,
input wire VAR23,
output wire VAR24,
output wire [35:0] VAR7, input wire [7:0] VAR59, output wire [7:0] VAR1, output wire VAR18, output wire VAR19, input wire VAR8, input wire VAR28, output wire VAR37,
output wire VAR55
);
localparam [2:0]
VAR30 = 3'd0,
VAR31 = 3'd1,
VAR32 = 3'd2,
VAR46 = 3'd3,
VAR11 = 3'd4;
reg [2:0] VAR16 = VAR30, VAR21;
reg VAR43 = 0, VAR50;
reg VAR52 = 0, VAR10;
reg [7:0] VAR38 = 0, VAR36;
reg [35:0] VAR34 = 0, VAR26;
reg [7:0] VAR48 = 0, VAR54;
reg VAR5 = 0, VAR9;
reg [1:0] VAR51 = 0, VAR45;
reg VAR20 = 0, VAR49;
reg [7:0] VAR40 = 0, VAR33;
reg [7:0] VAR2 = 0, VAR39;
reg VAR6 = 0, VAR17;
reg [7:0] VAR4 = 0, VAR58;
reg VAR27 = 0, VAR42;
reg VAR53 = 0, VAR14;
reg VAR44 = 0, VAR41;
reg VAR25 = 0, VAR22;
reg VAR13 = 0, VAR56;
reg VAR12 = 0;
assign VAR57 = VAR6;
assign VAR35 = VAR4;
assign VAR3 = VAR27;
assign VAR24 = VAR53;
assign VAR7 = VAR34;
assign VAR1 = VAR2;
assign VAR18 = VAR44;
assign VAR19 = VAR25;
assign VAR37 = VAR13;
assign VAR55 = VAR12;
always @* begin
VAR21 = 0;
VAR50 = VAR43;
VAR10 = 0;
VAR36 = VAR38;
VAR26 = VAR34;
if (VAR52) begin
VAR26[31:0] = VAR34[31:0] + 1;
end
VAR54 = VAR48;
VAR9 = VAR5;
VAR45 = VAR51;
VAR49 = VAR20;
VAR33 = VAR40;
VAR39 = VAR2;
VAR17 = 0;
VAR58 = VAR4;
VAR42 = VAR27 & ~VAR23;
VAR14 = VAR53;
VAR41 = VAR44;
VAR22 = VAR25;
VAR56 = VAR13;
case (VAR16)
VAR30: begin
VAR17 = ~VAR13;
VAR9 = 0;
VAR45 = 0;
if (VAR57 & VAR15) begin
VAR36 = VAR29;
if (VAR47) begin
VAR21 = VAR30;
end else if (VAR36[7:4] == 4'hA || VAR36[7:4] == 4'hB) begin
VAR26[35:32] = VAR36[3:0];
VAR21 = VAR31;
end else begin
VAR21 = VAR11;
end
end else begin
VAR21 = VAR30;
end
end
VAR31: begin
VAR17 = 1;
VAR54 = 0;
VAR9 = 0;
VAR49 = 0;
VAR41 = 0;
VAR50 = 1;
if (VAR57 & VAR15) begin
VAR45 = VAR51 + 1;
case (VAR51)
2'd0: VAR26[31:24] = VAR29;
2'd1: VAR26[23:16] = VAR29;
2'd2: VAR26[15: 8] = VAR29;
2'd3: VAR26[ 7: 0] = VAR29;
endcase
if (VAR47) begin
VAR21 = VAR30;
end else if (VAR51 == 3) begin
if (VAR38[7:4] == 4'hA) begin
VAR56 = 1;
VAR22 = 1;
VAR21 = VAR32;
end else if (VAR38[7:4] == 4'hB) begin
VAR21 = VAR46;
end else begin
VAR21 = VAR11;
end
end else begin
VAR21 = VAR31;
end
end else begin
VAR21 = VAR31;
end
end
VAR32: begin
VAR17 = 1;
if (VAR43 & VAR5) begin
VAR58 = 1;
VAR42 = 1;
VAR14 = 0;
VAR50 = 0;
end else if (VAR23 & VAR5) begin
VAR42 = 1;
VAR58 = VAR48;
VAR9 = 0;
end
VAR21 = VAR32;
if (VAR15 & VAR47) begin
VAR58 = 0;
VAR42 = 1;
VAR14 = 1;
VAR21 = VAR30;
end
if (!VAR9 & VAR20) begin
VAR54 = VAR40;
VAR9 = 1;
VAR49 = 0;
VAR56 = 1;
VAR22 = 1;
VAR41 = 0;
end
end
VAR46: begin
VAR17 = ~VAR13;
if (VAR57 & VAR15) begin
VAR39 = VAR29;
VAR56 = 1;
VAR22 = 1;
VAR41 = 1;
VAR17 = 0;
if (VAR47) begin
VAR21 = VAR30;
end else begin
VAR21 = VAR46;
end
end else begin
VAR21 = VAR46;
end
end
VAR11: begin
VAR17 = 1;
if (VAR57 & VAR15 & VAR47) begin
VAR21 = VAR30;
end else begin
VAR21 = VAR11;
end
end
endcase
if (VAR13 & VAR25) begin
if (VAR8 | VAR28) begin
VAR56 = 0;
VAR22 = 0;
VAR41 = 0;
VAR10 = 1;
if (VAR44) begin
end else begin
VAR33 = VAR59;
VAR49 = 1;
end
end
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR16 <= VAR30;
VAR43 <= 0;
VAR52 <= 0;
VAR38 <= 0;
VAR34 <= 0;
VAR48 <= 0;
VAR5 <= 0;
VAR51 <= 0;
VAR20 <= 0;
VAR40 <= 0;
VAR2 <= 0;
VAR6 <= 0;
VAR4 <= 0;
VAR27 <= 0;
VAR53 <= 0;
VAR44 <= 0;
VAR25 <= 0;
VAR13 <= 0;
VAR12 <= 0;
end else begin
VAR16 <= VAR21;
VAR43 <= VAR50;
VAR52 <= VAR10;
VAR38 <= VAR36;
VAR34 <= VAR26;
VAR48 <= VAR54;
VAR5 <= VAR9;
VAR51 <= VAR45;
VAR20 <= VAR49;
VAR40 <= VAR33;
VAR2 <= VAR39;
VAR6 <= VAR17;
VAR4 <= VAR58;
VAR27 <= VAR42;
VAR53 <= VAR14;
VAR44 <= VAR41;
VAR25 <= VAR22;
VAR13 <= VAR56;
VAR12 <= VAR21 != VAR30;
end
end
endmodule
|
mit
|
v3best/R7Lite
|
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_pipe_eq.v
| 35,561 |
module MODULE1 #
(
parameter VAR66 = "VAR91",
parameter VAR93 = "VAR132",
parameter VAR140 = 1
)
(
input VAR40,
input VAR33,
input VAR25,
input [ 1:0] VAR101,
input [ 3:0] VAR5,
input [ 3:0] VAR115,
input [ 5:0] VAR75,
input [ 1:0] VAR29,
input [ 2:0] VAR117,
input [ 5:0] VAR68,
input [ 3:0] VAR56,
input VAR119,
input [17:0] VAR72,
input VAR81,
output VAR13,
output [ 4:0] VAR42,
output [ 6:0] VAR16,
output [ 4:0] VAR10,
output [17:0] VAR124,
output VAR97,
output [ 5:0] VAR62,
output [17:0] VAR92,
output VAR23,
output VAR51,
output VAR102,
output [ 5:0] VAR94
);
reg VAR85;
reg VAR88;
reg [ 1:0] VAR123;
reg [ 3:0] VAR30;
reg [ 5:0] VAR71;
reg [ 1:0] VAR47;
reg [ 3:0] VAR99;
reg [ 5:0] VAR63;
reg [ 1:0] VAR111;
reg [ 2:0] VAR87;
reg [ 5:0] VAR133;
reg [ 3:0] VAR83;
reg VAR118;
reg [17:0] VAR2;
reg VAR49;
reg [ 1:0] VAR78;
reg [ 2:0] VAR27;
reg [ 5:0] VAR69;
reg [ 3:0] VAR39;
reg VAR54;
reg [17:0] VAR32;
reg VAR57;
reg [18:0] VAR128 = 19'd0;
reg VAR113 = 1'd0;
reg [ 1:0] VAR64 = 2'd0;
reg [ 2:0] VAR55 = 3'd0;
reg VAR24 = 1'd0;
reg [ 3:0] VAR109 = 4'd0;
reg [17:0] VAR65 = 18'd0;
reg [ 2:0] VAR121 = 3'd0;
reg [ 5:0] VAR18 = 6'd0;
reg [ 5:0] VAR134 = 6'd0;
reg VAR53 = 1'd0;
reg [18:0] VAR106 = 19'd0;
reg VAR116 = 1'd0;
reg [ 5:0] VAR44 = 6'd0;
reg [17:0] VAR22 = 18'd0;
reg VAR1 = 1'd0;
reg VAR110 = 1'd0;
reg VAR96 = 1'd0;
reg VAR59 = 1'd0;
reg [ 5:0] VAR20 = 6'd0;
wire VAR127;
wire VAR104;
wire [17:0] VAR52;
wire VAR77;
wire VAR50;
localparam VAR3 = 6'b000001;
localparam VAR136 = 6'b000010;
localparam VAR6 = 6'b000100;
localparam VAR130 = 6'b001000;
localparam VAR48 = 6'b010000;
localparam VAR61 = 6'b100000;
localparam VAR12 = 6'b000001;
localparam VAR36 = 6'b000010;
localparam VAR70 = 6'b000100;
localparam VAR58 = 6'b001000;
localparam VAR21 = 6'b010000;
localparam VAR86 = 6'b100000;
localparam VAR131 = 6'd0; localparam VAR28 = 7'd60;
localparam VAR73 = 6'd20;
localparam VAR126 = 6'd0; localparam VAR120 = 7'd68; localparam VAR37 = 6'd13;
localparam VAR105 = 6'd0; localparam VAR82 = 7'd64;
localparam VAR15 = 6'd16;
localparam VAR26 = 6'd0; localparam VAR89 = 7'd70;
localparam VAR122 = 6'd10;
localparam VAR67 = 6'd0; localparam VAR9 = 7'd80;
localparam VAR137 = 6'd0;
localparam VAR135 = 6'd8; localparam VAR31 = 7'd72;
localparam VAR60 = 6'd0;
localparam VAR41 = 6'd10; localparam VAR8 = 7'd70;
localparam VAR139 = 6'd0;
localparam VAR34 = 6'd8; localparam VAR14 = 7'd56;
localparam VAR103 = 6'd16;
localparam VAR74 = 6'd10; localparam VAR129 = 7'd60;
localparam VAR17 = 6'd10;
localparam VAR4 = 6'd13; localparam VAR90 = 7'd68; localparam VAR38 = 6'd0;
localparam VAR100 = 6'd0; localparam VAR107 = 7'd56; localparam VAR125 = 6'd25;
always @ (posedge VAR40)
begin
if (!VAR33)
begin
VAR85 <= 1'd0;
VAR123 <= 2'd0;
VAR30 <= 4'd0;
VAR71 <= 6'd1;
VAR111 <= 2'd0;
VAR87 <= 3'd0;
VAR133 <= 6'd0;
VAR83 <= 4'd0;
VAR118 <= 1'd0;
VAR2 <= 18'd0;
VAR49 <= 1'd0;
VAR88 <= 1'd0;
VAR47 <= 2'd0;
VAR99 <= 4'd0;
VAR63 <= 6'd1;
VAR78 <= 2'd0;
VAR27 <= 3'd0;
VAR69 <= 6'd0;
VAR39 <= 4'd0;
VAR54 <= 1'd0;
VAR32 <= 18'd0;
VAR57 <= 1'd0;
end
else
begin
VAR85 <= VAR25;
VAR123 <= VAR101;
VAR30 <= VAR5;
VAR71 <= VAR75;
VAR111 <= VAR29;
VAR87 <= VAR117;
VAR133 <= VAR68;
VAR83 <= VAR56;
VAR118 <= VAR119;
VAR2 <= VAR72;
VAR49 <= VAR81;
VAR88 <= VAR85;
VAR47 <= VAR123;
VAR99 <= VAR30;
VAR63 <= VAR71;
VAR78 <= VAR111;
VAR27 <= VAR87;
VAR69 <= VAR133;
VAR39 <= VAR83;
VAR54 <= VAR118;
VAR32 <= VAR2;
VAR57 <= VAR49;
end
end
always @ (posedge VAR40)
begin
if (!VAR33)
begin
case (VAR115)
4'd0 : VAR128 <= {VAR73, VAR28, VAR131};
4'd1 : VAR128 <= {VAR37, VAR120, VAR126};
4'd2 : VAR128 <= {VAR15, VAR82, VAR105};
4'd3 : VAR128 <= {VAR122, VAR89, VAR26};
4'd4 : VAR128 <= {VAR137, VAR9, VAR67};
4'd5 : VAR128 <= {VAR60, VAR31, VAR135};
4'd6 : VAR128 <= {VAR139, VAR8, VAR41};
4'd7 : VAR128 <= {VAR103, VAR14, VAR34};
4'd8 : VAR128 <= {VAR17, VAR129, VAR74};
4'd9 : VAR128 <= {VAR38, VAR90, VAR4};
4'd10 : VAR128 <= {VAR125, VAR107, VAR100};
default : VAR128 <= 19'd4;
endcase
VAR113 <= 1'd0;
end
else
begin
if (VAR44 == VAR136)
begin
case (VAR99)
4'd0 : VAR128 <= {VAR73, VAR28, VAR131};
4'd1 : VAR128 <= {VAR37, VAR120, VAR126};
4'd2 : VAR128 <= {VAR15, VAR82, VAR105};
4'd3 : VAR128 <= {VAR122, VAR89, VAR26};
4'd4 : VAR128 <= {VAR137, VAR9, VAR67};
4'd5 : VAR128 <= {VAR60, VAR31, VAR135};
4'd6 : VAR128 <= {VAR139, VAR8, VAR41};
4'd7 : VAR128 <= {VAR103, VAR14, VAR34};
4'd8 : VAR128 <= {VAR17, VAR129, VAR74};
4'd9 : VAR128 <= {VAR38, VAR90, VAR4};
4'd10 : VAR128 <= {VAR125, VAR107, VAR100};
default : VAR128 <= 19'd4;
endcase
VAR113 <= 1'd1;
end
else
begin
VAR128 <= VAR128;
VAR113 <= 1'd0;
end
end
end
always @ (posedge VAR40)
begin
if (!VAR33)
begin
VAR44 <= VAR3;
VAR106 <= 19'd0;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
else
begin
case (VAR44)
VAR3 :
begin
case (VAR47)
2'd0 :
begin
VAR44 <= VAR3;
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
2'd1 :
begin
VAR44 <= VAR136;
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
2'd2 :
begin
VAR44 <= VAR6;
VAR106 <= {VAR63, VAR106[18:6]};
VAR64 <= 2'd1;
VAR116 <= 1'd0;
end
2'd3 :
begin
VAR44 <= VAR48;
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
default :
begin
VAR44 <= VAR3;
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
endcase
end
VAR136 :
begin
VAR44 <= (VAR113 ? VAR61 : VAR136);
VAR106 <= VAR128;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
VAR6 :
begin
VAR44 <= ((VAR64 == 2'd2) ? VAR130 : VAR6);
if (VAR64 == 2'd1)
VAR106 <= {1'd0, VAR63, VAR106[18:7]};
end
else
VAR106 <= {VAR63, VAR106[18:6]};
VAR64 <= VAR64 + 2'd1;
VAR116 <= 1'd0;
end
VAR130 :
begin
VAR44 <= VAR61;
VAR106 <= VAR106 << 1; VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
VAR48:
begin
VAR44 <= VAR61;
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
VAR61 :
begin
VAR44 <= ((VAR47 == 2'd0) ? VAR3 : VAR61);
VAR106 <= VAR106;
VAR64 <= 2'd0;
VAR116 <= 1'd1;
end
default :
begin
VAR44 <= VAR3;
VAR106 <= 19'd0;
VAR64 <= 2'd0;
VAR116 <= 1'd0;
end
endcase
end
end
always @ (posedge VAR40)
begin
if (!VAR33)
begin
VAR20 <= VAR12;
VAR55 <= 3'd0;
VAR24 <= 1'd0;
VAR109 <= 4'd0;
VAR65 <= 18'd0;
VAR121 <= 3'd0;
VAR18 <= 6'd0;
VAR134 <= 6'd0;
VAR53 <= 1'd0;
VAR22 <= 18'd0;
VAR1 <= 1'd0;
VAR110 <= 1'd0;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
else
begin
case (VAR20)
VAR12 :
begin
case (VAR78)
2'd1 :
begin
VAR20 <= VAR36;
VAR55 <= VAR27;
VAR24 <= 1'd0;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= 3'd0;
VAR18 <= VAR18;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= 1'd0;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
2'd2 :
begin
VAR20 <= VAR70;
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR39;
VAR65 <= {VAR63, VAR65[17:6]};
VAR121 <= 3'd1;
VAR18 <= VAR69;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
2'd3 :
begin
VAR20 <= VAR70;
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR39;
VAR65 <= {VAR63, VAR65[17:6]};
VAR121 <= 3'd1;
VAR18 <= VAR69;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
default :
begin
VAR20 <= VAR12;
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= 3'd0;
VAR18 <= VAR18;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
endcase
end
VAR36 :
begin
VAR20 <= (VAR104 ? VAR86 : VAR36);
VAR55 <= VAR27;
VAR24 <= 1'd1;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= 3'd0;
VAR18 <= VAR18;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
VAR70 :
begin
VAR20 <= ((VAR121 == 3'd2) ? VAR58 : VAR70);
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR39;
VAR65 <= {VAR63, VAR65[17:6]};
VAR121 <= VAR121 + 2'd1;
VAR18 <= VAR18;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd1;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
VAR58 :
begin
VAR20 <= ((VAR121 == 3'd7) ? VAR21 : VAR58);
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= VAR121 + 2'd1;
VAR18 <= VAR18;
VAR134 <= ((VAR121 == 3'd7) ? VAR69 : VAR134);
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= 1'd1;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
VAR21 :
begin
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= 3'd0;
VAR18 <= VAR18;
VAR134 <= VAR134;
if (VAR77)
begin
VAR20 <= VAR86;
VAR53 <= 1'd0;
VAR22 <= VAR127 ? {14'd0, VAR52[3:0]} : VAR52;
VAR1 <= VAR127;
VAR110 <= VAR50 || VAR110;
VAR96 <= VAR50 || VAR110;
VAR59 <= 1'd1;
end
else
begin
VAR20 <= VAR21;
VAR53 <= 1'd1;
VAR22 <= VAR22;
VAR1 <= 1'd0;
VAR110 <= VAR110;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
end
VAR86 :
begin
VAR20 <= ((VAR78 == 2'd0) ? VAR12 : VAR86);
VAR55 <= VAR55;
VAR24 <= 1'd0;
VAR109 <= VAR109;
VAR65 <= VAR65;
VAR121 <= 3'd0;
VAR18 <= VAR18;
VAR134 <= VAR134;
VAR53 <= 1'd0;
VAR22 <= VAR22;
VAR1 <= VAR1;
VAR110 <= VAR110;
VAR96 <= VAR96;
VAR59 <= 1'd1;
end
default :
begin
VAR20 <= VAR12;
VAR55 <= 3'd0;
VAR24 <= 1'd0;
VAR109 <= 4'd0;
VAR65 <= 18'd0;
VAR121 <= 3'd0;
VAR18 <= 6'd0;
VAR134 <= 6'd0;
VAR53 <= 1'd0;
VAR22 <= 18'd0;
VAR1 <= 1'd0;
VAR110 <= 1'd0;
VAR96 <= 1'd0;
VAR59 <= 1'd0;
end
endcase
end
end
VAR45 #
(
.VAR66 (VAR66),
.VAR93 (VAR93),
.VAR140 (VAR140)
)
VAR19
(
.VAR114 (VAR40),
.VAR138 (VAR33),
.VAR43 (VAR78),
.VAR35 (VAR18),
.VAR11 (VAR134),
.VAR79 (VAR55),
.VAR108 (VAR24),
.VAR7 (VAR109),
.VAR76 (VAR65),
.VAR46 (VAR53),
.VAR112 (VAR104),
.VAR95 (VAR52),
.VAR84 (VAR77),
.VAR98 (VAR127),
.VAR80 (VAR50)
);
assign VAR13 = VAR106[0];
assign VAR42 = VAR88 ? VAR106[ 4: 0] : 5'h00;
assign VAR16 = VAR88 ? VAR106[12: 6] : 7'h00;
assign VAR10 = VAR88 ? VAR106[17:13] : 5'h00;
assign VAR124 = {1'd0, VAR106[18:14], VAR106[12:7], 1'd0, VAR106[5:1]}; assign VAR97 = VAR116;
assign VAR62 = VAR44;
assign VAR92 = VAR54 ? VAR32 : VAR22;
assign VAR23 = VAR54 ? VAR57 : VAR1;
assign VAR51 = VAR96;
assign VAR102 = VAR59;
assign VAR94 = VAR20;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a311oi/sky130_fd_sc_hs__a311oi.behavioral.v
| 1,970 |
module MODULE1 (
VAR2 ,
VAR9 ,
VAR4 ,
VAR7 ,
VAR8 ,
VAR6 ,
VAR11,
VAR14
);
output VAR2 ;
input VAR9 ;
input VAR4 ;
input VAR7 ;
input VAR8 ;
input VAR6 ;
input VAR11;
input VAR14;
wire VAR8 VAR13 ;
wire VAR3 ;
wire VAR16;
and VAR12 (VAR13 , VAR7, VAR9, VAR4 );
nor VAR5 (VAR3 , VAR13, VAR8, VAR6 );
VAR10 VAR15 (VAR16, VAR3, VAR11, VAR14);
buf VAR1 (VAR2 , VAR16 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdfxbp/sky130_fd_sc_lp__sdfxbp.behavioral.v
| 2,477 |
module MODULE1 (
VAR10 ,
VAR22,
VAR24,
VAR21 ,
VAR2,
VAR6
);
output VAR10 ;
output VAR22;
input VAR24;
input VAR21 ;
input VAR2;
input VAR6;
supply1 VAR25;
supply0 VAR5;
supply1 VAR17 ;
supply0 VAR11 ;
wire VAR13 ;
wire VAR23 ;
reg VAR20 ;
wire VAR12 ;
wire VAR15;
wire VAR8;
wire VAR19;
wire VAR26 ;
wire VAR3 ;
wire VAR9 ;
wire VAR4 ;
VAR1 VAR16 (VAR23, VAR12, VAR15, VAR8 );
VAR7 VAR18 (VAR13 , VAR23, VAR19, VAR20, VAR25, VAR5);
assign VAR26 = ( VAR25 === 1'b1 );
assign VAR3 = ( ( VAR8 === 1'b0 ) && VAR26 );
assign VAR9 = ( ( VAR8 === 1'b1 ) && VAR26 );
assign VAR4 = ( ( VAR12 !== VAR15 ) && VAR26 );
buf VAR14 (VAR10 , VAR13 );
not VAR27 (VAR22 , VAR13 );
endmodule
|
apache-2.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/memory_if/mig_7series_v1_8_arb_mux.v
| 19,702 |
module MODULE1 #
(
parameter VAR92 = 100,
parameter VAR114 = "VAR118",
parameter VAR61 = "1T",
parameter VAR81 = 11,
parameter VAR32 = 3,
parameter VAR102 = "8",
parameter VAR45 = 4,
parameter VAR38 = 5,
parameter VAR116 = 5,
parameter VAR4 = 31,
parameter VAR33 = 8,
parameter VAR43 = "VAR96",
parameter VAR52 = "VAR85", parameter VAR48 = "VAR118",
parameter VAR66 = "VAR118",
parameter VAR104 = 4,
parameter VAR115 = 2, parameter VAR23 = 1,
parameter VAR62 = 37500, parameter VAR9 = 12500, parameter VAR11 = 2,
parameter VAR103 = 6, parameter VAR88 = 1,
parameter VAR39 = 15,
parameter VAR123 = 2,
parameter VAR10 = 63,
parameter VAR50 = 16,
parameter VAR77 = "40",
parameter VAR18 = "120",
parameter VAR89 = 8'b00000101,
parameter VAR71 = 8'b00001010
)
(
output [VAR50-1:0] VAR84, output [VAR32-1:0] VAR34, output [VAR33-1:0] VAR99, output VAR40, output [VAR123-1:0] VAR68, output VAR29, output VAR70,
output [VAR50-1:0] VAR101, output VAR91, output [VAR33-1:0] VAR93, output wire [VAR115-1:0] VAR98,
output wire [VAR115-1:0] VAR13,
output wire [VAR115-1:0] VAR25,
output wire [VAR115*VAR50-1:0] VAR119,
output wire [VAR115*VAR32-1:0] VAR83,
output wire [VAR45*VAR23*VAR115-1:0] VAR19,
output wire [1:0] VAR35,
output wire [VAR115-1:0] VAR79,
output wire [3:0] VAR67,
output wire [3:0] VAR107,
output [2:0] VAR3,
output [5:0] VAR27,
output [5:0] VAR120,
output [5:0] VAR64,
output [1:0] VAR90,
output [VAR123-1:0] VAR57, output VAR65, output [VAR104-1:0] VAR31, output [VAR104-1:0] VAR5,
output VAR110, output VAR111, output VAR74, output [VAR104-1:0] VAR12,
output VAR97,
output VAR1,
output VAR42,
input clk,
input rst,
input VAR36,
input [6*VAR88-1:0] VAR80,
input [6*VAR88-1:0] VAR121,
input [6*VAR88-1:0] VAR51,
input [VAR10:0] VAR112, input [VAR104-1:0] VAR56, input VAR82, input [VAR123-1:0] VAR16, input VAR100, input VAR2, input VAR59, input [VAR104-1:0] VAR6, input [VAR81:0] VAR53, input [VAR104-1:0] VAR30, input [VAR4:0] VAR95, input [VAR104-1:0] VAR122, input [VAR39:0] VAR76, input [VAR104-1:0] VAR15, input [VAR10:0] VAR117, input [VAR104-1:0] VAR14, input [VAR104-1:0] VAR26, input [VAR10:0] VAR87, input [VAR104-1:0] VAR63, input [VAR104-1:0] VAR17, input [VAR104-1:0] VAR60, input [VAR104-1:0] VAR86, input [VAR104-1:0] VAR21, input [7:0] VAR94, input [7:0] VAR47
);
wire VAR28; wire VAR106; wire [VAR104-1:0] VAR41; wire [VAR104-1:0] VAR73; wire [VAR104-1:0] VAR75; wire [VAR104-1:0] VAR49; wire [VAR104-1:0] VAR72; wire VAR46; wire VAR109; wire VAR108; wire VAR78;
wire VAR37;
wire VAR105;
wire VAR24;
wire VAR113;
wire [5:0] VAR58;
wire VAR69;
assign VAR110 = VAR69;
VAR55 #
(
.VAR92 (VAR92),
.VAR61 (VAR61),
.VAR116 (VAR116),
.VAR48 (VAR48),
.VAR104 (VAR104),
.VAR115 (VAR115),
.VAR62 (VAR62),
.VAR9 (VAR9),
.VAR103 (VAR103))
VAR44
(
.VAR49 (VAR49[VAR104-1:0]),
.VAR72 (VAR72[VAR104-1:0]),
.VAR74 (VAR74),
.VAR31 (VAR31[VAR104-1:0]),
.VAR5 (VAR5[VAR104-1:0]),
.VAR75 (VAR75[VAR104-1:0]),
.VAR97 (VAR97),
.VAR42 (VAR42),
.VAR65 (VAR65),
.VAR41 (VAR41[VAR104-1:0]),
.VAR12 (VAR12[VAR104-1:0]),
.VAR110 (VAR69),
.VAR111 (VAR111),
.VAR73 (VAR73[VAR104-1:0]),
.VAR46 (VAR46),
.VAR109 (VAR109),
.VAR108 (VAR108),
.VAR78 (VAR78),
.VAR37 (VAR37),
.VAR105 (VAR105),
.VAR24 (VAR24),
.VAR113 (VAR113),
.VAR58 (VAR58),
.VAR28 (VAR28),
.VAR106 (VAR106),
.VAR7 (VAR7),
.VAR8 (VAR8),
.VAR1 (VAR1),
.clk (clk),
.rst (rst),
.VAR86 (VAR86[VAR104-1:0]),
.VAR21 (VAR21[VAR104-1:0]),
.VAR82 (VAR82),
.VAR60 (VAR60[VAR104-1:0]),
.VAR17 (VAR17[VAR104-1:0]),
.VAR56 (VAR56[VAR104-1:0]));
VAR22 #
(
.VAR92 (VAR92),
.VAR114 (VAR114),
.VAR61 (VAR61),
.VAR81 (VAR81),
.VAR32 (VAR32),
.VAR102 (VAR102),
.VAR45 (VAR45),
.VAR38 (VAR38),
.VAR116 (VAR116),
.VAR4 (VAR4),
.VAR33 (VAR33),
.VAR43 (VAR43),
.VAR48 (VAR48),
.VAR66 (VAR66),
.VAR52 (VAR52),
.VAR104 (VAR104),
.VAR115 (VAR115),
.VAR23 (VAR23),
.VAR11 (VAR11),
.VAR88 (VAR88),
.VAR39 (VAR39),
.VAR123 (VAR123),
.VAR10 (VAR10),
.VAR50 (VAR50),
.VAR77 (VAR77),
.VAR18 (VAR18),
.VAR89 (VAR89),
.VAR71 (VAR71))
VAR20
(
.VAR40 (VAR40),
.VAR68 (VAR68[VAR123-1:0]),
.VAR34 (VAR34[VAR32-1:0]),
.VAR84 (VAR84[VAR50-1:0]),
.VAR29 (VAR29),
.VAR70 (VAR70),
.VAR91 (VAR91),
.VAR101 (VAR101[VAR50-1:0]),
.VAR99 (VAR99[VAR33-1:0]),
.VAR93 (VAR93[VAR33-1:0]),
.VAR83 (VAR83),
.VAR119 (VAR119),
.VAR98 (VAR98),
.VAR13 (VAR13),
.VAR25 (VAR25),
.VAR19 (VAR19),
.VAR35 (VAR35),
.VAR79 (VAR79),
.VAR67 (VAR67),
.VAR107 (VAR107),
.VAR3 (VAR3),
.VAR27 (VAR27),
.VAR120 (VAR120),
.VAR64 (VAR64),
.VAR90 (VAR90),
.VAR58 (VAR58),
.VAR57 (VAR57),
.clk (clk),
.rst (rst),
.VAR36 (VAR36),
.VAR80 (VAR80),
.VAR121 (VAR121),
.VAR51 (VAR51),
.VAR76 (VAR76[VAR39:0]),
.VAR53 (VAR53[VAR81:0]),
.VAR15 (VAR15[VAR104-1:0]),
.VAR30 (VAR30[VAR104-1:0]),
.VAR26 (VAR26[VAR104-1:0]),
.VAR49 (VAR49[VAR104-1:0]),
.VAR72 (VAR72[VAR104-1:0]),
.VAR87 (VAR87[VAR10:0]),
.VAR63 (VAR63[VAR104-1:0]),
.VAR1 (VAR1),
.VAR100 (VAR100),
.VAR2 (VAR2),
.VAR59 (VAR59),
.VAR16 (VAR16[VAR123-1:0]),
.VAR122 (VAR122[VAR104-1:0]),
.VAR14 (VAR14[VAR104-1:0]),
.VAR6 (VAR6[VAR104-1:0]),
.VAR117 (VAR117[VAR10:0]),
.VAR112 (VAR112[VAR10:0]),
.VAR95 (VAR95[VAR4:0]),
.VAR41 (VAR41[VAR104-1:0]),
.VAR73 (VAR73[VAR104-1:0]),
.VAR46 (VAR46),
.VAR109 (VAR109),
.VAR108 (VAR108),
.VAR78 (VAR78),
.VAR37 (VAR37),
.VAR105 (VAR105),
.VAR24 (VAR24),
.VAR113 (VAR113),
.VAR110 (VAR114 == "VAR54" ? VAR111 : VAR110),
.VAR28 (VAR28),
.VAR106 (VAR106),
.VAR7 (VAR7),
.VAR8 (VAR8),
.VAR75 (VAR75[VAR104-1:0]),
.VAR97 (VAR97),
.VAR94 (VAR94[7:0]),
.VAR47 (VAR47[7:0]));
endmodule
|
mit
|
skyfex/svo-raycaster
|
raycaster2/raycaster.v
| 13,573 |
module MODULE1(
VAR152,
VAR87,
VAR106, VAR61,
VAR219, VAR11, VAR75,
VAR27, VAR175,
VAR90, VAR186, VAR162, VAR98,
VAR34, VAR94, VAR62,
VAR60, VAR149, VAR212,
VAR170, VAR159, VAR120,
VAR205, VAR130,
VAR50
);
input VAR152;
input VAR87;
input [7:0] VAR106;
input [7:0] VAR61;
input VAR219;
input VAR11;
input VAR75;
input [2:0] VAR27;
input [1:0] VAR175;
output [7:0] VAR90; output VAR186;
output VAR162;
output VAR98;
output [31:0] VAR34;
output [3:0] VAR94;
output VAR62;
input [31:0] VAR149;
output [31:0] VAR60;
output VAR212;
output VAR170;
input VAR159;
input VAR120;
output [2:0] VAR205; output [1:0] VAR130;
output VAR50;
wire [31:0] VAR1;
wire [31:0] VAR134;
wire [511:0] VAR210;
wire [511:0] VAR189;
wire VAR89 ;
wire VAR237 ;
wire [31:0] VAR123 ;
wire [31:0] VAR74 ;
wire [31:0] VAR48 ;
wire [31:0] VAR107 ;
wire VAR164;
wire [31:0] VAR3;
wire [3:0] VAR173;
wire VAR93 ;
wire [31:0] VAR158;
wire [31:0] VAR35;
wire VAR222;
wire VAR239;
wire VAR31;
wire [2:0] VAR4;
wire [1:0] VAR125;
wire VAR166 ;
wire [31:0] VAR147 ;
wire [2:0] VAR142 ;
wire [31:0] VAR194 ;
wire [31:0] VAR122 ;
wire [31:0] VAR177 ;
wire [31:0] VAR221 ;
wire [31:0] VAR157 ;
wire [31:0] VAR114 ;
wire [31:0] VAR197;
wire [31:0] VAR218;
wire VAR82;
wire VAR241;
wire VAR115;
wire VAR18 ;
wire VAR145 ;
wire [31:0] VAR202 ;
wire [4:0] VAR68 ;
wire VAR96 ;
wire [31:0] VAR20 ;
wire [2:0] VAR127 ;
wire [31:0] VAR67 ;
wire [31:0] VAR184 ;
wire [31:0] VAR129 ;
wire [31:0] VAR174 ;
wire [31:0] VAR79 ;
wire [31:0] VAR178 ;
wire [31:0] VAR163;
wire [31:0] VAR36;
wire VAR25;
wire VAR97;
wire VAR144;
wire VAR108 ;
wire VAR138 ;
wire [31:0] VAR99 ;
wire [4:0] VAR101 ;
wire VAR58 ;
wire [31:0] VAR95 ;
wire [2:0] VAR223 ;
wire [31:0] VAR171 ;
wire [31:0] VAR146 ;
wire [31:0] VAR226 ;
wire [31:0] VAR7 ;
wire [31:0] VAR132 ;
wire [31:0] VAR6 ;
wire [31:0] VAR9;
wire [31:0] VAR118;
wire VAR66;
wire VAR65;
wire VAR236;
wire VAR92 ;
wire VAR54 ;
wire [31:0] VAR14 ;
wire [4:0] VAR85 ;
wire VAR57 ;
wire [31:0] VAR10 ;
wire [2:0] VAR133 ;
wire [31:0] VAR220 ;
wire [31:0] VAR179 ;
wire [31:0] VAR43 ;
wire [31:0] VAR201 ;
wire [31:0] VAR135 ;
wire [31:0] VAR111 ;
wire [31:0] VAR29;
wire [31:0] VAR37;
wire VAR13;
wire VAR39;
wire VAR112;
wire VAR199 ;
wire VAR200 ;
wire [31:0] VAR191 ;
wire [4:0] VAR72 ;
VAR5 VAR5
(
.clk (VAR152),
.rst (VAR87),
.VAR137 (VAR89 ),
.VAR105 (VAR237 ),
.VAR160 (VAR123 ),
.VAR17 (VAR74),
.VAR148 (VAR48 ),
.VAR128 (VAR107 ),
.VAR176 (VAR210),
.VAR41 (VAR189),
.VAR34 (VAR3),
.VAR94 (VAR173),
.VAR62 (VAR93 ),
.VAR60 (VAR35),
.VAR149 (VAR158),
.VAR212 (VAR222),
.VAR170 (VAR239),
.VAR159 (VAR31),
.VAR205 (VAR4),
.VAR130 (VAR125),
.VAR143 (VAR166 ),
.VAR56 (VAR147),
.VAR110 (VAR142),
.VAR180 (VAR194 ),
.VAR185 (VAR122 ),
.VAR46 (VAR177 ),
.VAR181 (VAR221 ),
.VAR2 (VAR157 ),
.VAR91 (VAR114 ),
.VAR215 (VAR18),
.VAR188 (VAR145),
.VAR24 (VAR202),
.VAR126 (VAR68),
.VAR214 (VAR96 ),
.VAR231 (VAR20),
.VAR206 (VAR127),
.VAR232 (VAR67 ),
.VAR156 (VAR184 ),
.VAR64 (VAR129 ),
.VAR193 (VAR174 ),
.VAR49 (VAR79 ),
.VAR151 (VAR178 ),
.VAR224 (VAR108),
.VAR139 (VAR138),
.VAR208 (VAR99),
.VAR55 (VAR101),
.VAR70 (VAR58 ),
.VAR44 (VAR95),
.VAR225 (VAR223),
.VAR100 (VAR171 ),
.VAR119 (VAR146 ),
.VAR40 (VAR226 ),
.VAR30 (VAR7 ),
.VAR213 (VAR132 ),
.VAR234 (VAR6 ),
.VAR86 (VAR92),
.VAR16 (VAR54),
.VAR204 (VAR14),
.VAR216 (VAR85),
.VAR238 (VAR57 ),
.VAR172 (VAR10),
.VAR53 (VAR133),
.VAR109 (VAR220 ),
.VAR192 (VAR179 ),
.VAR229 (VAR43 ),
.VAR23 (VAR201 ),
.VAR167 (VAR135 ),
.VAR59 (VAR111 ),
.VAR76 (VAR199),
.VAR153 (VAR200),
.VAR78 (VAR191),
.VAR150 (VAR72),
.VAR71 (VAR164)
);
VAR73 VAR73
(
.VAR34 (VAR34 ),
.VAR94 (VAR94 ),
.VAR62 (VAR62 ),
.VAR60 (VAR60 ),
.VAR149 (VAR149 ),
.VAR212 (VAR212 ),
.VAR170 (VAR170 ),
.VAR159 (VAR159 ),
.VAR120 (VAR120 ),
.VAR205 (VAR205 ),
.VAR130 (VAR130 ),
.VAR83 (VAR3),
.VAR51 (VAR173),
.VAR183 (VAR93 ),
.VAR35 (VAR158),
.VAR158 (VAR35),
.VAR211 (VAR222),
.VAR187 (VAR239),
.VAR136 (VAR31),
.VAR45 (VAR4),
.VAR209 (VAR125),
.VAR182 (VAR197),
.VAR165 (VAR218),
.VAR140 (VAR82),
.VAR15 (VAR241),
.VAR84 (VAR115),
.VAR38 (VAR163),
.VAR102 (VAR36),
.VAR235 (VAR25),
.VAR12 (VAR97),
.VAR207 (VAR144),
.VAR161 (VAR9),
.VAR19 (VAR118),
.VAR69 (VAR66),
.VAR198 (VAR65),
.VAR81 (VAR236),
.VAR22 (VAR29),
.VAR233 (VAR37),
.VAR63 (VAR13),
.VAR131 (VAR39),
.VAR196 (VAR112),
.VAR152 (VAR152 ),
.VAR87 (VAR87 )
);
VAR195 VAR195
(
.VAR152 (VAR152 ),
.VAR87 (VAR87 ),
.VAR106 (VAR106),
.VAR61 (VAR61),
.VAR219 (VAR219 ),
.VAR11 (VAR11),
.VAR75 (VAR75),
.VAR27 (VAR27),
.VAR175 (VAR175),
.VAR90 (VAR90),
.VAR186 (VAR186),
.VAR162 (VAR162),
.VAR98 (VAR98),
.VAR121 (VAR89 ),
.VAR42 (VAR237 ),
.VAR104 (VAR123 ),
.VAR47 (VAR74),
.VAR21 (VAR48 ),
.VAR26 (VAR107 ),
.VAR230 (VAR164),
.VAR77 (VAR1),
.VAR28 (VAR134),
.VAR50 (VAR50),
.VAR203 (VAR210),
.VAR169 (VAR189),
);
VAR217 VAR155
(
.clk (VAR152 ),
.rst (VAR87 ),
.VAR113 (VAR166 ),
.VAR228 (VAR147 ),
.VAR240 (VAR142 ),
.VAR8 (VAR194 ),
.VAR154 (VAR122 ),
.VAR168 (VAR177 ),
.VAR117 (VAR221 ),
.VAR124 (VAR157 ),
.VAR103 (VAR114 ),
.VAR34 (VAR197),
.VAR149 (VAR218),
.VAR212 (VAR82),
.VAR170 (VAR241),
.VAR159 (VAR115),
.VAR80 (VAR18 ),
.VAR116 (VAR145),
.VAR32 (VAR202 ),
.VAR190 (VAR68 )
);
VAR217 VAR52
(
.clk (VAR152 ),
.rst (VAR87 ),
.VAR113 (VAR96 ),
.VAR228 (VAR20 ),
.VAR240 (VAR127 ),
.VAR8 (VAR67 ),
.VAR154 (VAR184 ),
.VAR168 (VAR129 ),
.VAR117 (VAR174 ),
.VAR124 (VAR79 ),
.VAR103 (VAR178 ),
.VAR34 (VAR163),
.VAR149 (VAR36),
.VAR212 (VAR25),
.VAR170 (VAR97),
.VAR159 (VAR144),
.VAR80 (VAR108 ),
.VAR116 (VAR138),
.VAR32 (VAR99 ),
.VAR190 (VAR101 )
);
VAR217 VAR227
(
.clk (VAR152 ),
.rst (VAR87 ),
.VAR113 (VAR58 ),
.VAR228 (VAR95 ),
.VAR240 (VAR223 ),
.VAR8 (VAR171 ),
.VAR154 (VAR146 ),
.VAR168 (VAR226 ),
.VAR117 (VAR7 ),
.VAR124 (VAR132 ),
.VAR103 (VAR6 ),
.VAR34 (VAR9),
.VAR149 (VAR118),
.VAR212 (VAR66),
.VAR170 (VAR65),
.VAR159 (VAR236),
.VAR80 (VAR92 ),
.VAR116 (VAR54),
.VAR32 (VAR14 ),
.VAR190 (VAR85 )
);
VAR217 VAR33
(
.clk (VAR152 ),
.rst (VAR87 ),
.VAR113 (VAR57 ),
.VAR228 (VAR10 ),
.VAR240 (VAR133 ),
.VAR8 (VAR220 ),
.VAR154 (VAR179 ),
.VAR168 (VAR43 ),
.VAR117 (VAR201 ),
.VAR124 (VAR135 ),
.VAR103 (VAR111 ),
.VAR34 (VAR29),
.VAR149 (VAR37),
.VAR212 (VAR13),
.VAR170 (VAR39),
.VAR159 (VAR112),
.VAR80 (VAR199 ),
.VAR116 (VAR200),
.VAR32 (VAR191 ),
.VAR190 (VAR72 )
);
endmodule MODULE1
|
mit
|
scalable-networks/ext
|
uhd/fpga/usrp2/control_lib/shortfifo.v
| 2,477 |
module MODULE1
(input clk, input rst,
input [VAR2-1:0] VAR7,
output [VAR2-1:0] VAR1,
input read,
input write,
input VAR20,
output reg VAR14,
output reg VAR10,
output reg [4:0] VAR9,
output reg [4:0] VAR13);
reg [3:0] VAR11;
genvar VAR8;
generate
for (VAR8=0;VAR8<VAR2;VAR8=VAR8+1)
begin : VAR12
VAR17
VAR5(.VAR21(VAR1[VAR8]),
.VAR6(VAR11[0]),.VAR19(VAR11[1]),.VAR3(VAR11[2]),.VAR4(VAR11[3]),
.VAR18(write),.VAR15(clk),.VAR16(VAR7[VAR8]));
end
endgenerate
always @(posedge clk)
if(rst)
begin
VAR11 <= 0;
VAR10 <= 1;
VAR14 <= 0;
end
else if(VAR20)
begin
VAR11 <= 0;
VAR10 <= 1;
VAR14<= 0;
end
else if(read & ~write)
begin
VAR14 <= 0;
if(VAR11==0)
VAR10 <= 1;
end
else
VAR11 <= VAR11 - 1;
end
else if(write & ~read)
begin
VAR10 <= 0;
if(~VAR10)
VAR11 <= VAR11 + 1;
if(VAR11 == 14)
VAR14 <= 1;
end
always @(posedge clk)
if(rst)
VAR9 <= 16;
else if(VAR20)
VAR9 <= 16;
else if(read & ~write)
VAR9 <= VAR9 + 1;
else if(write & ~read)
VAR9 <= VAR9 - 1;
always @(posedge clk)
if(rst)
VAR13 <= 0;
else if(VAR20)
VAR13 <= 0;
else if(read & ~write)
VAR13 <= VAR13 - 1;
else if(write & ~read)
VAR13 <= VAR13 + 1;
endmodule
|
gpl-2.0
|
monotone-RK/FACE
|
IEICE-Trans/16-way/src/ip_dram/ecc/mig_7series_v2_3_ecc_gen.v
| 7,915 |
module MODULE1
parameter VAR9 = 72,
parameter VAR14 = 8,
parameter VAR18 = 64
)
(
VAR15
);
function integer VAR12 (input integer VAR4);
integer VAR10;
if (VAR4 == 1) VAR12 = 1;
else begin
VAR12 = 1;
for (VAR10=2; VAR10<=VAR4; VAR10=VAR10+1)
VAR12 = VAR12 * VAR10;
end
endfunction
function integer VAR8 (input integer VAR11, VAR5);
VAR8 = VAR12(VAR11)/(VAR12(VAR5)*VAR12(VAR11-VAR5));
endfunction
function [VAR14-1:0] VAR2 (input [VAR14-1:0] VAR4);
integer VAR10;
integer VAR20;
reg VAR13;
reg VAR3;
reg [VAR14-1:0] VAR22;
begin
VAR13 = 1'b0;
VAR3 = 1'b0;
VAR22 = 0;
for (VAR10=0; VAR10<VAR14; VAR10=VAR10+1)
begin
if ((&VAR4 == 1'VAR16) || VAR3) VAR2[VAR10] = VAR4[VAR10];
end
else begin
VAR2[VAR10] = 1'b0;
VAR22 = VAR22 + VAR4[VAR10];
if (VAR4[VAR10] && VAR13) begin
VAR3 = 1'b1;
for (VAR20=VAR10-1; VAR20>=0;VAR20=VAR20-1)
if (VAR20>=VAR10-VAR22) VAR2[VAR20] = 1'b1;
end
VAR13 = ~VAR4[VAR10];
end end
end endfunction
wire [VAR14-1:0] VAR1 [VAR9-1:0];
output wire [VAR9*VAR14-1:0] VAR15;
localparam VAR7 = VAR8(VAR14, 3);
localparam VAR17 = VAR8(VAR14, 5);
genvar VAR11;
genvar VAR21;
generate
for (VAR11=0; VAR11<VAR9; VAR11=VAR11+1) begin : VAR19
if (VAR11 == 0)
assign VAR1[VAR11] = {{3{1'b1}}, {VAR14-3{1'b0}}};
end
else if (VAR11 == VAR7 && VAR11 < VAR18)
assign VAR1[VAR11] = {{5{1'b1}}, {VAR14-5{1'b0}}};
else if ((VAR11 == VAR7+VAR17) && VAR11 < VAR18)
assign VAR1[VAR11] = {{7{1'b1}}, {VAR14-7{1'b0}}};
else if (VAR11 == VAR18)
assign VAR1[VAR11] = {{1{1'b1}}, {VAR14-1{1'b0}}};
else assign VAR1[VAR11] = VAR2(VAR1[VAR11-1]);
for (VAR21=0; VAR21<VAR14; VAR21=VAR21+1) begin : VAR6
assign VAR15[VAR21*VAR9+VAR11] = VAR1[VAR11][VAR21];
end
end
endgenerate
endmodule
|
mit
|
c4puter/bridge-hdl
|
modules/wb_conmax/wb_conmax_msel.v
| 7,442 |
module MODULE1(
VAR24, VAR23,
VAR17, req, sel, VAR10
);
parameter [1:0] VAR21 = 2'd0;
input VAR24, VAR23;
input [15:0] VAR17;
input [7:0] req;
output [2:0] sel;
input VAR10;
wire [1:0] VAR26, VAR30, VAR6, VAR20;
wire [1:0] VAR14, VAR33, VAR2, VAR25;
wire [1:0] VAR31;
reg [1:0] VAR3;
wire [7:0] VAR29, VAR8, VAR7, VAR1;
wire [2:0] VAR32, VAR27, VAR5, VAR16;
reg [2:0] VAR4, VAR12;
wire [2:0] sel;
assign VAR26[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[0];
assign VAR26[1] = (VAR21 == 2'd2) ? VAR17[1] : 1'b0;
assign VAR30[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[2];
assign VAR30[1] = (VAR21 == 2'd2) ? VAR17[3] : 1'b0;
assign VAR6[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[4];
assign VAR6[1] = (VAR21 == 2'd2) ? VAR17[5] : 1'b0;
assign VAR20[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[6];
assign VAR20[1] = (VAR21 == 2'd2) ? VAR17[7] : 1'b0;
assign VAR14[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[8];
assign VAR14[1] = (VAR21 == 2'd2) ? VAR17[9] : 1'b0;
assign VAR33[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[10];
assign VAR33[1] = (VAR21 == 2'd2) ? VAR17[11] : 1'b0;
assign VAR2[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[12];
assign VAR2[1] = (VAR21 == 2'd2) ? VAR17[13] : 1'b0;
assign VAR25[0] = (VAR21 == 2'd0) ? 1'b0 : VAR17[14];
assign VAR25[1] = (VAR21 == 2'd2) ? VAR17[15] : 1'b0;
VAR22 #(VAR21) VAR28(
.valid( req ),
.VAR26( VAR26 ),
.VAR30( VAR30 ),
.VAR6( VAR6 ),
.VAR20( VAR20 ),
.VAR14( VAR14 ),
.VAR33( VAR33 ),
.VAR2( VAR2 ),
.VAR25( VAR25 ),
.VAR3( VAR31 )
);
always @(posedge VAR24)
if(VAR23) VAR3 <= 2'h0;
else
if(VAR10) VAR3 <= VAR31;
assign VAR29[0] = req[0] & (VAR26 == 2'd0);
assign VAR29[1] = req[1] & (VAR30 == 2'd0);
assign VAR29[2] = req[2] & (VAR6 == 2'd0);
assign VAR29[3] = req[3] & (VAR20 == 2'd0);
assign VAR29[4] = req[4] & (VAR14 == 2'd0);
assign VAR29[5] = req[5] & (VAR33 == 2'd0);
assign VAR29[6] = req[6] & (VAR2 == 2'd0);
assign VAR29[7] = req[7] & (VAR25 == 2'd0);
assign VAR8[0] = req[0] & (VAR26 == 2'd1);
assign VAR8[1] = req[1] & (VAR30 == 2'd1);
assign VAR8[2] = req[2] & (VAR6 == 2'd1);
assign VAR8[3] = req[3] & (VAR20 == 2'd1);
assign VAR8[4] = req[4] & (VAR14 == 2'd1);
assign VAR8[5] = req[5] & (VAR33 == 2'd1);
assign VAR8[6] = req[6] & (VAR2 == 2'd1);
assign VAR8[7] = req[7] & (VAR25 == 2'd1);
assign VAR7[0] = req[0] & (VAR26 == 2'd2);
assign VAR7[1] = req[1] & (VAR30 == 2'd2);
assign VAR7[2] = req[2] & (VAR6 == 2'd2);
assign VAR7[3] = req[3] & (VAR20 == 2'd2);
assign VAR7[4] = req[4] & (VAR14 == 2'd2);
assign VAR7[5] = req[5] & (VAR33 == 2'd2);
assign VAR7[6] = req[6] & (VAR2 == 2'd2);
assign VAR7[7] = req[7] & (VAR25 == 2'd2);
assign VAR1[0] = req[0] & (VAR26 == 2'd3);
assign VAR1[1] = req[1] & (VAR30 == 2'd3);
assign VAR1[2] = req[2] & (VAR6 == 2'd3);
assign VAR1[3] = req[3] & (VAR20 == 2'd3);
assign VAR1[4] = req[4] & (VAR14 == 2'd3);
assign VAR1[5] = req[5] & (VAR33 == 2'd3);
assign VAR1[6] = req[6] & (VAR2 == 2'd3);
assign VAR1[7] = req[7] & (VAR25 == 2'd3);
VAR18 VAR15(
.clk( VAR24 ),
.rst( VAR23 ),
.req( VAR29 ),
.VAR19( VAR32 ),
.VAR10( 1'b0 )
);
VAR18 VAR13(
.clk( VAR24 ),
.rst( VAR23 ),
.req( VAR8 ),
.VAR19( VAR27 ),
.VAR10( 1'b0 )
);
VAR18 VAR11(
.clk( VAR24 ),
.rst( VAR23 ),
.req( VAR7 ),
.VAR19( VAR5 ),
.VAR10( 1'b0 )
);
VAR18 VAR9(
.clk( VAR24 ),
.rst( VAR23 ),
.req( VAR1 ),
.VAR19( VAR16 ),
.VAR10( 1'b0 )
);
always @(VAR3 or VAR32 or VAR27)
if(VAR3[0]) VAR4 = VAR27;
else VAR4 = VAR32;
always @(VAR3 or VAR32 or VAR27 or VAR5 or VAR16)
case(VAR3)
2'd0: VAR12 = VAR32;
2'd1: VAR12 = VAR27;
2'd2: VAR12 = VAR5;
2'd3: VAR12 = VAR16;
endcase
assign sel = (VAR21==2'd0) ? VAR32 : ( (VAR21==2'd1) ? VAR4 : VAR12 );
endmodule
|
gpl-2.0
|
CospanDesign/nysa-tx1-pcie-platform
|
tx1_pcie/slave/wb_tx1_ddr3/rtl/wb_tx1_ddr3.v
| 5,430 |
module MODULE1 (
input clk,
input rst,
input VAR6,
input VAR11,
input [3:0] VAR4,
input [31:0] VAR9,
input VAR8,
output reg VAR1,
output reg [31:0] VAR7,
input [31:0] VAR3,
output reg VAR12
);
localparam VAR2 = 32'h00000000;
localparam VAR10 = 32'h00000001;
localparam VAR5 = 32'h00000002;
always @ (posedge clk) begin
if (rst) begin
VAR7 <= 32'h0;
VAR1 <= 0;
VAR12 <= 0;
end
else begin
if (VAR1 && ~VAR8)begin
VAR1 <= 0;
end
if (VAR8 && VAR11) begin
if (!VAR1) begin
if (VAR6) begin
case (VAR3)
VAR2: begin
end
VAR10: begin
end
VAR5: begin
end
default: begin
end
endcase
end
else begin
case (VAR3)
VAR2: begin
VAR7 <= VAR2;
end
VAR10: begin
VAR7 <= VAR10;
end
VAR5: begin
VAR7 <= VAR5;
end
default: begin
end
endcase
end
VAR1 <= 1;
end
end
end
end
endmodule
|
mit
|
fallen/milkymist-mmu
|
cores/vgafb/rtl/vgafb_pixelfeed.v
| 5,082 |
module MODULE1 #(
parameter VAR11 = 26
) (
input VAR4,
input VAR46,
input VAR2,
input [17:0] VAR10,
input [VAR11-1:0] VAR5,
output VAR20,
output reg [VAR11-1:0] VAR6,
output reg VAR31,
input VAR28,
input [63:0] VAR45,
output reg VAR26,
output [VAR11-1:0] VAR32,
input [63:0] VAR29,
input VAR24,
output VAR33,
output [15:0] VAR40,
input VAR7
);
reg VAR13;
reg VAR36;
wire VAR38;
wire VAR43;
VAR35 VAR23(
.VAR4(VAR4),
.VAR2(VAR2),
.VAR37(VAR36),
.VAR34(VAR13 ? VAR29 : VAR45),
.VAR38(VAR38),
.VAR39(VAR43),
.do(VAR40),
.VAR3(VAR7)
);
assign VAR33 = VAR43;
reg VAR9;
wire VAR17;
reg [17:0] VAR21;
always @(posedge VAR4) begin
if(VAR2) begin
VAR21 <= 18'd1;
VAR9 <= 1'b1;
end else begin
if(VAR17) begin
if(VAR21 == VAR10) begin
VAR21 <= 18'd1;
VAR9 <= 1'b1;
end else begin
VAR21 <= VAR21 + 18'd1;
VAR9 <= 1'b0;
end
end
end
end
wire VAR12;
assign VAR20 = VAR9 & VAR12;
always @(posedge VAR4) begin
if(VAR46) begin
VAR6 <= {VAR11{1'b0}};
end else begin
if(VAR12) begin
if(VAR9)
VAR6 <= VAR5;
end
else
VAR6 <= VAR6 + {{VAR11-6{1'b0}}, 6'd32};
end
end
end
reg [1:0] VAR30;
always @(posedge VAR4) begin
if(VAR26)
VAR30 <= VAR30 + 2'd1;
end
else
VAR30 <= 2'd0;
end
assign VAR32 = {VAR6[VAR11-1:5], VAR30, 3'b000};
reg [3:0] state;
reg [3:0] VAR47;
parameter VAR22 = 4'd0;
parameter VAR15 = 4'd1;
parameter VAR19 = 4'd2;
parameter VAR14 = 4'd3;
parameter VAR1 = 4'd4;
parameter VAR18 = 4'd5;
parameter VAR27 = 4'd6;
parameter VAR16 = 4'd7;
parameter VAR8 = 4'd8;
parameter VAR25 = 4'd9;
always @(posedge VAR4) begin
if(VAR46)
state <= VAR22;
end
else
state <= VAR47;
end
reg VAR41;
reg VAR42;
always @(posedge VAR4) begin
if(VAR2)
VAR41 <= 1'b1;
end
else if(VAR42)
VAR41 <= 1'b0;
end
reg VAR44;
assign VAR17 = VAR44;
assign VAR12 = VAR44;
always @(*) begin
VAR47 = state;
VAR36 = 1'b0;
VAR44 = 1'b0;
VAR31 = 1'b0;
VAR42 = 1'b0;
VAR26 = 1'b0;
VAR13 = 1'b0;
case(state)
VAR22: begin
if(VAR38 & ~VAR2) begin
VAR44 = 1'b1;
VAR42 = 1'b1;
VAR47 = VAR15;
end
end
VAR15: begin
VAR26 = 1'b1;
VAR47 = VAR19;
end
VAR19: begin
VAR13 = 1'b1;
if(VAR24) begin
VAR26 = 1'b1;
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR14;
end else
VAR47 = VAR27;
end
VAR14: begin
VAR26 = 1'b1;
VAR13 = 1'b1;
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR1;
end
VAR1: begin
VAR26 = 1'b1;
VAR13 = 1'b1;
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR18;
end
VAR18: begin
VAR13 = 1'b1;
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR22;
end
VAR27: begin
VAR31 = 1'b1;
if(VAR28) begin
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR16;
end
end
VAR16: begin
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR8;
end
VAR8: begin
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR25;
end
VAR25: begin
if(~VAR41) VAR36 = 1'b1;
VAR47 = VAR22;
end
endcase
end
endmodule
|
lgpl-3.0
|
jairov4/puj-ca-de1-audio-pump
|
ip/i2c_opencores/i2c_master_top.v
| 9,914 |
module MODULE1(
VAR10, VAR4, VAR39, VAR7, VAR40, VAR31,
VAR36, VAR3, VAR55, VAR18, VAR48,
VAR8, VAR53, VAR35, VAR15, VAR25, VAR28 );
parameter VAR21 = 1'b0;
input VAR10; input VAR4; input VAR39; input [2:0] VAR7; input [7:0] VAR40; output [7:0] VAR31; input VAR36; input VAR3; input VAR55; output VAR18; output VAR48;
reg [7:0] VAR31;
reg VAR18;
reg VAR48;
input VAR8; output VAR53; output VAR35;
input VAR15; output VAR25; output VAR28;
reg [15:0] VAR46; reg [ 7:0] VAR13; reg [ 7:0] VAR29; wire [ 7:0] VAR45; reg [ 7:0] VAR44; wire [ 7:0] VAR11;
wire VAR24;
wire VAR41;
wire VAR17;
wire VAR38;
reg VAR19; reg VAR52; reg VAR23; wire VAR32; wire VAR9; reg VAR43;
wire VAR22 = VAR39 ^ VAR21;
wire VAR6 = VAR55 & VAR3 & VAR36;
always @(posedge VAR10)
VAR18 <= VAR55 & VAR3 & ~VAR18;
always @(posedge VAR10)
begin
case (VAR7) 3'b000: VAR31 <= VAR46[ 7:0];
3'b001: VAR31 <= VAR46[15:8];
3'b010: VAR31 <= VAR13;
3'b011: VAR31 <= VAR45; 3'b100: VAR31 <= VAR11; 3'b101: VAR31 <= VAR29;
3'b110: VAR31 <= VAR44;
3'b111: VAR31 <= 0; endcase
end
always @(posedge VAR10 or negedge VAR22)
if (!VAR22)
begin
VAR46 <= 16'hffff;
VAR13 <= 8'h0;
VAR29 <= 8'h0;
end
else if (VAR4)
begin
VAR46 <= 16'hffff;
VAR13 <= 8'h0;
VAR29 <= 8'h0;
end
else
if (VAR6)
case (VAR7) 3'b000 : VAR46 [ 7:0] <= VAR40;
3'b001 : VAR46 [15:8] <= VAR40;
3'b010 : VAR13 <= VAR40;
3'b011 : VAR29 <= VAR40;
endcase
always @(posedge VAR10 or negedge VAR22)
if (~VAR22)
VAR44 <= 8'h0;
else if (VAR4)
VAR44 <= 8'h0;
else if (VAR6)
begin
if (VAR41 & (VAR7 == 3'b100) )
VAR44 <= VAR40;
end
else
begin
if (VAR24 | VAR9)
VAR44[7:4] <= 4'h0; VAR44[2:1] <= 2'b0; VAR44[0] <= 1'b0; end
wire VAR42 = VAR44[7];
wire VAR12 = VAR44[6];
wire rd = VAR44[5];
wire wr = VAR44[4];
wire ack = VAR44[3];
wire VAR54 = VAR44[0];
assign VAR41 = VAR13[7];
assign VAR17 = VAR13[6];
VAR1 VAR37 (
.clk ( VAR10 ),
.rst ( VAR4 ),
.VAR20 ( VAR22 ),
.VAR33 ( VAR41 ),
.VAR5 ( VAR46 ),
.VAR2 ( VAR42 ),
.VAR26 ( VAR12 ),
.read ( rd ),
.write ( wr ),
.VAR30 ( ack ),
.din ( VAR29 ),
.VAR16 ( VAR24 ),
.VAR14 ( VAR38 ),
.dout ( VAR45 ),
.VAR32 ( VAR32 ),
.VAR9 ( VAR9 ),
.VAR27 ( VAR8 ),
.VAR34 ( VAR53 ),
.VAR49 ( VAR35 ),
.VAR50 ( VAR15 ),
.VAR47 ( VAR25 ),
.VAR51 ( VAR28 )
);
always @(posedge VAR10 or negedge VAR22)
if (!VAR22)
begin
VAR43 <= 1'b0;
VAR19 <= 1'b0;
VAR52 <= 1'b0;
VAR23 <= 1'b0;
end
else if (VAR4)
begin
VAR43 <= 1'b0;
VAR19 <= 1'b0;
VAR52 <= 1'b0;
VAR23 <= 1'b0;
end
else
begin
VAR43 <= VAR9 | (VAR43 & ~VAR42);
VAR19 <= VAR38;
VAR52 <= (rd | wr);
VAR23 <= (VAR24 | VAR9 | VAR23) & ~VAR54; end
always @(posedge VAR10 or negedge VAR22)
if (!VAR22)
VAR48 <= 1'b0;
else if (VAR4)
VAR48 <= 1'b0;
else
VAR48 <= VAR23 && VAR17;
assign VAR11[7] = VAR19;
assign VAR11[6] = VAR32;
assign VAR11[5] = VAR43;
assign VAR11[4:2] = 3'h0; assign VAR11[1] = VAR52;
assign VAR11[0] = VAR23;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nor4/sky130_fd_sc_hd__nor4_4.v
| 2,275 |
module MODULE2 (
VAR6 ,
VAR10 ,
VAR2 ,
VAR3 ,
VAR4 ,
VAR1,
VAR5,
VAR8 ,
VAR9
);
output VAR6 ;
input VAR10 ;
input VAR2 ;
input VAR3 ;
input VAR4 ;
input VAR1;
input VAR5;
input VAR8 ;
input VAR9 ;
VAR11 VAR7 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR6,
VAR10,
VAR2,
VAR3,
VAR4
);
output VAR6;
input VAR10;
input VAR2;
input VAR3;
input VAR4;
supply1 VAR1;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR9 ;
VAR11 VAR7 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
szanni/aeshw
|
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_m04_regslice_12/synth/system_m04_regslice_12.v
| 10,970 |
module MODULE1 (
VAR41,
VAR12,
VAR20,
VAR28,
VAR63,
VAR90,
VAR91,
VAR26,
VAR106,
VAR4,
VAR2,
VAR93,
VAR49,
VAR84,
VAR105,
VAR99,
VAR35,
VAR65,
VAR18,
VAR112,
VAR31,
VAR54,
VAR19,
VAR78,
VAR52,
VAR11,
VAR96,
VAR10,
VAR66,
VAR51,
VAR55,
VAR80,
VAR40,
VAR53,
VAR79,
VAR36,
VAR109,
VAR94,
VAR61,
VAR30
);
input wire VAR41;
input wire VAR12;
input wire [8 : 0] VAR20;
input wire [2 : 0] VAR28;
input wire VAR63;
output wire VAR90;
input wire [31 : 0] VAR91;
input wire [3 : 0] VAR26;
input wire VAR106;
output wire VAR4;
output wire [1 : 0] VAR2;
output wire VAR93;
input wire VAR49;
input wire [8 : 0] VAR84;
input wire [2 : 0] VAR105;
input wire VAR99;
output wire VAR35;
output wire [31 : 0] VAR65;
output wire [1 : 0] VAR18;
output wire VAR112;
input wire VAR31;
output wire [8 : 0] VAR54;
output wire [2 : 0] VAR19;
output wire VAR78;
input wire VAR52;
output wire [31 : 0] VAR11;
output wire [3 : 0] VAR96;
output wire VAR10;
input wire VAR66;
input wire [1 : 0] VAR51;
input wire VAR55;
output wire VAR80;
output wire [8 : 0] VAR40;
output wire [2 : 0] VAR53;
output wire VAR79;
input wire VAR36;
input wire [31 : 0] VAR109;
input wire [1 : 0] VAR94;
input wire VAR61;
output wire VAR30;
VAR27 #(
.VAR38("VAR45"),
.VAR56(2),
.VAR59(1),
.VAR3(9),
.VAR89(32),
.VAR69(0),
.VAR22(1),
.VAR42(1),
.VAR37(1),
.VAR64(1),
.VAR107(1),
.VAR32(7),
.VAR95(7),
.VAR43(7),
.VAR44(7),
.VAR87(7)
) VAR7 (
.VAR41(VAR41),
.VAR12(VAR12),
.VAR77(1'VAR70),
.VAR20(VAR20),
.VAR114(8'VAR113),
.VAR76(3'VAR70),
.VAR98(2'VAR70),
.VAR1(1'VAR70),
.VAR102(4'VAR70),
.VAR28(VAR28),
.VAR47(4'VAR70),
.VAR81(4'VAR70),
.VAR68(1'VAR70),
.VAR63(VAR63),
.VAR90(VAR90),
.VAR21(1'VAR70),
.VAR91(VAR91),
.VAR26(VAR26),
.VAR83(1'VAR97),
.VAR101(1'VAR70),
.VAR106(VAR106),
.VAR4(VAR4),
.VAR14(),
.VAR2(VAR2),
.VAR33(),
.VAR93(VAR93),
.VAR49(VAR49),
.VAR100(1'VAR70),
.VAR84(VAR84),
.VAR92(8'VAR113),
.VAR58(3'VAR70),
.VAR67(2'VAR70),
.VAR73(1'VAR70),
.VAR34(4'VAR70),
.VAR105(VAR105),
.VAR74(4'VAR70),
.VAR29(4'VAR70),
.VAR17(1'VAR70),
.VAR99(VAR99),
.VAR35(VAR35),
.VAR85(),
.VAR65(VAR65),
.VAR18(VAR18),
.VAR13(),
.VAR46(),
.VAR112(VAR112),
.VAR31(VAR31),
.VAR15(),
.VAR54(VAR54),
.VAR6(),
.VAR110(),
.VAR16(),
.VAR108(),
.VAR60(),
.VAR19(VAR19),
.VAR24(),
.VAR111(),
.VAR39(),
.VAR78(VAR78),
.VAR52(VAR52),
.VAR104(),
.VAR11(VAR11),
.VAR96(VAR96),
.VAR5(),
.VAR71(),
.VAR10(VAR10),
.VAR66(VAR66),
.VAR82(1'VAR70),
.VAR51(VAR51),
.VAR9(1'VAR70),
.VAR55(VAR55),
.VAR80(VAR80),
.VAR62(),
.VAR40(VAR40),
.VAR57(),
.VAR23(),
.VAR86(),
.VAR50(),
.VAR48(),
.VAR53(VAR53),
.VAR8(),
.VAR75(),
.VAR72(),
.VAR79(VAR79),
.VAR36(VAR36),
.VAR88(1'VAR70),
.VAR109(VAR109),
.VAR94(VAR94),
.VAR103(1'VAR97),
.VAR25(1'VAR70),
.VAR61(VAR61),
.VAR30(VAR30)
);
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv5sd1/sky130_fd_sc_ls__clkdlyinv5sd1.behavioral.pp.v
| 1,867 |
module MODULE1 (
VAR8 ,
VAR4 ,
VAR10,
VAR12,
VAR2 ,
VAR11
);
output VAR8 ;
input VAR4 ;
input VAR10;
input VAR12;
input VAR2 ;
input VAR11 ;
wire VAR6 ;
wire VAR9;
not VAR1 (VAR6 , VAR4 );
VAR5 VAR3 (VAR9, VAR6, VAR10, VAR12);
buf VAR7 (VAR8 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfstp/sky130_fd_sc_ls__sdfstp.symbol.v
| 1,498 |
module MODULE1 (
input VAR3 ,
output VAR4 ,
input VAR5,
input VAR10 ,
input VAR7 ,
input VAR9
);
supply1 VAR1;
supply0 VAR2;
supply1 VAR6 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v
| 5,248 |
module MODULE1
import VAR16::*;
,parameter VAR46(VAR47)
,parameter VAR46(VAR36)
,localparam VAR8=(VAR42>>3)
,localparam VAR64=VAR71(VAR47,VAR42,VAR36)
)
(
input VAR11
,input VAR74
,input [VAR64-1:0] VAR58
,input VAR67
,output logic [VAR64-1:0] VAR25
,output logic VAR35
,input logic VAR1
,output logic VAR65
,output logic VAR37
,input [VAR47-1:0] VAR73
,input VAR62
,output logic [VAR42-1:0] VAR40
,output logic [VAR8-1:0] VAR60
);
localparam VAR54=VAR26(VAR42>>3);
VAR50 VAR53, VAR5;
logic [1:0] VAR19;
logic VAR27;
logic VAR55;
logic VAR23;
logic VAR41;
logic VAR77;
logic VAR18;
VAR33 begin
case (VAR19)
0: begin
VAR35 = VAR67;
VAR65 = 1;
VAR37 = 0;
VAR27 = 0;
VAR55 = 0;
VAR77 = 0;
VAR18 = VAR67 & ~VAR1;
VAR41 = 0;
VAR23 = 0;
end
1: begin
VAR35 = 1;
VAR65 = 0;
VAR37 = 0;
VAR27 = 0;
VAR55 = 1;
VAR77 = VAR67 & ~VAR1;
VAR18 = VAR67 & VAR1;
VAR41 = 0;
VAR23 = 1;
end
2: begin
VAR35 = 1;
VAR65 = 0;
VAR37 = 1;
VAR27 = 1;
VAR55 = 1;
VAR77 = VAR67 & VAR1;
VAR18 = VAR1;
VAR41 = 1;
VAR23 = 1;
end
default: begin
VAR35 = 0;
VAR65 = 0;
VAR37 = 0;
VAR27 = 0;
VAR55 = 0;
VAR77 = 0;
VAR18 = 0;
VAR41 = 0;
VAR23 = 0;
end
endcase
end
VAR44 @ (posedge VAR11) begin
if (VAR74) begin
VAR19 <= 2'b0;
end
else begin
VAR19 <= VAR19 + VAR67 - (VAR35 & VAR1);
end
end
VAR50 VAR45;
assign VAR45 = VAR58;
VAR68 #(
.VAR10(VAR64)
) VAR49 (
.VAR11(VAR11)
,.VAR63(VAR45)
,.VAR24(VAR77)
,.VAR22(VAR18)
,.VAR43(VAR41)
,.VAR70(VAR23)
,.VAR30(VAR53)
,.VAR13(VAR5)
,.VAR51(VAR25)
);
logic VAR9, VAR31;
logic VAR3, VAR12;
logic VAR57, VAR21;
logic [VAR47-VAR54-1:0] VAR7;
assign VAR7 = VAR73[VAR47-1:VAR54];
assign VAR31 = VAR7 == VAR53.addr[VAR47-1:VAR54];
assign VAR12 = VAR7 == VAR5.addr[VAR47-1:VAR54];
assign VAR21 = VAR7 == VAR45.addr[VAR47-1:VAR54];
assign VAR9 = VAR31 & VAR27;
assign VAR3 = VAR12 & VAR55;
assign VAR57 = VAR21 & VAR67;
logic [(VAR42>>3)-1:0] VAR75;
logic [(VAR42>>3)-1:0] VAR2;
logic [(VAR42>>3)-1:0] VAR69;
assign VAR75 = {(VAR42>>3){VAR9}};
assign VAR2 = {(VAR42>>3){VAR3}};
assign VAR69 = {(VAR42>>3){VAR57}};
logic [VAR42-1:0] VAR61;
logic [VAR42-1:0] VAR59;
logic [(VAR42>>3)-1:0] VAR4;
assign VAR4 = (VAR75 & VAR53.VAR52)
| (VAR2 & VAR5.VAR52)
| (VAR69 & VAR45.VAR52);
VAR48 #(
.VAR32(VAR42>>3)
,.VAR29(8)
) VAR34 (
.VAR56(VAR5.VAR15)
,.VAR72(VAR53.VAR15)
,.VAR14(VAR75 & VAR53.VAR52)
,.VAR51(VAR61)
);
VAR48 #(
.VAR32(VAR42>>3)
,.VAR29(8)
) VAR28 (
.VAR56(VAR61)
,.VAR72(VAR45.VAR15)
,.VAR14(VAR69 & VAR45.VAR52)
,.VAR51(VAR59)
);
VAR44 @ (posedge VAR11) begin
if (VAR74) begin
VAR60 <= '0;
VAR40 <= '0;
end
else begin
if (VAR62) begin
VAR60 <= VAR4;
VAR40 <= VAR59;
end
end
end
VAR44 @ (negedge VAR11) begin
if (~VAR74 & VAR19 !== 2'VAR76)
end
assert(VAR19 != 3) else ("VAR39 buffer VAR6 VAR17 VAR66 VAR20 2 VAR38.");
end
endmodule
|
bsd-3-clause
|
MarcoVogt/basil
|
firmware/modules/utils/generic_fifo.v
| 2,140 |
module MODULE1 (
clk, reset, write, read,
VAR7,
VAR13,
VAR6,
VAR14,
VAR12
);
parameter VAR8 = 32;
parameter VAR3 = 8;
input wire clk, reset, write, read;
input wire [VAR8-1:0] VAR7;
output wire VAR13;
output reg VAR6;
output reg [VAR8-1:0] VAR14;
reg [VAR8:0] VAR11 [VAR3-1:0];
parameter VAR10 = VAR4(VAR3);
reg [VAR10-1:0] VAR5, VAR1, VAR9;
output reg [VAR10-1:0] VAR12;
wire VAR2;
always@(posedge clk) begin
if(reset)
VAR5 <= 0;
end
else if(read && !VAR6) begin
if(VAR5 == VAR3-1)
VAR5 <= 0;
end
else
VAR5 <= VAR5 + 1;
end
end
always@ begin
if(VAR9 >= VAR5)
VAR12 = VAR9 - VAR5;
end
else
VAR12 = VAR9 + (VAR3-VAR5);
end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfbbp/sky130_fd_sc_hs__sdfbbp.behavioral.v
| 3,371 |
module MODULE1 (
VAR7 ,
VAR30 ,
VAR20 ,
VAR26 ,
VAR21 ,
VAR19 ,
VAR33 ,
VAR4,
VAR23 ,
VAR35
);
output VAR7 ;
output VAR30 ;
input VAR20 ;
input VAR26 ;
input VAR21 ;
input VAR19 ;
input VAR33 ;
input VAR4;
input VAR23 ;
input VAR35 ;
wire VAR18 ;
wire VAR1 ;
wire VAR28 ;
reg VAR5 ;
wire VAR34 ;
wire VAR22 ;
wire VAR11 ;
wire VAR29 ;
wire VAR32 ;
wire VAR3;
wire VAR31 ;
wire VAR9 ;
wire VAR24 ;
wire VAR36 ;
wire VAR25 ;
wire VAR12 ;
wire VAR6 ;
wire VAR15 ;
not VAR10 (VAR18 , VAR3 );
not VAR8 (VAR1 , VAR32 );
VAR17 VAR2 (VAR31, VAR34, VAR22, VAR11 );
VAR13 VAR16 (VAR28 , VAR1, VAR18, VAR29, VAR31, VAR5, VAR23, VAR35);
assign VAR9 = ( VAR23 === 1'b1 );
assign VAR24 = ( VAR9 && ( VAR3 === 1'b1 ) );
assign VAR36 = ( VAR9 && ( VAR32 === 1'b1 ) );
assign VAR25 = ( VAR24 & VAR36 );
assign VAR12 = ( ( VAR11 === 1'b0 ) && VAR25 );
assign VAR6 = ( ( VAR11 === 1'b1 ) && VAR25 );
assign VAR15 = ( ( VAR34 !== VAR22 ) && VAR25 );
buf VAR27 (VAR7 , VAR28 );
not VAR14 (VAR30 , VAR28 );
endmodule
|
apache-2.0
|
Valakor/EE201-Text-Editor
|
text_editor_top.v
| 18,256 |
module MODULE1(
VAR16, VAR93, VAR122, VAR22, VAR17, VAR52, VAR103, VAR106, VAR82, VAR102, VAR62, VAR87, VAR13, VAR77, VAR125,
VAR55, VAR58, VAR64, VAR47, VAR124, VAR14, VAR101, VAR6, VAR27, VAR9, VAR66, VAR10, VAR100, VAR84, VAR119, VAR5, VAR117, VAR1, VAR28 );
input VAR52;
input VAR103;
inout VAR100, VAR84;
output VAR16, VAR93, VAR122, VAR22, VAR17;
output VAR106, VAR82, VAR102, VAR62, VAR87, VAR13, VAR77, VAR125;
output VAR66, VAR9, VAR27, VAR6, VAR101, VAR14, VAR124, VAR10;
output VAR47, VAR64, VAR58, VAR55;
output VAR119, VAR5, VAR117, VAR1, VAR28;
wire VAR133, VAR52;
wire VAR104, VAR118, VAR123, VAR20, VAR107;
wire [1:0] VAR53;
reg [26:0] VAR136;
reg [3:0] VAR140;
wire [3:0] VAR37, VAR76, VAR15, VAR112;
reg [7:0] VAR29;
wire [7:0] VAR89;
wire VAR131;
reg [7:0] VAR54;
reg [8:0] VAR126;
reg [8:0] VAR18;
reg VAR8;
wire [7:0] VAR137;
wire [9:0] VAR108;
reg VAR117, VAR1, VAR28;
assign { VAR106, VAR82, VAR102, VAR62, VAR87, VAR13, VAR77, VAR125 } = VAR126[7:0];
assign VAR133 = VAR103;
assign {VAR16, VAR93, VAR122, VAR22, VAR17} = 5'b11111;
VAR120 VAR33 (VAR104, VAR52);
always @(posedge VAR104, posedge VAR133) begin
if (VAR133)
VAR136 <= 0;
end
else
VAR136 <= VAR136 + 1'b1;
end
assign VAR118 = VAR104; assign VAR123 = VAR136[0]; assign VAR20 = VAR136[1]; assign VAR107 = VAR136[26];
parameter VAR3 = 10'd512; parameter VAR135 = VAR3 - 10'd2; parameter VAR72 = 10'd16; parameter VAR88 = 10'd2; parameter VAR36 = 10'd18; parameter VAR34 = 10'd29;
reg [9:0] VAR90;
reg [9:0] VAR138;
reg [9:0] VAR4;
reg [9:0] VAR31;
reg VAR26;
reg VAR91;
reg VAR44;
wire VAR63;
wire [9:0] VAR129;
wire [9:0] VAR121;
wire [9:0] VAR68;
wire [9:0] VAR113;
assign VAR68 = VAR129 / VAR90;
assign VAR113 = VAR121 / VAR90;
wire VAR38;
assign VAR38 = VAR68 < VAR72 * VAR138 && VAR113 < VAR72 * VAR4;
wire [0:255] VAR23;
assign VAR23 = VAR68 % VAR72 + VAR113 % VAR72 * VAR72;
wire VAR61;
assign VAR61 = VAR108 == VAR126 && VAR110[VAR23] && VAR107;
assign VAR108 = (VAR68 / VAR72 + VAR113 / VAR72 * VAR138 + VAR31 * VAR138) < VAR3 - 1'b1 ?
(VAR68 / VAR72 + VAR113 / VAR72 * VAR138 + VAR31 * VAR138) :
VAR3 - 1'b1;
VAR49 VAR127(
.clk(VAR20),
.reset(VAR133),
.VAR119(VAR119),
.VAR5(VAR5),
.VAR63(VAR63),
.VAR129(VAR129),
.VAR121(VAR121)
);
always @(posedge VAR20) begin
VAR117 <= VAR12 & VAR63;
VAR1 <= VAR43 & VAR63;
VAR28 <= VAR83 & VAR63;
end
wire VAR12 = VAR38 && ((~VAR61 && VAR26 && VAR134[VAR23]) || (VAR61 && !VAR26) || (VAR61 && VAR26 && VAR91 && VAR44));
wire VAR83 = VAR38 && ((~VAR61 && VAR44 && VAR134[VAR23]) || (VAR61 && !VAR44));
wire VAR43 = VAR38 && ((~VAR61 && VAR91 && VAR134[VAR23]) || (VAR61 && !VAR91));
wire [0:255] VAR134;
assign VAR134 = VAR137 == 8'h70 ? VAR74 :
VAR137 == 8'h49 ? VAR73 :
VAR137 == 8'h41 ? VAR81 :
VAR137 == 8'h52 ? VAR132 :
VAR137 == 8'h16 ? VAR80 :
VAR137 == 8'h1C ? VAR35 :
VAR137 == 8'h32 ? VAR19 :
VAR137 == 8'h21 ? VAR51 :
VAR137 == 8'h23 ? VAR39 :
VAR137 == 8'h24 ? VAR70 :
VAR137 == 8'h2B ? VAR46 :
VAR137 == 8'h34 ? VAR78 :
VAR137 == 8'h33 ? VAR32 :
VAR137 == 8'h43 ? VAR114 :
VAR137 == 8'h3B ? VAR94 :
VAR137 == 8'h42 ? VAR60 :
VAR137 == 8'h4B ? VAR96 :
VAR137 == 8'h3A ? VAR105 :
VAR137 == 8'h31 ? VAR109 :
VAR137 == 8'h44 ? VAR67 :
VAR137 == 8'h4D ? VAR130 :
VAR137 == 8'h15 ? VAR41 :
VAR137 == 8'h2D ? VAR2 :
VAR137 == 8'h1B ? VAR99 :
VAR137 == 8'h2C ? VAR139 :
VAR137 == 8'h3C ? VAR92 :
VAR137 == 8'h2A ? VAR69 :
VAR137 == 8'h1D ? VAR11 :
VAR137 == 8'h22 ? VAR116 :
VAR137 == 8'h35 ? VAR40 :
VAR137 == 8'h1A ? VAR57 :
256'd0;
parameter [0:255] VAR110 = 256'hC000C000C000C000C000C000C000C000C000C000C000C000C000C000C000C000;
parameter [0:255] VAR74 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF;
parameter [0:255] VAR73 = 256'h0000000000000000000000000000000000000000000000000000E000E000E000;
parameter [0:255] VAR81 = 256'h000000000000000000000000000000000000000000000000000070007000E000;
parameter [0:255] VAR132 = 256'h070007000E000000000000000000000000000000000000000000000000000000;
parameter [0:255] VAR80 = 256'hF000F000F000F000F000F000F000F000F000F000F00000000000F000F000F000;
parameter [0:255] VAR35 = 256'h00001FE03870387070387038E01CE01CE01CFFFCFFFCE01CE01CE01CE01CE01C;
parameter [0:255] VAR19 = 256'h0000FFC0FFF0F078F03CF03CF038FFE0FFE0F038F03CF03CF03CF07CFFF8FFE0;
parameter [0:255] VAR51 = 256'h00001FF07FFCF81EF01EE000E000E000E000E000E000E000E01EF01E7FFC1FF0;
parameter [0:255] VAR39 = 256'h0000FFE0FFF8F03CF01CF00EF00EF00EF00EF00EF00EF00EF01CF03CFFF8FFE0;
parameter [0:255] VAR70 = 256'h0000FFFEFFFEE000E000E000E000FFFEFFFEE000E000E000E000E000FFFEFFFE;
parameter [0:255] VAR46 = 256'h0000FFFEFFFEF000F000F000F000FFFEFFFEF000F000F000F000F000F000F000;
parameter [0:255] VAR78 = 256'h00003FF07FF8F01EE00EC000C000C000C000C07EC07EC00EC00EF01E7FF83FF0;
parameter [0:255] VAR32 = 256'h0000E00EE00EE00EE00EE00EE00EFFFEFFFEE00EE00EE00EE00EE00EE00EE00E;
parameter [0:255] VAR114 = 256'h0000FFFCFFFC07800780078007800780078007800780078007800780FFFCFFFC;
parameter [0:255] VAR94 = 256'h00003FFC3FFC001C001C001C001C001C001C001CE01CE01CE01CF03C7FF83FF0;
parameter [0:255] VAR60 = 256'h0000E00EE00EE01CE038E070E0E0FFC0FFC0E0E0E070E038E01CE00EE00EE00E;
parameter [0:255] VAR96 = 256'h0000E000E000E000E000E000E000E000E000E000E000E000E000E000FFFCFFFC;
parameter [0:255] VAR105 = 256'h0000F87CFCFCFCFCECDCEFDCE79CE31CE01CE01CE01CE01CE01CE01CE01CE01C;
parameter [0:255] VAR109 = 256'h0000F81CF81CEC1CEC1CE61CE61CE31CE31CE31CE19CE19CE0DCE0DCE07CE07C;
parameter [0:255] VAR67 = 256'h00003FF07878E01CE01CE01CE01CE01CE01CE01CE01CE01CE01CF03C78783FF0;
parameter [0:255] VAR130 = 256'h0000FFC0FFF8F07CF03CF03CF03CF07CFFF8FFC0F000F000F000F000F000F000;
parameter [0:255] VAR41 = 256'h00003FF07878E01CE01CE01CE01CE01CE01CE01CE01CE01CE01CF03C787C0FDE;
parameter [0:255] VAR2 = 256'h0000FFF0FFFCF01EF01EF01EF01EFFF0FFC0F0F0F078F03CF03CF01EF01EF01E;
parameter [0:255] VAR99 = 256'h00000FF03FFCE01EE00EE00EF0007FF01FFC001EE00EE00EF00E781E3FFC07F8;
parameter [0:255] VAR139 = 256'h0000FFFEFFFE0380038003800380038003800380038003800380038003800380;
parameter [0:255] VAR92 = 256'h0000E00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00E783C1FF0;
parameter [0:255] VAR69 = 256'h0000E00EF01EF01E783C783C3C783C783C781EF01EF00FE00FE007C003800100;
parameter [0:255] VAR11 = 256'h0000E01CE01CE01CE01CE01CE01CE01CE01CE31CE79CEFDCECDCFCFCFCFCF87C;
parameter [0:255] VAR116 = 256'h0000F01EF01E78783CF03CF01FE00FC007800FC01FE03CF03CF07878F03CF03C;
parameter [0:255] VAR40 = 256'h0000E00EE00E701C781C3C780FE007C003800380038003800380038003800380;
parameter [0:255] VAR57 = 256'h0000FFFEFFFE001E003C007800F001E003C00F001E003C007800F000FFFEFFFE;
VAR86 VAR98(
.VAR7(VAR118),
.VAR95(VAR123),
.VAR133(VAR133),
.VAR100(VAR100),
.VAR84(VAR84),
.VAR89(VAR89),
.VAR131(VAR131)
);
VAR115 VAR79(
.clk(VAR118),
.VAR133(VAR133),
.write(VAR8),
.VAR42(VAR18),
.VAR59(VAR54),
.VAR108(VAR108[8:0]),
.VAR75(VAR137)
);
reg [1:0] state;
localparam
VAR71 = 2'b00,
VAR50 = 2'b01,
VAR45 = 2'b10,
VAR128 = 2'b11,
VAR24 = 2'VAR21;
always @ (posedge VAR118, posedge VAR133) begin: VAR48
if (VAR133) begin
VAR54 <= 8'VAR25;
VAR126 <= 9'VAR85;
VAR18 <= 9'VAR85;
VAR8 <= 1'VAR65;
VAR90 <= 10'VAR30;
VAR138 <= 10'VAR30;
VAR4 <= 10'VAR30;
VAR31 <= 10'VAR30;
VAR26 <= 1'VAR65;
VAR91 <= 1'VAR65;
VAR44 <= 1'VAR65;
state <= VAR71;
end else begin
case (state)
VAR71: begin
state <= VAR50;
VAR54 <= 8'h29; VAR8 <= 1'b0;
VAR126 <= 10'd0;
VAR18 <= 10'd0;
VAR90 <= VAR88;
VAR138 <= VAR36;
VAR4 <= VAR34;
VAR31 <= 10'd0;
VAR26 <= 1'b0;
VAR91 <= 1'b1;
VAR44 <= 1'b0;
end
VAR50: begin
if (VAR131) begin
state <= VAR45;
end
VAR54 <= VAR89;
case(VAR90)
2'd1: begin VAR138 <= 10'd36; VAR4 <= 10'd15; end
2'd2: begin VAR138 <= 10'd18; VAR4 <= 10'd29; end
2'd3: begin VAR138 <= 10'd12; VAR4 <= 10'd43; end
default: begin VAR138 <= 10'd18; VAR4 <= 10'd29; end
endcase
end
VAR45: begin
state <= VAR128;
VAR8 <= 1'b1;
VAR18 <= VAR126;
case (VAR54)
8'h66: begin if (VAR126 > 10'd0) begin
VAR126 <= VAR126 - 1'b1;
VAR18 <= VAR126 - 1'b1;
end
VAR54 <= 8'h29; end
8'h6B: begin VAR8 <= 1'b0;
if (VAR126 > 10'd0) begin
VAR126 <= VAR126 - 1'b1;
end
end
8'h74: begin VAR8 <= 1'b0;
if (VAR126 < VAR135) begin
VAR126 <= VAR126 + 1'b1;
end
end
8'h75: begin VAR8 <= 1'b0;
if (VAR126 >= VAR138) begin
VAR126 <= VAR126 - VAR138;
end
end
8'h72: begin VAR8 <= 1'b0;
if (VAR126 <= VAR135 - VAR138) begin
VAR126 <= VAR126 + VAR138;
end
end
8'h79: begin VAR8 <= 1'b0;
if (VAR90 < 10'd3) begin
VAR90 <= VAR90 + 1'b1;
end
end
8'h7B: begin VAR8 <= 1'b0;
if (VAR90 > 10'd1) begin
VAR90 <= VAR90 - 1'b1;
end
end
8'h7D: begin VAR8 <= 1'b0;
if (VAR31 < VAR4 - 2'd2) begin
VAR31 <= VAR31 + 1'b1;
end
end
8'h7A: begin VAR8 <= 1'b0;
if (VAR31 > 10'd0) begin
VAR31 <= VAR31 - 1'b1;
end
end
8'h05: begin VAR8 <= 1'b0;
VAR26 <= ~VAR26;
if (VAR26 && !VAR44) begin
VAR91 <= 1'b1;
end
end
8'h06: begin VAR8 <= 1'b0;
VAR91 <= ~VAR91;
if (VAR91 && !VAR44 && !VAR26) begin
VAR91 <= 1'b1;
end
end
8'h04: begin VAR8 <= 1'b0;
VAR44 <= ~VAR44;
if (VAR44 && !VAR26) begin
VAR91 <= 1'b1;
end
end
8'h71: begin VAR54 <= 8'h29; end
default: begin
if (VAR126 < VAR135) begin
VAR126 <= VAR126 + 1'b1;
end
end
endcase
end
VAR128: begin
state <= VAR50;
VAR8 <= 1'b0;
end
default: begin
state <= VAR24;
end
endcase
end
end
assign VAR37 = 0;
assign VAR76 = 0;
assign VAR15 = VAR89[7:4];
assign VAR112 = VAR89[3:0];
assign VAR53 = VAR136[19:18];
assign VAR55 = 1; assign VAR58 = 1; assign VAR64 = !((VAR53[1]) && ~(VAR53[0])); assign VAR47 = !((VAR53[1]) && (VAR53[0]));
always @ (VAR53, VAR112, VAR15, VAR76, VAR37) begin: VAR97
case (VAR53)
2'b00: VAR140 = VAR37;
2'b01: VAR140 = VAR76;
2'b10: VAR140 = VAR15;
2'b11: VAR140 = VAR112;
endcase
end
assign {VAR124, VAR14, VAR101, VAR6, VAR27, VAR9, VAR66, VAR10} = {VAR29};
always @ (VAR140)
begin : VAR111
case (VAR140)
4'b0000: VAR29 = 8'b00000011; 4'b0001: VAR29 = 8'b10011111; 4'b0010: VAR29 = 8'b00100101; 4'b0011: VAR29 = 8'b00001101; 4'b0100: VAR29 = 8'b10011001; 4'b0101: VAR29 = 8'b01001001; 4'b0110: VAR29 = 8'b01000001; 4'b0111: VAR29 = 8'b00011111; 4'b1000: VAR29 = 8'b00000001; 4'b1001: VAR29 = 8'b00001001; 4'b1010: VAR29 = 8'b00010001; 4'b1011: VAR29 = 8'b11000001; 4'b1100: VAR29 = 8'b01100011; 4'b1101: VAR29 = 8'b10000101; 4'b1110: VAR29 = 8'b01100001; 4'b1111: VAR29 = 8'b01110001; default: VAR29 = 8'VAR56; endcase
end
endmodule
|
mit
|
chris-wood/yield
|
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/ovld_reg_v1_0/hdl/Event_Pulse.v
| 1,487 |
module MODULE1(
input in, input clk, output VAR4, output VAR3, output VAR2 );
reg [1:0] VAR1 = 2'b0;
assign VAR4 = (~VAR1[1]) & VAR1[0]; assign VAR3 = VAR1[1] &(~VAR1[0]); assign VAR2 = ((~VAR1[1]) & VAR1[0]) | (VAR1[1] & (~VAR1[0]));
always @(posedge clk)
begin
VAR1[0] <= in;
VAR1[1] <= VAR1[0];
end
endmodule
|
mit
|
ruishihan/R7-with-notes
|
src/rtl/ad9361_1t1r.v
| 3,616 |
module MODULE1
(
VAR2,
VAR11,
VAR37,
VAR29,
VAR9,
VAR12,
VAR36,
VAR26,
VAR25,
VAR19,
VAR41,
VAR28,
clk,
rst,
VAR7,
VAR1,
VAR34,
VAR38,
VAR31,
VAR15
);
input VAR2; input VAR11; input VAR37; input VAR29; input [5:0]VAR9; input [5:0]VAR12;
output VAR36; output VAR26; output VAR25; output VAR19; output [5:0]VAR41; output [5:0]VAR28;
output clk;
input rst;
output reg [11:0]VAR7;
output reg [11:0]VAR1;
input [11:0]VAR34;
input [11:0]VAR38;
output reg VAR31;
output reg VAR15;
wire VAR17;
wire [13:0]VAR39;
reg [13:0]VAR24;
reg [13:0]VAR20;
reg [11:0]VAR13;
reg [11:0]VAR35;
VAR27 VAR22 (
.VAR21({VAR2,VAR9}), .VAR30({VAR11,VAR12}), .VAR6(VAR37), .VAR32(VAR29), .VAR5(rst), .VAR17(VAR17), .VAR18(VAR39) );
VAR4 VAR8 (
.VAR23({VAR36,VAR41}), .VAR3({VAR26,VAR28}), .VAR40(VAR17), .VAR16(VAR20), .VAR14(rst), .VAR5(rst), .VAR10(VAR25), .VAR33(VAR19) );
always @(posedge VAR17 or posedge rst) begin
if (rst) begin
VAR24 <= 14'h0;
VAR7 <= 12'h0;
VAR1 <= 12'h0;
VAR31 <= 1'b0;
end
else if (VAR39[13]==1'b1) begin
VAR31 <= 1'b1;
VAR24 <= VAR39;
end
else if(VAR31==1'b1) begin
VAR31 <= 1'b0;
VAR7[11:6] = VAR24[5:0];
VAR1[11:6] = VAR24[12:7];
VAR7[5:0] = VAR39[5:0];
VAR1[5:0] = VAR39[12:7];
end
end
assign clk = VAR17;
always @(posedge VAR17 or posedge rst) begin
if (rst) begin
VAR15 <= 1'b0;
VAR20 <= 14'h0;
VAR13 <= 12'h0;
VAR35 <= 12'h0;
end
else if (VAR15==1'b1) begin
VAR15 <= 1'b0;
VAR13 <= VAR34;
VAR35 <= VAR38;
VAR20[5:0] <= VAR34[11:6];
VAR20[12:7] <= VAR38[11:6];
VAR20[6] <= 1'b1;
VAR20[13] <= 1'b1;
end
else begin
VAR15 <= 1'b1;
VAR20[5:0] <= VAR13[5:0];
VAR20[12:7] <= VAR35[5:0];
VAR20[6] <= 1'b0;
VAR20[13] <= 1'b0;
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdfrtp/sky130_fd_sc_lp__sdfrtp_4.v
| 2,583 |
module MODULE1 (
VAR2 ,
VAR6 ,
VAR10 ,
VAR11 ,
VAR12 ,
VAR5,
VAR9 ,
VAR1 ,
VAR7 ,
VAR4
);
output VAR2 ;
input VAR6 ;
input VAR10 ;
input VAR11 ;
input VAR12 ;
input VAR5;
input VAR9 ;
input VAR1 ;
input VAR7 ;
input VAR4 ;
VAR8 VAR3 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR2 ,
VAR6 ,
VAR10 ,
VAR11 ,
VAR12 ,
VAR5
);
output VAR2 ;
input VAR6 ;
input VAR10 ;
input VAR11 ;
input VAR12 ;
input VAR5;
supply1 VAR9;
supply0 VAR1;
supply1 VAR7 ;
supply0 VAR4 ;
VAR8 VAR3 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR12(VAR12),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/sdlclkp/sky130_fd_sc_hvl__sdlclkp.behavioral.pp.v
| 2,792 |
module MODULE1 (
VAR8,
VAR17 ,
VAR15,
VAR12 ,
VAR5,
VAR13,
VAR6 ,
VAR1
);
output VAR8;
input VAR17 ;
input VAR15;
input VAR12 ;
input VAR5;
input VAR13;
input VAR6 ;
input VAR1 ;
wire VAR9 ;
wire VAR26 ;
wire VAR19 ;
wire VAR25 ;
wire VAR2 ;
wire VAR14 ;
wire VAR18;
wire VAR27 ;
reg VAR4 ;
wire VAR3 ;
wire VAR10 ;
wire VAR7 ;
not VAR22 (VAR26 , VAR9 );
not VAR16 (VAR19 , VAR25 );
nor VAR20 (VAR18, VAR14, VAR2 );
VAR28 VAR23 (VAR9 , VAR18, VAR19, VAR4, VAR5, VAR13);
and VAR21 (VAR27 , VAR26, VAR25 );
VAR11 VAR24 (VAR8 , VAR27, VAR5, VAR13 );
assign VAR3 = ( VAR5 === 1'b1 );
assign VAR10 = ( ( VAR14 === 1'b0 ) & VAR3 );
assign VAR7 = ( ( VAR2 === 1'b0 ) & VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o41a/sky130_fd_sc_lp__o41a.behavioral.v
| 1,549 |
module MODULE1 (
VAR12 ,
VAR4,
VAR13,
VAR14,
VAR11,
VAR9
);
output VAR12 ;
input VAR4;
input VAR13;
input VAR14;
input VAR11;
input VAR9;
supply1 VAR7;
supply0 VAR8;
supply1 VAR2 ;
supply0 VAR10 ;
wire VAR5 ;
wire VAR1;
or VAR3 (VAR5 , VAR11, VAR14, VAR13, VAR4 );
and VAR6 (VAR1, VAR5, VAR9 );
buf VAR15 (VAR12 , VAR1 );
endmodule
|
apache-2.0
|
esonghori/TinyGarble
|
circuit_synthesis/aes/aes_1cc.v
| 1,597 |
module MODULE1
(
clk,
rst,
VAR32,
VAR14,
VAR25
);
localparam VAR1 = 10;
input clk;
input rst;
input [127:0] VAR32; input [127:0] VAR14; output [127:0] VAR25;
wire [127:0] VAR5;
wire [127:0] VAR9;
wire [127:0] out;
wire [128*(VAR1+1)-1:0] VAR19;
wire [127:0] VAR18[VAR1:0];
wire [127:0] VAR27[VAR1-1:0];
wire [127:0] VAR4[VAR1-1:0];
wire [127:0] VAR13[VAR1-1:0];
wire [127:0] VAR15[VAR1-2:0];
assign VAR5 = VAR32;
assign VAR9 = VAR14;
assign VAR25 = out;
genvar VAR2;
VAR10 VAR21 (.VAR5(VAR5), .VAR19(VAR19));
generate
for(VAR2=0;VAR2<(VAR1+1);VAR2=VAR2+1)
begin:VAR8
assign VAR18[VAR2] = VAR19[128*(VAR2+1)-1:128*VAR2];
end
endgenerate
VAR31 VAR12(.VAR23(VAR9), .VAR26(VAR18[0]), .VAR20(VAR27[0]));
generate
for(VAR2=0;VAR2<VAR1;VAR2=VAR2+1)
begin:VAR11
VAR7 VAR12(.VAR23(VAR27[VAR2]), .VAR20(VAR4[VAR2]));
end
endgenerate
generate
for(VAR2=0;VAR2<VAR1;VAR2=VAR2+1)
begin:VAR6
VAR16 VAR3(.VAR23(VAR4[VAR2]), .VAR20(VAR13[VAR2]));
end
endgenerate
generate
for(VAR2=0;VAR2<VAR1-1;VAR2=VAR2+1)
begin:VAR17
VAR24 VAR28(.VAR23(VAR13[VAR2]), .VAR20(VAR15[VAR2]));
end
endgenerate
generate
for(VAR2=0;VAR2<VAR1;VAR2=VAR2+1)
begin:VAR30
if(VAR2==VAR1-1) begin:VAR22
VAR31 VAR12(.VAR23(VAR13[VAR2]), .VAR26(VAR18[VAR2+1]), .VAR20(out));
end else begin:VAR29
VAR31 VAR12(.VAR23(VAR15[VAR2]), .VAR26(VAR18[VAR2+1]), .VAR20(VAR27[VAR2+1]));
end
end
endgenerate
endmodule
|
gpl-3.0
|
chebykinn/university
|
circuitry/lab4/src/hdl/regfile.v
| 1,069 |
module MODULE1(
input wire clk, input wire rst, input wire [4:0] VAR5, VAR1, VAR9, input wire [31:0] VAR6, input wire VAR2, output wire [31:0] VAR4, VAR8 );
reg [31:0] VAR3 [31:0];
assign VAR4 = VAR3 [VAR5];
assign VAR8 = VAR3 [VAR1];
integer VAR7;
begin
begin
end
|
mit
|
ElegantLin/My-CPU
|
Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/ex.v
| 16,212 |
module MODULE1(
input wire rst,
input wire[VAR13] VAR61,
input wire[VAR38] VAR82,
input wire[VAR17] VAR35,
input wire[VAR17] VAR10,
input wire[VAR28] VAR70,
input wire VAR23,
input wire[VAR17] VAR67,
input wire[31:0] VAR83,
input wire[VAR17] VAR54,
input wire[VAR17] VAR84,
input wire[VAR17] VAR15,
input wire[VAR17] VAR45,
input wire[VAR17] VAR74,
input wire VAR88,
input wire[VAR17] VAR11,
input wire[VAR17] VAR24,
input wire VAR101,
input wire[VAR103] VAR56,
input wire[1:0] VAR41,
input wire[VAR103] VAR100,
input wire VAR6,
input wire[VAR17] VAR3,
input wire VAR71,
input wire VAR49,
input wire[4:0] VAR60,
input wire[VAR17] VAR34,
input wire VAR12,
input wire[4:0] VAR59,
input wire[VAR17] VAR92,
input wire[VAR17] VAR14,
output reg[4:0] VAR47,
output reg VAR72,
output reg[4:0] VAR55,
output reg[VAR17] VAR16,
output reg[VAR28] VAR98,
output reg VAR22,
output reg[VAR17] VAR1,
output reg[VAR17] VAR62,
output reg[VAR17] VAR77,
output reg VAR48,
output reg[VAR103] VAR66,
output reg[1:0] VAR97,
output reg[VAR17] VAR64,
output reg[VAR17] VAR46,
output reg VAR51,
output reg VAR25,
output wire[VAR13] VAR91,
output wire[VAR17] VAR80,
output wire[VAR17] VAR57,
output wire[31:0] VAR85,
output wire VAR79,
output wire[VAR17] VAR102,
output reg VAR95
);
reg[VAR17] VAR26;
reg[VAR17] VAR9;
reg[VAR17] VAR4;
reg[VAR17] VAR68;
reg[VAR103] VAR30;
reg[VAR17] VAR33;
reg[VAR17] VAR19;
wire[VAR17] VAR7;
wire[VAR17] VAR5;
wire[VAR17] VAR81;
wire VAR89;
wire VAR99;
wire VAR96;
wire[VAR17] VAR52;
wire[VAR17] VAR42;
wire[VAR103] VAR37;
reg[VAR103] VAR21;
reg VAR40;
reg VAR29;
reg VAR32;
reg VAR63;
assign VAR91 = VAR61;
assign VAR80 = VAR35 + {{16{VAR67[15]}},VAR67[15:0]};
assign VAR57 = VAR10;
assign VAR85 = {VAR83[31:12],VAR63,VAR32,VAR83[9:8],8'h00};
assign VAR79 = VAR71;
assign VAR102 = VAR54;
always @ begin
if(rst == VAR69) begin
VAR9 <= VAR75;
end else begin
case (VAR61)
VAR9 <= VAR10 << VAR35[4:0] ;
end
VAR9 <= VAR10 >> VAR35[4:0];
end
VAR9 <= ({32{VAR10[31]}} << (6'd32-{1'b0, VAR35[4:0]}))
| VAR10 >> VAR35[4:0];
end
default: begin
VAR9 <= VAR75;
end
endcase
end end
assign VAR7 = ((VAR61 == VAR94) || (VAR61 == VAR27) ||
(VAR61 == VAR31)|| (VAR61 == VAR65) ||
(VAR61 == VAR53) || (VAR61 == VAR87) ||
(VAR61 == VAR78))
? (~VAR10)+1 : VAR10;
assign VAR81 = VAR35 + VAR7;
assign VAR96 = ((VAR61 == VAR31) || (VAR61 == VAR65) ||
(VAR61 == VAR53) || (VAR61 == VAR87) ||
(VAR61 == VAR78)) ?
((VAR35[31] && !VAR10[31]) ||
(!VAR35[31] && !VAR10[31] && VAR81[31])||
(VAR35[31] && VAR10[31] && VAR81[31]))
: (VAR35 < VAR10);
assign VAR5 = ~VAR35;
always @ begin
if(rst == VAR69) begin
VAR32 <= VAR20;
end else begin
VAR32 <= VAR20;
case (VAR61)
if( VAR35 == VAR10 ) begin
VAR32 <= VAR44;
end
end
if( ~VAR96 ) begin
VAR32 <= VAR44;
end
end
if( VAR96 ) begin
VAR32 <= VAR44;
end
end
if( VAR35 != VAR10 ) begin
VAR32 <= VAR44;
end
end
default: begin
VAR32 <= VAR20;
end
endcase
end
end
always@ begin
if(rst == VAR69) begin
VAR30 <= {VAR75,VAR75};
end else if ((VAR61 == VAR90) || (VAR61 == VAR76) ||
(VAR61 == VAR36) || (VAR61 == VAR73))begin
if(VAR35[31] ^ VAR10[31] == 1'b1) begin
VAR30 <= ~VAR37 + 1;
end else begin
VAR30 <= VAR37;
end
end else begin
VAR30 <= VAR37;
end
end
always @ begin
VAR95 = VAR40 || VAR29;
end
always @ begin
if(rst == VAR69) begin
VAR29 <= VAR58;
VAR64 <= VAR75;
VAR46 <= VAR75;
VAR51 <= VAR86;
VAR25 <= 1'b0;
end else begin
VAR29 <= VAR58;
VAR64 <= VAR75;
VAR46 <= VAR75;
VAR51 <= VAR86;
VAR25 <= 1'b0;
case (VAR61)
if(VAR6 == VAR39) begin
VAR64 <= VAR35;
VAR46 <= VAR10;
VAR51 <= VAR93;
VAR25 <= 1'b1;
VAR29 <= VAR50;
end else if(VAR6 == VAR18) begin
VAR64 <= VAR35;
VAR46 <= VAR10;
VAR51 <= VAR86;
VAR25 <= 1'b1;
VAR29 <= VAR58;
end else begin
VAR64 <= VAR75;
VAR46 <= VAR75;
VAR51 <= VAR86;
VAR25 <= 1'b0;
VAR29 <= VAR58;
end
end
if(VAR6 == VAR39) begin
VAR64 <= VAR35;
VAR46 <= VAR10;
VAR51 <= VAR93;
VAR25 <= 1'b0;
VAR29 <= VAR50;
end else if(VAR6 == VAR18) begin
VAR64 <= VAR35;
VAR46 <= VAR10;
VAR51 <= VAR86;
VAR25 <= 1'b0;
VAR29 <= VAR58;
end else begin
VAR64 <= VAR75;
VAR46 <= VAR75;
VAR51 <= VAR86;
VAR25 <= 1'b0;
VAR29 <= VAR58;
end
end
default: begin
end
endcase
end
end
always @ begin
VAR98 <= VAR70;
VAR22 <= VAR23;
case ( VAR82 )
VAR1 <= VAR26;
end
VAR1 <= VAR9;
end
VAR1 <= VAR4;
end
VAR1 <= VAR68;
end
VAR1 <= VAR30[31:0];
end
VAR1 <= VAR3;
end
default: begin
VAR1 <= VAR75;
end
endcase
end
always @ begin
if(rst == VAR69) begin
VAR55 <= 5'b00000;
VAR72 <= VAR8;
VAR16 <= VAR75;
end else if(VAR61 == VAR2) begin
VAR55 <= VAR67[15:11];
VAR72 <= VAR43;
VAR16 <= VAR35;
end else begin
VAR55 <= 5'b00000;
VAR72 <= VAR8;
VAR16 <= VAR75;
end
end
endmodule
|
gpl-3.0
|
olgirard/openmsp430
|
core/synthesis/actel/src/openMSP430_fpga.v
| 7,397 |
module MODULE1 (
VAR40, VAR4, VAR18, VAR16, VAR21, VAR34, VAR33, VAR32, VAR26,
VAR41, VAR8, irq, VAR10, VAR7, VAR38, VAR6 );
output VAR40; output VAR4; output VAR18; output [13:0] VAR16; output [7:0] VAR21; output [15:0] VAR34; output [1:0] VAR33; output VAR32; output VAR26;
input VAR41; input VAR8; input [13:0] irq; input VAR10; input VAR7; input [15:0] VAR38; input VAR6;
wire [VAR22:0] VAR13;
wire VAR30;
wire [15:0] VAR44;
wire [1:0] VAR19;
wire [15:0] VAR42;
wire [VAR2:0] VAR3;
wire VAR20;
wire [15:0] VAR11;
wire [1:0] VAR1;
wire [15:0] VAR5;
wire VAR35;
wire VAR31;
VAR23 VAR37 (.VAR45(VAR44[15:8]), .VAR15(VAR42[15:8]), .VAR36(VAR19[1] | VAR30), .VAR27(VAR30), .VAR9(VAR13) , .VAR43(VAR13), .VAR12(VAR35), .VAR17(VAR31));
VAR23 VAR24 (.VAR45(VAR44[7:0]), .VAR15(VAR42[7:0]), .VAR36(VAR19[0] | VAR30), .VAR27(VAR30), .VAR9(VAR13) , .VAR43(VAR13), .VAR12(VAR35), .VAR17(VAR31));
VAR29 VAR25 (.VAR45(VAR11[15:8]), .VAR15(VAR5[15:8]), .VAR36(VAR1[1] | VAR20), .VAR27(VAR20), .VAR9(VAR3) , .VAR43(VAR3), .VAR12(VAR35), .VAR17(VAR31));
VAR29 VAR28 (.VAR45(VAR11[7:0]), .VAR15(VAR5[7:0]), .VAR36(VAR1[0] | VAR20), .VAR27(VAR20), .VAR9(VAR3) , .VAR43(VAR3), .VAR12(VAR35), .VAR17(VAR31));
VAR39 VAR14 (
.VAR40 (VAR40), .VAR4 (VAR4), .VAR18 (VAR18), .VAR13 (VAR13), .VAR30 (VAR30), .VAR44 (VAR44), .VAR19 (VAR19), .VAR16 (VAR16), .VAR35 (VAR35), .VAR21 (VAR21), .VAR34 (VAR34), .VAR33 (VAR33), .VAR32 (VAR32), .VAR3 (VAR3), .VAR20 (VAR20), .VAR11 (VAR11), .VAR1 (VAR1), .VAR31 (VAR31), .VAR26 (VAR26),
.VAR41 (VAR41), .VAR8 (VAR8), .VAR42 (VAR42), .irq (irq), .VAR10 (VAR10), .VAR7 (VAR7), .VAR38 (VAR38), .VAR5 (VAR5), .VAR6 (VAR6) );
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_lsbuf_lh_isowell/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell.functional.pp.v
| 2,052 |
module MODULE1 (
VAR10 ,
VAR5 ,
VAR11,
VAR2 ,
VAR4 ,
VAR12 ,
VAR8
);
output VAR10 ;
input VAR5 ;
input VAR11;
input VAR2 ;
input VAR4 ;
input VAR12 ;
input VAR8 ;
wire VAR3;
wire VAR9 ;
VAR7 VAR1 (VAR3, VAR5, VAR11, VAR4 );
buf VAR6 (VAR9 , VAR3 );
VAR7 VAR13 (VAR10 , VAR9, VAR2, VAR4);
endmodule
|
apache-2.0
|
CospanDesign/sdio-device
|
rtl/sdio_data_control.v
| 20,716 |
module MODULE1 #(
parameter VAR13 = 400
)(
input clk,
input rst,
output VAR22,
output VAR7,
input VAR57,
input VAR74,
input [12:0] VAR9,
output reg [12:0] VAR117,
input VAR66,
input [17:0] VAR51,
output reg [17:0] VAR82,
input VAR67,
output reg VAR80,
input VAR92,
input VAR114,
input [3:0] VAR1,
input [7:0] VAR58,
output [7:0] VAR40,
input VAR32,
input [7:0] VAR75,
output VAR120,
output [7:0] VAR107,
input VAR95,
output VAR106,
output VAR68,
input VAR53,
output reg VAR19,
output reg [7:0] VAR110,
input VAR65,
input [7:0] VAR100,
output reg VAR89,
input VAR16,
output reg VAR123,
input [15:0] VAR30,
output reg VAR109,
output reg [7:0] VAR43,
input VAR29,
input [7:0] VAR125,
output reg VAR37,
input VAR24,
output reg VAR27,
input [15:0] VAR60,
output reg VAR62,
output reg [7:0] VAR59,
input VAR63,
input [7:0] VAR17,
output reg VAR69,
input VAR101,
output reg VAR79,
input [15:0] VAR84,
output reg VAR54,
output reg [7:0] VAR18,
input VAR2,
input [7:0] VAR4,
output reg VAR72,
input VAR25,
output reg VAR90,
input [15:0] VAR34,
output reg VAR91,
output reg [7:0] VAR122,
input VAR28,
input [7:0] VAR35,
output reg VAR115,
input VAR113,
output reg VAR87,
input [15:0] VAR93,
output reg VAR56,
output reg [7:0] VAR97,
input VAR108,
input [7:0] VAR81,
output reg VAR124,
input VAR46,
output reg VAR99,
input [15:0] VAR86,
output reg VAR88,
output reg [7:0] VAR116,
input VAR118,
input [7:0] VAR119,
output reg VAR41,
input VAR36,
output reg VAR70,
input [15:0] VAR5,
output reg VAR31,
output reg [7:0] VAR12,
input VAR105,
input [7:0] VAR39,
output reg VAR11,
input VAR48,
output reg VAR73,
input [15:0] VAR20,
output reg VAR121,
output reg [7:0] VAR6,
input VAR111,
input [7:0] VAR102,
output reg VAR98,
input VAR85,
output reg VAR52,
input [15:0] VAR49
);
localparam VAR96 = 4'h0;
localparam VAR76 = 4'h1;
localparam VAR33 = 4'h2;
localparam VAR14 = 4'h3;
localparam VAR42 = 4'h4;
localparam VAR45 = 4'h5;
localparam VAR26 = 4'h6;
localparam VAR23 = 4'h7;
reg VAR83;
reg [7:0] VAR64;
wire VAR44;
wire [7:0] VAR77;
reg VAR38;
wire [3:0] VAR78;
reg [15:0] VAR71;
reg [9:0] VAR94; reg [9:0] VAR21;
reg [9:0] VAR50; reg VAR3; reg VAR47;
reg VAR104;
reg [31:0] VAR61;
reg VAR112;
wire VAR103;
reg VAR55;
reg VAR10;
wire VAR15;
reg [3:0] state;
reg [3:0] VAR8;
assign VAR103 = VAR92 ? VAR83 : 1'b0;
assign VAR40 = VAR92 ? VAR64 : 8'h00;
assign VAR120 = !VAR92 ? VAR83 : 1'b0;
assign VAR107 = !VAR92 ? VAR64 : 8'h00;
assign VAR106 = !VAR92 ? VAR38 : 1'b0;
assign VAR68 = !VAR92 ? VAR104 : 1'b0;
assign VAR44 = VAR92 ? VAR112 : VAR32;
assign VAR77 = VAR92 ? VAR58 : VAR75;
assign VAR15 = VAR92 ? VAR67 : VAR104;
assign VAR78 = VAR114 ? 4'h8 : {1'b0, VAR1};
assign VAR22 = (state == VAR33);
assign VAR7 = 1'b0;
always @ begin
if (rst) begin
VAR83 = 0;
VAR64 = 0;
VAR38 = 0;
VAR71 = 0;
end
else begin
case (VAR78)
0: begin
VAR83 = VAR65;
VAR64 = VAR100;
VAR38 = VAR16 & VAR47;
VAR71 = VAR30;
end
1: begin
VAR83 = VAR29;
VAR64 = VAR125;
VAR38 = VAR24 & VAR47;
VAR71 = VAR60;
end
2: begin
VAR83 = VAR63;
VAR64 = VAR17;
VAR38 = VAR101 & VAR47;
VAR71 = VAR84;
end
3: begin
VAR83 = VAR2;
VAR64 = VAR4;
VAR38 = VAR25 & VAR47;
VAR71 = VAR34;
end
4: begin
VAR83 = VAR28;
VAR64 = VAR35;
VAR38 = VAR113 & VAR47;
VAR71 = VAR93;
end
5: begin
VAR83 = VAR108;
VAR64 = VAR81;
VAR38 = VAR46 & VAR47;
VAR71 = VAR86;
end
6: begin
VAR83 = VAR118;
VAR64 = VAR119;
VAR38 = VAR36 & VAR47;
VAR71 = VAR5;
end
7: begin
VAR83 = VAR105;
VAR64 = VAR39;
VAR38 = VAR48 & VAR47;
VAR71 = VAR20;
end
8: begin
VAR83 = VAR111;
VAR64 = VAR102;
VAR38 = VAR85 & VAR47;
VAR71 = VAR49;
end
default: begin
VAR83 = 1'b0;
VAR64 = 8'h0;
VAR38 = 1'b0;
end
endcase
end
end
always @ (posedge clk) begin
if (rst) begin
state <= VAR96;
VAR80 <= 0;
VAR94 <= 0;
VAR117 <= 0;
VAR21 <= 0;
VAR50 <= 0;
VAR3 <= 0;
VAR47 <= 0;
VAR82 <= 0;
VAR104 <= 0;
VAR61 <= VAR13;
end
else begin
case (state)
VAR96: begin
VAR80 <= 0;
VAR3 <= 0;
VAR94 <= 0;
VAR117 <= 0;
VAR50 <= 0;
VAR21 <= 0;
VAR47 <= 0;
VAR82 <= VAR51;
VAR104 <= 0;
if (VAR74) begin
if (VAR9 == 0) begin
VAR3 <= 1;
VAR94 <= 0;
end
else begin
VAR94 <= VAR9;
end
end
else begin
VAR94 <= 0;
end
if (VAR67) begin
state <= VAR76;
end
end
VAR76: begin
VAR50 <= 0;
if (VAR74) begin
VAR117 <= VAR71;
if (!VAR3) begin
VAR21 <= VAR21 + 1;
end
end
else begin
if (VAR9 == 0) begin
VAR117 <= 512;
end
else begin
VAR117 <= VAR9;
end
end
state <= VAR33;
end
VAR33: begin
VAR104 <= 1;
if (VAR92 || VAR95) begin
VAR47 <= 1;
if (VAR50 < VAR117) begin
if (VAR44 || VAR83) begin
VAR50 <= VAR50 + 1;
if (VAR66) begin
VAR82 <= VAR82 + 1;
end
end
end
else begin
VAR47 <= 0;
if (VAR74) begin
if (VAR57) begin
state <= VAR45;
end
else begin
VAR50 <= 0;
state <= VAR26;
end
end
else begin
state <= VAR23;
end
end
end
end
VAR45: begin
if (VAR53) begin
if (VAR3 || (VAR21 < VAR94)) begin
state <= VAR76;
end
else begin
state <= VAR23;
end
VAR104 <= 0;
end
end
VAR26: begin
if (VAR50 < VAR61) begin
VAR50 <= VAR50 + 1;
end
else begin
VAR104 <= 0;
if (VAR3 || (VAR21 < VAR94)) begin
state <= VAR76;
end
else begin
state <= VAR23;
end
end
end
VAR23: begin
VAR104 <= 0;
VAR80 <= 1;
end
default: begin
state <= VAR23;
end
endcase
if (!VAR67) begin
state <= VAR96;
end
end
end
always @ (posedge clk) begin
VAR112 <= 0;
if (rst) begin
VAR8 <= VAR96;
VAR55 <= 0;
end
else begin
case (VAR8)
VAR96: begin
VAR55 <= 0;
if (VAR92) begin
if (VAR67) begin
if (VAR57) begin
VAR55 <= 1;
VAR8 <= VAR14;
end
else begin
VAR8 <= VAR42;
end
end
end
end
VAR14: begin
if (VAR38) begin
VAR112 <= 1;
VAR8 <= VAR23;
end
end
VAR42: begin
VAR55 <= 1;
if (VAR103) begin
VAR8 <= VAR23;
end
end
VAR23: begin
VAR55 <= 0;
if (!VAR67) begin
VAR8 <= VAR96;
end
end
default: begin
VAR8 <= VAR23;
end
endcase
end
end
endmodule
|
mit
|
JakeMercer/mac
|
MAC/rtl/mac/mac_loopback.v
| 1,309 |
module MODULE1(
input wire reset,
input wire VAR26,
input wire VAR3,
input wire VAR4,
input wire VAR2,
output wire VAR13,
output wire [7:0] VAR23,
input wire VAR6,
input wire [7:0] VAR15,
input wire VAR5
);
wire [7:0] VAR27;
wire VAR10;
wire VAR14;
reg VAR17;
wire VAR1;
wire VAR21;
VAR12 VAR24(
.reset(reset),
.VAR9(VAR26),
.VAR20(VAR27),
.VAR25(VAR1),
.VAR11(VAR10),
.VAR22(VAR14),
.VAR16(VAR21),
.VAR19(1'b1),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR13(VAR13),
.VAR23(VAR23)
);
VAR8 #(.VAR7(10)) VAR18(
.reset(reset),
.VAR9(VAR3),
.VAR27(VAR27),
.VAR17(VAR26),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR14(VAR14),
.VAR21(VAR21),
.VAR6(VAR6),
.VAR15(VAR15),
.VAR5(VAR5)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor2b/sky130_fd_sc_ls__nor2b.blackbox.v
| 1,307 |
module MODULE1 (
VAR7 ,
VAR6 ,
VAR5
);
output VAR7 ;
input VAR6 ;
input VAR5;
supply1 VAR3;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/nios_altmemddr_0_phy_alt_mem_phy_pll.v
| 22,771 |
module MODULE1 (
VAR83,
VAR4,
VAR90,
VAR84,
VAR29,
VAR59,
VAR125,
VAR103,
VAR2,
VAR41,
VAR134,
VAR55,
VAR46);
input VAR83;
input VAR4;
input [2:0] VAR90;
input VAR84;
input VAR29;
input VAR59;
output VAR125;
output VAR103;
output VAR2;
output VAR41;
output VAR134;
output VAR55;
output VAR46;
tri0 VAR83;
tri0 [2:0] VAR90;
tri0 VAR84;
tri0 VAR29;
wire [4:0] VAR48;
wire VAR132;
wire VAR98;
wire [0:0] VAR66 = 1'h0;
wire [4:4] VAR49 = VAR48[4:4];
wire [3:3] VAR136 = VAR48[3:3];
wire [2:2] VAR42 = VAR48[2:2];
wire [1:1] VAR57 = VAR48[1:1];
wire [0:0] VAR39 = VAR48[0:0];
wire VAR125 = VAR39;
wire VAR103 = VAR57;
wire VAR2 = VAR42;
wire VAR41 = VAR136;
wire VAR134 = VAR49;
wire VAR55 = VAR132;
wire VAR46 = VAR98;
wire VAR19 = VAR4;
wire [1:0] VAR12 = {VAR66, VAR19};
VAR35 VAR6 (
.VAR83 (VAR83),
.VAR73 (VAR12),
.VAR90 (VAR90),
.VAR84 (VAR84),
.VAR29 (VAR29),
.VAR59 (VAR59),
.clk (VAR48),
.VAR55 (VAR132),
.VAR46 (VAR98),
.VAR16 (),
.VAR137 (),
.VAR67 ({6{1'b1}}),
.VAR17 (),
.VAR70 (1'b0),
.VAR97 (1'b0),
.VAR18 (),
.VAR26 (),
.VAR1 (),
.VAR30 ({4{1'b1}}),
.VAR122 (1'b1),
.VAR79 (),
.VAR130 (),
.VAR11 (),
.VAR111 (),
.VAR135 (1'b1),
.VAR28 (1'b1),
.VAR112 (1'b0),
.VAR120 (1'b1),
.VAR81 (1'b0),
.VAR63 (),
.VAR62 (),
.VAR101 (1'b0),
.VAR52 (1'b0),
.VAR36 (),
.VAR51 (),
.VAR25 (),
.VAR113 ());
VAR6.VAR56 = "VAR71",
VAR6.VAR34 = 4,
VAR6.VAR9 = 50,
VAR6.VAR127 = 5,
VAR6.VAR65 = "0",
VAR6.VAR47 = 2,
VAR6.VAR114 = 50,
VAR6.VAR131 = 5,
VAR6.VAR105 = "0",
VAR6.VAR91 = 2,
VAR6.VAR31 = 50,
VAR6.VAR78 = 5,
VAR6.VAR110 = "-2000",
VAR6.VAR138 = 2,
VAR6.VAR24 = 50,
VAR6.VAR72 = 5,
VAR6.VAR38 = "0",
VAR6.VAR33 = 2,
VAR6.VAR15 = 50,
VAR6.VAR74 = 5,
VAR6.VAR75 = "0",
VAR6.VAR82 = "VAR92",
VAR6.VAR45 = 20000,
VAR6.VAR40 = "VAR87 VAR77 VAR121",
VAR6.VAR68 = "VAR35",
VAR6.VAR23 = "VAR69",
VAR6.VAR106 = "VAR71",
VAR6.VAR13 = "VAR76",
VAR6.VAR64 = "VAR107",
VAR6.VAR118 = "VAR76",
VAR6.VAR50 = "VAR76",
VAR6.VAR54 = "VAR76",
VAR6.VAR53 = "VAR76",
VAR6.VAR43 = "VAR76",
VAR6.VAR108 = "VAR76",
VAR6.VAR119 = "VAR107",
VAR6.VAR100 = "VAR76",
VAR6.VAR44 = "VAR107",
VAR6.VAR7 = "VAR76",
VAR6.VAR88 = "VAR107",
VAR6.VAR32 = "VAR107",
VAR6.VAR133 = "VAR107",
VAR6.VAR14 = "VAR107",
VAR6.VAR22 = "VAR76",
VAR6.VAR61 = "VAR76",
VAR6.VAR86 = "VAR107",
VAR6.VAR95 = "VAR76",
VAR6.VAR124 = "VAR76",
VAR6.VAR117 = "VAR76",
VAR6.VAR128 = "VAR76",
VAR6.VAR116 = "VAR76",
VAR6.VAR10 = "VAR76",
VAR6.VAR126 = "VAR107",
VAR6.VAR102 = "VAR107",
VAR6.VAR104 = "VAR107",
VAR6.VAR21 = "VAR107",
VAR6.VAR96 = "VAR107",
VAR6.VAR89 = "VAR76",
VAR6.VAR58 = "VAR76",
VAR6.VAR99 = "VAR76",
VAR6.VAR3 = "VAR76",
VAR6.VAR20 = "VAR76",
VAR6.VAR93 = "VAR76",
VAR6.VAR115 = "VAR76",
VAR6.VAR129 = "VAR76",
VAR6.VAR5 = "VAR76",
VAR6.VAR123 = "VAR76",
VAR6.VAR27 = "VAR76",
VAR6.VAR85 = "VAR37",
VAR6.VAR8 = "VAR94",
VAR6.VAR60 = 100,
VAR6.VAR80 = 5,
VAR6.VAR109 = 3;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or4/sky130_fd_sc_lp__or4_4.v
| 2,231 |
module MODULE1 (
VAR1 ,
VAR5 ,
VAR8 ,
VAR2 ,
VAR11 ,
VAR6,
VAR10,
VAR4 ,
VAR7
);
output VAR1 ;
input VAR5 ;
input VAR8 ;
input VAR2 ;
input VAR11 ;
input VAR6;
input VAR10;
input VAR4 ;
input VAR7 ;
VAR9 VAR3 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR1,
VAR5,
VAR8,
VAR2,
VAR11
);
output VAR1;
input VAR5;
input VAR8;
input VAR2;
input VAR11;
supply1 VAR6;
supply0 VAR10;
supply1 VAR4 ;
supply0 VAR7 ;
VAR9 VAR3 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR11(VAR11)
);
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Mark_Extract_Bits.v
| 1,204 |
module MODULE1
(
VAR1,
VAR7
);
input [17:0] VAR1; output [8:0] VAR7;
wire [8:0] VAR2;
VAR4 VAR3 (.VAR5(VAR1), .VAR6(VAR2) );
assign VAR7 = VAR2;
endmodule
|
gpl-3.0
|
CMU-SAFARI/NOCulator
|
hring/hw/buffered/src/c_reversor.v
| 2,078 |
module MODULE1
(VAR4, VAR2);
parameter VAR5 = 32;
input [0:VAR5-1] VAR4;
output [0:VAR5-1] VAR2;
wire [0:VAR5-1] VAR2;
generate
genvar VAR3;
for(VAR3 = 0; VAR3 < VAR5; VAR3 = VAR3 + 1)
begin:VAR1
assign VAR2[VAR3] = VAR4[(VAR5-1)-VAR3];
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o21bai/sky130_fd_sc_ls__o21bai.symbol.v
| 1,394 |
module MODULE1 (
input VAR7 ,
input VAR5 ,
input VAR3,
output VAR6
);
supply1 VAR2;
supply0 VAR4;
supply1 VAR8 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
asicguy/gplgpu
|
hdl/de3d/des_comp_gen_fx_z.v
| 2,740 |
module MODULE1
(
input clk,
input VAR18,
input [23:0] VAR2, input [23:0] VAR15, input [95:0] VAR3,
output reg signed [31:0] VAR7
);
wire [31:0] VAR14;
wire [31:0] VAR16;
wire [31:0] VAR4;
reg [63:0] VAR12;
reg [63:0] VAR11;
reg [25:0] VAR9;
VAR19 VAR6(VAR3[95:64], VAR14); VAR19 VAR17(VAR3[63:32], VAR16); VAR19 VAR5(VAR3[31:0], VAR4);
always @(posedge clk) begin
VAR12 <= {VAR2, 8'h0} * VAR16; VAR11 <= {VAR15, 8'h0} * VAR4; VAR9 <= VAR11[31:8] + VAR12[31:8]; VAR7 <= VAR9[24:0] + VAR14[31:8]; end
wire [47:0] VAR13 = ~VAR12 + 1;
wire [47:0] VAR1 = ~VAR11 + 1;
wire [47:0] VAR10 = ~VAR9 + 1;
wire [47:0] VAR8 = ~VAR7 + 1;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o31ai/sky130_fd_sc_hd__o31ai.behavioral.v
| 1,543 |
module MODULE1 (
VAR10 ,
VAR9,
VAR12,
VAR13,
VAR7
);
output VAR10 ;
input VAR9;
input VAR12;
input VAR13;
input VAR7;
supply1 VAR11;
supply0 VAR8;
supply1 VAR2 ;
supply0 VAR6 ;
wire VAR4 ;
wire VAR14;
or VAR5 (VAR4 , VAR12, VAR9, VAR13 );
nand VAR1 (VAR14, VAR7, VAR4 );
buf VAR3 (VAR10 , VAR14 );
endmodule
|
apache-2.0
|
TalentlessAlpaca/Automated_Vacuum_Cleaner
|
LCD/LCD_Peripheral.v
| 3,564 |
module MODULE1(clk , rst , din , VAR17 , addr , rd , wr, VAR6, VAR9, VAR12, VAR19, VAR11, VAR3, dout );
input [15:0]din;
input VAR17;
input [3:0]addr;
input rd;
input wr;
output reg [15:0] dout;
input clk;
input rst;
input VAR19;
output VAR11;
output [15:0] VAR3;
output VAR9;
output VAR6;
output [7:0] VAR12;
reg [10:0] VAR16; reg VAR5=0;
reg en;
reg reset;
reg VAR8;
reg VAR7;
reg VAR20;
reg VAR15;
reg VAR1;
reg VAR10;
reg VAR4;
reg [7:0] VAR21;
reg [7:0] VAR18;
wire VAR14;
always @(*) begin case (addr)
4'h0:begin VAR16 = (VAR17 && wr) ? 11'b00000000001 : 11'b00000000000 ;end 4'h1:begin VAR16 = (VAR17 && wr) ? 11'b00000000010 : 11'b00000000000 ;end 4'h2:begin VAR16 = (VAR17 && wr) ? 11'b00000000100 : 11'b00000000000 ;end 4'h3:begin VAR16 = (VAR17 && wr) ? 11'b00000001000 : 11'b00000000000 ;end 4'h4:begin VAR16 = (VAR17 && wr) ? 11'b00000010000 : 11'b00000000000 ;end 4'h5:begin VAR16 = (VAR17 && wr) ? 11'b00000100000 : 11'b00000000000 ;end 4'h6:begin VAR16 = (VAR17 && wr) ? 11'b00001000000 : 11'b00000000000 ;end 4'h7:begin VAR16 = (VAR17 && wr) ? 11'b00010000000 : 11'b00000000000 ;end 4'h8:begin VAR16 = (VAR17 && wr) ? 11'b00100000000 : 11'b00000000000 ;end 4'h9:begin VAR16 = (VAR17 && wr) ? 11'b01000000000 : 11'b00000000000 ;end
4'hA:begin VAR16 = (VAR17 && rd) ? 11'b10000000000 : 11'b00000000000 ;end
default:begin VAR16 = 11'b00000000000 ; end
endcase
end
always @(negedge clk) begin
en = (VAR16[0]) ? din[0] : en;
reset = (VAR16[1]) ? din[0] : reset;
VAR8 = (VAR16[2]) ? din[0] : VAR8;
VAR20 = (VAR16[3]) ? din[0] : VAR20;
VAR15 = (VAR16[4]) ? din[0] : VAR15;
VAR1 = (VAR16[5]) ? din[0] : VAR1;
VAR10 = (VAR16[6]) ? din[0] : VAR10;
VAR4 = (VAR16[7]) ? din[0] : VAR4;
VAR21 = (VAR16[8]) ? din[7:0] : VAR21;
VAR18 = (VAR16[9]) ? din[7:0] : VAR18;
end
always @(negedge clk) begin
dout <= (VAR16[10]) ? {15'h0 , VAR14} : 16'h0000;
end
VAR13 VAR2 (
.clk(clk),
.rst(rst),
.en(en),
.reset(reset),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR15(VAR15),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR21(VAR21),
.VAR18(VAR18),
.VAR14(VAR14),
.VAR19(VAR19),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR12(VAR12)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/bufinv/sky130_fd_sc_lp__bufinv.blackbox.v
| 1,238 |
module MODULE1 (
VAR3,
VAR4
);
output VAR3;
input VAR4;
supply1 VAR1;
supply0 VAR6;
supply1 VAR2 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlrtn/sky130_fd_sc_ms__dlrtn.symbol.v
| 1,416 |
module MODULE1 (
input VAR5 ,
output VAR8 ,
input VAR1,
input VAR6
);
supply1 VAR7;
supply0 VAR2;
supply1 VAR3 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0/bd_0/hdl/bd_350b_wrapper.v
| 8,211 |
module MODULE1
(VAR18,
VAR19,
VAR60,
VAR43,
VAR24,
VAR34,
VAR58,
VAR5,
VAR39,
VAR50,
VAR2,
VAR20,
VAR57,
VAR49,
VAR35,
VAR4,
VAR52,
VAR14,
VAR29,
VAR36,
VAR45,
VAR23,
VAR28,
VAR13,
VAR62,
VAR32,
VAR9,
VAR27,
VAR31,
VAR42,
VAR59,
VAR21,
VAR26,
VAR38,
VAR48,
VAR51,
VAR55,
VAR11,
VAR10,
VAR16,
VAR46,
VAR25,
VAR41,
VAR1,
VAR7,
VAR54,
VAR61,
VAR12,
VAR37,
VAR53,
VAR56,
VAR15,
VAR33,
VAR47,
VAR30,
VAR44,
VAR8,
VAR17,
VAR3,
clk,
VAR40);
input [8:0]VAR18;
input VAR19;
input VAR60;
input [8:0]VAR43;
input VAR24;
input VAR34;
input VAR58;
input [1:0]VAR5;
input VAR39;
input [31:0]VAR50;
input VAR2;
input [1:0]VAR20;
input VAR57;
input [31:0]VAR49;
input VAR35;
input [3:0]VAR4;
input VAR52;
input [7:0]VAR14;
input [15:0]VAR29;
input [1:0]VAR36;
input [3:0]VAR45;
input [11:0]VAR23;
input [7:0]VAR28;
input [0:0]VAR13;
input [2:0]VAR62;
input [3:0]VAR32;
input VAR9;
input [2:0]VAR27;
input VAR31;
input [15:0]VAR42;
input [1:0]VAR59;
input [3:0]VAR21;
input [11:0]VAR26;
input [7:0]VAR38;
input [0:0]VAR48;
input [2:0]VAR51;
input [3:0]VAR55;
input VAR11;
input [2:0]VAR10;
input VAR16;
input [11:0]VAR46;
input VAR25;
input [1:0]VAR41;
input VAR1;
input [31:0]VAR7;
input [11:0]VAR54;
input VAR61;
input VAR12;
input [1:0]VAR37;
input VAR53;
input [31:0]VAR56;
input VAR15;
input VAR33;
input [3:0]VAR47;
input VAR30;
output [0:0]VAR44;
input [0:0]VAR8;
input [0:0]VAR17;
output [0:0]VAR3;
input clk;
input VAR40;
wire [8:0]VAR18;
wire VAR19;
wire VAR60;
wire [8:0]VAR43;
wire VAR24;
wire VAR34;
wire VAR58;
wire [1:0]VAR5;
wire VAR39;
wire [31:0]VAR50;
wire VAR2;
wire [1:0]VAR20;
wire VAR57;
wire [31:0]VAR49;
wire VAR35;
wire [3:0]VAR4;
wire VAR52;
wire [7:0]VAR14;
wire [15:0]VAR29;
wire [1:0]VAR36;
wire [3:0]VAR45;
wire [11:0]VAR23;
wire [7:0]VAR28;
wire [0:0]VAR13;
wire [2:0]VAR62;
wire [3:0]VAR32;
wire VAR9;
wire [2:0]VAR27;
wire VAR31;
wire [15:0]VAR42;
wire [1:0]VAR59;
wire [3:0]VAR21;
wire [11:0]VAR26;
wire [7:0]VAR38;
wire [0:0]VAR48;
wire [2:0]VAR51;
wire [3:0]VAR55;
wire VAR11;
wire [2:0]VAR10;
wire VAR16;
wire [11:0]VAR46;
wire VAR25;
wire [1:0]VAR41;
wire VAR1;
wire [31:0]VAR7;
wire [11:0]VAR54;
wire VAR61;
wire VAR12;
wire [1:0]VAR37;
wire VAR53;
wire [31:0]VAR56;
wire VAR15;
wire VAR33;
wire [3:0]VAR47;
wire VAR30;
wire [0:0]VAR44;
wire [0:0]VAR8;
wire [0:0]VAR17;
wire [0:0]VAR3;
wire clk;
wire VAR40;
VAR22 VAR6
(.VAR18(VAR18),
.VAR19(VAR19),
.VAR60(VAR60),
.VAR43(VAR43),
.VAR24(VAR24),
.VAR34(VAR34),
.VAR58(VAR58),
.VAR5(VAR5),
.VAR39(VAR39),
.VAR50(VAR50),
.VAR2(VAR2),
.VAR20(VAR20),
.VAR57(VAR57),
.VAR49(VAR49),
.VAR35(VAR35),
.VAR4(VAR4),
.VAR52(VAR52),
.VAR14(VAR14),
.VAR29(VAR29),
.VAR36(VAR36),
.VAR45(VAR45),
.VAR23(VAR23),
.VAR28(VAR28),
.VAR13(VAR13),
.VAR62(VAR62),
.VAR32(VAR32),
.VAR9(VAR9),
.VAR27(VAR27),
.VAR31(VAR31),
.VAR42(VAR42),
.VAR59(VAR59),
.VAR21(VAR21),
.VAR26(VAR26),
.VAR38(VAR38),
.VAR48(VAR48),
.VAR51(VAR51),
.VAR55(VAR55),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR16(VAR16),
.VAR46(VAR46),
.VAR25(VAR25),
.VAR41(VAR41),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR54(VAR54),
.VAR61(VAR61),
.VAR12(VAR12),
.VAR37(VAR37),
.VAR53(VAR53),
.VAR56(VAR56),
.VAR15(VAR15),
.VAR33(VAR33),
.VAR47(VAR47),
.VAR30(VAR30),
.VAR44(VAR44),
.VAR8(VAR8),
.VAR17(VAR17),
.VAR3(VAR3),
.clk(clk),
.VAR40(VAR40));
endmodule
|
mit
|
ffu/DSA-3.2.2
|
usrp/fpga/inband_lib/channel_ram.v
| 3,284 |
module MODULE1
( input VAR18, input reset,
input [31:0] VAR25, input VAR21, input VAR17, output VAR9,
output [31:0] VAR11, input VAR24, input VAR20, output VAR23);
reg [6:0] VAR7, VAR14;
reg [1:0] VAR5, VAR3;
reg [2:0] VAR1;
reg [31:0] VAR2 [0:127];
reg [31:0] VAR19 [0:127];
reg [31:0] VAR12 [0:127];
reg [31:0] VAR6 [0:127];
reg [31:0] VAR8;
reg [31:0] VAR4;
reg [31:0] VAR10;
reg [31:0] VAR26;
wire VAR13;
wire VAR16;
wire [6:0] VAR22;
wire [1:0] VAR15;
always @(posedge VAR18)
if(VAR21 & (VAR5 == 2'd0)) VAR2[VAR7] <= VAR25;
always @(posedge VAR18)
if(VAR21 & (VAR5 == 2'd1)) VAR19[VAR7] <= VAR25;
always @(posedge VAR18)
if(VAR21 & (VAR5 == 2'd2)) VAR12[VAR7] <= VAR25;
always @(posedge VAR18)
if(VAR21 & (VAR5 == 2'd3)) VAR6[VAR7] <= VAR25;
assign VAR13 = ((VAR21 && (VAR7 == 7'd127)) || VAR17);
always @(posedge VAR18)
if(reset)
VAR7 <= 0;
else if (VAR17)
VAR7 <= 0;
else if (VAR21)
VAR7 <= VAR7 + 7'd1;
always @(posedge VAR18)
if(reset)
VAR5 <= 0;
else if (VAR13)
VAR5 <= VAR5 + 2'd1;
assign VAR9 = (VAR1 < 3'd3);
always @(posedge VAR18) VAR8 <= VAR2[VAR22];
always @(posedge VAR18) VAR4 <= VAR19[VAR22];
always @(posedge VAR18) VAR10 <= VAR12[VAR22];
always @(posedge VAR18) VAR26 <= VAR6[VAR22];
assign VAR11 = (VAR15[1]) ?
(VAR15[0] ? VAR26 : VAR10) :
(VAR15[0] ? VAR4 : VAR8);
assign VAR16 = VAR20;
always @(posedge VAR18)
if (reset)
VAR14 <= 0;
else if (VAR20)
VAR14 <= 0;
else if (VAR24)
VAR14 <= VAR14 + 7'd1;
assign VAR22 = (reset|VAR20) ? (6'd0) :
((VAR24)?(VAR14+7'd1):VAR14);
always @(posedge VAR18)
if (reset)
VAR3 <= 0;
else if (VAR16)
VAR3 <= VAR3 + 2'd1;
assign VAR15 = (reset) ? (2'd0):
((VAR16) ? (VAR3 + 2'd1) : VAR3);
assign VAR23 = (VAR1 > 1) | ((VAR1 == 1)&(~VAR16));
always @(posedge VAR18)
if (reset)
VAR1 <= 0;
else if (VAR13 & ~VAR16)
VAR1 <= VAR1 + 3'd1;
else if (VAR16 & ~VAR13)
VAR1 <= VAR1 - 3'd1;
endmodule
|
gpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/sync_flag.v
| 5,752 |
module MODULE1(
input VAR3,
input VAR1,
input VAR15,
input [VAR20-1:0] VAR14,
output VAR11,
input VAR2,
output VAR6,
output [VAR20-1:0] VAR10
);
parameter VAR12 = 1;
parameter VAR20 = 1;
parameter VAR4 = 0;
parameter VAR7 = 0;
reg [VAR20-1:0] VAR8 = 'h0;
wire [VAR20-1:0] VAR5;
reg VAR19 = 'h0;
wire VAR9;
generate if (VAR12) begin
reg VAR16 = 1'b0;
reg [VAR20-1:0] VAR13 = 'h0;
always @(posedge VAR1)
begin
if (VAR3 == 1'b0) begin
VAR16 <= 1'b0;
end else begin
if (VAR15 == 1'b1)
VAR16 <= ~VAR16;
end
end
reg [2:0] VAR17 = 'h0;
assign VAR9 = VAR17[2] ^ VAR17[1];
always @(posedge VAR2)
begin
if (VAR3 == 1'b0) begin
VAR17 <= 3'b0;
end else begin
VAR17[0] <= VAR16;
VAR17[2:1] <= VAR17[1:0];
end
end
reg [1:0] VAR18 = 'h0;
assign VAR11 = VAR16 ^ VAR18[1];
always @(posedge VAR1)
begin
if (VAR3 == 1'b0) begin
VAR18 <= 2'b0;
end else begin
VAR18[0] <= VAR17[2];
VAR18[1] <= VAR18[0];
end
end
always @(posedge VAR1)
begin
if (VAR3 == 1'b0) begin
VAR13 <= 'h0;
end else begin
if (VAR15 == 1'b1)
VAR13 <= VAR14;
end
end
assign VAR5 = VAR4 == 1'b1 ? VAR13 : VAR14;
end else begin
assign VAR11 = 1'b0;
assign VAR9 = VAR15;
assign VAR5 = VAR14;
end endgenerate
always @(posedge VAR2)
begin
if (VAR3 == 1'b0) begin
VAR8 <= 'h0;
VAR19 <= 'h0;
end else begin
if (VAR9 == 1'b1)
VAR8 <= VAR5;
VAR19 <= VAR9;
end
end
assign VAR10 = VAR7 == 1'b1 ? VAR8 : VAR5;
assign VAR6 = VAR7 == 1'b1 ? VAR19 : VAR9;
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/alt_mem_ddrx_list.v
| 8,506 |
module MODULE1
parameter
VAR9 = 3, VAR17 = 8,
VAR23 = "VAR22", VAR11 = "VAR2" )
(
VAR7,
VAR18,
VAR20,
VAR15,
VAR6,
VAR5,
VAR14,
VAR10,
VAR16
);
input VAR7;
input VAR18;
input VAR15;
output VAR20;
output [VAR9-1:0] VAR6;
output [VAR17-1:0] VAR5;
output VAR10;
input VAR14;
input [VAR9-1:0] VAR16;
reg VAR20;
wire VAR15;
reg [VAR9-1:0] VAR6;
reg [VAR17-1:0] VAR5;
wire VAR14;
reg VAR10;
wire [VAR9-1:0] VAR16;
reg [VAR9-1:0] VAR13 [VAR17-1:0];
reg VAR4 [VAR17-1:0];
reg [VAR17-1:0] VAR12;
wire VAR1 = VAR20 & VAR15;
wire VAR8 = VAR14 & VAR10;
always @ (*)
begin
VAR20 = VAR4[0];
VAR6 = VAR13[0];
VAR5 = VAR12;
VAR10 = ~VAR4[VAR17-1];
end
integer VAR3;
always @ (posedge VAR7 or negedge VAR18)
begin
if (~VAR18)
begin
for (VAR3 = 0; VAR3 < VAR17; VAR3 = VAR3 + 1'b1)
begin
if (VAR23 == "VAR22")
begin
VAR13 [VAR3] <= VAR3;
end
else
begin
VAR13 [VAR3] <= {VAR9{1'b0}};
end
if (VAR11 == "VAR2")
begin
VAR4 [VAR3] <= 1'b1;
end
else
begin
VAR4 [VAR3] <= 1'b0;
end
end
VAR12 <= {VAR17{1'b0}};
end
else
begin
if (VAR1)
begin
for (VAR3 = 1; VAR3 < VAR17; VAR3 = VAR3 + 1'b1)
begin
VAR4 [VAR3-1] <= VAR4 [VAR3];
VAR13 [VAR3-1] <= VAR13 [VAR3];
end
VAR4 [VAR17-1] <= 0;
for (VAR3 = 0; VAR3 < VAR17;VAR3 = VAR3 + 1'b1)
begin
if (VAR3 == VAR13 [1])
begin
VAR12 [VAR3] <= 1'b1;
end
else
begin
VAR12 [VAR3] <= 1'b0;
end
end
end
if (VAR8)
begin
if (~VAR1)
begin
for (VAR3 = 1; VAR3 < VAR17; VAR3 = VAR3 + 1'b1)
begin
if ( VAR4[VAR3-1] & ~VAR4[VAR3])
begin
VAR4 [VAR3] <= 1'b1;
VAR13 [VAR3] <= VAR16;
end
end
if (~VAR4[0])
begin
VAR4 [0] <= 1'b1;
VAR13 [0] <= VAR16;
for (VAR3 = 0; VAR3 < VAR17;VAR3 = VAR3 + 1'b1)
begin
if (VAR3 == VAR16)
begin
VAR12 [VAR3] <= 1'b1;
end
else
begin
VAR12 [VAR3] <= 1'b0;
end
end
end
end
else
begin
for (VAR3 = 1; VAR3 < VAR17; VAR3 = VAR3 + 1'b1)
begin
if (VAR4[VAR3-1] & ~VAR4[VAR3])
begin
VAR4 [VAR3-1] <= 1'b1;
VAR13 [VAR3-1] <= VAR16;
end
end
for (VAR3 = 0; VAR3 < VAR17;VAR3 = VAR3 + 1'b1)
begin
if (VAR4[0] & ~VAR4[1])
begin
if (VAR3 == VAR16)
begin
VAR12 [VAR3] <= 1'b1;
end
else
begin
VAR12 [VAR3] <= 1'b0;
end
end
else
begin
if (VAR3 == VAR13 [1])
begin
VAR12 [VAR3] <= 1'b1;
end
else
begin
VAR12 [VAR3] <= 1'b0;
end
end
end
end
end
end
end
function integer VAR21;
input integer VAR19;
begin
VAR21 = 2 << (VAR19-1);
end
endfunction
endmodule
|
lgpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.