repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
asicguy/gplgpu
|
hdl/altera_clk_synth/clk_gen_ipll.v
| 2,950 |
module MODULE1
(
input VAR3,
input VAR12,
input VAR1,
input [1:0] VAR5,
input VAR17,
input VAR10, input [3:0] VAR21, input [2:0] VAR9, input [8:0] VAR8, input VAR16, input VAR2,
output VAR18, output VAR7,
output VAR6,
output reg VAR20,
output VAR4
);
VAR11 VAR13
(
.VAR3 (VAR3),
.VAR22 (VAR12),
.VAR1 (VAR1),
.VAR16 (VAR16),
.VAR10 (VAR10),
.VAR9 (VAR9), .VAR21 (VAR21), .VAR8 (VAR8), .VAR2 (VAR2),
.VAR18 (VAR18), .VAR7 (VAR7), .VAR4 (VAR4) );
reg [2:0] VAR19;
reg [1:0] VAR15;
always @*
begin
casex({VAR17, VAR5})
3'VAR14: VAR15 = 2'b00;
3'b001: VAR15 = 2'b10;
3'b010: VAR15 = 2'b01;
default: VAR15 = 2'b00;
endcase
end
always @(posedge VAR7 or negedge VAR12)
begin
if(!VAR12)
begin
VAR20 <= 1'b1;
VAR19 <= 3'b000;
end
else begin
VAR19 <= VAR19 + 3'h1;
case (VAR15)
0: VAR20 <= 1'b1;
1: VAR20 <= ~VAR19[0];
2: VAR20 <= ~|VAR19[1:0];
3: VAR20 <= ~|VAR19[2:0];
endcase
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/ha/sky130_fd_sc_ms__ha_1.v
| 2,184 |
module MODULE2 (
VAR3,
VAR1 ,
VAR6 ,
VAR7 ,
VAR10,
VAR5,
VAR8 ,
VAR9
);
output VAR3;
output VAR1 ;
input VAR6 ;
input VAR7 ;
input VAR10;
input VAR5;
input VAR8 ;
input VAR9 ;
VAR2 VAR4 (
.VAR3(VAR3),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR3,
VAR1 ,
VAR6 ,
VAR7
);
output VAR3;
output VAR1 ;
input VAR6 ;
input VAR7 ;
supply1 VAR10;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR9 ;
VAR2 VAR4 (
.VAR3(VAR3),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/clkdlybuf4s18/sky130_fd_sc_hd__clkdlybuf4s18.blackbox.v
| 1,322 |
module MODULE1 (
VAR1,
VAR6
);
output VAR1;
input VAR6;
supply1 VAR3;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v
| 4,356 |
if (VAR2 && VAR13==VAR5) \
begin: VAR8 \
VAR6 VAR11(.VAR7 \
,.VAR1 \
,.VAR15(~VAR12) \
,.VAR3); \
end
module MODULE1 #(VAR13=-1, VAR2=1)
(input VAR14
,input VAR12
,input [VAR13-1:0] VAR1
,output [VAR13-1:0] VAR3
);
else VAR4(89)
else VAR4(88)
else VAR4(87)
else VAR4(86)
else VAR4(85)
else VAR4(84)
else VAR4(83)
else VAR4(82)
else VAR4(81)
else VAR4(80)
else VAR4(79)
else VAR4(78)
else VAR4(77)
else VAR4(76)
else VAR4(75)
else VAR4(74)
else VAR4(73)
else VAR4(72)
else VAR4(71)
else VAR4(70)
else VAR4(69)
else VAR4(68)
else VAR4(67)
else VAR4(66)
else VAR4(65)
else VAR4(64)
else VAR4(63)
else VAR4(62)
else VAR4(61)
else VAR4(60)
else VAR4(59)
else VAR4(58)
else VAR4(57)
else VAR4(56)
else VAR4(55)
else VAR4(54)
else VAR4(53)
else VAR4(52)
else VAR4(51)
else VAR4(50)
else VAR4(49)
else VAR4(48)
else VAR4(47)
else VAR4(46)
else VAR4(45)
else VAR4(44)
else VAR4(43)
else VAR4(42)
else VAR4(41)
else VAR4(40)
else VAR4(39)
else VAR4(38)
else VAR4(37)
else VAR4(36)
else VAR4(35)
else VAR4(34)
else VAR4(33)
else VAR4(32)
else VAR4(31)
else VAR4(30)
else VAR4(29)
else VAR4(28)
else VAR4(27)
else VAR4(26)
else VAR4(25)
else VAR4(24)
else VAR4(23)
else VAR4(22)
else VAR4(21)
else VAR4(20)
else VAR4(19)
else VAR4(18)
else VAR4(17)
else VAR4(16)
else VAR4(15)
else VAR4(14)
else VAR4(13)
else VAR4(12)
else VAR4(11)
else VAR4(10)
else VAR4(9)
else VAR4(8)
else VAR4(7)
else VAR4(6)
else VAR4(5)
else VAR4(4)
else VAR4(3)
else
begin: VAR10
reg [VAR13-1:0] VAR9;
assign VAR3 = VAR9;
always @(posedge VAR14)
begin
if (VAR12)
VAR9 <= VAR13 ' (0);
end
else
VAR9 <= VAR1;
end
end
endmodule
|
bsd-3-clause
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/fillcap/gf180mcu_fd_sc_mcu7t5v0__fillcap_32.behavioral.v
| 1,027 |
module MODULE1( );
VAR3 VAR1();
VAR3 VAR2();
|
apache-2.0
|
ptracton/pmodacl2
|
rtl/spi_regs.v
| 4,516 |
module MODULE1 (
VAR16, VAR8, VAR3, VAR19, VAR18, VAR9, VAR4,
VAR20, VAR22, VAR15, VAR24,
clk, reset, VAR14, VAR6, VAR25, VAR17, VAR11,
VAR2
) ;
parameter VAR5 = 8'h00;
input clk;
input reset;
output reg [15:0] VAR16;
input wire [7:0] VAR14;
input wire [7:0] VAR6;
output reg [7:0] VAR8;
input VAR25;
input VAR17;
input wire [7:0] VAR11; output reg VAR3; output reg VAR19; output reg VAR18; output reg VAR9; output reg VAR4; output reg [7:0] VAR20; output reg VAR22;
output reg [7:0] VAR15;
output reg [7:0] VAR24;
input wire [7:0] VAR2;
wire VAR7 = (VAR14 == (VAR5 + 8'h00));
wire VAR21 = (VAR14 == (VAR5 + 8'h01));
wire VAR1 = (VAR14 == (VAR5 + 8'h02));
wire VAR13 = (VAR14 == (VAR5 + 8'h03));
wire VAR23 = (VAR14 == (VAR5 + 8'h04));
wire VAR12 = (VAR14 == (VAR5 + 8'h05));
wire VAR10 = (VAR14 == (VAR5 + 8'h06));
always @(posedge clk)
if (reset) begin
VAR15 <= 0;
VAR24 <= 0;
VAR20 <= 0;
VAR3 <= 0;
VAR9 <= 0;
VAR4 <= 0;
VAR18 <= 0;
VAR22 <=1;
VAR16 <= 0;
end else begin
if (VAR17) begin
if (VAR23) begin
VAR22 <= VAR6[0];
end
if (VAR21) begin
VAR9 <= VAR6[7];
VAR4 <= VAR6[6];
VAR18 <= 1;
end else begin
VAR9 <= 0;
VAR4 <= 0;
VAR18 <= 0;
end
if (VAR12) begin
VAR16[7:0] <= VAR6;
end
if (VAR10) begin
VAR16[15:8] <= VAR6;
end
if (VAR7) begin
VAR15 <= VAR6;
end
if (VAR13) begin
VAR24 <= VAR6;
end
if (VAR1) begin
VAR20 <= VAR6;
VAR3 <= 1;
end else begin
VAR3 <= 0;
end
end else begin
VAR9 <= 0;
VAR4 <= 0;
VAR3 <= 0;
VAR18 <= 0;
end
end
always @(posedge clk)
if (reset) begin
VAR8 <= 0;
VAR19 <= 0;
end else begin
if (VAR12) begin
VAR8 <= VAR16[7:0];
end
if (VAR10) begin
VAR8 <= VAR16[15:8];
end
if (VAR7) begin
VAR8 <= VAR15;
end
if (VAR13) begin
VAR8 <= VAR24;
end
if (VAR21) begin
VAR8 <= VAR2;
end
if (VAR1) begin
VAR8 <= VAR11;
VAR19 <= 1;
end else begin
VAR19 <= 0;
end
end
endmodule
|
mit
|
jotego/jt51
|
syn/xilinx/ym09/hdl/fsm_control.v
| 3,536 |
module MODULE1 #(parameter VAR4=10)(
input clk,
input rst,
input VAR25,
output reg VAR12,
output [VAR4-1:0] VAR23,
output reg VAR21,
input VAR1,
input VAR9,
output reg VAR13,
output reg VAR3,
output reg [7:0] VAR14
);
reg [ 1:0] VAR17; reg VAR20,
VAR6,
VAR11,
VAR10,
VAR18;
reg [VAR4-1:0] VAR5,
VAR7;
always @(negedge clk or posedge rst ) begin : VAR19
if( rst )
VAR18 <= 1'b0;
end
else begin
if( VAR6 ) VAR18 <= 1'b1;
if( VAR20 ) VAR18 <= 1'b0;
end
end
assign VAR23 = VAR18 ? VAR5 : VAR7;
always @(negedge clk or posedge rst ) begin : VAR2
if( rst ) begin
VAR12 <= 1'b1;
VAR3 <= 1'b0;
end
else begin
if (VAR20 | VAR10 ) VAR12 <= 1'b0;
if (VAR6 | VAR11) VAR12 <= 1'b1;
if ( VAR11 )
VAR3 <= 1'b1;
end
else if( VAR10 )
VAR3 <= 1'b0;
end
end
always @(posedge clk or posedge rst ) begin : VAR8
if( rst ) begin
VAR21 <= 1'b0;
end
else begin
if( ^VAR17 && VAR12 )
VAR21 <= 1'b1;
end
else
VAR21 <= 1'b0;
end
end
reg [23:0] VAR15;
always @(posedge clk or posedge rst ) begin : VAR16
if( rst ) begin
VAR15 <= 23'd0;
VAR14 <= 1'b1;
end
else begin
VAR15 <= VAR15 + 1'b1;
if( !VAR15 && VAR12 )
if( VAR6 )
VAR14 <= { VAR14[6:0], VAR14[7] };
end
else
VAR14 <= { VAR14[0], VAR14[7:1] };
end
end
always @(posedge clk or posedge rst ) begin : VAR22
if( rst ) begin
VAR5 <= {(VAR4){1'b1}};
VAR17 <= 2'b0;
VAR20 <= 1'b1;
VAR6 <= 1'b0;
VAR5 <= {(VAR4){1'b1}};
VAR17 <= 2'b0;
VAR20 <= 1'b0;
VAR6 <= 1'b0;
end
else begin
if( VAR20 || (VAR6 && (&VAR5) && (&VAR17)) ) begin
VAR6 <= 1'b0;
VAR20 <= 1'b1;
end
else begin
if( VAR1 ) begin
VAR5 <= VAR5 + 1'b1;
VAR17 <= 2'b0;
VAR6 <= 1'b1;
end
else if( VAR17 != 2'b11 ) VAR17 <= VAR17 + 1'b1;
end
end
end
always @(posedge clk or posedge rst ) begin : VAR24
if( rst ) begin
VAR7 <= {(VAR4){1'b0}};
VAR11 <= 1'b0;
VAR10 <= 1'b0;
VAR13 <= 1'b0;
end
else begin
if( VAR25 ) begin
VAR7 <= {(VAR4){1'b0}};
VAR11 <= 1'b1;
VAR10 <= 1'b0;
VAR13 <= 1'b1;
end
else
if( VAR9 && VAR11 && !VAR10 ) begin
if( &VAR7 ) begin
VAR11 <= 1'b0;
VAR10 <= 1'b1;
end
else begin
VAR7 <= VAR7 + 1'b1;
VAR13 <= 1'b1;
end
end
else VAR13 <= 1'b0;
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o311ai/sky130_fd_sc_hd__o311ai_0.v
| 2,435 |
module MODULE1 (
VAR10 ,
VAR11 ,
VAR4 ,
VAR8 ,
VAR6 ,
VAR1 ,
VAR12,
VAR5,
VAR9 ,
VAR7
);
output VAR10 ;
input VAR11 ;
input VAR4 ;
input VAR8 ;
input VAR6 ;
input VAR1 ;
input VAR12;
input VAR5;
input VAR9 ;
input VAR7 ;
VAR2 VAR3 (
.VAR10(VAR10),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR10 ,
VAR11,
VAR4,
VAR8,
VAR6,
VAR1
);
output VAR10 ;
input VAR11;
input VAR4;
input VAR8;
input VAR6;
input VAR1;
supply1 VAR12;
supply0 VAR5;
supply1 VAR9 ;
supply0 VAR7 ;
VAR2 VAR3 (
.VAR10(VAR10),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_hdmi_tx_v1_00_a/hdl/verilog/axi_hdmi_tx.v
| 11,285 |
module MODULE1 (
VAR87,
VAR119,
VAR143,
VAR18,
VAR52,
VAR109,
VAR136,
VAR26,
VAR102,
VAR51,
VAR135,
VAR91,
VAR125,
VAR166,
VAR108,
VAR68,
VAR149,
VAR48,
VAR12,
VAR116,
VAR31,
VAR5,
VAR55,
VAR162,
VAR36,
VAR64,
VAR95,
VAR90,
VAR85,
VAR24,
VAR104,
VAR4,
VAR75,
VAR15,
VAR60,
VAR122,
VAR130,
VAR43,
VAR113,
VAR111,
VAR145,
VAR147);
parameter VAR28 = 0;
parameter VAR134 = 0;
parameter VAR120 = 0;
parameter VAR2 = 0;
parameter VAR123 = 32'hffff;
parameter VAR150 = 32'hffffffff;
parameter VAR83 = 32'h00000000;
input VAR87;
output VAR119;
output VAR143;
output VAR18;
output VAR52;
output [15:0] VAR109;
output [15:0] VAR136;
output VAR26;
output VAR102;
output VAR51;
output [23:0] VAR135;
output VAR91;
output VAR125;
output VAR166;
output [35:0] VAR108;
input VAR68;
output VAR149;
input VAR48;
input VAR12;
input [63:0] VAR116;
input [ 7:0] VAR31;
input VAR5;
output VAR55;
input VAR162;
input VAR36;
input VAR64;
input [31:0] VAR95;
output VAR90;
input VAR85;
input [31:0] VAR24;
input [ 3:0] VAR104;
output VAR4;
output VAR75;
output [ 1:0] VAR15;
input VAR60;
input VAR122;
input [31:0] VAR130;
output VAR43;
output VAR113;
output [31:0] VAR111;
output [ 1:0] VAR145;
input VAR147;
wire VAR22;
wire VAR148;
wire VAR158;
wire VAR79;
wire VAR42;
wire VAR32;
wire VAR129;
wire [13:0] VAR56;
wire [31:0] VAR71;
wire [31:0] VAR53;
wire VAR121;
wire VAR47;
wire VAR77;
wire [ 1:0] VAR80;
wire [23:0] VAR92;
wire [15:0] VAR69;
wire [15:0] VAR49;
wire [15:0] VAR73;
wire [15:0] VAR61;
wire [15:0] VAR10;
wire [15:0] VAR157;
wire [15:0] VAR65;
wire [15:0] VAR152;
wire [15:0] VAR27;
wire [15:0] VAR84;
wire VAR156;
wire [ 8:0] VAR82;
wire VAR101;
wire VAR8;
wire VAR100;
wire VAR29;
wire VAR144;
wire [63:0] VAR74;
wire VAR137;
wire VAR126;
wire [ 8:0] VAR30;
wire [47:0] VAR40;
wire VAR168;
wire [ 8:0] VAR133;
assign VAR22 = VAR36;
assign VAR148 = VAR162;
assign VAR79 = VAR68;
assign VAR144 = VAR12;
assign VAR74 = VAR116;
assign VAR29 = VAR48;
assign VAR149 = VAR100;
assign VAR55 = VAR137;
VAR81 #(
.VAR127 (VAR150),
.VAR21 (VAR83))
VAR99 (
.VAR22 (VAR22),
.VAR148 (VAR148),
.VAR38 (VAR64),
.VAR63 (VAR95),
.VAR110 (VAR90),
.VAR97 (VAR85),
.VAR7 (VAR24),
.VAR118 (VAR104),
.VAR167 (VAR4),
.VAR44 (VAR75),
.VAR142 (VAR15),
.VAR14 (VAR60),
.VAR112 (VAR122),
.VAR86 (VAR130),
.VAR153 (VAR43),
.VAR114 (VAR113),
.VAR3 (VAR145),
.VAR16 (VAR111),
.VAR105 (VAR147),
.VAR115 (VAR32),
.VAR164 (VAR129),
.VAR13 (VAR56),
.VAR138 (VAR71),
.VAR66 (VAR53),
.VAR70 (VAR121));
VAR35 VAR161 (
.VAR87 (VAR87),
.VAR158 (VAR158),
.VAR72 (VAR47),
.VAR37 (VAR77),
.VAR45 (VAR80),
.VAR155 (VAR92),
.VAR151 (VAR69),
.VAR78 (VAR49),
.VAR19 (VAR73),
.VAR103 (VAR61),
.VAR124 (VAR10),
.VAR57 (VAR157),
.VAR50 (VAR65),
.VAR93 (VAR152),
.VAR59 (VAR27),
.VAR54 (VAR84),
.VAR106 (VAR8),
.VAR159 (VAR101),
.VAR33 (32'd1),
.VAR79 (VAR79),
.VAR42 (VAR42),
.VAR67 (VAR132),
.VAR165 (VAR141),
.VAR62 (VAR140),
.VAR22 (VAR22),
.VAR148 (VAR148),
.VAR115 (VAR32),
.VAR164 (VAR129),
.VAR13 (VAR56),
.VAR138 (VAR71),
.VAR66 (VAR53),
.VAR70 (VAR121));
VAR39 VAR1 (
.VAR25 (VAR156),
.VAR96 (VAR82),
.VAR79 (VAR79),
.VAR42 (VAR42),
.VAR6 (VAR100),
.VAR41 (VAR29),
.VAR23 (VAR144),
.VAR98 (VAR74),
.VAR17 (VAR137),
.VAR107 (VAR126),
.VAR46 (VAR30),
.VAR154 (VAR40),
.VAR163 (VAR168),
.VAR11 (VAR133),
.VAR62 (VAR140),
.VAR67 (VAR132),
.VAR165 (VAR141));
VAR89 #(
.VAR34(VAR134),
.VAR146(VAR2))
VAR76 (
.VAR87 (VAR87),
.VAR158 (VAR158),
.VAR143 (VAR143),
.VAR18 (VAR18),
.VAR52 (VAR52),
.VAR109 (VAR109),
.VAR136 (VAR136),
.VAR26 (VAR26),
.VAR102 (VAR102),
.VAR51 (VAR51),
.VAR135 (VAR135),
.VAR91 (VAR91),
.VAR125 (VAR125),
.VAR166 (VAR166),
.VAR108 (VAR108),
.VAR25 (VAR156),
.VAR96 (VAR82),
.VAR159 (VAR101),
.VAR106 (VAR8),
.VAR79 (VAR79),
.VAR107 (VAR126),
.VAR46 (VAR30),
.VAR154 (VAR40),
.VAR163 (VAR168),
.VAR11 (VAR133),
.VAR72 (VAR47),
.VAR37 (VAR77),
.VAR45 (VAR80),
.VAR155 (VAR92),
.VAR151 (VAR69),
.VAR78 (VAR49),
.VAR19 (VAR73),
.VAR103 (VAR61),
.VAR124 (VAR10),
.VAR57 (VAR157),
.VAR50 (VAR65),
.VAR93 (VAR152),
.VAR59 (VAR27),
.VAR54 (VAR84));
VAR131 #(.VAR88(1'b0)) VAR94 (
.VAR9 (1'b0),
.VAR160 (1'b0),
.VAR20 (1'b1),
.VAR139 (1'b1),
.VAR128 (1'b0),
.VAR58 (VAR87),
.VAR117 (VAR119));
endmodule
|
mit
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v
| 1,828 |
module MODULE1 #(parameter VAR29(VAR16)
,parameter VAR5 = VAR20(VAR16)
,parameter VAR29(VAR25 )
,parameter VAR11=0
,parameter VAR19 = VAR25>>3
,parameter VAR4=0
)
( input VAR1
,input VAR15
,input VAR7
,input VAR9
,input [VAR5-1:0] VAR33
,input [VAR10(VAR25, 1):0] VAR22
,input [VAR10(VAR19, 1):0] VAR13
,output logic [VAR10(VAR25, 1):0] VAR2
);
wire VAR12;
if (VAR4)
begin
VAR14 VAR30
(.VAR1( VAR1 )
,.VAR6( VAR7 )
,.VAR18( 1'b0 )
,.VAR32( VAR12 )
);
end
else
begin
assign VAR12 = VAR1;
end
VAR23
VAR28
(.VAR1(VAR12)
,.VAR15
,.VAR7
,.VAR9
,.VAR33
,.VAR22
,.VAR13
,.VAR2
);
VAR21
assert (VAR25 % 8 == 0)
else ("VAR34 VAR31 VAR8 VAR27 VAR26 VAR17 VAR24 8 for byte VAR3");
begin
|
bsd-3-clause
|
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
|
Gaussian_Filter/ip/Gaussian_Filter/acl_fp_custom_mul_op.v
| 13,912 |
module MODULE1 (
VAR24, VAR52,
VAR4, VAR13, VAR6,
VAR54, VAR68, VAR10,
VAR5,
VAR41,
VAR46,
VAR40, VAR64, VAR66, VAR28,
enable);
parameter VAR61 = 1;
parameter VAR21 = 0;
parameter VAR70 = 1;
parameter VAR15 = 1;
parameter VAR75 = 1;
input VAR24, VAR52;
input [26:0] VAR4;
input [8:0] VAR13;
input VAR6;
input [26:0] VAR54;
input [8:0] VAR68;
input VAR10;
input enable;
input VAR40, VAR64;
output VAR66, VAR28;
output [27:0] VAR5;
output [8:0] VAR41;
output VAR46;
wire [53:0] VAR7;
wire VAR74;
VAR57 VAR65 (
.VAR24 (VAR24),
.VAR39 (VAR54),
.VAR58 (VAR74),
.VAR34 (VAR4),
.VAR78 (VAR7),
.VAR81 (1'b0),
.sum (1'b0));
VAR65.VAR55 = "VAR76=9",
VAR65.VAR36 = (VAR75 == 1) ? 3 : 2,
VAR65.VAR31 = "VAR25",
VAR65.VAR19 = "VAR14",
VAR65.VAR62 = 27,
VAR65.VAR29 = 27,
VAR65.VAR3 = 54;
reg [9:0] VAR77;
reg [8:0] VAR50;
reg [8:0] VAR37;
reg [5:0] VAR11;
reg [5:0] VAR38;
reg VAR16;
reg VAR67, VAR44, VAR47, VAR51, VAR26, VAR2, VAR22;
reg VAR71, VAR8, VAR27, VAR18, VAR35, VAR79;
wire VAR30;
assign VAR74 = (VAR61 == 1) ? (~VAR8 | ~VAR30) : enable;
assign VAR66 = VAR8 & VAR30;
generate
if (VAR75 == 1)
begin
reg VAR33;
always@(posedge VAR24 or negedge VAR52)
begin
if (~VAR52)
begin
VAR77 <= 10'VAR42;
VAR50 <= 9'VAR42;
VAR37 <= 9'VAR42;
VAR51 <= 1'VAR80;
VAR67 <= 1'VAR80;
VAR44 <= 1'VAR80;
VAR47 <= 1'VAR80;
VAR33 <= 1'VAR80;
VAR18 <= 1'VAR80;
VAR11 <= 6'VAR42;
VAR38 <= 6'VAR42;
VAR79 <= 1'VAR80;
VAR35 <= 1'VAR80;
VAR71 <= 1'b0;
VAR8 <= 1'b0;
VAR27 <= 1'b0;
VAR2 <= 1'VAR80;
VAR22 <= 1'VAR80;
VAR16 <= 1'VAR80;
end
else if (VAR74)
begin
VAR71 <= VAR64;
VAR8 <= VAR71;
VAR67 <= VAR6 ^ VAR10;
VAR44 <= VAR67;
VAR2 <= VAR13[8];
VAR22 <= VAR68[8];
VAR51 <= (VAR13[8] | VAR68[8]);
if ((VAR13[8] || VAR68[8]) && (VAR15 == 0))
VAR77 <= 10'h1ff;
end
else
VAR77 <= VAR13 + VAR68 - 10'd127;
VAR11 <= {VAR4[26], |VAR4[25:21], |VAR4[20:15], |VAR4[14:10], |VAR4[9:5], |VAR4[4:0]};
VAR38 <= {VAR54[26], |VAR54[25:21], |VAR54[20:15], |VAR54[14:10], |VAR54[9:5], |VAR54[4:0]};
VAR33 <= 1'b0;
VAR79 <= 1'b0;
if (VAR51 && (VAR15 == 0))
begin
VAR50 <= 9'h1ff;
if (((VAR2 & (~|VAR11[4:0])) & (~VAR22 & (~|VAR38))) ||
((VAR22 & (~|VAR38[4:0])) & (~VAR2 & (~|VAR11))) ||
((VAR2 & (|VAR11[4:0])) || (VAR22 & (|VAR38[4:0]))))
VAR79 <= 1'b1;
end
else
VAR33 <= 1'b1;
end
else if (VAR77[9]) begin
VAR50 <= 9'd0;
VAR33 <= 1'b1;
end
else if ((VAR77[8:0] >= 9'd255) && (VAR15 == 0)) begin
VAR33 <= 1'b1;
VAR50 <= 9'h1ff;
end
else
VAR50 <= VAR77[8:0];
VAR16 <= ~|VAR50;
VAR18 <= VAR33;
VAR37 <= VAR50;
VAR47 <= VAR44;
VAR27 <= VAR8;
VAR35 <= VAR79;
end
end
end
else
begin
always@(posedge VAR24 or negedge VAR52)
begin
if (~VAR52)
begin
VAR77 <= 10'VAR42;
VAR50 <= 9'VAR42;
VAR51 <= 1'VAR80;
VAR67 <= 1'VAR80;
VAR44 <= 1'VAR80;
VAR18 <= 1'VAR80;
VAR11 <= 5'VAR42;
VAR38 <= 5'VAR42;
VAR35 <= 1'VAR80;
VAR71 <= 1'b0;
VAR8 <= 1'b0;
VAR2 <= 1'VAR80;
VAR22 <= 1'VAR80;
VAR16 <= 1'VAR80;
end
else if (VAR74)
begin
VAR71 <= VAR64;
VAR8 <= VAR71;
VAR67 <= VAR6 ^ VAR10;
VAR44 <= VAR67;
VAR51 <= VAR13[8] | VAR68[8];
VAR2 <= VAR13[8];
VAR22 <= VAR68[8];
if ((VAR13[8] || VAR68[8]) && (VAR15 == 0))
VAR77 <= 10'h1ff;
end
else
VAR77 <= VAR13 + VAR68 - 10'd127;
VAR11 <= {VAR4[26], |VAR4[25:21], |VAR4[20:15], |VAR4[14:10], |VAR4[9:5], |VAR4[4:0]};
VAR38 <= {VAR54[26], |VAR54[25:21], |VAR54[20:15], |VAR54[14:10], |VAR54[9:5], |VAR54[4:0]};
VAR18 <= 1'b0;
VAR35 <= 1'b0;
if (VAR51 && (VAR15 == 0))
begin
VAR50 <= 9'h1ff;
if (((VAR2 & (~|VAR11[4:0])) & (~VAR22 & (~|VAR38))) ||
((VAR22 & (~|VAR38[4:0])) & (~VAR2 & (~|VAR11))) ||
((VAR2 & (|VAR11[4:0])) || (VAR22 & (|VAR38[4:0]))))
VAR35 <= 1'b1;
end
else
VAR18 <= 1'b1;
end
else if (VAR77[9]) begin
VAR50 <= 9'd0;
VAR18 <= 1'b1;
end
else if ((VAR77[8:0] >= 9'd255) && (VAR15 == 0)) begin
VAR18 <= 1'b1;
VAR50 <= 9'h1ff;
end
else
VAR50 <= VAR77[8:0];
VAR16 <= ~|VAR77;
end
end
end
endgenerate
reg [27:0] VAR53;
reg [8:0] VAR1;
reg VAR49;
reg VAR32;
reg [4:0] VAR56;
reg VAR59;
reg VAR9;
reg VAR12;
wire VAR63;
wire VAR72;
assign VAR72 = (VAR61 == 1) ? (~VAR32 | ~VAR63) : enable;
assign VAR30 = VAR32 & VAR63;
generate
if (VAR75 == 1)
begin
always@(posedge VAR24 or negedge VAR52)
begin
if (~VAR52)
begin
VAR32 <= 1'b0;
VAR53 <= 28'VAR42;
VAR1 <= 9'VAR42;
VAR9 <= 1'VAR80;
VAR56 <= 5'VAR42;
VAR59 <= 1'VAR80;
VAR12 <= 1'VAR80;
VAR49 <= 1'VAR80;
end
else if (VAR72)
begin
VAR32 <= (VAR75 == 1) ? VAR27 : VAR8;
VAR12 <= VAR35;
VAR9 <= VAR18 | ((VAR21 == 1) & VAR16 & (~|VAR7[53:52]));
VAR53 <= VAR7[53:26];
VAR59 <= VAR16;
VAR1 <= (VAR75 == 1) ? VAR37 : VAR50;
VAR49 <= (VAR75 == 1) ? VAR47 : VAR44;
if (VAR70 == 1)
VAR56 <= 5'd0;
end
else
VAR56 <= {|VAR7[25:20],|VAR7[19:15],|VAR7[14:10],|VAR7[9:5],|VAR7[4:0]};
end
end
end
else
begin
always@
begin
VAR60 <= VAR32;
if (VAR70 == 1)
begin
if (VAR59 & ~VAR12 &~VAR9)
VAR43 <= {1'b0, VAR53[27:1]};
end
else
VAR43 <= VAR12 ? 28'h7ffffff : {28{~VAR9}} & VAR53[27:0];
end
else
begin
if (VAR59 & ~VAR12 &~VAR9)
VAR43 <= {1'b0, VAR53[27:2], |VAR53[1:0] | (|VAR56)};
end
else
VAR43 <= VAR12 ? 28'h7ffffff : {28{~VAR9}} & {VAR53[27:1], VAR53[0] | (|VAR56)};
end
if (VAR59 & ~VAR12 & VAR53[27] & ~VAR9)
VAR73 <= 9'd1;
else
VAR73 <= VAR1;
VAR17 <= VAR49;
end
end
endgenerate
generate
if (VAR61 == 1)
begin
reg [27:0] VAR45;
reg [8:0] VAR48;
reg VAR20;
reg VAR69;
always@(posedge VAR24 or negedge VAR52)
begin
if (~VAR52)
begin
VAR45 <= 28'VAR42;
VAR48 <= 9'VAR42;
VAR20 <= 1'VAR80;
VAR69 <= 1'b0;
end
else
begin
if (~VAR40)
VAR69 <= 1'b0;
end
else if (~VAR69)
VAR69 <= VAR60;
if (~VAR69)
begin
VAR45 <= VAR43;
VAR48 <= VAR73;
VAR20 <= VAR17;
end
end
end
assign VAR5 = VAR69 ? VAR45 : VAR43;
assign VAR41 = VAR69 ? VAR48 : VAR73;
assign VAR46 = VAR69 ? VAR20 : VAR17;
assign VAR28 = VAR69 | VAR60;
assign VAR23 = VAR69;
end
else
begin
assign VAR5 = VAR43;
assign VAR41 = VAR73;
assign VAR46 = VAR17;
assign VAR28 = VAR60;
assign VAR23 = VAR40;
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/probe_p/sky130_fd_sc_hd__probe_p_8.v
| 2,055 |
module MODULE2 (
VAR8 ,
VAR1 ,
VAR3,
VAR7 ,
VAR4 ,
VAR2
);
output VAR8 ;
input VAR1 ;
input VAR3;
input VAR7 ;
input VAR4 ;
input VAR2;
VAR5 VAR6 (
.VAR8(VAR8),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR8,
VAR1
);
output VAR8;
input VAR1;
supply0 VAR3;
supply0 VAR7 ;
supply1 VAR4 ;
supply1 VAR2;
VAR5 VAR6 (
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
8l/soc
|
backends/small1/hw/rtl/icache.v
| 6,856 |
module MODULE1(input clk,
input reset,
input [31:0] VAR25,
input VAR21,
output reg VAR23,
output reg [31:0] VAR20,
input [31:0] VAR24, input VAR18, output reg VAR13, output reg [31:0] VAR14 );
always @(posedge clk)
begin
VAR14 <= VAR25;
VAR13 <= VAR21;
VAR20 <= VAR24;
VAR23 <= VAR18;
end
endmodule
module MODULE1(input clk,
input reset,
input [31:0] VAR25,
input VAR21,
output reg VAR23,
output reg [31:0] VAR20,
input [31:0] VAR24, input VAR18, output reg VAR13, output reg [31:0] VAR14 );
reg [31-VAR5+1:0] VAR22[0:VAR9-1];
reg [31:0] VAR4[0:VAR9*VAR28-1];
wire [31-VAR5:0] VAR19;
assign VAR19 = VAR25[31:VAR5];
reg [31-VAR5+1:0] VAR31;
parameter VAR12 = 0;
parameter VAR29 = 1;
parameter VAR32 = 2;
reg [1:0] VAR17;
reg [31:0] VAR27;
reg [1:0] VAR7;
always @(posedge clk)
if (!reset) begin
VAR23 <= 0;
VAR20 <= 0;
VAR31 <= 0;
VAR13 <= 0;
VAR17 <= VAR12;
VAR27 <= 0;
VAR7 <= 0;
end else begin
VAR7 <= {VAR7[0],VAR21};
VAR27 <= VAR22[VAR25[VAR5+VAR33-1:VAR5]];
case (VAR17)
VAR12:
if (VAR7[1]) begin
if(VAR27 == {1'b1,VAR19}) begin
VAR30("VAR10 VAR6: %VAR15 %VAR15", VAR25, VAR4[VAR25[VAR5+VAR33-1:0]]);
VAR20 <= VAR4[VAR25[VAR5+VAR33-1:0]];
VAR23 <= 1;
end else begin VAR11 VAR2
VAR23 <= 0;
VAR22[VAR25[VAR5+VAR33-1:VAR5]] <= 0; VAR17 <= VAR29;
VAR14 <= {VAR25[31:VAR5],VAR16}; VAR13 <= 1;
VAR31 <= {1'b1, VAR19};
end
end else begin
VAR23 <= 0;
VAR20 <= 0;
end
VAR29: begin
if (VAR18) begin
if (VAR21 && VAR14 == VAR25) begin VAR11 VAR2
VAR30("VAR10 VAR8: %VAR15 %VAR15", VAR25, VAR24);
VAR20 <= VAR24;
VAR23 <= 1;
end else begin
VAR23 <= 0;
VAR20 <= 0;
end
VAR4[VAR14[VAR33+VAR5-1:0]] <= VAR24;
VAR13 <= 0;
if (VAR14[VAR5-1:0] == VAR26) begin
VAR22[VAR14[VAR33+VAR5-1:VAR5]] <= VAR31; VAR17 <= VAR12;
end else begin
VAR17 <= VAR32;
VAR14 <= VAR14 + 1;
end
end else begin if (VAR21 &&
VAR14[VAR33+VAR5-1:VAR5]
==
VAR25[VAR33+VAR5-1:VAR5]
&& !(VAR14[31:VAR5] == VAR25[31:VAR5])) begin
VAR22[VAR25[VAR5+VAR33-1:VAR5]] <= 0;
VAR17 <= VAR12;
VAR23 <= 0;
end
if (VAR21 && VAR14[31:VAR5] == VAR25[31:VAR5]
&& VAR25[VAR5-1:0] < VAR14[VAR5-1:0]) begin
VAR30("VAR10 VAR3: %VAR15 %VAR15 [%VAR15 VAR1 %VAR15]", VAR25, VAR4[VAR25[VAR5+VAR33-1:0]],
VAR25[VAR5-1:0], VAR14[VAR5-1:0]);
VAR20 <= VAR4[VAR25[VAR5+VAR33-1:0]];
VAR23 <= 1;
end else begin
VAR23 <= 0;
VAR20 <= 0;
end
end
end VAR32: begin
VAR13 <= 1;
VAR17 <= VAR29;
VAR23 <= 0;
VAR20 <= 0;
end
endcase end
endmodule
|
mit
|
hcabrera-/lancetfish
|
RTL/processing_element/des_engine/verif/sink.v
| 1,520 |
module MODULE1 #(parameter VAR4 = 5)
(
input wire clk,
input wire VAR2,
input wire [0:63] VAR1
);
integer VAR3;
begin
|
gpl-3.0
|
bluespec/Flute
|
builds/Flute_RV32CI_MU_WT_L1_iverilog_tohost/Verilog_RTL/mkNear_Mem_IO_AXI4.v
| 107,587 |
module MODULE1(VAR222,
VAR272,
VAR194,
VAR178,
VAR151,
VAR119,
VAR170,
VAR97,
VAR221,
VAR326,
VAR267,
VAR251,
VAR67,
VAR159,
VAR261,
VAR10,
VAR239,
VAR126,
VAR145,
VAR49,
VAR54,
VAR332,
VAR285,
VAR113,
VAR34,
VAR205,
VAR132,
VAR9,
VAR291,
VAR41,
VAR45,
VAR227,
VAR268,
VAR116,
VAR105,
VAR35,
VAR182,
VAR206,
VAR186,
VAR25,
VAR60,
VAR303,
VAR141,
VAR42,
VAR213,
VAR14,
VAR13,
VAR250,
VAR256,
VAR88,
VAR199,
VAR255,
VAR212,
VAR243,
VAR150);
input VAR222;
input VAR272;
input VAR194;
output VAR178;
input VAR151;
output VAR119;
input [63 : 0] VAR170;
input [63 : 0] VAR97;
input VAR221;
output VAR326;
input VAR267;
input [3 : 0] VAR251;
input [63 : 0] VAR67;
input [7 : 0] VAR159;
input [2 : 0] VAR261;
input [1 : 0] VAR10;
input VAR239;
input [3 : 0] VAR126;
input [2 : 0] VAR145;
input [3 : 0] VAR49;
input [3 : 0] VAR54;
output VAR332;
input VAR285;
input [63 : 0] VAR113;
input [7 : 0] VAR34;
input VAR205;
output VAR132;
output VAR9;
output [3 : 0] VAR291;
output [1 : 0] VAR41;
input VAR45;
input VAR227;
input [3 : 0] VAR268;
input [63 : 0] VAR116;
input [7 : 0] VAR105;
input [2 : 0] VAR35;
input [1 : 0] VAR182;
input VAR206;
input [3 : 0] VAR186;
input [2 : 0] VAR25;
input [3 : 0] VAR60;
input [3 : 0] VAR303;
output VAR141;
output VAR42;
output [3 : 0] VAR213;
output [63 : 0] VAR14;
output [1 : 0] VAR13;
output VAR250;
input VAR256;
input VAR88;
output VAR199;
output VAR255;
input VAR212;
output VAR243;
output VAR150;
wire [63 : 0] VAR14;
wire [3 : 0] VAR291, VAR213;
wire [1 : 0] VAR41, VAR13;
wire VAR150,
VAR255,
VAR178,
VAR119,
VAR326,
VAR141,
VAR332,
VAR9,
VAR250,
VAR42,
VAR132,
VAR243,
VAR199;
wire [63 : 0] VAR196,
VAR111,
VAR275,
VAR152,
VAR101;
wire VAR43, VAR90;
reg [3 : 0] VAR156;
wire [3 : 0] VAR293;
wire VAR283;
reg [63 : 0] VAR52;
wire [63 : 0] VAR64;
wire VAR190;
reg [63 : 0] VAR249;
wire [63 : 0] VAR238;
wire VAR123;
reg [63 : 0] VAR295;
wire [63 : 0] VAR73;
wire VAR216;
reg [63 : 0] VAR233;
wire [63 : 0] VAR155;
wire VAR241;
reg VAR118;
wire VAR247, VAR130;
reg VAR228;
wire VAR288, VAR200;
reg VAR201;
wire VAR32, VAR321;
wire VAR93,
VAR242,
VAR177,
VAR76,
VAR217;
wire VAR134,
VAR77,
VAR131,
VAR29,
VAR189;
wire VAR172,
VAR286,
VAR208,
VAR271,
VAR302,
VAR203,
VAR313;
wire VAR99,
VAR37,
VAR4,
VAR15,
VAR224,
VAR195,
VAR323;
wire [96 : 0] VAR66, VAR68;
wire VAR254,
VAR36,
VAR166,
VAR218,
VAR245;
wire [70 : 0] VAR244, VAR188;
wire VAR23,
VAR310,
VAR163,
VAR316,
VAR58;
wire [96 : 0] VAR252, VAR47;
wire VAR16,
VAR184,
VAR226,
VAR322,
VAR139;
wire [72 : 0] VAR246, VAR165;
wire VAR70,
VAR174,
VAR264,
VAR327,
VAR185;
wire [5 : 0] VAR98, VAR61;
wire VAR79,
VAR320,
VAR108,
VAR65,
VAR164;
wire VAR220,
VAR72,
VAR109,
VAR198,
VAR157,
VAR110,
VAR2,
VAR147,
VAR162,
VAR209,
VAR24,
VAR304,
VAR318,
VAR80,
VAR273,
VAR276,
VAR11,
VAR314,
VAR18,
VAR298,
VAR204,
VAR39,
VAR133,
VAR7,
VAR328,
VAR168,
VAR192,
VAR19,
VAR22,
VAR287,
VAR86,
VAR62;
wire VAR309,
VAR6,
VAR299,
VAR89,
VAR248;
reg [31 : 0] VAR161;
reg [31 : 0] VAR284;
reg [31 : 0] VAR100;
reg [31 : 0] VAR281;
reg [31 : 0] VAR140;
reg [31 : 0] VAR274;
reg [31 : 0] VAR148;
reg [31 : 0] VAR317;
reg [31 : 0] VAR122;
reg [31 : 0] VAR259;
reg [31 : 0] VAR187;
reg [31 : 0] VAR305;
reg [31 : 0] VAR270;
reg [31 : 0] VAR124;
reg [31 : 0] VAR173;
reg [31 : 0] VAR96;
reg [31 : 0] VAR81;
reg [31 : 0] VAR107;
reg [31 : 0] VAR183;
reg [31 : 0] VAR27;
reg [31 : 0] VAR138;
reg [31 : 0] VAR211;
reg [31 : 0] VAR258;
reg [31 : 0] VAR128;
reg [31 : 0] VAR296;
reg [31 : 0] VAR266;
reg [31 : 0] VAR215;
reg [31 : 0] VAR40;
reg [31 : 0] VAR1;
reg [31 : 0] VAR263;
reg [63 : 0] VAR307;
reg [1 : 0] VAR237, VAR127;
wire [63 : 0] VAR290,
VAR57,
VAR87,
VAR235,
VAR223,
VAR282,
VAR59,
VAR306,
VAR158,
VAR319,
VAR301,
VAR191,
VAR44;
wire [7 : 0] VAR324,
VAR280,
VAR225,
VAR74,
VAR71,
VAR269,
VAR3,
VAR219;
wire [1 : 0] VAR207, VAR95;
wire VAR153,
VAR257,
VAR175,
VAR8,
VAR33,
VAR121;
assign VAR178 = VAR217 ;
assign VAR80 = VAR217 ;
assign VAR287 = VAR194 ;
assign VAR119 = VAR131 ;
assign VAR273 = VAR131 ;
assign VAR86 = VAR151 ;
assign VAR326 = 1'd1 ;
assign VAR276 = 1'd1 ;
assign VAR62 = VAR221 ;
assign VAR147 = 1'd1 ;
assign VAR7 = 1'd1 ;
assign VAR332 = VAR139 ;
assign VAR24 = 1'd1 ;
assign VAR192 = 1'd1 ;
assign VAR132 = VAR185 ;
assign VAR9 = VAR108 ;
assign VAR291 = VAR61[5:2] ;
assign VAR41 = VAR61[1:0] ;
assign VAR162 = 1'd1 ;
assign VAR328 = 1'd1 ;
assign VAR2 = 1'd1 ;
assign VAR133 = 1'd1 ;
assign VAR141 = VAR245 ;
assign VAR42 = VAR163 ;
assign VAR213 = VAR188[70:67] ;
assign VAR14 = VAR188[66:3] ;
assign VAR13 = VAR188[2:1] ;
assign VAR250 = VAR188[0] ;
assign VAR209 = 1'd1 ;
assign VAR168 = 1'd1 ;
assign VAR199 = VAR15 ;
assign VAR255 = VAR224 ;
assign VAR318 =
VAR224 ;
assign VAR22 =
VAR88 ;
assign VAR243 = VAR271 ;
assign VAR150 = VAR302 ;
assign VAR304 = VAR302 ;
assign VAR19 = VAR212 ;
VAR311 #(.VAR21(32'd1)) VAR289(.VAR180(VAR272),
.VAR222(VAR222),
.VAR83(VAR76),
.VAR240(VAR242),
.VAR112(VAR93),
.VAR51(VAR217),
.VAR292(VAR177));
VAR311 #(.VAR21(32'd1)) VAR176(.VAR180(VAR272),
.VAR222(VAR222),
.VAR83(VAR29),
.VAR240(VAR77),
.VAR112(VAR134),
.VAR51(VAR189),
.VAR292(VAR131));
VAR315 #(.VAR117(32'd1), .VAR21(32'd1)) VAR120(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR208),
.VAR83(VAR203),
.VAR240(VAR286),
.VAR112(VAR172),
.VAR278(VAR271),
.VAR51(VAR313),
.VAR292(VAR302));
VAR315 #(.VAR117(32'd1), .VAR21(32'd1)) VAR325(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR4),
.VAR83(VAR195),
.VAR240(VAR37),
.VAR112(VAR99),
.VAR278(VAR15),
.VAR51(VAR323),
.VAR292(VAR224));
VAR315 #(.VAR117(32'd97), .VAR21(32'd1)) VAR329(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR66),
.VAR83(VAR218),
.VAR240(VAR36),
.VAR112(VAR254),
.VAR278(VAR68),
.VAR51(VAR245),
.VAR292(VAR166));
VAR315 #(.VAR117(32'd71), .VAR21(32'd1)) VAR78(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR244),
.VAR83(VAR316),
.VAR240(VAR310),
.VAR112(VAR23),
.VAR278(VAR188),
.VAR51(VAR58),
.VAR292(VAR163));
VAR315 #(.VAR117(32'd97), .VAR21(32'd1)) VAR265(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR252),
.VAR83(VAR322),
.VAR240(VAR184),
.VAR112(VAR16),
.VAR278(VAR47),
.VAR51(VAR139),
.VAR292(VAR226));
VAR315 #(.VAR117(32'd73), .VAR21(32'd1)) VAR308(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR246),
.VAR83(VAR327),
.VAR240(VAR174),
.VAR112(VAR70),
.VAR278(VAR165),
.VAR51(VAR185),
.VAR292(VAR264));
VAR315 #(.VAR117(32'd6), .VAR21(32'd1)) VAR169(.VAR180(VAR272),
.VAR222(VAR222),
.VAR279(VAR98),
.VAR83(VAR65),
.VAR240(VAR320),
.VAR112(VAR79),
.VAR278(VAR61),
.VAR51(VAR164),
.VAR292(VAR108));
assign VAR198 = VAR248 ;
assign VAR298 = VAR248 ;
assign VAR157 = VAR177 ;
assign VAR204 = VAR89 ;
assign VAR72 =
VAR166 &&
VAR58 &&
VAR201 &&
!VAR177 ;
assign VAR314 = VAR72 ;
assign VAR220 =
VAR323 && VAR201 &&
VAR228 !=
VAR257 &&
!VAR177 ;
assign VAR11 = VAR220 ;
assign VAR110 =
VAR201 && VAR52 != 64'hFFFFFFFFFFFFFFFF &&
!VAR177 ;
assign VAR39 = VAR110 ;
assign VAR109 =
VAR121 &&
VAR201 &&
!VAR177 ;
assign VAR18 =
VAR109 && !VAR11 ;
assign VAR309 =
VAR18 &&
!VAR33 &&
(VAR57 == 64'h000000000000BFF8 ||
VAR57 == 64'h000000000000BFFC) ;
assign VAR6 =
VAR18 &&
!VAR33 &&
(VAR57 == 64'h0000000000004000 ||
VAR57 == 64'h0000000000004004) ;
assign VAR299 =
VAR18 &&
!VAR33 &&
VAR57 == 64'h0 &&
!VAR175 ;
assign VAR89 =
VAR177 && !VAR298 ;
assign VAR248 =
VAR177 && VAR189 && !VAR201 ;
assign VAR196 = VAR52 + 64'd1 ;
assign VAR43 =
VAR18 &&
!VAR33 &&
(VAR57 == 64'h000000000000BFF8 ||
VAR57 == 64'h000000000000BFFC) ||
VAR298 ;
assign VAR111 =
VAR309 ? VAR223 : 64'd1 ;
assign VAR275 =
VAR43 ?
VAR111 :
VAR59 ;
assign VAR90 =
VAR18 &&
!VAR33 &&
(VAR57 == 64'h0000000000004000 ||
VAR57 == 64'h0000000000004004) ||
VAR298 ;
assign VAR152 =
VAR6 ?
VAR282 :
64'd0 ;
assign VAR101 =
VAR90 ?
VAR152 :
VAR249 ;
assign VAR293 = 4'h0 ;
assign VAR283 = 1'b0 ;
assign VAR64 = VAR275 ;
assign VAR190 = 1'b1 ;
assign VAR238 = VAR101 ;
assign VAR123 = 1'b1 ;
assign VAR73 = VAR170 ;
assign VAR216 = VAR221 ;
assign VAR155 = VAR97 ;
assign VAR241 = VAR221 ;
assign VAR247 =
VAR299 && VAR165[9] ;
assign VAR130 =
VAR18 &&
!VAR33 &&
VAR57 == 64'h0 &&
!VAR175 ||
VAR298 ;
assign VAR288 =
!VAR11 ||
VAR257 ;
assign VAR200 = VAR11 || VAR298 ;
assign VAR32 = !VAR204 ;
assign VAR321 = VAR204 || VAR298 ;
assign VAR76 = VAR194 ;
assign VAR242 = VAR248 ;
assign VAR93 = 1'b0 ;
assign VAR29 = VAR248 ;
assign VAR77 = VAR151 ;
assign VAR134 = 1'b0 ;
assign VAR208 = VAR165[9] ;
assign VAR203 = VAR299 ;
assign VAR286 = VAR212 ;
assign VAR172 = VAR248 ;
assign VAR4 =
VAR257 ;
assign VAR195 = VAR220 ;
assign VAR37 = VAR88 ;
assign VAR99 = VAR248 ;
assign VAR66 =
{ VAR268,
VAR116,
VAR105,
VAR35,
VAR182,
VAR206,
VAR186,
VAR25,
VAR60,
VAR303 } ;
assign VAR218 =
VAR227 && VAR245 ;
assign VAR36 = VAR72 ;
assign VAR254 = VAR248 ;
assign VAR244 =
{ VAR68[96:93],
VAR158,
VAR207,
1'd1 } ;
assign VAR316 = VAR72 ;
assign VAR310 =
VAR256 && VAR163 ;
assign VAR23 = VAR248 ;
assign VAR252 =
{ VAR251,
VAR67,
VAR159,
VAR261,
VAR10,
VAR239,
VAR126,
VAR145,
VAR49,
VAR54 } ;
assign VAR322 =
VAR267 && VAR139 ;
assign VAR184 = VAR18 ;
assign VAR16 = VAR248 ;
assign VAR246 =
{ VAR113, VAR34, VAR205 } ;
assign VAR327 =
VAR285 && VAR185 ;
assign VAR174 = VAR18 ;
assign VAR70 = VAR248 ;
assign VAR98 =
{ VAR47[96:93], VAR95 } ;
assign VAR65 = VAR18 ;
assign VAR320 =
VAR45 && VAR108 ;
assign VAR79 = VAR248 ;
assign VAR153 = VAR156 > 4'd1 ;
assign VAR257 =
VAR52 >= VAR249 ;
assign VAR324 =
{8{VAR165[1]}} ;
assign VAR280 =
{8{VAR165[2]}} ;
assign VAR225 =
{8{VAR165[3]}} ;
assign VAR74 =
{8{VAR165[4]}} ;
assign VAR71 =
{8{VAR165[5]}} ;
assign VAR269 =
{8{VAR165[6]}} ;
assign VAR3 =
{8{VAR165[7]}} ;
assign VAR219 =
{8{VAR165[8]}} ;
assign VAR290 =
VAR68[92:29] - VAR295 ;
assign VAR57 =
VAR47[92:29] - VAR295 ;
assign VAR87 =
VAR282 - VAR59 ;
assign VAR235 =
{ VAR219,
VAR3,
VAR269,
VAR71,
VAR74,
VAR225,
VAR280,
VAR324 } ;
assign VAR223 = VAR301 | VAR191 ;
assign VAR282 = VAR319 | VAR191 ;
assign VAR59 =
VAR110 ? VAR196 : VAR52 ;
assign VAR306 = { 63'd0, VAR118 } ;
assign VAR175 =
VAR118 == VAR165[9] ;
assign VAR207 =
VAR8 ?
2'b11 :
VAR237 ;
assign VAR8 =
VAR68[92:29] < VAR295 ;
assign VAR33 =
VAR47[92:29] < VAR295 ;
assign VAR121 =
VAR226 &&
VAR264 &&
VAR164 &&
(VAR33 ||
VAR57 != 64'h0 ||
VAR175 ||
VAR313) ;
assign VAR95 =
VAR33 ?
2'b11 :
VAR127 ;
assign VAR158 =
VAR8 ?
64'd0 :
VAR307 ;
assign VAR319 = VAR249 & VAR44 ;
assign VAR301 = VAR59 & VAR44 ;
assign VAR191 = VAR165[72:9] & VAR235 ;
assign VAR44 =
{ ~VAR219,
~VAR3,
~VAR269,
~VAR71,
~VAR74,
~VAR225,
~VAR280,
~VAR324 } ;
always@(VAR290)
begin
case (VAR290)
64'h0,
64'h0000000000000004,
64'h0000000000004000,
64'h0000000000004004,
64'h000000000000BFF8,
64'h000000000000BFFC:
VAR237 = 2'b0;
default: VAR237 = 2'b11;
endcase
end
always@(VAR290 or VAR306 or VAR249 or VAR52)
begin
case (VAR290)
64'h0: VAR307 = VAR306;
64'h0000000000000004: VAR307 = 64'd0;
64'h0000000000004000, 64'h0000000000004004:
VAR307 = VAR249;
64'h000000000000BFF8, 64'h000000000000BFFC:
VAR307 = VAR52;
default: VAR307 = 64'd0;
endcase
end
always@(VAR57)
begin
case (VAR57)
64'h0,
64'h0000000000000004,
64'h0000000000004000,
64'h0000000000004004,
64'h000000000000BFF8,
64'h000000000000BFFC:
VAR127 = 2'b0;
default: VAR127 = 2'b11;
endcase
end
always@(posedge VAR222)
begin
if (VAR272 == VAR143)
begin
VAR156 <= VAR236 4'd0;
VAR52 <= VAR236 64'd1;
VAR249 <= VAR236 64'd0;
VAR228 <= VAR236 1'd1;
VAR201 <= VAR236 1'd0;
end
else
begin
if (VAR283)
VAR156 <= VAR236 VAR293;
if (VAR190) VAR52 <= VAR236 VAR64;
if (VAR123)
VAR249 <= VAR236 VAR238;
if (VAR200) VAR228 <= VAR236 VAR288;
if (VAR321) VAR201 <= VAR236 VAR32;
end
if (VAR216)
VAR295 <= VAR236 VAR73;
if (VAR241) VAR233 <= VAR236 VAR155;
if (VAR130) VAR118 <= VAR236 VAR247;
end
begin
VAR156 = 4'hA;
VAR52 = 64'hAAAAAAAAAAAAAAAA;
VAR249 = 64'hAAAAAAAAAAAAAAAA;
VAR295 = 64'hAAAAAAAAAAAAAAAA;
VAR233 = 64'hAAAAAAAAAAAAAAAA;
VAR118 = 1'h0;
VAR228 = 1'h0;
VAR201 = 1'h0;
end
always@(negedge VAR222)
begin
if (VAR272 != VAR143)
if (VAR88 &&
VAR153)
begin
VAR161 = VAR181;
end
VAR1 = VAR161 / 32'd10;
if (VAR272 != VAR143)
if (VAR88 &&
VAR153)
VAR129("%0d: VAR115: VAR75: %VAR297",
VAR1,
VAR15);
if (VAR272 != VAR143)
if (VAR212 && VAR153)
begin
VAR284 = VAR181;
end
VAR263 = VAR284 / 32'd10;
if (VAR272 != VAR143)
if (VAR212 && VAR153)
VAR129("%0d: VAR115: VAR82: %VAR297",
VAR263,
VAR271);
if (VAR272 != VAR143)
if (VAR298 && VAR156 != 4'd0)
begin
VAR100 = VAR181;
end
VAR96 = VAR100 / 32'd10;
if (VAR272 != VAR143)
if (VAR298 && VAR156 != 4'd0)
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
begin
VAR281 = VAR181;
end
VAR107 = VAR281 / 32'd10;
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
VAR129("%0d: VAR115.VAR330: VAR228 = %0d",
VAR107,
VAR228);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("VAR20 { ", "VAR114: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[96:93]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR38: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[92:29]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR179: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[28:21]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR154: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[20:18]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR167: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[17:16]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR5: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[15]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR137: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[14:11]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR56: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[10:8]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR84: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[7:4]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR232: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[3:0]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR135: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
begin
VAR140 = VAR181;
end
VAR183 = VAR140 / 32'd10;
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
VAR129("%0d: VAR260: VAR115.VAR330: VAR102 addr",
VAR183);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(" ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("VAR20 { ", "VAR114: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[96:93]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR38: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[92:29]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR179: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[28:21]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR154: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[20:18]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR167: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[17:16]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR5: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[15]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR137: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[14:11]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR56: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[10:8]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR84: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[7:4]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR232: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", VAR68[3:0]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
(", ", "VAR135: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR314 &&
VAR8)
("\VAR144");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
begin
VAR274 = VAR181;
end
VAR27 = VAR274 / 32'd10;
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
VAR129("%0d: VAR260: VAR115.VAR330: VAR102 addr",
VAR27);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(" ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("VAR20 { ", "VAR114: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[96:93]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR38: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[92:29]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR179: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[28:21]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR154: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[20:18]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR167: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[17:16]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR5: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[15]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR137: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[14:11]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR56: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[10:8]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR84: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[7:4]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR232: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR68[3:0]);
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
(", ", "VAR135: ");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR314 &&
(VAR8 ||
VAR290 != 64'h0 &&
VAR290 != 64'h0000000000004000 &&
VAR290 != 64'h000000000000BFF8 &&
VAR290 != 64'h0000000000000004 &&
VAR290 != 64'h0000000000004004 &&
VAR290 != 64'h000000000000BFFC))
("\VAR144");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
begin
VAR148 = VAR181;
end
VAR138 = VAR148 / 32'd10;
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("VAR20 { ", "VAR114: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[96:93]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR38: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[92:29]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR179: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[28:21]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR154: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[20:18]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR167: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[17:16]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR5: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[15]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR137: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[14:11]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR56: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[10:8]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR84: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[7:4]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR232: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[3:0]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR135: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("VAR142 { ", "VAR197: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR68[96:93]);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR277: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR158);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR106: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", VAR207);
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR262: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("VAR94");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
(", ", "VAR46: ");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR314 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR11 && VAR153)
begin
VAR317 = VAR181;
end
VAR81 = VAR317 / 32'd10;
if (VAR272 != VAR143)
if (VAR11 && VAR153)
VAR129("%0d: VAR115.VAR234: new VAR125 = %0d, VAR48 = %0d, VAR91 = %0d",
VAR81,
VAR257,
VAR52,
VAR249);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
begin
VAR122 = VAR181;
end
VAR211 = VAR122 / 32'd10;
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
VAR129("%0d: VAR115.VAR312: VAR228 = %0d",
VAR211,
VAR228);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("VAR28 { ", "VAR294: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[96:93]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR17: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[92:29]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR229: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[28:21]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR85: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[20:18]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR331: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[17:16]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR26: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[15]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR214: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[14:11]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR253: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[10:8]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR69: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[7:4]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR136: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[3:0]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR193: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("VAR104 { ", "VAR30: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR165[72:9]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR202: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR165[8:1]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR146: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153 &&
VAR165[0])
("VAR94");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153 &&
!VAR165[0])
("VAR12");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR210: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
begin
VAR259 = VAR181;
end
VAR258 = VAR259 / 32'd10;
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
VAR129("%0d: VAR260: VAR115.VAR312: VAR102 addr",
VAR258);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("VAR28 { ", "VAR294: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[96:93]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR17: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[92:29]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR229: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[28:21]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR85: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[20:18]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR331: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[17:16]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR26: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[15]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR214: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[14:11]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR253: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[10:8]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR69: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[7:4]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR136: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR47[3:0]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR193: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("VAR104 { ", "VAR30: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR165[72:9]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR202: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", VAR165[8:1]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR146: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33 &&
VAR165[0])
("VAR94");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33 &&
!VAR165[0])
("VAR12");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
(", ", "VAR210: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR33)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0 &&
!VAR175 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004000 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004000 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004000 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004000 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004000 &&
VAR153)
VAR129(" new VAR230 - VAR103 = 0x%0h",
VAR87);
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFF8 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFF8 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFF8 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004004 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004004 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004004 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004004 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h0000000000004004 &&
VAR153)
VAR129(" new VAR230 - VAR103 = 0x%0h",
VAR87);
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFFC &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFFC &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
!VAR33 &&
VAR57 == 64'h000000000000BFFC &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
begin
VAR187 = VAR181;
end
VAR128 = VAR187 / 32'd10;
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
VAR129("%0d: VAR260: VAR115.VAR312: VAR102 addr",
VAR128);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("VAR28 { ", "VAR294: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[96:93]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR17: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[92:29]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR229: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[28:21]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR85: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[20:18]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR331: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[17:16]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR26: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[15]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR214: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[14:11]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR253: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[10:8]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR69: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[7:4]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR136: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR47[3:0]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR193: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("VAR104 { ", "VAR30: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR165[72:9]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR202: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", VAR165[8:1]);
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR146: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC) &&
VAR165[0])
("VAR94");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC) &&
!VAR165[0])
("VAR12");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
(", ", "VAR210: ");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
(VAR33 ||
VAR57 != 64'h0 &&
VAR57 != 64'h0000000000004000 &&
VAR57 != 64'h000000000000BFF8 &&
VAR57 != 64'h0000000000000004 &&
VAR57 != 64'h0000000000004004 &&
VAR57 != 64'h000000000000BFFC))
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
begin
VAR305 = VAR181;
end
VAR296 = VAR305 / 32'd10;
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("VAR28 { ", "VAR294: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[96:93]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR17: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[92:29]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR229: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[28:21]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR85: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[20:18]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR331: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[17:16]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR26: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[15]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR214: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[14:11]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR253: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[10:8]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR69: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[7:4]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR136: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[3:0]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR193: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("VAR104 { ", "VAR30: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR165[72:9]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR202: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR165[8:1]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR146: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153 &&
VAR165[0])
("VAR94");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153 &&
!VAR165[0])
("VAR12");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR210: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("\VAR144");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(" ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("VAR300 { ", "VAR53: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR47[96:93]);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR55: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", VAR95);
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
(", ", "VAR333: ");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("'VAR171%VAR171", 1'd0, " }");
if (VAR272 != VAR143)
if (VAR18 &&
VAR153)
("\VAR144");
if (VAR221 && VAR170[1:0] != 2'd0)
begin
VAR270 = VAR181;
end
VAR266 = VAR270 / 32'd10;
if (VAR221 && VAR170[1:0] != 2'd0)
VAR129("%0d: VAR231: VAR115.VAR149: VAR92 0x%0h VAR31 not 4-VAR50-VAR160",
VAR266,
VAR170);
if (VAR221 && VAR97[1:0] != 2'd0)
begin
VAR124 = VAR181;
end
VAR215 = VAR124 / 32'd10;
if (VAR221 && VAR97[1:0] != 2'd0)
VAR129("%0d: VAR231: VAR115.VAR149: VAR63 0x%0h VAR31 not 4-VAR50-VAR160",
VAR215,
VAR97);
if (VAR221)
begin
VAR173 = VAR181;
end
VAR40 = VAR173 / 32'd10;
if (VAR221)
VAR129("%0d: VAR115.VAR149: VAR92 0x%0h VAR63 0x%0h",
VAR40,
VAR170,
VAR97);
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/fah/sky130_fd_sc_hd__fah.functional.v
| 1,648 |
module MODULE1 (
VAR7,
VAR1 ,
VAR16 ,
VAR3 ,
VAR14
);
output VAR7;
output VAR1 ;
input VAR16 ;
input VAR3 ;
input VAR14 ;
wire VAR17;
wire VAR12 ;
wire VAR13 ;
wire VAR8 ;
wire VAR6;
xor VAR11 (VAR17, VAR16, VAR3, VAR14 );
buf VAR10 (VAR1 , VAR17 );
and VAR9 (VAR12 , VAR16, VAR3 );
and VAR5 (VAR13 , VAR16, VAR14 );
and VAR4 (VAR8 , VAR3, VAR14 );
or VAR2 (VAR6, VAR12, VAR13, VAR8);
buf VAR15 (VAR7 , VAR6 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/edfxtp/sky130_fd_sc_hd__edfxtp_1.v
| 2,277 |
module MODULE2 (
VAR6 ,
VAR8 ,
VAR3 ,
VAR10 ,
VAR4,
VAR2,
VAR5 ,
VAR1
);
output VAR6 ;
input VAR8 ;
input VAR3 ;
input VAR10 ;
input VAR4;
input VAR2;
input VAR5 ;
input VAR1 ;
VAR7 VAR9 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR6 ,
VAR8,
VAR3 ,
VAR10
);
output VAR6 ;
input VAR8;
input VAR3 ;
input VAR10 ;
supply1 VAR4;
supply0 VAR2;
supply1 VAR5 ;
supply0 VAR1 ;
VAR7 VAR9 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dfrtp/sky130_fd_sc_hdll__dfrtp.pp.blackbox.v
| 1,375 |
module MODULE1 (
VAR8 ,
VAR3 ,
VAR1 ,
VAR2,
VAR7 ,
VAR5 ,
VAR4 ,
VAR6
);
output VAR8 ;
input VAR3 ;
input VAR1 ;
input VAR2;
input VAR7 ;
input VAR5 ;
input VAR4 ;
input VAR6 ;
endmodule
|
apache-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/shd_fifo_stub.v
| 1,420 |
module MODULE1(rst, VAR2, VAR5, din, VAR3, VAR1, dout, VAR6, VAR4)
;
input rst;
input VAR2;
input VAR5;
input [127:0]din;
input VAR3;
input VAR1;
output [127:0]dout;
output VAR6;
output VAR4;
endmodule
|
gpl-3.0
|
olofk/oh
|
elink/hdl/etx_cfg.v
| 5,667 |
module MODULE1 (
VAR20, VAR5, VAR22, VAR34, VAR17,
VAR13, VAR23, VAR3,
reset, clk, VAR27, VAR31, VAR32, VAR16, VAR9
);
parameter VAR6 = 104;
parameter VAR4 = 6;
parameter VAR7 = 16'h0000;
input reset;
input clk;
input VAR27;
input VAR31;
input [VAR4+1:0] VAR32; input [31:0] VAR16; output [31:0] VAR20;
output VAR5; output VAR22; output VAR34; output VAR17; input [15:0] VAR9;
output [8:0] VAR13;
output [3:0] VAR23; output VAR3;
reg [15:0] VAR33;
reg [10:0] VAR29;
reg [8:0] VAR1;
reg [2:0] VAR30;
reg [31:0] VAR20;
reg VAR10;
wire VAR12;
wire VAR26;
wire VAR28;
wire VAR15;
wire VAR19;
wire VAR2;
wire VAR18;
wire VAR24;
assign VAR26 = VAR27 & VAR31;
assign VAR12 = VAR27 & ~VAR31;
assign VAR14 = VAR26 & (VAR32[VAR4+1:2]==VAR35);
assign VAR28 = VAR26 & (VAR32[VAR4+1:2]==VAR21);
assign VAR11 = VAR26 & (VAR32[VAR4+1:2]==VAR25);
assign VAR15 = VAR26 & (VAR32[VAR4+1:2]==VAR8);
always @ (posedge clk)
if(reset)
VAR29[10:0] <= 11'b0;
else if (VAR28)
VAR29[10:0] <= VAR16[10:0];
assign VAR5 = 1'b1; assign VAR22 = VAR29[1];
assign VAR17 = VAR29[3:2]==2'b01;
assign VAR23[3:0] = VAR29[7:4];
assign VAR3 = VAR29[8];
assign VAR34 = (VAR29[10:9]==2'b01);
always @ (posedge clk)
if(reset)
VAR30[2:0] <= 'd0;
else
VAR30[2:0]<= VAR30[2:0] | VAR9[2:0];
always @ (posedge clk)
if (VAR15)
VAR1[8:0] <= VAR16[8:0];
assign VAR13[8:0] = VAR1[8:0];
always @ (posedge clk)
if(reset)
VAR33[15:0] <= VAR7;
else if (VAR14)
VAR33[15:0] <= VAR16[15:0];
always @ (posedge clk)
if(VAR12)
case(VAR32[VAR4+1:2])
default: VAR20[31:0] <= 32'd0;
endcase else
VAR20[31:0] <= 32'd0;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dfstp/sky130_fd_sc_ls__dfstp_1.v
| 2,273 |
module MODULE2 (
VAR3 ,
VAR7 ,
VAR2 ,
VAR5,
VAR8 ,
VAR6 ,
VAR10 ,
VAR4
);
output VAR3 ;
input VAR7 ;
input VAR2 ;
input VAR5;
input VAR8 ;
input VAR6 ;
input VAR10 ;
input VAR4 ;
VAR1 VAR9 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR3 ,
VAR7 ,
VAR2 ,
VAR5
);
output VAR3 ;
input VAR7 ;
input VAR2 ;
input VAR5;
supply1 VAR8;
supply0 VAR6;
supply1 VAR10 ;
supply0 VAR4 ;
VAR1 VAR9 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_1/embedded_lab_1.cache/ip/2017.2/3b549dcc377eab42/zynq_design_1_system_ila_0_0_stub.v
| 2,491 |
module MODULE1(clk, VAR7, VAR11,
VAR14, VAR3, VAR10, VAR15,
VAR4, VAR18, VAR16, VAR2,
VAR12, VAR5, VAR8, VAR1,
VAR9, VAR6, VAR13, VAR17)
;
input clk;
input [8:0]VAR7;
input VAR11;
input VAR14;
input [31:0]VAR3;
input [3:0]VAR10;
input VAR15;
input VAR4;
input [1:0]VAR18;
input VAR16;
input VAR2;
input [8:0]VAR12;
input VAR5;
input VAR8;
input [31:0]VAR1;
input [1:0]VAR9;
input VAR6;
input VAR13;
input VAR17;
endmodule
|
mit
|
tanelikaivola/blinkenlichten
|
fpga/ws2812b.v
| 2,821 |
module MODULE1(
input VAR26,
input [VAR3-1:0] VAR32,
input VAR5, input VAR7,
input VAR14,
output reg VAR19,
output reg[2:0] VAR13,
output [7:0] VAR43
);
parameter VAR3 = 8*32;
localparam VAR37 = 3'd0,
VAR9 = 3'd1, VAR23 = 3'd2,
VAR17 = 3'd3,
VAR22 = 3'd4,
VAR41 = 3'd6;
localparam VAR36 = 4000,
VAR34 = 2500, VAR33 = 17, VAR44 = 26, VAR15 = 17, VAR45 = 1;
reg [11:0] VAR40 = 0; reg [11:0] VAR10 = 0;
wire [7:0] VAR27;
wire [7:0] VAR39;
wire [7:0] VAR46;
wire VAR11;
assign VAR11 = (VAR13 == VAR9);
VAR16 VAR31 (
.VAR21(VAR5),
.VAR9(VAR11),
.VAR27(VAR27),
.VAR39(VAR39),
.VAR46(VAR46),
.VAR18(VAR18)
);
wire [7:0] VAR24;
wire [23:0] VAR2;
wire VAR42;
wire [7:0] VAR8;
wire [23:0] VAR28;
VAR12 VAR20 (
.VAR30(0), .VAR38(0), .clk(VAR5), .VAR1(0),
.VAR8(VAR8), .VAR25(VAR5), .VAR28(VAR28) );
assign VAR8 = VAR27;
reg[2:0] VAR29;
reg[11:0] VAR4;
always @(posedge VAR5) begin
if (VAR26) begin
VAR40 <= 0;
VAR13 <= VAR37;
VAR10 <= VAR36;
end
else if (VAR40 >= VAR10) begin
VAR40 <= 0;
if(VAR29 == VAR41) begin
VAR13 <= VAR23;
VAR10 <= VAR33;
end else begin
VAR13 <= VAR29;
VAR10 <= VAR4;
end
end
else VAR40 <= VAR40 + 1;
end
always @(posedge VAR5) begin
case (VAR13)
VAR37: VAR19 <= 0;
VAR9: VAR19 <= 0;
VAR23: VAR19 <= 1;
end
VAR17: if(!VAR7) VAR19 <= (VAR14 & (VAR39==3 | VAR39 == 10) ) | (~VAR14 & (VAR32[VAR27] & VAR28[VAR39]) | (((VAR39%8)==7) & VAR28[VAR39])); else VAR19 <= (VAR39==2); VAR22: VAR19 <= 0;
endcase
end
always @(*) begin
VAR29 = VAR13;
VAR4 = VAR10;
case (VAR13)
VAR37: begin
VAR29 = VAR9;
VAR4 = VAR34;
end
VAR23: begin
VAR29 = VAR17;
VAR4 = VAR44;
end
VAR17: begin
VAR29 = VAR22;
VAR4 = VAR15;
end
VAR22: begin
if(VAR18) begin
VAR29 = VAR9;
VAR4 = VAR34;
end
else VAR29 = VAR41;
end
VAR9: begin
VAR29 = VAR41;
end
endcase
end
endmodule
|
mit
|
boylansr/Prop_Muse
|
P1V/P8X32A_Emulation/P8X32A_DE0_Nano/cog_ram.v
| 1,181 |
module MODULE1
(
input clk,
input VAR3,
input VAR1,
input [8:0] VAR2,
input [31:0] VAR5,
output reg [31:0] VAR4
);
reg [511:0] [31:0] VAR6;
always @(posedge clk)
begin
if (VAR3 && VAR1)
VAR6[VAR2] <= VAR5;
if (VAR3)
VAR4 <= VAR6[VAR2];
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfrtp/sky130_fd_sc_ls__sdfrtp_1.v
| 2,583 |
module MODULE1 (
VAR2 ,
VAR8 ,
VAR12 ,
VAR4 ,
VAR7 ,
VAR1,
VAR6 ,
VAR10 ,
VAR5 ,
VAR11
);
output VAR2 ;
input VAR8 ;
input VAR12 ;
input VAR4 ;
input VAR7 ;
input VAR1;
input VAR6 ;
input VAR10 ;
input VAR5 ;
input VAR11 ;
VAR3 VAR9 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR11(VAR11)
);
endmodule
module MODULE1 (
VAR2 ,
VAR8 ,
VAR12 ,
VAR4 ,
VAR7 ,
VAR1
);
output VAR2 ;
input VAR8 ;
input VAR12 ;
input VAR4 ;
input VAR7 ;
input VAR1;
supply1 VAR6;
supply0 VAR10;
supply1 VAR5 ;
supply0 VAR11 ;
VAR3 VAR9 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o221ai/sky130_fd_sc_hdll__o221ai.behavioral.v
| 1,696 |
module MODULE1 (
VAR7 ,
VAR3,
VAR11,
VAR5,
VAR6,
VAR13
);
output VAR7 ;
input VAR3;
input VAR11;
input VAR5;
input VAR6;
input VAR13;
supply1 VAR10;
supply0 VAR17;
supply1 VAR15 ;
supply0 VAR14 ;
wire VAR9 ;
wire VAR8 ;
wire VAR1;
or VAR2 (VAR9 , VAR6, VAR5 );
or VAR4 (VAR8 , VAR11, VAR3 );
nand VAR12 (VAR1, VAR8, VAR9, VAR13);
buf VAR16 (VAR7 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/fahcon/sky130_fd_sc_ms__fahcon_1.v
| 2,412 |
module MODULE1 (
VAR4,
VAR7 ,
VAR2 ,
VAR5 ,
VAR10 ,
VAR1 ,
VAR9 ,
VAR11 ,
VAR6
);
output VAR4;
output VAR7 ;
input VAR2 ;
input VAR5 ;
input VAR10 ;
input VAR1 ;
input VAR9 ;
input VAR11 ;
input VAR6 ;
VAR3 VAR8 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR4,
VAR7 ,
VAR2 ,
VAR5 ,
VAR10
);
output VAR4;
output VAR7 ;
input VAR2 ;
input VAR5 ;
input VAR10 ;
supply1 VAR1;
supply0 VAR9;
supply1 VAR11 ;
supply0 VAR6 ;
VAR3 VAR8 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dlygate4sd1/sky130_fd_sc_ls__dlygate4sd1.behavioral.pp.v
| 1,832 |
module MODULE1 (
VAR12 ,
VAR4 ,
VAR3,
VAR11,
VAR7 ,
VAR10
);
output VAR12 ;
input VAR4 ;
input VAR3;
input VAR11;
input VAR7 ;
input VAR10 ;
wire VAR5 ;
wire VAR9;
buf VAR6 (VAR5 , VAR4 );
VAR8 VAR1 (VAR9, VAR5, VAR3, VAR11);
buf VAR2 (VAR12 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nor3/sky130_fd_sc_hs__nor3_4.v
| 2,071 |
module MODULE1 (
VAR3 ,
VAR5 ,
VAR1 ,
VAR7 ,
VAR2,
VAR6
);
output VAR3 ;
input VAR5 ;
input VAR1 ;
input VAR7 ;
input VAR2;
input VAR6;
VAR8 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR3,
VAR5,
VAR1,
VAR7
);
output VAR3;
input VAR5;
input VAR1;
input VAR7;
supply1 VAR2;
supply0 VAR6;
VAR8 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
htogarcia/Microcontrolador-Calculadora
|
VGA Mouse/ps2_tx.v
| 3,733 |
module MODULE1
(
input wire clk, reset,
input wire VAR7,
input wire [7:0] din,
inout wire VAR11, VAR28,
output reg VAR1, VAR13
);
localparam [2:0]
VAR10 = 3'b000,
VAR29 = 3'b001,
VAR8 = 3'b010,
VAR14 = 3'b011,
VAR6 = 3'b100;
reg [2:0] VAR26, VAR15;
reg [7:0] VAR19;
wire [7:0] VAR22;
reg VAR20;
wire VAR5;
reg [3:0] VAR12, VAR24;
reg [8:0] VAR3, VAR23;
reg [13:0] VAR21, VAR4;
wire VAR2, VAR27;
reg VAR25, VAR18;
reg VAR16, VAR17;
always @(posedge clk, posedge reset)
if (reset)
begin
VAR19 <= 0;
VAR20 <= 0;
end
else
begin
VAR19 <= VAR22;
VAR20 <= VAR5;
end
assign VAR22 = {VAR28, VAR19[7:1]};
assign VAR5 = (VAR19==8'b11111111) ? 1'b1 :
(VAR19==8'b00000000) ? 1'b0 :
VAR20;
assign VAR27 = VAR20 & ~VAR5;
always @(posedge clk, posedge reset)
if (reset)
begin
VAR26 <= VAR10;
VAR21 <= 0;
VAR12 <= 0;
VAR3 <= 0;
end
else
begin
VAR26 <= VAR15;
VAR21 <= VAR4;
VAR12 <= VAR24;
VAR3 <= VAR23;
end
assign VAR2 = ~(^din);
always @*
begin
VAR15 = VAR26;
VAR4 = VAR21;
VAR24 = VAR12;
VAR23 = VAR3;
VAR13 = 1'b0;
VAR25 = 1'b1;
VAR18 = 1'b1;
VAR16 = 1'b0;
VAR17 = 1'b0;
VAR1 = 1'b0;
case (VAR26)
VAR10:
begin
VAR1 = 1'b1;
if (VAR7)
begin
VAR23 = {VAR2, din};
VAR4 = 14'h3fff; VAR15 = VAR29;
end
end
VAR29: begin
VAR25 = 1'b0;
VAR16 = 1'b1;
VAR4 = VAR21 - 1'b1;
if (VAR21==0)
VAR15 = VAR8;
end
VAR8: begin
VAR18 = 1'b0;
VAR17 = 1'b1;
if (VAR27)
begin
VAR24 = 4'h8;
VAR15 = VAR14;
end
end
VAR14: begin
VAR18 = VAR3[0];
VAR17 = 1'b1;
if (VAR27)
begin
VAR23 = {1'b0, VAR3[8:1]};
if (VAR12 == 0)
VAR15 = VAR6;
end
else
VAR24 = VAR12 - 1'b1;
end
end
VAR6: if (VAR27)
begin
VAR15 = VAR10;
VAR13 = 1'b1;
end
endcase
end
assign VAR28 = (VAR16) ? VAR25 : 1'VAR9;
assign VAR11 = (VAR17) ? VAR18 : 1'VAR9;
endmodule
|
mit
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_auto_us_1/synth/system_auto_us_1.v
| 9,757 |
module MODULE1 (
VAR48,
VAR101,
VAR18,
VAR7,
VAR20,
VAR58,
VAR81,
VAR30,
VAR63,
VAR26,
VAR42,
VAR10,
VAR90,
VAR57,
VAR33,
VAR76,
VAR11,
VAR59,
VAR47,
VAR43,
VAR89,
VAR23,
VAR32,
VAR45,
VAR88,
VAR4,
VAR12,
VAR72,
VAR100,
VAR35,
VAR25,
VAR92,
VAR17,
VAR87
);
input wire VAR48;
input wire VAR101;
input wire [31 : 0] VAR18;
input wire [7 : 0] VAR7;
input wire [2 : 0] VAR20;
input wire [1 : 0] VAR58;
input wire [0 : 0] VAR81;
input wire [3 : 0] VAR30;
input wire [2 : 0] VAR63;
input wire [3 : 0] VAR26;
input wire [3 : 0] VAR42;
input wire VAR10;
output wire VAR90;
output wire [31 : 0] VAR57;
output wire [1 : 0] VAR33;
output wire VAR76;
output wire VAR11;
input wire VAR59;
output wire [31 : 0] VAR47;
output wire [7 : 0] VAR43;
output wire [2 : 0] VAR89;
output wire [1 : 0] VAR23;
output wire [0 : 0] VAR32;
output wire [3 : 0] VAR45;
output wire [2 : 0] VAR88;
output wire [3 : 0] VAR4;
output wire [3 : 0] VAR12;
output wire VAR72;
input wire VAR100;
input wire [127 : 0] VAR35;
input wire [1 : 0] VAR25;
input wire VAR92;
input wire VAR17;
output wire VAR87;
VAR49 #(
.VAR16("VAR9"),
.VAR77(0),
.VAR52(1),
.VAR44(0),
.VAR96(32),
.VAR36(32),
.VAR82(128),
.VAR29(0),
.VAR66(1),
.VAR51(0),
.VAR91(1),
.VAR46(2),
.VAR70(0),
.VAR83(16),
.VAR71(1),
.VAR99(3)
) VAR69 (
.VAR48(VAR48),
.VAR101(VAR101),
.VAR37(1'VAR39),
.VAR31(32'VAR86),
.VAR6(8'VAR38),
.VAR78(3'VAR39),
.VAR85(2'VAR1),
.VAR102(1'VAR39),
.VAR40(4'VAR39),
.VAR80(3'VAR39),
.VAR3(4'VAR39),
.VAR73(4'VAR39),
.VAR54(1'VAR39),
.VAR94(),
.VAR95(32'VAR86),
.VAR98(4'VAR19),
.VAR68(1'VAR1),
.VAR60(1'VAR39),
.VAR5(),
.VAR65(),
.VAR21(),
.VAR97(),
.VAR75(1'VAR39),
.VAR61(1'VAR39),
.VAR18(VAR18),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR58(VAR58),
.VAR81(VAR81),
.VAR30(VAR30),
.VAR63(VAR63),
.VAR26(VAR26),
.VAR42(VAR42),
.VAR10(VAR10),
.VAR90(VAR90),
.VAR53(),
.VAR57(VAR57),
.VAR33(VAR33),
.VAR76(VAR76),
.VAR11(VAR11),
.VAR59(VAR59),
.VAR64(1'VAR39),
.VAR62(1'VAR39),
.VAR84(),
.VAR8(),
.VAR2(),
.VAR79(),
.VAR28(),
.VAR27(),
.VAR24(),
.VAR93(),
.VAR56(),
.VAR50(),
.VAR22(1'VAR39),
.VAR15(),
.VAR55(),
.VAR13(),
.VAR41(),
.VAR74(1'VAR39),
.VAR34(2'VAR39),
.VAR67(1'VAR39),
.VAR14(),
.VAR47(VAR47),
.VAR43(VAR43),
.VAR89(VAR89),
.VAR23(VAR23),
.VAR32(VAR32),
.VAR45(VAR45),
.VAR88(VAR88),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR72(VAR72),
.VAR100(VAR100),
.VAR35(VAR35),
.VAR25(VAR25),
.VAR92(VAR92),
.VAR17(VAR17),
.VAR87(VAR87)
);
endmodule
|
apache-2.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/top/mem_buf.v
| 52,585 |
module MODULE1 (
clk ,
VAR224 ,
VAR27 ,
VAR257 ,
VAR215 ,
VAR186 ,
VAR164 ,
VAR188 ,
VAR170 ,
VAR258 ,
VAR193 ,
VAR150 ,
VAR243 ,
VAR251 ,
VAR71 ,
VAR198 ,
VAR248 ,
VAR245 ,
VAR199 ,
VAR67 ,
VAR44 ,
VAR203 ,
VAR135 ,
VAR240 ,
VAR76 ,
VAR108 ,
VAR66 ,
VAR238 ,
VAR46 ,
VAR54 ,
VAR152 ,
VAR38 ,
VAR113 ,
VAR206 ,
VAR106 ,
VAR64 ,
VAR173 ,
VAR209 ,
VAR6 ,
VAR234 ,
VAR110 ,
VAR183 ,
VAR10 ,
VAR250 ,
VAR229 ,
VAR247 ,
VAR236 ,
VAR182 ,
VAR123 ,
VAR225 ,
VAR128 ,
VAR95 ,
VAR142 ,
VAR175 ,
VAR216 ,
VAR79 ,
VAR117 ,
VAR178 ,
VAR80 ,
VAR86 ,
VAR41 ,
VAR252
);
localparam VAR158 = 2'b00 ,
VAR119 = 2'b01 ,
VAR52 = 2'b10 ,
VAR65 = 2'b11 ;
localparam VAR155 = 0 ,
VAR8 = 1 ;
input clk ; input VAR224 ; input VAR27 ;
input VAR257 ;
input [1:0] VAR215 ; input [1:0] VAR164 ; input [1:0] VAR170 ; input VAR186 ; input VAR188 ; input VAR258 ; output VAR193 ;
output VAR150 ; output [1:0] VAR243 ; output [3:0] VAR251 ; output [3:0] VAR71 ; output [4:0] VAR198 ; input [VAR196*32-1:0] VAR248 ; input VAR245 ;
input VAR199 ; input [1:0] VAR67 ; input [1:0] VAR44 ; input [3:0] VAR203 ; input [3:0] VAR135 ; input [VAR196*16-1:0] VAR240 ; input [5 : 0] VAR76 ; input [5 : 0] VAR108 ;
output VAR66 ; output [1:0] VAR238 ; output [1:0] VAR46 ; output [4:0] VAR54 ; output [(VAR196+1)*32-1:0] VAR152 ;
input VAR38 ; input [4:0] VAR113 ; input [(VAR196+1)*32-1:0] VAR206 ;
input VAR106 ; input VAR64 ; input [4:0] VAR173 ; input [VAR75*32-1:0] VAR209 ; output [VAR75*32-1:0] VAR6 ; output VAR234 ; output [4:0] VAR110 ; output [VAR196*32-1:0] VAR183 ;
output VAR10 ;
output VAR250 ;
input VAR229 ;
input [8 : 0] VAR247 ;
output [VAR196*16-1 : 0] VAR236 ;
input VAR182 ; input [1:0] VAR123 ;
input [8:0] VAR225 ; output [VAR75*16-1:0] VAR128 ; output reg [VAR78*VAR78/16-1:0] VAR95 ; output reg [VAR78*VAR78/16-1:0] VAR142 ; output reg [VAR78*VAR78/16-1:0] VAR175 ;
output [20 : 0] VAR79 ;
output reg [20 : 0] VAR216 ;
input VAR117 ;
input [5 : 0] VAR178 ;
output [23 : 0] VAR80 ;
input VAR86 ;
input [3 : 0] VAR41 ;
output [23 : 0] VAR252 ;
reg [1:0] VAR260 ; reg [1:0] VAR146 ; reg [3:0] VAR1 ;
reg [3:0] VAR212 ;
reg [3:0] VAR242 ;
reg [3:0] VAR262 ;
wire VAR21 ; wire [1:0] VAR187 ;
wire [3:0] VAR222 ;
wire [3:0] VAR14 ;
wire [VAR196*16-1:0] VAR25 ;
wire VAR269 ;
wire [4:0] VAR161 ;
wire [VAR196*32-1:0] VAR84 ;
reg VAR45 ; reg [2:0] VAR73 ;
reg [4:0] VAR89 ;
reg [1:0] VAR22 ;
reg VAR66 ;
reg [1:0] VAR238 ;
reg [1:0] VAR46 ;
reg [4:0] VAR54 ;
wire [(VAR196+1)*32-1:0] VAR152 ;
reg VAR60 ;
reg [4:0] VAR43 ;
reg signed[(VAR196+1)*32-1:0] VAR116 ;
wire [VAR196*32-1:0] VAR77 ;
wire signed[VAR196:0] VAR141, VAR63 , VAR239, VAR204, VAR109, VAR197 , VAR112, VAR39,
VAR81, VAR208 , VAR165, VAR13, VAR256, VAR201 , VAR133, VAR160,
VAR254, VAR40, VAR191, VAR93, VAR214, VAR213, VAR263, VAR34,
VAR140, VAR211, VAR195, VAR264, VAR226, VAR162, VAR148, VAR35,
VAR176, VAR68, VAR244, VAR253, VAR85, VAR72, VAR268, VAR143,
VAR235, VAR125, VAR50, VAR154, VAR4, VAR190, VAR102, VAR103,
VAR53, VAR136, VAR32, VAR90, VAR207, VAR200, VAR23, VAR130,
VAR228, VAR219, VAR241, VAR51, VAR139, VAR29, VAR145, VAR96;
wire signed [VAR196:0] VAR233, VAR42 , VAR246, VAR267,
VAR137, VAR220 , VAR227, VAR33,
VAR189, VAR156, VAR37, VAR144,
VAR48, VAR205, VAR131, VAR230,
VAR31, VAR114, VAR82, VAR255,
VAR149, VAR99, VAR249, VAR217,
VAR55, VAR185, VAR168, VAR19,
VAR18, VAR88, VAR261, VAR163;
wire VAR118 ;
wire [1:0] VAR49 ;
wire [3:0] VAR179 ;
wire [3:0] VAR237 ;
wire [4:0] VAR115 ;
wire [VAR75*32-1:0] VAR167 ;
wire VAR83 ;
wire [1:0] VAR259 ;
wire [3:0] VAR192 ;
wire [3:0] VAR194 ;
wire [4:0] VAR159 ;
wire [VAR196*32-1:0] VAR132 ;
reg [VAR78*VAR78/16-1 : 0] VAR98 ;
reg [VAR78*VAR78/16-1 : 0] VAR266 ;
reg [VAR78*VAR78/16-1 : 0] VAR69 ;
reg [VAR78*VAR78/16-1 : 0] VAR218 ;
reg VAR180 ;
reg VAR74 ;
wire [VAR78*VAR78/16-1 : 0] VAR2 ;
wire [VAR78*VAR78/16-1 : 0] VAR56 ;
reg [VAR78*VAR78/16-1 : 0] VAR127 ; wire [7 : 0] VAR177 ;
wire [20 : 0] VAR147 ;
wire VAR94 ;
reg [4 -1 : 0] VAR171 ;
reg [16-1 : 0] VAR104 ;
wire [16-1 : 0] VAR16 ;
wire [16-1 : 0] VAR121 ;
wire [7 : 0] VAR126 ;
reg [383 : 0] VAR87 ;
reg [383 : 0] VAR221 ;
wire [383 : 0] VAR59 ;
reg [383 : 0] VAR181 ;
reg [383 : 0] VAR174 ;
wire [7 : 0] VAR5 ;
assign VAR21 = VAR199 ;
assign VAR187 = VAR44 ;
assign VAR222 = VAR203 ;
assign VAR14 = VAR135 ;
assign VAR25 = VAR240 ;
assign VAR269 = VAR38?VAR38:VAR45;
assign VAR161 = VAR38?VAR113:VAR89;
VAR184 VAR107 (
.clk ( clk ),
.VAR224 ( VAR224 ),
.VAR30 ( VAR21 ),
.VAR3 ( VAR187 ),
.VAR223 ( VAR222 ),
.VAR265 ( VAR14 ),
.VAR153 ( VAR25 ),
.VAR231 ( VAR269 ),
.VAR12 ( VAR146 ),
.VAR91 ( VAR242 ),
.VAR120 ( VAR262 ),
.VAR111 ( VAR161 ),
.VAR129 ( VAR84 )
);
wire [1:0] VAR57 ;
wire [1:0] VAR172 ;
wire [1:0] VAR70 ;
wire [1:0] VAR58 ;
wire [1:0] VAR20 ;
reg [1:0] VAR26 ;
wire [7:0] VAR151 ;
assign VAR151 = VAR225 ;
assign VAR179 = { VAR151[6] ,VAR151[4] ,VAR151[2] ,VAR151[0] };
assign VAR237 = { VAR151[7] ,VAR151[5] ,VAR151[3] ,VAR151[1] };
assign VAR49 = 2'b0 ;
assign VAR115 = 2'b0 ;
assign VAR118 = VAR182 ;
always @ begin
case (VAR146)
VAR158 : begin VAR242 = VAR1;
VAR262 = VAR212;
end
VAR119 : begin VAR242 = {VAR1[3:1], 1'b0};
VAR262 = {VAR212[3:1], 1'b0};
end
VAR52 : begin VAR242 = {VAR1[3:2], 2'b0};
VAR262 = {VAR212[3:2], 2'b0};
end
VAR65 : begin VAR242 = {VAR1[3], 3'b0};
VAR262 = {VAR212[3], 3'b0};
end
endcase
end
always @(posedge clk or negedge VAR224) begin
if (!VAR224) begin
VAR45 <= 1'b0;
VAR73<= 3'b0;
end
else if (VAR45) begin
VAR73<= VAR73;
case (VAR44)
VAR158 : VAR45 <= 1'b0;
VAR119 : VAR45 <= 1'b0;
VAR52 : VAR45 <= (VAR22==2'd1)?1'b0:VAR45;
VAR65 : VAR45 <= (VAR22==2'd3)?1'b0:VAR45;
endcase
end
else if (VAR199) begin
case (VAR44)
VAR158 : begin VAR45 <= 1'b1;
VAR73<= 3'b0;
end
VAR119 : begin VAR45 <= VAR203[0] ? 1'b1 : VAR45;
VAR73<= {2'b0, VAR212[0]};
end
VAR52 : begin VAR45 <= (VAR203[1:0]==2'h3) ? 1'b1 : VAR45;
VAR73<= {1'b0, VAR212[1:0]};
end
VAR65 : begin VAR45 <= (VAR203[2:0]==3'h7) ? 1'b1 : VAR45;
VAR73<= VAR212[2:0];
end
endcase
end
end
always @(posedge clk or negedge VAR224) begin
if (!VAR224)
VAR22 <= 2'b0;
end
else if (VAR45)
VAR22 <= VAR22 + 1'b1;
else
VAR22 <= 2'b0;
end
always @ begin
if( VAR260==2'b00 )
case( VAR146 )
2'b00 : VAR127 = 64'b0000000000000000000000000000000000000000000000000000000000000001 ;
2'b01 : VAR127 = 64'b0000000000000000000000000000000000000000000000000000000000001111 ;
2'b10 : VAR127 = 64'b0000000000000000000000000000000000000000000000001111111111111111 ;
2'b11 : VAR127 = 64'b1111111111111111111111111111111111111111111111111111111111111111 ;
default : VAR127 = 64'b0000000000000000000000000000000000000000000000000000000000000000 ;
endcase
end
else begin
case( VAR146 )
2'b00 : VAR127 = 64'b0000000000000000000000000000000000000000000000000000000000001111 ;
2'b01 : VAR127 = 64'b0000000000000000000000000000000000000000000000001111111111111111 ;
2'b10 : VAR127 = 64'b1111111111111111111111111111111111111111111111111111111111111111 ;
default : VAR127 = 64'b0000000000000000000000000000000000000000000000000000000000000000 ;
endcase
end
end
assign VAR177 = { VAR262[3] ,VAR242[3] ,
VAR262[2] ,VAR242[2] ,
VAR262[1] ,VAR242[1] ,
VAR262[0] ,VAR242[0] };
always @(posedge clk or negedge VAR224) begin
if( !VAR224 )
VAR216 <= 'd0 ;
end
else if( VAR27 ) begin
VAR216 <= VAR79 ;
end
end
assign VAR79 = { VAR104, VAR171 ,VAR94 };
assign VAR94 = 'd1 ;
always @(posedge clk or negedge VAR224) begin
if( !VAR224 ) begin
VAR171 <= 'd0 ;
VAR104 <= 'd0 ;
end
else if( VAR27 ) begin
VAR171 <= -1'b1 ;
VAR104 <= -1'b1 ;
end
else if( VAR10 && VAR250 && (VAR260=='b00) ) begin
case( VAR146 )
2'b10 : VAR104 <= VAR104 & VAR16 ;
2'b11 : VAR171 <= VAR171 & VAR16 ;
endcase
end
end
assign VAR121 = 1'b1 << ( VAR126 >> ({1'b0,VAR146}<<1) );
assign VAR16 = ~VAR121 ;
assign VAR126 = { VAR262[3] ,VAR242[3] , VAR262[2] ,VAR242[2] ,
VAR262[1] ,VAR242[1] ,
VAR262[0] ,VAR242[0] };
reg VAR36 ;
wire [31 : 0] VAR24 ;
wire [31 : 0] VAR7 ;
wire [31 : 0] VAR15 ;
wire [31 : 0] VAR210 ;
wire [7 : 0] VAR105 ;
wire [3 : 0] VAR61 ;
wire [3 : 0] VAR28 ;
wire [3 : 0] VAR122 ;
wire [3 : 0] VAR11 ;
always @(posedge clk or negedge VAR224 ) begin
if( !VAR224 )
VAR36 <= 'd0 ;
end
else if( VAR27 ) begin
VAR36 <= !VAR36 ;
end
end
assign VAR80 = VAR36 ? {VAR24[5:0],VAR24[13:8],VAR24[21:16],VAR24[29:24]}
: {VAR7[5:0],VAR7[13:8],VAR7[21:16],VAR7[29:24]}
;
assign VAR252 = VAR36 ? {VAR15[5:0],VAR15[13:8],VAR15[21:16],VAR15[29:24]}
: {VAR210[5:0],VAR210[13:8],VAR210[21:16],VAR210[29:24]}
;
assign VAR61 = {4{VAR10 && VAR250 && (VAR260=='b00)}} &
( VAR36 ? 4'b0000
: ( (VAR146!=2'b00) ? 4'b1111
: (4'b0001<<VAR105[1:0])
)
);
assign VAR28 = {4{VAR10 && VAR250 && (VAR260=='b00)}} &
( (!VAR36) ? 4'b0000
: ( (VAR146!=2'b00) ? 4'b1111
: (4'b0001<<VAR105[1:0])
)
);
assign VAR122 = ( VAR36 ? 4'b0 : ( VAR10 && VAR250 && (VAR260!='b00) ) ) << { VAR105[1:0] };
assign VAR11 = ( VAR36 ? ( VAR10 && VAR250 && (VAR260!='b00) ) : 1'b0 ) << { VAR105[1:0] };
assign VAR105 = (VAR260=='b00) ? { VAR262[3] ,VAR242[3] , VAR262[2] ,VAR242[2] ,
VAR262[1] ,VAR242[1] ,
VAR262[0] ,VAR242[0] }
: { VAR262[3] ,VAR242[3] ,
VAR262[2] ,VAR242[2] ,
VAR262[1] ,VAR242[1] }
;
VAR138 #(
.VAR169 ( 32 ),
.VAR157 ( 6 )
) VAR202 (
.VAR47 ( clk ),
.VAR134 ( 1'b0 ),
.VAR101 ( ~VAR61 ),
.VAR100 ( VAR105[7:2] ),
.VAR97 ( {4{2'b0,VAR76}} ),
.VAR232 ( clk ),
.VAR9 ( VAR117 ),
.VAR166 ( VAR178 ),
.VAR62 ( VAR24 )
);
VAR138 #(
.VAR169 ( 32 ),
.VAR157 ( 6 )
) VAR124 (
.VAR47 ( clk ),
.VAR134 ( 1'b0 ),
.VAR101 ( ~VAR28 ),
.VAR100 ( VAR105[7:2] ),
.VAR97 ( {4{2'b0,VAR76}} ),
.VAR232 ( clk ),
.VAR9 ( VAR117 ),
.VAR166 ( VAR178 ),
.VAR62 ( VAR7 )
);
VAR138 #(
.VAR169 ( 32 ),
.VAR157 ( 4 )
) VAR17 (
.VAR47 ( clk ),
.VAR134 ( 1'b0 ),
.VAR101 ( ~VAR122 ),
.VAR100 ( VAR105[5:2] ),
.VAR97 ( {4{2'b0,VAR76}} ),
.VAR232 ( clk ),
.VAR9 ( VAR86 ),
.VAR166 ( VAR41 ),
.VAR62 ( VAR15 )
);
VAR138 #(
.VAR169 ( 32 ),
.VAR157 ( 4 )
) VAR92 (
.VAR47 ( clk ),
.VAR134 ( 1'b0 ),
.VAR101 ( ~VAR11 ),
.VAR100 ( VAR105[5:2] ),
.VAR97 ( {4{2'b0,VAR76}} ),
.VAR232 ( clk ),
.VAR9 ( VAR86 ),
.VAR166 ( VAR41 ),
.VAR62 ( VAR210 )
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fill/sky130_fd_sc_ls__fill_4.v
| 1,840 |
module MODULE1 (
VAR1,
VAR3,
VAR5 ,
VAR4
);
input VAR1;
input VAR3;
input VAR5 ;
input VAR4 ;
VAR6 VAR2 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR4(VAR4)
);
endmodule
module MODULE1 ();
supply1 VAR1;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR4 ;
VAR6 VAR2 ();
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dlxtp/sky130_fd_sc_ls__dlxtp.functional.pp.v
| 1,656 |
module MODULE1 (
VAR5 ,
VAR3 ,
VAR4,
VAR2,
VAR7,
VAR6 ,
VAR10
);
output VAR5 ;
input VAR3 ;
input VAR4;
input VAR2;
input VAR7;
input VAR6 ;
input VAR10 ;
wire VAR1;
VAR9 VAR8 (VAR1 , VAR3, VAR4, , VAR2, VAR7);
buf VAR11 (VAR5 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a222oi/sky130_fd_sc_hd__a222oi.blackbox.v
| 1,427 |
module MODULE1 (
VAR9 ,
VAR2,
VAR5,
VAR3,
VAR7,
VAR4,
VAR6
);
output VAR9 ;
input VAR2;
input VAR5;
input VAR3;
input VAR7;
input VAR4;
input VAR6;
supply1 VAR1;
supply0 VAR10;
supply1 VAR11 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_NVMeHostController_0_0/src/pcie_7x_0_core_top/source/pcie_7x_0_core_top_rxeq_scan.v
| 14,873 |
module MODULE1 #
(
parameter VAR34 = "VAR32", parameter VAR21 = "VAR40", parameter VAR17 = 1, parameter VAR36 = 22'd3125000, parameter VAR28 = 22'd2083333 )
(
input VAR38,
input VAR18,
input [ 1:0] VAR42,
input [ 2:0] VAR50,
input VAR12,
input [ 3:0] VAR5,
input [17:0] VAR11,
input VAR29,
input [ 5:0] VAR2,
input [ 5:0] VAR26,
output VAR48,
output [17:0] VAR44,
output VAR6,
output VAR24,
output VAR3
);
reg [ 2:0] VAR46;
reg VAR31;
reg [ 3:0] VAR19;
reg [17:0] VAR23;
reg VAR49;
reg [ 5:0] VAR16;
reg [ 5:0] VAR37;
reg [ 2:0] VAR43;
reg VAR25;
reg [ 3:0] VAR1;
reg [17:0] VAR30;
reg VAR14;
reg [ 5:0] VAR9;
reg [ 5:0] VAR22;
reg VAR47 = 1'd0;
reg VAR20 = 1'd0;
reg [21:0] VAR4 = 22'd0;
reg [17:0] VAR35 = 18'd0;
reg VAR33 = 1'd0;
reg VAR8 = 1'd0;
reg VAR13 = 1'd0;
reg [ 3:0] fsm = 4'd0;
localparam VAR41 = 4'b0001;
localparam VAR45 = 4'b0010;
localparam VAR10 = 4'b0100;
localparam VAR15 = 4'b1000;
localparam VAR39 = (VAR34 == "VAR7") ? 22'd1000 : VAR36;
localparam VAR27 = (VAR34 == "VAR7") ? 22'd1000 : VAR28;
always @ (posedge VAR38)
begin
if (!VAR18)
begin
VAR46 <= 3'd0;
VAR31 <= 1'd0;
VAR19 <= 4'd0;
VAR23 <= 18'd0;
VAR49 <= 1'd0;
VAR16 <= 6'd0;
VAR37 <= 6'd0;
VAR43 <= 3'd0;
VAR25 <= 1'd0;
VAR1 <= 4'd0;
VAR30 <= 18'd0;
VAR14 <= 1'd0;
VAR9 <= 6'd0;
VAR22 <= 6'd0;
end
else
begin
VAR46 <= VAR50;
VAR31 <= VAR12;
VAR19 <= VAR5;
VAR23 <= VAR11;
VAR49 <= VAR29;
VAR16 <= VAR2;
VAR37 <= VAR26;
VAR43 <= VAR46;
VAR25 <= VAR31;
VAR1 <= VAR19;
VAR30 <= VAR23;
VAR14 <= VAR49;
VAR9 <= VAR16;
VAR22 <= VAR37;
end
end
always @ (posedge VAR38)
begin
if (!VAR18)
begin
fsm <= VAR41;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= 18'd0;
VAR33 <= 1'd0;
VAR8 <= 1'd0;
VAR13 <= 1'd0;
VAR47 <= 1'd0;
end
else
begin
case (fsm)
VAR41 :
begin
if (VAR25)
begin
fsm <= VAR45;
VAR20 <= 1'd1;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= 1'd0;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
else if (VAR14)
begin
fsm <= VAR10;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= (VAR17 == 0) ? VAR30 : (VAR21 == "VAR40") ? 18'd5 : 18'd4; VAR33 <= 1'd0;
VAR8 <= (VAR17 == 0) ? 1'd0 : 1'd1;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
else
begin
fsm <= VAR41;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= 1'd0;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
end
VAR45 :
begin
fsm <= (!VAR25) ? VAR41 : VAR45;
VAR20 <= 1'd1;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= 1'd0;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
VAR10 :
begin
if ((VAR47 == 1'd0) && (VAR42 == 2'd2))
begin
fsm <= VAR15;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= VAR8;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
else
begin
if (VAR42 == 2'd2)
fsm <= (VAR4 == VAR39) ? VAR15 : VAR10;
end
else
fsm <= (VAR4 == VAR27) ? VAR15 : VAR10;
VAR20 <= 1'd0;
VAR4 <= VAR4 + 1'd1;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= VAR8;
VAR13 <= 1'd0;
VAR47 <= VAR47;
end
end
VAR15 :
begin
if (!VAR14)
begin
fsm <= VAR41;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd0;
VAR8 <= VAR8;
VAR13 <= 1'd0;
VAR47 <= (VAR42 == 2'd3) ? 1'd0 : VAR47 + 1'd1;
end
else
begin
fsm <= VAR15;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= VAR35;
VAR33 <= 1'd1;
VAR8 <= VAR8;
VAR13 <= (VAR47 == 1'd1) || (VAR42 == 2'd3);
VAR47 <= VAR47;
end
end
default :
begin
fsm <= VAR41;
VAR20 <= 1'd0;
VAR4 <= 22'd0;
VAR35 <= 18'd0;
VAR33 <= 1'd0;
VAR8 <= 1'd0;
VAR13 <= 1'd0;
VAR47 <= 1'd0;
end
endcase
end
end
assign VAR48 = VAR20;
assign VAR44 = VAR35;
assign VAR6 = VAR33;
assign VAR24 = VAR8;
assign VAR3 = VAR13;
endmodule
|
gpl-3.0
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Computer_System/synthesis/submodules/altera_up_video_clipper_drop.v
| 8,657 |
module MODULE1 (
clk,
reset,
VAR33,
VAR24,
VAR30,
VAR43,
VAR19,
VAR22,
VAR31,
VAR5,
VAR12,
VAR34,
VAR27,
VAR36
);
parameter VAR16 = 15; parameter VAR7 = 0;
parameter VAR25 = 640; parameter VAR23 = 480; parameter VAR14 = 9; parameter VAR11 = 8;
parameter VAR17 = 0;
parameter VAR39 = 0;
parameter VAR8 = 0;
parameter VAR44 = 0;
parameter VAR4 = 16'h0;
input clk;
input reset;
input [VAR16: 0] VAR33;
input VAR24;
input VAR30;
input [VAR7: 0] VAR43;
input VAR19;
input VAR22;
output VAR31;
output reg [VAR16: 0] VAR5;
output reg VAR12;
output reg VAR34;
output reg [VAR7: 0] VAR27;
output reg VAR36;
localparam VAR13 = 2'h0,
VAR35 = 2'h1,
VAR20 = 2'h2;
wire VAR37;
wire VAR28;
wire VAR41;
wire VAR18;
wire VAR40;
reg [ 1: 0] VAR9;
reg [ 1: 0] VAR1;
always @(posedge clk)
begin
if (reset)
VAR9 <= VAR13;
end
else
VAR9 <= VAR1;
end
always @(*)
begin
case (VAR9)
VAR13:
begin
if (VAR24 & VAR19)
VAR1 = VAR35;
end
else
VAR1 = VAR13;
end
VAR35:
begin
if (VAR37 & VAR28)
VAR1 = VAR13;
end
else if (VAR37 & VAR30)
VAR1 = VAR20;
else
VAR1 = VAR35;
end
VAR20:
begin
if (VAR37 & VAR28)
VAR1 = VAR13;
end
else
VAR1 = VAR20;
end
default:
begin
VAR1 = VAR13;
end
endcase
end
always @(posedge clk)
begin
if (reset)
begin
VAR5 <= 'h0;
VAR12 <= 1'b0;
VAR34 <= 1'b0;
VAR27 <= 'h0;
VAR36 <= 1'b0;
end
else if (VAR22 | ~VAR36)
begin
if (VAR9 == VAR20)
VAR5 <= VAR4;
end
else
VAR5 <= VAR33;
VAR12 <= VAR41;
VAR34 <= VAR18;
VAR27 <= VAR43;
if (VAR9 == VAR35)
VAR36 <= VAR40 & VAR19;
end
else if (VAR9 == VAR20)
VAR36 <= VAR40;
else
VAR36 <= 1'b0;
end
end
assign VAR31 =
(VAR9 == VAR13) ?
~(VAR24 & VAR19) :
(VAR9 == VAR35) ?
~VAR40 | VAR22 | ~VAR36 :
1'b0;
assign VAR37 =
(VAR9 == VAR35) ?
VAR19 & VAR31 :
(VAR9 == VAR20) ?
~VAR40 | VAR22 | ~VAR36 :
1'b0;
VAR21 VAR15 (
.clk (clk),
.reset (reset),
.VAR37 (VAR37),
.VAR42 (),
.VAR3 (VAR28),
.VAR2 (VAR41),
.VAR10 (VAR18),
.VAR26 (VAR40)
);
VAR15.VAR25 = VAR25,
VAR15.VAR23 = VAR23,
VAR15.VAR14 = VAR14,
VAR15.VAR11 = VAR11,
VAR15.VAR6 = VAR17,
VAR15.VAR29 = VAR39,
VAR15.VAR32 = VAR8,
VAR15.VAR38 = VAR44;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
models/udp_pwrgood_pp_pg_s/sky130_fd_sc_hdll__udp_pwrgood_pp_pg_s.symbol.v
| 1,368 |
module MODULE1 (
input VAR5 ,
output VAR4,
input VAR2 ,
input VAR3 ,
input VAR1
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o2bb2a/sky130_fd_sc_lp__o2bb2a_m.v
| 2,395 |
module MODULE1 (
VAR5 ,
VAR11,
VAR1,
VAR3 ,
VAR7 ,
VAR10,
VAR2,
VAR9 ,
VAR6
);
output VAR5 ;
input VAR11;
input VAR1;
input VAR3 ;
input VAR7 ;
input VAR10;
input VAR2;
input VAR9 ;
input VAR6 ;
VAR4 VAR8 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR5 ,
VAR11,
VAR1,
VAR3 ,
VAR7
);
output VAR5 ;
input VAR11;
input VAR1;
input VAR3 ;
input VAR7 ;
supply1 VAR10;
supply0 VAR2;
supply1 VAR9 ;
supply0 VAR6 ;
VAR4 VAR8 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
superibk/orp
|
hardware/mselSoC/src/systems/geophyte/rtl/verilog/sdhc/rtl/verilog/nandc_hamm.v
| 5,266 |
module MODULE1 (
input wire [31:0] VAR10,
input wire [6:0] VAR5,
output wire [23:0] VAR7
);
wire [31:0] VAR19 = VAR10;
wire [7:0] VAR2 = ^VAR19;
assign VAR7[0] = VAR19[0] ^ VAR19[2] ^ VAR19[4] ^ VAR19[6] ^ VAR19[8] ^ VAR19[10] ^ VAR19[12] ^ VAR19[14] ^ VAR19[16] ^ VAR19[18] ^ VAR19[20] ^ VAR19[22] ^ VAR19[24] ^ VAR19[26] ^ VAR19[28] ^ VAR19[30];
assign VAR7[1] = VAR19[0] ^ VAR19[1] ^ VAR19[4] ^ VAR19[5] ^ VAR19[8] ^ VAR19[9] ^ VAR19[12] ^ VAR19[13] ^ VAR19[16] ^ VAR19[17] ^ VAR19[20] ^ VAR19[21] ^ VAR19[24] ^ VAR19[25] ^ VAR19[28] ^ VAR19[29];
assign VAR7[2] = VAR19[0] ^ VAR19[1] ^ VAR19[2] ^ VAR19[3] ^ VAR19[8] ^ VAR19[9] ^ VAR19[10] ^ VAR19[11] ^ VAR19[16] ^ VAR19[17] ^ VAR19[18] ^ VAR19[19] ^ VAR19[24] ^ VAR19[25] ^ VAR19[26] ^ VAR19[27];
assign VAR7[3] = VAR19[0] ^ VAR19[1] ^ VAR19[2] ^ VAR19[3] ^ VAR19[4] ^ VAR19[5] ^ VAR19[6] ^ VAR19[7] ^ VAR19[16] ^ VAR19[17] ^ VAR19[18] ^ VAR19[19] ^ VAR19[20] ^ VAR19[21] ^ VAR19[22] ^ VAR19[23];
assign VAR7[4] = VAR19[0] ^ VAR19[1] ^ VAR19[2] ^ VAR19[3] ^ VAR19[4] ^ VAR19[5] ^ VAR19[6] ^ VAR19[7] ^ VAR19[8] ^ VAR19[9] ^ VAR19[10] ^ VAR19[11] ^ VAR19[12] ^ VAR19[13] ^ VAR19[14] ^ VAR19[15];
assign VAR7[5] = ~VAR5[0] & VAR2;
assign VAR7[6] = ~VAR5[1] & VAR2;
assign VAR7[7] = ~VAR5[2] & VAR2;
assign VAR7[8] = ~VAR5[3] & VAR2;
assign VAR7[9] = ~VAR5[4] & VAR2;
assign VAR7[10] = ~VAR5[5] & VAR2;
assign VAR7[11] = ~VAR5[6] & VAR2;
assign VAR7[12+0] = VAR19[1] ^ VAR19[3] ^ VAR19[5] ^ VAR19[7] ^ VAR19[9] ^ VAR19[11] ^ VAR19[13] ^ VAR19[15] ^ VAR19[17] ^ VAR19[19] ^ VAR19[21] ^ VAR19[23] ^ VAR19[25] ^ VAR19[27] ^ VAR19[29] ^ VAR19[31];
assign VAR7[12+1] = VAR19[2] ^ VAR19[3] ^ VAR19[6] ^ VAR19[7] ^ VAR19[10] ^ VAR19[11] ^ VAR19[14] ^ VAR19[15] ^ VAR19[18] ^ VAR19[19] ^ VAR19[22] ^ VAR19[23] ^ VAR19[26] ^ VAR19[27] ^ VAR19[30] ^ VAR19[31];
assign VAR7[12+2] = VAR19[4] ^ VAR19[5] ^ VAR19[6] ^ VAR19[7] ^ VAR19[12] ^ VAR19[13] ^ VAR19[14] ^ VAR19[15] ^ VAR19[20] ^ VAR19[21] ^ VAR19[22] ^ VAR19[23] ^ VAR19[28] ^ VAR19[29] ^ VAR19[30] ^ VAR19[31];
assign VAR7[12+3] = VAR19[8] ^ VAR19[9] ^ VAR19[10] ^ VAR19[11] ^ VAR19[12] ^ VAR19[13] ^ VAR19[14] ^ VAR19[15] ^ VAR19[24] ^ VAR19[25] ^ VAR19[26] ^ VAR19[27] ^ VAR19[28] ^ VAR19[29] ^ VAR19[30] ^ VAR19[31];
assign VAR7[12+4] = VAR19[16] ^ VAR19[17] ^ VAR19[18] ^ VAR19[19] ^ VAR19[20] ^ VAR19[21] ^ VAR19[22] ^ VAR19[23] ^ VAR19[24] ^ VAR19[25] ^ VAR19[26] ^ VAR19[27] ^ VAR19[28] ^ VAR19[29] ^ VAR19[30] ^ VAR19[31];
assign VAR7[12+5] = VAR5[0] & VAR2;
assign VAR7[12+6] = VAR5[1] & VAR2;
assign VAR7[12+7] = VAR5[2] & VAR2;
assign VAR7[12+8] = VAR5[3] & VAR2;
assign VAR7[12+9] = VAR5[4] & VAR2;
assign VAR7[12+10] = VAR5[5] & VAR2;
assign VAR7[12+11] = VAR5[6] & VAR2;
endmodule
module MODULE2 (
input wire clk,
input wire rst,
input wire [31:0] VAR10,
input wire VAR9,
input wire VAR12,
input wire VAR16,
output wire [31:0] VAR3,
output wire VAR13,
output wire VAR14,
output wire VAR6,
output wire [23:0] VAR7
);
reg [31:0] VAR1;
reg VAR15;
reg VAR11;
reg VAR4;
always @(posedge clk) begin
VAR1 <= VAR10;
VAR15 <= VAR9;
VAR11 <= VAR12;
VAR4 <= VAR16;
end
reg [6:0] VAR18;
wire [23:0] VAR8;
MODULE1 MODULE1 (
.VAR10 ( VAR1 ),
.VAR5 ( VAR18 ),
.VAR7 ( VAR8 )
);
reg [23:0] VAR17;
always @(posedge clk) begin
if(rst) begin
VAR18 <= 0;
VAR17 <= 0;
end else begin
if(VAR15) begin
if(VAR11) begin
VAR17 <= VAR8;
VAR18 <= 1;
end else begin
VAR17 <= VAR17 ^ VAR8;
VAR18 <= VAR4 ? 0 : VAR18 + 1;
end
end
end
end
assign VAR3 = VAR1;
assign VAR13 = VAR15;
assign VAR14 = VAR11;
assign VAR6 = VAR4;
assign VAR7 = VAR4 ? VAR17 ^ VAR8 : 0;
endmodule
|
apache-2.0
|
asicguy/gplgpu
|
hdl/vga/crt_op_stage.v
| 5,693 |
module MODULE1
(
input VAR11,
input VAR18, input VAR29, input VAR4, input VAR27, input VAR20, input VAR16, input VAR19, input VAR5, input VAR24, input hde, input VAR12, input VAR35, input VAR26,
input VAR33,
input VAR6,
output VAR37, output VAR17,
output VAR8, output VAR21, output VAR23,
output VAR14
);
reg VAR15;
reg VAR32;
reg VAR7;
reg VAR36;
reg [2:0] VAR34;
reg [4:0] VAR31;
reg [2:0] hdedcc;
reg [1:0] VAR38;
reg [1:0] VAR2;
wire VAR1;
reg [3:0] VAR22;
wire VAR30;
wire VAR3;
wire VAR13;
wire VAR9 = VAR29;
wire VAR25;
reg [1:0] VAR28;
wire [4:0] VAR10;
always @(posedge VAR26 or negedge VAR11)
if (!VAR11) begin
hdedcc <= 3'b0;
VAR28 <= 2'b0;
VAR38 <= 2'b0;
VAR2 <= 2'b11;
VAR22 <= 4'b0;
end else if (VAR5) begin
hdedcc <= {hdedcc[1:0], hde};
VAR28 <= {VAR28[0], VAR27};
VAR38 <= {VAR38[0], VAR18};
VAR2 <= {VAR2[0], (VAR20 | ~VAR6)};
VAR22 <= {VAR22[2:0], VAR1};
end
assign VAR13 = VAR28[1];
always @(posedge VAR26 or negedge VAR11)
if (~VAR11) VAR7 <= 1'b0;
end
else if (VAR24) VAR7 <= ( VAR13 & hdedcc[2] );
assign VAR25 = VAR7 & VAR38[1];
assign VAR14 = ~(hdedcc[2] & VAR38[1]);
always @(posedge VAR26 or negedge VAR11)
if (!VAR11) VAR34 <= 3'b0;
else if (VAR24) VAR34 <= {VAR34[1:0], VAR25};
assign VAR17 = VAR34[2];
assign VAR23 = VAR2[1];
assign VAR1 = VAR16 | VAR19;
assign VAR30 = VAR2[1] | VAR22[3];
always @(posedge VAR26 or negedge VAR11)
if (!VAR11) VAR31 <= 5'b0;
else if (VAR24) VAR31 <= {VAR31[3:0], VAR30};
always @(posedge VAR26 or negedge VAR11)
if(~VAR11) VAR36 <= 1'b0;
else VAR36 <= VAR31[4];
assign VAR8 = ~VAR36;
assign VAR3 = ( VAR32 | (~VAR18) ) & VAR29;
always @(posedge VAR26 or negedge VAR11)
if(~VAR11) VAR32 <= 1'b0;
else if (VAR5) VAR32 <= VAR3;
assign VAR37 = VAR32;
always @(posedge VAR26 or negedge VAR11)
if (~VAR11) VAR15 <= 1'b0;
else if (VAR35 & VAR5) VAR15 <= VAR18;
assign VAR21 = VAR15 & VAR12;
endmodule
|
gpl-3.0
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_p0_fr_cycle_extender.v
| 3,111 |
module MODULE1(
clk,
VAR11,
VAR12,
VAR8,
VAR10
);
parameter VAR5 = "";
parameter VAR1 = "false";
localparam VAR2 = 2;
localparam VAR15 = 2;
localparam VAR7 = VAR5*VAR2;
input clk;
input VAR11;
input [1:0] VAR12;
input [VAR7-1:0] VAR8;
output [VAR7-1:0] VAR10;
reg [VAR7-1:0] VAR14 [VAR15-1:0] ;
generate
genvar VAR16;
for (VAR16 = 0; VAR16 < VAR15; VAR16 = VAR16 + 1)
begin : VAR17
always @(posedge clk or negedge VAR11)
begin
if (~VAR11)
if (VAR1 == "true")
VAR14[VAR16] <= {VAR7{1'b1}};
end
else
VAR14[VAR16] <= {VAR7{1'b0}};
end
else
VAR14[VAR16] <= (VAR16 == 0) ? VAR8 : VAR14[VAR16-1];
end
end
endgenerate
wire [VAR5-1:0] VAR9 = VAR8[(VAR5*1)-1:(VAR5*0)];
wire [VAR5-1:0] VAR13 = VAR8[(VAR5*2)-1:(VAR5*1)];
wire [VAR5-1:0] VAR6 = VAR14[0][(VAR5*1)-1:(VAR5*0)];
wire [VAR5-1:0] VAR3 = VAR14[0][(VAR5*2)-1:(VAR5*1)];
wire [VAR5-1:0] VAR4 = VAR14[1][(VAR5*2)-1:(VAR5*1)];
assign VAR10 = (VAR12 == 2'b01) ? {VAR13 | VAR9,
VAR9 | VAR3} : (
(VAR12 == 2'b10) ? {VAR13 | VAR9 | VAR3,
VAR9 | VAR3 | VAR6} : (
(VAR12 == 2'b11) ? {VAR13 | VAR9 | VAR3 | VAR6,
VAR9 | VAR3 | VAR6 | VAR4} : (
{VAR13, VAR9} )));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o22ai/sky130_fd_sc_ms__o22ai_4.v
| 2,352 |
module MODULE1 (
VAR1 ,
VAR7 ,
VAR4 ,
VAR6 ,
VAR8 ,
VAR3,
VAR10,
VAR5 ,
VAR9
);
output VAR1 ;
input VAR7 ;
input VAR4 ;
input VAR6 ;
input VAR8 ;
input VAR3;
input VAR10;
input VAR5 ;
input VAR9 ;
VAR2 VAR11 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR1 ,
VAR7,
VAR4,
VAR6,
VAR8
);
output VAR1 ;
input VAR7;
input VAR4;
input VAR6;
input VAR8;
supply1 VAR3;
supply0 VAR10;
supply1 VAR5 ;
supply0 VAR9 ;
VAR2 VAR11 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkdlyinv3sd2/sky130_fd_sc_hs__clkdlyinv3sd2.behavioral.v
| 1,759 |
module MODULE1 (
VAR7 ,
VAR1 ,
VAR3,
VAR5
);
output VAR7 ;
input VAR1 ;
input VAR3;
input VAR5;
wire VAR2 ;
wire VAR9;
not VAR8 (VAR2 , VAR1 );
VAR10 VAR4 (VAR9, VAR2, VAR3, VAR5);
buf VAR6 (VAR7 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dlymetal6s6s/sky130_fd_sc_ls__dlymetal6s6s.blackbox.v
| 1,324 |
module MODULE1 (
VAR2,
VAR4
);
output VAR2;
input VAR4;
supply1 VAR6;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
|
Gaussian_Filter/ip/Gaussian_Filter/acl_multireadport_mem.v
| 16,949 |
module MODULE3
parameter VAR34=10,
parameter VAR14=32,
parameter VAR50=13, parameter VAR147=1,
parameter VAR131=0
)
(
input clk,
input VAR8,
input VAR57,
input [VAR34-1:0] VAR59,
input [VAR14-1:0] VAR58,
input VAR82,
input VAR48,
output VAR144,
output VAR136,
output [VAR14-1:0] VAR126,
input [VAR50*VAR34-1:0] VAR39,
input [VAR50-1:0] VAR73,
output reg [VAR50-1:0] VAR81,
output reg [VAR50-1:0] VAR41,
output reg [VAR50*VAR14-1:0] VAR19
);
localparam VAR78=2**VAR34;
localparam VAR149 = (VAR147) ? 4 : 2; localparam VAR84=VAR149-VAR131;
localparam VAR26=(VAR50/VAR84 +
((VAR50%VAR84==0) ? 0 : 1));
reg [VAR26*VAR149*VAR34-1:0] VAR120;
reg [VAR26*VAR149-1:0] VAR67;
wire [VAR26*VAR149-1:0] VAR127;
wire [VAR26*VAR149-1:0] VAR150;
wire [VAR26*VAR149*VAR14-1:0] VAR55;
reg [VAR26-1:0] VAR112;
integer VAR96;
integer VAR63;
always@*
begin
VAR63=0;
for (VAR96=0; VAR96<VAR50; VAR96=VAR96+1)
begin
VAR120[VAR63*VAR34 +: VAR34]=VAR39[VAR96*VAR34 +: VAR34];
VAR67[VAR63]=VAR73[VAR96];
VAR81[VAR96]=VAR127[VAR63];
VAR41[VAR96]=VAR150[VAR63];
VAR19[VAR96*VAR14 +: VAR14]=VAR55[VAR63*VAR14 +: VAR14];
VAR63=(VAR63%VAR149==VAR84-1) ? VAR63+1+VAR131 : VAR63+1 ;
end
end
reg [VAR26-1:0] sel;
integer VAR79;
always@*
for (VAR79=0; VAR79<VAR26; VAR79=VAR79+1)
sel[VAR79]=(!VAR131) & (VAR67[VAR79+VAR149-1]);
genvar VAR128;
generate
for (VAR128=0; VAR128<VAR26*VAR149; VAR128=VAR128+VAR149)
begin : VAR106
if ( VAR147 )
begin
MODULE1 #(.VAR34(VAR34), .VAR14(VAR14)) VAR27(
.clk(clk),
.VAR8(VAR8),
.VAR118(VAR120[VAR128*VAR34 +: VAR34]),
.VAR23(VAR67[VAR128]),
.VAR101(VAR55[VAR128*VAR14 +: VAR14]),
.VAR6(VAR150[VAR128]),
.VAR3(VAR120[(VAR128+1)*VAR34 +: VAR34]),
.VAR75(VAR67[VAR128+1]),
.VAR80(VAR55[(VAR128+1)*VAR14 +: VAR14]),
.VAR40(VAR150[VAR128+1]),
.VAR52(VAR120[(VAR128+2)*VAR34 +: VAR34]),
.VAR93(VAR67[VAR128+2]),
.VAR143(VAR55[(VAR128+2)*VAR14 +: VAR14]),
.VAR140(VAR150[VAR128+2]),
.VAR154((sel) ? VAR120[(VAR128+3)*VAR34 +: VAR34] : VAR59),
.VAR116( VAR58),
.VAR129((sel) ? VAR67[VAR128+3] : VAR82),
.VAR43((sel) ? 1'b0 : VAR48),
.VAR87( VAR55[(VAR128+3)*VAR14 +: VAR14]),
.VAR92( VAR150[VAR128+3])
);
assign VAR126=VAR55[3*VAR14 +: VAR14];
assign VAR136=VAR150[3];
end
else
begin
MODULE4 #(.VAR34(VAR34), .VAR14(VAR14)) VAR27(
.clk(clk),
.VAR8(VAR8),
.VAR118(VAR120[VAR128*VAR34 +: VAR34]),
.VAR23(VAR67[VAR128]),
.VAR101(VAR55[VAR128*VAR14 +: VAR14]),
.VAR6(VAR150[VAR128]),
.VAR3((sel) ? VAR120[(VAR128+1)*VAR34 +: VAR34] : VAR59),
.VAR162( VAR58),
.VAR75((sel) ? VAR67[VAR128+1] : VAR82),
.VAR65((sel) ? 1'b0 : VAR48),
.VAR80( VAR55[(VAR128+1)*VAR14 +: VAR14]),
.VAR40( VAR150[VAR128+1])
);
assign VAR126=VAR55[1*VAR14 +: VAR14];
assign VAR136=VAR150[1];
end
assign VAR127[VAR128 +: VAR149]={VAR149{1'b0}};
end
endgenerate
always@(posedge clk or negedge VAR57)
if (!VAR57)
VAR112<={VAR26{1'b0}};
else if (&VAR112)
VAR112<={VAR26{1'b0}};
else
VAR112<=VAR112 | ~sel;
assign VAR144=(!VAR131) & |(VAR112 | ~sel);
endmodule
module MODULE4
parameter VAR34=14,
parameter VAR14=32
)
(
input clk,
input VAR8,
input [VAR14-1:0] VAR153,
input [VAR14-1:0] VAR162,
input [VAR34-1:0] VAR118,
input [VAR34-1:0] VAR3,
input VAR23,
input VAR75,
input VAR148,
input VAR65,
output [VAR14-1:0] VAR101,
output [VAR14-1:0] VAR80,
output VAR6,
output VAR40
);
localparam VAR78=2**VAR34;
reg VAR133,VAR35;
MODULE2 MODULE1(.VAR94(VAR23), .VAR32(clk), .enable(1'b1), .VAR51(VAR6));
MODULE2 MODULE2(.VAR94(VAR75), .VAR32(clk), .enable(1'b1), .VAR51(VAR40));
VAR2 VAR10 (
.VAR56 (clk),
.VAR158 (VAR148),
.VAR105 (VAR65),
.VAR11 (VAR118),
.VAR124 (VAR3),
.VAR146 (VAR153),
.VAR4 (VAR162),
.VAR17 (VAR101),
.VAR72 (VAR80),
.VAR15 (1'b0),
.VAR47 (1'b0),
.VAR110 (1'b0),
.VAR115 (1'b0),
.VAR24 (1'b1),
.VAR28 (1'b1),
.VAR77 (1'b1),
.VAR98 (1'b1),
.VAR31 (1'b1),
.VAR42 (1'b1),
.VAR74 (1'b1),
.VAR44 (),
.VAR68 (1'b1),
.VAR25 (1'b1));
VAR10.VAR88 = "VAR71",
VAR10.VAR76 = "VAR108",
VAR10.VAR145 = "VAR108",
VAR10.VAR85 = "VAR108",
VAR10.VAR121 = "VAR108",
VAR10.VAR88 = "VAR71",
VAR10.VAR99 = "VAR71",
VAR10.VAR102 = "VAR71",
VAR10.VAR114 = "VAR71",
VAR10.VAR61 = "VAR161 VAR132",
VAR10.VAR103 = "VAR2",
VAR10.VAR83 = "VAR22",
VAR10.VAR53 = "VAR9",
VAR10.VAR122 = "VAR123",
VAR10.VAR33 = "VAR123",
VAR10.VAR49 = "VAR71",
VAR10.VAR141 = "VAR71",
VAR10.VAR109 = "VAR117",
VAR10.VAR107 = "VAR46",
VAR10.VAR64 = "VAR155",
VAR10.VAR160 = "VAR155",
VAR10.VAR104 = VAR34,
VAR10.VAR152 = VAR34,
VAR10.VAR91 = VAR14,
VAR10.VAR21 = VAR14,
VAR10.VAR113 = 1,
VAR10.VAR97 = 1,
VAR10.VAR16 = "VAR71";
endmodule
module MODULE1
parameter VAR34=14,
parameter VAR14=32
)
(
input clk,
input VAR8,
input [VAR14-1:0] VAR153,
input [VAR14-1:0] VAR162,
input [VAR14-1:0] VAR60,
input [VAR14-1:0] VAR116,
input [VAR34-1:0] VAR118,
input [VAR34-1:0] VAR3,
input [VAR34-1:0] VAR52,
input [VAR34-1:0] VAR154,
input VAR23,
input VAR75,
input VAR93,
input VAR129,
input VAR148,
input VAR65,
input VAR125,
input VAR43,
output reg [VAR14-1:0] VAR101,
output reg [VAR14-1:0] VAR80,
output reg [VAR14-1:0] VAR143,
output reg [VAR14-1:0] VAR87,
output VAR6,
output VAR40,
output VAR140,
output VAR92
);
localparam VAR78=2**VAR34;
reg [VAR14-1:0] VAR30 ;
reg [VAR14-1:0] VAR37 ;
reg [VAR14-1:0] VAR157 ;
reg [VAR14-1:0] VAR86 ;
reg [VAR34-1:0] VAR119 ;
reg [VAR34-1:0] VAR95 ;
reg [VAR34-1:0] VAR36 ;
reg [VAR34-1:0] VAR20 ;
reg VAR90, VAR45 ;
reg VAR18, VAR12 ;
wire [VAR14-1:0] VAR1;
wire [VAR14-1:0] VAR62;
reg [VAR14-1:0] VAR111;
reg [VAR14-1:0] VAR151;
reg [VAR14-1:0] VAR7;
reg [VAR14-1:0] VAR156;
reg [VAR14-1:0] VAR139;
reg [VAR14-1:0] VAR100;
reg [VAR14-1:0] VAR135;
reg [VAR14-1:0] VAR159;
reg [VAR34-1:0] VAR5;
reg [VAR34-1:0] VAR38;
reg [VAR34-1:0] VAR66;
reg [VAR34-1:0] VAR137;
reg VAR130, VAR29;
reg VAR89, VAR138;
reg VAR133,VAR35 ;
always@(posedge clk)
begin
VAR119 <= VAR118;
VAR95 <= VAR3;
VAR36 <= VAR52;
VAR20 <= VAR154;
VAR30 <= VAR153;
VAR37 <= VAR162;
VAR157 <= VAR60;
VAR86 <= VAR116;
VAR90 <= VAR148;
VAR45 <= VAR65;
VAR18 <= VAR125;
VAR12 <= VAR43;
end
always@(posedge VAR8)
begin
VAR5 <= (!VAR35) ? VAR119 : VAR66;
VAR38 <= (!VAR35) ? VAR95 : VAR137;
VAR66 <= VAR36;
VAR137 <= VAR20;
VAR139 <= (!VAR35) ? VAR30 : VAR135;
VAR100 <= (!VAR35) ? VAR37 : VAR159;
VAR135 <= VAR157;
VAR159 <= VAR86;
VAR130 <= (!VAR35) ? VAR90 : VAR89;
VAR29 <= (!VAR35) ? VAR45 : VAR138;
VAR89 <= VAR18;
VAR138 <= VAR12;
end
MODULE2 MODULE1(.VAR94(VAR23), .VAR32(clk), .enable(1'b1), .VAR51(VAR6));
MODULE2 MODULE2(.VAR94(VAR75), .VAR32(clk), .enable(1'b1), .VAR51(VAR40));
MODULE2 MODULE3(.VAR94(VAR93), .VAR32(clk), .enable(1'b1), .VAR51(VAR140));
MODULE2 MODULE4(.VAR94(VAR129), .VAR32(clk), .enable(1'b1), .VAR51(VAR92));
always@(negedge VAR8)
VAR133<=clk;
always@(posedge VAR8)
VAR35<=VAR133;
VAR2 VAR10 (
.VAR56 (VAR8),
.VAR158 (VAR130),
.VAR105 (VAR29),
.VAR11 (VAR5),
.VAR124 (VAR38),
.VAR146 (VAR139),
.VAR4 (VAR100),
.VAR17 (VAR1),
.VAR72 (VAR62),
.VAR15 (1'b0),
.VAR47 (1'b0),
.VAR110 (1'b0),
.VAR115 (1'b0),
.VAR24 (1'b1),
.VAR28 (1'b1),
.VAR77 (1'b1),
.VAR98 (1'b1),
.VAR31 (1'b1),
.VAR42 (1'b1),
.VAR74 (1'b1),
.VAR44 (),
.VAR68 (1'b1),
.VAR25 (1'b1));
VAR10.VAR88 = "VAR71",
VAR10.VAR76 = "VAR108",
VAR10.VAR145 = "VAR108",
VAR10.VAR85 = "VAR108",
VAR10.VAR121 = "VAR108",
VAR10.VAR88 = "VAR71",
VAR10.VAR99 = "VAR71",
VAR10.VAR102 = "VAR71",
VAR10.VAR114 = "VAR71",
VAR10.VAR61 = "VAR161 VAR132",
VAR10.VAR103 = "VAR2",
VAR10.VAR83 = "VAR22",
VAR10.VAR53 = "VAR9",
VAR10.VAR122 = "VAR123",
VAR10.VAR33 = "VAR123",
VAR10.VAR49 = "VAR71",
VAR10.VAR141 = "VAR71",
VAR10.VAR109 = "VAR117",
VAR10.VAR107 = "VAR46",
VAR10.VAR64 = "VAR155",
VAR10.VAR160 = "VAR155",
VAR10.VAR104 = VAR34,
VAR10.VAR152 = VAR34,
VAR10.VAR91 = VAR14,
VAR10.VAR21 = VAR14,
VAR10.VAR113 = 1,
VAR10.VAR97 = 1,
VAR10.VAR16 = "VAR71";
always@(posedge VAR8)
begin
VAR111<=VAR1;
VAR151<=VAR62;
VAR7<=VAR111;
VAR156<=VAR151;
end
always@(posedge clk)
begin
VAR101 <= VAR7;
VAR80 <= VAR156;
VAR143 <= VAR111;
VAR87 <= VAR151;
end
endmodule
module MODULE2(VAR94, VAR32, enable, VAR51);
parameter VAR14 = 32;
parameter VAR78 = 1;
input [VAR14-1:0] VAR94;
input VAR32, enable;
output [VAR14-1:0] VAR51;
reg [VAR14-1:0] VAR134 [0:VAR78-1];
genvar VAR79;
generate
for(VAR79 = 0; VAR79<=VAR78-1; VAR79 = VAR79+1)
begin : VAR70
always @(posedge VAR32)
if (enable)
if (VAR79==0)
VAR134[0] <= VAR94;
end
else
VAR134[VAR79] <= VAR134[VAR79-1];
end
endgenerate
assign VAR51 = VAR134[VAR78-1];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/diode/sky130_fd_sc_ls__diode.pp.blackbox.v
| 1,226 |
module MODULE1 (
VAR2,
VAR5 ,
VAR1 ,
VAR4 ,
VAR3
);
input VAR2;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR3 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfrtp/sky130_fd_sc_ls__sdfrtp.behavioral.pp.v
| 2,884 |
module MODULE1 (
VAR25 ,
VAR19 ,
VAR29 ,
VAR18 ,
VAR30 ,
VAR12,
VAR1 ,
VAR14 ,
VAR11 ,
VAR21
);
output VAR25 ;
input VAR19 ;
input VAR29 ;
input VAR18 ;
input VAR30 ;
input VAR12;
input VAR1 ;
input VAR14 ;
input VAR11 ;
input VAR21 ;
wire VAR15 ;
wire VAR10 ;
wire VAR5 ;
reg VAR17 ;
wire VAR26 ;
wire VAR6 ;
wire VAR27 ;
wire VAR23;
wire VAR20 ;
wire VAR31 ;
wire VAR16 ;
wire VAR28 ;
wire VAR4 ;
wire VAR3 ;
wire VAR7 ;
not VAR9 (VAR10 , VAR23 );
VAR22 VAR8 (VAR5, VAR26, VAR6, VAR27 );
VAR2 VAR13 (VAR15 , VAR5, VAR20, VAR10, VAR17, VAR1, VAR14);
assign VAR31 = ( VAR1 === 1'b1 );
assign VAR16 = ( ( VAR23 === 1'b1 ) && VAR31 );
assign VAR28 = ( ( VAR27 === 1'b0 ) && VAR16 );
assign VAR4 = ( ( VAR27 === 1'b1 ) && VAR16 );
assign VAR3 = ( ( VAR26 !== VAR6 ) && VAR16 );
assign VAR7 = ( ( VAR12 === 1'b1 ) && VAR31 );
buf VAR24 (VAR25 , VAR15 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o31a/sky130_fd_sc_hd__o31a.behavioral.pp.v
| 2,015 |
module MODULE1 (
VAR9 ,
VAR14 ,
VAR16 ,
VAR4 ,
VAR5 ,
VAR8,
VAR13,
VAR1 ,
VAR2
);
output VAR9 ;
input VAR14 ;
input VAR16 ;
input VAR4 ;
input VAR5 ;
input VAR8;
input VAR13;
input VAR1 ;
input VAR2 ;
wire VAR15 ;
wire VAR17 ;
wire VAR12;
or VAR11 (VAR15 , VAR16, VAR14, VAR4 );
and VAR6 (VAR17 , VAR15, VAR5 );
VAR10 VAR7 (VAR12, VAR17, VAR8, VAR13);
buf VAR3 (VAR9 , VAR12 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/fah/sky130_fd_sc_ms__fah.behavioral.pp.v
| 2,616 |
module MODULE1 (
VAR12,
VAR5 ,
VAR19 ,
VAR21 ,
VAR25 ,
VAR7,
VAR17,
VAR8 ,
VAR16
);
output VAR12;
output VAR5 ;
input VAR19 ;
input VAR21 ;
input VAR25 ;
input VAR7;
input VAR17;
input VAR8 ;
input VAR16 ;
wire VAR3 ;
wire VAR11 ;
wire VAR1 ;
wire VAR18 ;
wire VAR6 ;
wire VAR14 ;
wire VAR26;
xor VAR22 (VAR3 , VAR19, VAR21, VAR25 );
VAR13 VAR20 (VAR11 , VAR3, VAR7, VAR17);
buf VAR2 (VAR5 , VAR11 );
and VAR23 (VAR1 , VAR19, VAR21 );
and VAR9 (VAR18 , VAR19, VAR25 );
and VAR4 (VAR6 , VAR21, VAR25 );
or VAR15 (VAR14 , VAR1, VAR18, VAR6 );
VAR13 VAR24 (VAR26, VAR14, VAR7, VAR17);
buf VAR10 (VAR12 , VAR26 );
endmodule
|
apache-2.0
|
trun/fpgaboy
|
src/gb/video_converter.v
| 3,457 |
module MODULE1 (
input wire reset,
input wire VAR40,
input wire [1:0] VAR33,
input wire VAR16, input wire VAR23, input wire VAR42,
input wire VAR11,
output wire [23:0] VAR9,
output wire VAR39,
output wire VAR44
);
parameter VAR8 = 10'd160;
parameter VAR43 = 10'd144;
reg VAR12;
wire[14:0] VAR7;
wire[1:0] VAR3;
wire[14:0] VAR2;
wire VAR29;
wire[1:0] VAR36;
wire[1:0] VAR21;
wire VAR34;
wire[14:0] VAR10;
wire VAR49;
wire[1:0] VAR45;
wire[1:0] VAR18;
wire VAR37;
reg[1:0] VAR35;
reg[14:0] VAR1;
assign VAR34 = VAR12 ? (VAR42) : 0;
assign VAR37 = VAR12 ? 0 : (VAR42);
assign VAR3 = (VAR12) ? VAR18 : VAR21;
assign VAR36 = (VAR12) ? VAR33 : 0;
assign VAR45 = (VAR12) ? 0 : VAR33;
VAR14 VAR15(.VAR20(VAR12), .VAR41(VAR29),
.VAR27(VAR11), .VAR19(VAR40));
VAR14 VAR17(.VAR20(VAR12), .VAR41(VAR49),
.VAR27(VAR40), .VAR19(VAR11));
VAR30 VAR38(
VAR2,
VAR29,
VAR36,
VAR21,
VAR34
);
VAR30 VAR32(
VAR10,
VAR49,
VAR45,
VAR18,
VAR37
);
reg VAR25;
reg VAR46;
reg VAR28;
reg [7:0] VAR31;
reg [7:0] VAR24;
always @ (posedge VAR40)
begin
if(reset)
begin
VAR12 <= 1'b0;
VAR25 <= 1'b0;
VAR46 <= 1'b0;
VAR28 <= 1'b0;
end
else
begin
VAR25 <= VAR23;
VAR46 <= VAR16;
VAR28 <= VAR42;
end
if (VAR46 && !VAR16)
begin
VAR31 <= VAR31 + 1;
end
if (VAR28 && !VAR42)
begin
VAR24 <= VAR24 + 1;
end
if(!VAR25 && VAR23)
begin
VAR12 <= !VAR12;
VAR31 <= 0;
VAR24 <= 0;
end
end
wire [9:0] VAR13, VAR4;
VAR47 VAR5(VAR11, reset, VAR39, VAR44, VAR13, VAR4);
assign VAR7 = VAR31 * 160 + VAR24;
parameter VAR22 = 160;
parameter VAR6 = 76;
wire[14:0] VAR26 = ((VAR4 - VAR6) >> 1) * 160 + ((VAR13 - VAR22) >> 1);
assign VAR2 = (VAR12) ? VAR7 : VAR26;
assign VAR10 = (VAR12) ? VAR26 : VAR7;
wire [7:0] VAR48 = (VAR13 >= VAR22 && VAR4 >= VAR6 && VAR13 < VAR22 + 320 && VAR4 < VAR6 + 288) ?
(VAR3 == 2'b00) ? 8'b11111111 :
((VAR3 == 2'b01) ? 8'b10101010 :
((VAR3 == 2'b10) ? 8'b01010101 : 8'b00000000)) : 8'b00000000;
assign VAR9 = { VAR48, VAR48, VAR48 };
endmodule
|
mit
|
smithe0/GestureControlInterface
|
DE2Component_FLASH/db/ip/niosII_system/submodules/niosII_system_nios2_qsys_0_jtag_debug_module_tck.v
| 8,572 |
module MODULE1 (
VAR5,
VAR1,
VAR19,
VAR18,
VAR30,
VAR3,
VAR7,
VAR10,
VAR17,
VAR20,
VAR2,
VAR13,
VAR4,
VAR27,
VAR24,
VAR40,
VAR36,
VAR25,
VAR21,
VAR26,
VAR38,
VAR15,
VAR39,
VAR12,
VAR23,
VAR14,
VAR32,
VAR16,
VAR9,
VAR11,
VAR28
)
;
output [ 1: 0] VAR32;
output VAR16;
output [ 37: 0] VAR9;
output VAR11;
output VAR28;
input [ 31: 0] VAR5;
input [ 31: 0] VAR1;
input VAR19;
input VAR18;
input VAR30;
input VAR3;
input VAR7;
input [ 1: 0] VAR10;
input VAR17;
input VAR20;
input VAR2;
input VAR13;
input VAR4;
input VAR27;
input VAR24;
input VAR40;
input [ 35: 0] VAR36;
input VAR25;
input [ 6: 0] VAR21;
input VAR26;
input VAR38;
input VAR15;
input VAR39;
input VAR12;
input VAR23;
input VAR14;
reg [ 2: 0] VAR22 ;
wire VAR8;
reg [ 1: 0] VAR32;
wire VAR16;
wire VAR6;
reg [ 37: 0] VAR9 ;
wire VAR11;
wire VAR28;
wire VAR29;
wire VAR35;
always @(posedge VAR27)
begin
if (VAR12)
case (VAR10)
2'b00: begin
VAR9[35] <= VAR8;
VAR9[34] <= VAR20;
VAR9[33] <= VAR4;
VAR9[32 : 1] <= VAR5;
VAR9[0] <= VAR6;
end
2'b01: begin
VAR9[35 : 0] <= VAR36;
VAR9[37] <= VAR25;
VAR9[36] <= VAR40;
end
2'b10: begin
VAR9[37] <= VAR39;
VAR9[36] <= VAR3;
VAR9[35] <= VAR30;
VAR9[34] <= VAR18;
VAR9[33] <= VAR19;
VAR9[32 : 1] <= VAR1;
VAR9[0] <= VAR15;
end
2'b11: begin
VAR9[15 : 12] <= 1'b0;
VAR9[11 : 2] <= VAR21;
VAR9[1] <= VAR38;
VAR9[0] <= VAR26;
end
endcase if (VAR23)
case (VAR22)
3'b000: begin
VAR9 <= {VAR24, VAR9[37 : 2], VAR24};
end
3'b001: begin
VAR9 <= {VAR24, VAR9[37 : 9], VAR24, VAR9[7 : 1]};
end
3'b010: begin
VAR9 <= {VAR24, VAR9[37 : 17], VAR24, VAR9[15 : 1]};
end
3'b011: begin
VAR9 <= {VAR24, VAR9[37 : 33], VAR24, VAR9[31 : 1]};
end
3'b100: begin
VAR9 <= {VAR24, VAR9[37], VAR24, VAR9[35 : 1]};
end
3'b101: begin
VAR9 <= {VAR24, VAR9[37 : 1]};
end
default: begin
VAR9 <= {VAR24, VAR9[37 : 2], VAR24};
end
endcase if (VAR14)
case (VAR10)
2'b00: begin
VAR22 <= 3'b100;
end
2'b01: begin
VAR22 <= 3'b101;
end
2'b10: begin
VAR22 <= 3'b101;
end
2'b11: begin
VAR22 <= 3'b010;
end
endcase end
assign VAR28 = VAR9[0];
assign VAR11 = VAR17;
assign VAR29 = VAR16;
VAR33 VAR34
(
.clk (VAR27),
.din (VAR7),
.dout (VAR8),
.VAR13 (VAR29)
);
assign VAR35 = VAR16;
VAR33 VAR31
(
.clk (VAR27),
.din (VAR2),
.dout (VAR6),
.VAR13 (VAR35)
);
always @(posedge VAR27 or negedge VAR16)
begin
if (VAR16 == 0)
VAR32 <= 2'b0;
end
else
VAR32 <= {VAR8, VAR6};
end
assign VAR16 = VAR13;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/addh/gf180mcu_fd_sc_mcu7t5v0__addh_1.functional.pp.v
| 1,190 |
module MODULE1( VAR10, VAR8, VAR3, VAR4, VAR12, VAR5 );
input VAR8, VAR3;
inout VAR12, VAR5;
output VAR10, VAR4;
and VAR16( VAR10, VAR8, VAR3 );
wire VAR2;
not VAR13( VAR2, VAR3 );
wire VAR9;
and VAR11( VAR9, VAR2, VAR8 );
wire VAR6;
not VAR1( VAR6, VAR8 );
wire VAR7;
and VAR15( VAR7, VAR6, VAR3 );
or VAR14( VAR4, VAR9, VAR7 );
endmodule
|
apache-2.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/verilog/FIFO_image_filter_src1_data_stream_1_V.v
| 3,990 |
module MODULE1
VAR27 = "VAR14",
VAR22 = 8,
VAR18 = 15,
VAR24 = 20000
)
(
input wire clk,
input wire reset,
output wire VAR7,
input wire VAR2,
input wire VAR1,
input wire [VAR22-1:0] VAR8,
output wire VAR12,
input wire VAR26,
input wire VAR13,
output wire [VAR22-1:0] VAR23
);
reg [VAR22-1:0] VAR16[0:VAR24-1];
reg [VAR22-1:0] VAR21 = 1'b0;
reg [VAR18-1:0] VAR3 = 1'b0;
reg [VAR18-1:0] VAR17 = 1'b0;
wire [VAR18-1:0] VAR5;
wire [VAR18-1:0] VAR11;
wire VAR25;
wire VAR19;
reg [VAR18-1:0] VAR20 = 1'b0;
reg VAR10 = 1'b1;
reg VAR6 = 1'b0;
reg [VAR22-1:0] VAR28 = 1'b0;
reg VAR4 = 1'b0;
reg [VAR22-1:0] VAR15 = 1'b0;
reg VAR9 = 1'b0;
assign VAR7 = VAR10;
assign VAR12 = VAR9;
assign VAR23 = VAR15;
assign VAR25 = VAR10 & VAR2 & VAR1;
assign VAR19 = VAR6 & VAR26 & (~VAR9 | VAR13);
assign VAR5 = !VAR25 ? VAR3 :
(VAR3 == VAR24 - 1) ? 1'b0 :
VAR3 + 1'b1;
assign VAR11 = !VAR19 ? VAR17 :
(VAR17 == VAR24 - 1) ? 1'b0 :
VAR17 + 1'b1;
always @(posedge clk) begin
if (reset == 1'b1)
VAR3 <= 1'b0;
end
else
VAR3 <= VAR5;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR17 <= 1'b0;
end
else
VAR17 <= VAR11;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR20 <= 1'b0;
end
else if (VAR25 & ~VAR19)
VAR20 <= VAR20 + 1'b1;
else if (~VAR25 & VAR19)
VAR20 <= VAR20 - 1'b1;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR10 <= 1'b1;
end
else if (VAR25 & ~VAR19)
VAR10 <= (VAR20 != VAR24 - 1);
else if (~VAR25 & VAR19)
VAR10 <= 1'b1;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR6 <= 1'b0;
end
else if (VAR25 & ~VAR19)
VAR6 <= 1'b1;
else if (~VAR25 & VAR19)
VAR6 <= (VAR20 != 1'b1);
end
always @(posedge clk) begin
if (VAR25)
VAR16[VAR3] <= VAR8;
end
always @(posedge clk) begin
VAR21 <= VAR16[VAR11];
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR28 <= 1'b0;
end
else if (VAR25)
VAR28 <= VAR8;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR4 <= 1'b0;
end
else if (VAR25 && VAR20 == VAR19)
VAR4 <= 1'b1;
else
VAR4 <= 1'b0;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR15 <= 1'b0;
end
else if (VAR19)
VAR15 <= VAR4? VAR28 : VAR21;
end
always @(posedge clk) begin
if (reset == 1'b1)
VAR9 <= 1'b0;
end
else if (VAR19)
VAR9 <= 1'b1;
else if (VAR26 & VAR13)
VAR9 <= 1'b0;
end
endmodule
|
gpl-3.0
|
borti4938/sd2snes
|
verilog/sd2snes_sa1/ipcore_dir/sa1_mult.v
| 9,820 |
module MODULE1 (
clk, VAR105, VAR19, VAR90
);
input clk;
output [31 : 0] VAR105;
input [15 : 0] VAR19;
input [15 : 0] VAR90;
wire \VAR70/VAR62 ;
wire \VAR70/VAR11 ;
wire \VAR70/VAR44 ;
wire \VAR70/VAR76 ;
wire \VAR70/VAR33 ;
wire \VAR70/VAR23 ;
wire \VAR70/VAR59 ;
wire \VAR70/VAR29 ;
wire \VAR70/VAR1 ;
wire \VAR70/VAR22 ;
wire \VAR70/VAR103 ;
wire \VAR70/VAR78 ;
wire \VAR70/VAR108 ;
wire \VAR70/VAR2 ;
wire \VAR70/VAR88 ;
wire \VAR70/VAR32 ;
wire \VAR70/VAR27 ;
wire \VAR70/VAR43 ;
wire \VAR70/VAR26 ;
wire \VAR70/VAR73 ;
wire \VAR70/VAR97 ;
wire \VAR70/VAR46 ;
wire \VAR70/VAR109 ;
wire \VAR70/VAR69 ;
wire \VAR70/VAR75 ;
wire \VAR70/VAR93 ;
wire \VAR70/VAR83 ;
wire \VAR70/VAR10 ;
wire \VAR70/VAR42 ;
wire \VAR70/VAR14 ;
wire \VAR70/VAR102 ;
wire \VAR70/VAR57 ;
wire \VAR80/VAR101<34>VAR81 ;
wire \VAR80/VAR101<33>VAR81 ;
wire \VAR80/VAR101<32>VAR81 ;
wire \VAR80/VAR101<31>VAR81 ;
VAR79 \VAR70/VAR8 (
.VAR50({VAR19[15], VAR19[15], VAR19[15], VAR19[14], VAR19[13], VAR19[12], VAR19[11], VAR19[10], VAR19[9], VAR19[8], VAR19[7], VAR19[6], VAR19[5], VAR19[4], VAR19[3], VAR19[2], VAR19[1], VAR19[0]}),
.VAR49({VAR90[15], VAR90[15], VAR90[15], VAR90[14], VAR90[13], VAR90[12], VAR90[11], VAR90[10], VAR90[9], VAR90[8], VAR90[7], VAR90[6], VAR90[5], VAR90[4], VAR90[3], VAR90[2], VAR90[1], VAR90[0]}),
.VAR61({\VAR70/VAR29 , \VAR80/VAR101<34>VAR81 , \VAR80/VAR101<33>VAR81 ,
\VAR80/VAR101<32>VAR81 , \VAR80/VAR101<31>VAR81 , \VAR70/VAR1 , \VAR70/VAR103
, \VAR70/VAR78 , \VAR70/VAR108 , \VAR70/VAR2 , \VAR70/VAR88 , \VAR70/VAR32 ,
\VAR70/VAR27 , \VAR70/VAR43 , \VAR70/VAR26 , \VAR70/VAR73 , \VAR70/VAR46 ,
\VAR70/VAR109 , \VAR70/VAR69 , \VAR70/VAR75 , \VAR70/VAR93 , \VAR70/VAR83 ,
\VAR70/VAR10 , \VAR70/VAR42 , \VAR70/VAR14 , \VAR70/VAR102 , \VAR70/VAR62 ,
\VAR70/VAR11 , \VAR70/VAR44 , \VAR70/VAR76 , \VAR70/VAR33 , \VAR70/VAR23 ,
\VAR70/VAR59 , \VAR70/VAR22 , \VAR70/VAR97 , \VAR70/VAR57 })
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR13 (
.VAR95(clk),
.VAR51(\VAR70/VAR29 ),
.VAR53(VAR105[31])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR65 (
.VAR95(clk),
.VAR51(\VAR70/VAR1 ),
.VAR53(VAR105[30])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR82 (
.VAR95(clk),
.VAR51(\VAR70/VAR103 ),
.VAR53(VAR105[29])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR5 (
.VAR95(clk),
.VAR51(\VAR70/VAR78 ),
.VAR53(VAR105[28])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR56 (
.VAR95(clk),
.VAR51(\VAR70/VAR108 ),
.VAR53(VAR105[27])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR84 (
.VAR95(clk),
.VAR51(\VAR70/VAR2 ),
.VAR53(VAR105[26])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR67 (
.VAR95(clk),
.VAR51(\VAR70/VAR88 ),
.VAR53(VAR105[25])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR47 (
.VAR95(clk),
.VAR51(\VAR70/VAR32 ),
.VAR53(VAR105[24])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR35 (
.VAR95(clk),
.VAR51(\VAR70/VAR27 ),
.VAR53(VAR105[23])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR15 (
.VAR95(clk),
.VAR51(\VAR70/VAR43 ),
.VAR53(VAR105[22])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR63 (
.VAR95(clk),
.VAR51(\VAR70/VAR26 ),
.VAR53(VAR105[21])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR98 (
.VAR95(clk),
.VAR51(\VAR70/VAR73 ),
.VAR53(VAR105[20])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR31 (
.VAR95(clk),
.VAR51(\VAR70/VAR46 ),
.VAR53(VAR105[19])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR6 (
.VAR95(clk),
.VAR51(\VAR70/VAR109 ),
.VAR53(VAR105[18])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR17 (
.VAR95(clk),
.VAR51(\VAR70/VAR69 ),
.VAR53(VAR105[17])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR87 (
.VAR95(clk),
.VAR51(\VAR70/VAR75 ),
.VAR53(VAR105[16])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR28 (
.VAR95(clk),
.VAR51(\VAR70/VAR93 ),
.VAR53(VAR105[15])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR112 (
.VAR95(clk),
.VAR51(\VAR70/VAR83 ),
.VAR53(VAR105[14])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR94 (
.VAR95(clk),
.VAR51(\VAR70/VAR10 ),
.VAR53(VAR105[13])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR20 (
.VAR95(clk),
.VAR51(\VAR70/VAR42 ),
.VAR53(VAR105[12])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR74 (
.VAR95(clk),
.VAR51(\VAR70/VAR14 ),
.VAR53(VAR105[11])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR58 (
.VAR95(clk),
.VAR51(\VAR70/VAR102 ),
.VAR53(VAR105[10])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR36 (
.VAR95(clk),
.VAR51(\VAR70/VAR62 ),
.VAR53(VAR105[9])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR86 (
.VAR95(clk),
.VAR51(\VAR70/VAR11 ),
.VAR53(VAR105[8])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR100 (
.VAR95(clk),
.VAR51(\VAR70/VAR44 ),
.VAR53(VAR105[7])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR40 (
.VAR95(clk),
.VAR51(\VAR70/VAR76 ),
.VAR53(VAR105[6])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR34 (
.VAR95(clk),
.VAR51(\VAR70/VAR33 ),
.VAR53(VAR105[5])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR24 (
.VAR95(clk),
.VAR51(\VAR70/VAR23 ),
.VAR53(VAR105[4])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR111 (
.VAR95(clk),
.VAR51(\VAR70/VAR59 ),
.VAR53(VAR105[3])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR21 (
.VAR95(clk),
.VAR51(\VAR70/VAR22 ),
.VAR53(VAR105[2])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR18 (
.VAR95(clk),
.VAR51(\VAR70/VAR97 ),
.VAR53(VAR105[1])
);
VAR30 #(
.VAR4 ( 1'b0 ))
\VAR70/VAR89 (
.VAR95(clk),
.VAR51(\VAR70/VAR57 ),
.VAR53(VAR105[0])
);
endmodule
module MODULE2 ();
parameter VAR16 = 100000;
parameter VAR96 = 0;
wire VAR107;
wire VAR64;
wire VAR39;
wire VAR113;
tri1 VAR37;
tri (weak1, strong0) VAR48 = VAR37;
wire VAR77;
wire VAR99;
reg VAR71;
reg VAR55;
reg VAR66;
wire VAR106;
wire VAR110;
wire VAR85;
wire VAR91;
wire VAR72;
reg VAR3;
reg VAR9;
reg VAR7;
reg VAR38;
reg VAR52;
reg VAR12 = 0;
reg VAR104 = 0 ;
reg VAR41 = 0;
reg VAR92 = 0;
reg VAR68 = 1'VAR60;
reg VAR25 = 1'VAR60;
reg VAR45 = 1'VAR60;
reg VAR54 = 1'VAR60;
assign (weak1, weak0) VAR107 = VAR71;
assign (weak1, weak0) VAR64 = VAR55;
assign (weak1, weak0) VAR113 = VAR66;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o21ba/sky130_fd_sc_hd__o21ba.functional.pp.v
| 2,037 |
module MODULE1 (
VAR6 ,
VAR7 ,
VAR3 ,
VAR1,
VAR11,
VAR10,
VAR2 ,
VAR5
);
output VAR6 ;
input VAR7 ;
input VAR3 ;
input VAR1;
input VAR11;
input VAR10;
input VAR2 ;
input VAR5 ;
wire VAR13 ;
wire VAR8 ;
wire VAR14;
nor VAR16 (VAR13 , VAR7, VAR3 );
nor VAR4 (VAR8 , VAR1, VAR13 );
VAR15 VAR9 (VAR14, VAR8, VAR11, VAR10);
buf VAR12 (VAR6 , VAR14 );
endmodule
|
apache-2.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_020.v
| 1,475 |
module MODULE1 (
VAR9,
VAR3
);
input [31:0] VAR9;
output [31:0]
VAR3;
wire [31:0]
VAR2,
VAR6,
VAR13,
VAR12,
VAR1,
VAR11,
VAR8,
VAR4;
assign VAR2 = VAR9;
assign VAR11 = VAR13 << 5;
assign VAR4 = VAR8 << 1;
assign VAR6 = VAR2 << 2;
assign VAR13 = VAR2 + VAR6;
assign VAR8 = VAR1 - VAR11;
assign VAR1 = VAR12 - VAR13;
assign VAR12 = VAR2 << 13;
assign VAR3 = VAR4;
endmodule
module MODULE2(
VAR9,
VAR3,
clk
);
input [31:0] VAR9;
output [31:0] VAR3;
reg [31:0] VAR3;
input clk;
reg [31:0] VAR7;
wire [30:0] VAR5;
always @(posedge clk) begin
VAR7 <= VAR9;
VAR3 <= VAR5;
end
MODULE1 MODULE1(
.VAR9(VAR7),
.VAR3(VAR5)
);
endmodule
|
mit
|
eda-globetrotter/PicenoDecoders
|
zhiyang_and_andrew/syncommschannel.v
| 11,761 |
module MODULE1();
wire VAR32; wire [1:0] VAR33; wire [1:0] VAR18; wire VAR25; wire [1:0] VAR2;
reg VAR13[0:255];
reg VAR35;
reg [7:0] VAR3;
reg VAR16;
reg VAR21;
reg [7:0] VAR17;
reg [1:0] VAR5;
reg [7:0] VAR14;
wire [1:0] VAR27;
wire [1:0] VAR6;
reg VAR30;
reg [7:0] VAR26;
reg [1:0] VAR4;
reg [1:0] VAR20;
reg VAR24;
reg VAR11;
integer VAR1;
parameter VAR15 = 9'd256;
VAR29 VAR8 (
VAR32,VAR20,VAR16,VAR11);
VAR10 VAR7 (
VAR2,VAR21,VAR16,VAR11);
VAR19 VAR31 (
VAR14,VAR27,VAR26);
VAR12 VAR22 (
VAR5,VAR27,VAR6);
VAR9 VAR34 (
VAR4,VAR33,VAR16,VAR11);
VAR9 VAR36 (
VAR20,VAR18,VAR16,VAR11);
VAR23 VAR28 (
VAR24,VAR25,VAR16,VAR11);
always begin
VAR16 = 0;
VAR16 = 1;
end
always@(posedge VAR16)
begin
if(VAR11)
begin
VAR21<=0;
VAR17<=0;
end
else
begin
VAR17<=VAR3;
VAR21<=VAR35;
end
end
always@(posedge VAR16)
begin
if(VAR11)
begin
VAR5<=0;
VAR14<=0;
VAR30<=0;
end
else
begin
VAR5<=VAR2;
VAR14<=VAR17;
VAR30<=VAR21;
end
end
always@(posedge VAR16)
begin
if(VAR11)
begin
VAR4<=0;
VAR20<=0;
VAR24<=0;
end
else
begin
VAR4<=VAR5;
VAR20<=VAR6;
VAR24<=VAR30;
end
end
begin
begin
begin
begin
end
begin
|
mit
|
hoglet67/CoPro6502
|
src/amber23/a23_barrel_shift.v
| 16,320 |
module MODULE1 (
input [31:0] VAR14,
input VAR7,
input [7:0] VAR3, input VAR6, input [1:0] VAR4,
output [31:0] VAR8,
output VAR9
);
wire [32:0] VAR5;
wire [32:0] VAR10;
wire [32:0] VAR12;
wire [32:0] VAR15;
assign VAR5 = VAR6 ? {VAR7, VAR14 } :
VAR3 == 8'VAR2 0 ? {VAR7, VAR14 } : VAR3 == 8'VAR2 1 ? {VAR14[31], VAR14[30: 0], 1'd0} :
VAR3 == 8'VAR2 2 ? {VAR14[30], VAR14[29: 0], 2'd0} :
VAR3 == 8'VAR2 3 ? {VAR14[29], VAR14[28: 0], 3'd0} :
VAR3 == 8'VAR2 4 ? {VAR14[28], VAR14[27: 0], 4'd0} :
VAR3 == 8'VAR2 5 ? {VAR14[27], VAR14[26: 0], 5'd0} :
VAR3 == 8'VAR2 6 ? {VAR14[26], VAR14[25: 0], 6'd0} :
VAR3 == 8'VAR2 7 ? {VAR14[25], VAR14[24: 0], 7'd0} :
VAR3 == 8'VAR2 8 ? {VAR14[24], VAR14[23: 0], 8'd0} :
VAR3 == 8'VAR2 9 ? {VAR14[23], VAR14[22: 0], 9'd0} :
VAR3 == 8'd10 ? {VAR14[22], VAR14[21: 0], 10'd0} :
VAR3 == 8'd11 ? {VAR14[21], VAR14[20: 0], 11'd0} :
VAR3 == 8'd12 ? {VAR14[20], VAR14[19: 0], 12'd0} :
VAR3 == 8'd13 ? {VAR14[19], VAR14[18: 0], 13'd0} :
VAR3 == 8'd14 ? {VAR14[18], VAR14[17: 0], 14'd0} :
VAR3 == 8'd15 ? {VAR14[17], VAR14[16: 0], 15'd0} :
VAR3 == 8'd16 ? {VAR14[16], VAR14[15: 0], 16'd0} :
VAR3 == 8'd17 ? {VAR14[15], VAR14[14: 0], 17'd0} :
VAR3 == 8'd18 ? {VAR14[14], VAR14[13: 0], 18'd0} :
VAR3 == 8'd19 ? {VAR14[13], VAR14[12: 0], 19'd0} :
VAR3 == 8'd20 ? {VAR14[12], VAR14[11: 0], 20'd0} :
VAR3 == 8'd21 ? {VAR14[11], VAR14[10: 0], 21'd0} :
VAR3 == 8'd22 ? {VAR14[10], VAR14[ 9: 0], 22'd0} :
VAR3 == 8'd23 ? {VAR14[ 9], VAR14[ 8: 0], 23'd0} :
VAR3 == 8'd24 ? {VAR14[ 8], VAR14[ 7: 0], 24'd0} :
VAR3 == 8'd25 ? {VAR14[ 7], VAR14[ 6: 0], 25'd0} :
VAR3 == 8'd26 ? {VAR14[ 6], VAR14[ 5: 0], 26'd0} :
VAR3 == 8'd27 ? {VAR14[ 5], VAR14[ 4: 0], 27'd0} :
VAR3 == 8'd28 ? {VAR14[ 4], VAR14[ 3: 0], 28'd0} :
VAR3 == 8'd29 ? {VAR14[ 3], VAR14[ 2: 0], 29'd0} :
VAR3 == 8'd30 ? {VAR14[ 2], VAR14[ 1: 0], 30'd0} :
VAR3 == 8'd31 ? {VAR14[ 1], VAR14[ 0: 0], 31'd0} :
VAR3 == 8'd32 ? {VAR14[ 0], 32'd0 } : {1'd0, 32'd0 } ;
assign VAR10 = VAR6 ? {VAR14[31], 32'd0 } :
VAR3 == 8'VAR2 0 ? {VAR7, VAR14 } : VAR3 == 8'VAR2 1 ? {VAR14[ 0], 1'd0, VAR14[31: 1]} :
VAR3 == 8'VAR2 2 ? {VAR14[ 1], 2'd0, VAR14[31: 2]} :
VAR3 == 8'VAR2 3 ? {VAR14[ 2], 3'd0, VAR14[31: 3]} :
VAR3 == 8'VAR2 4 ? {VAR14[ 3], 4'd0, VAR14[31: 4]} :
VAR3 == 8'VAR2 5 ? {VAR14[ 4], 5'd0, VAR14[31: 5]} :
VAR3 == 8'VAR2 6 ? {VAR14[ 5], 6'd0, VAR14[31: 6]} :
VAR3 == 8'VAR2 7 ? {VAR14[ 6], 7'd0, VAR14[31: 7]} :
VAR3 == 8'VAR2 8 ? {VAR14[ 7], 8'd0, VAR14[31: 8]} :
VAR3 == 8'VAR2 9 ? {VAR14[ 8], 9'd0, VAR14[31: 9]} :
VAR3 == 8'd10 ? {VAR14[ 9], 10'd0, VAR14[31:10]} :
VAR3 == 8'd11 ? {VAR14[10], 11'd0, VAR14[31:11]} :
VAR3 == 8'd12 ? {VAR14[11], 12'd0, VAR14[31:12]} :
VAR3 == 8'd13 ? {VAR14[12], 13'd0, VAR14[31:13]} :
VAR3 == 8'd14 ? {VAR14[13], 14'd0, VAR14[31:14]} :
VAR3 == 8'd15 ? {VAR14[14], 15'd0, VAR14[31:15]} :
VAR3 == 8'd16 ? {VAR14[15], 16'd0, VAR14[31:16]} :
VAR3 == 8'd17 ? {VAR14[16], 17'd0, VAR14[31:17]} :
VAR3 == 8'd18 ? {VAR14[17], 18'd0, VAR14[31:18]} :
VAR3 == 8'd19 ? {VAR14[18], 19'd0, VAR14[31:19]} :
VAR3 == 8'd20 ? {VAR14[19], 20'd0, VAR14[31:20]} :
VAR3 == 8'd21 ? {VAR14[20], 21'd0, VAR14[31:21]} :
VAR3 == 8'd22 ? {VAR14[21], 22'd0, VAR14[31:22]} :
VAR3 == 8'd23 ? {VAR14[22], 23'd0, VAR14[31:23]} :
VAR3 == 8'd24 ? {VAR14[23], 24'd0, VAR14[31:24]} :
VAR3 == 8'd25 ? {VAR14[24], 25'd0, VAR14[31:25]} :
VAR3 == 8'd26 ? {VAR14[25], 26'd0, VAR14[31:26]} :
VAR3 == 8'd27 ? {VAR14[26], 27'd0, VAR14[31:27]} :
VAR3 == 8'd28 ? {VAR14[27], 28'd0, VAR14[31:28]} :
VAR3 == 8'd29 ? {VAR14[28], 29'd0, VAR14[31:29]} :
VAR3 == 8'd30 ? {VAR14[29], 30'd0, VAR14[31:30]} :
VAR3 == 8'd31 ? {VAR14[30], 31'd0, VAR14[31 ]} :
VAR3 == 8'd32 ? {VAR14[31], 32'd0 } :
{1'd0, 32'd0 } ;
assign VAR12 = VAR6 ? {VAR14[31], {32{VAR14[31]}} } :
VAR3 == 8'VAR2 0 ? {VAR7, VAR14 } : VAR3 == 8'VAR2 1 ? {VAR14[ 0], { 2{VAR14[31]}}, VAR14[30: 1]} :
VAR3 == 8'VAR2 2 ? {VAR14[ 1], { 3{VAR14[31]}}, VAR14[30: 2]} :
VAR3 == 8'VAR2 3 ? {VAR14[ 2], { 4{VAR14[31]}}, VAR14[30: 3]} :
VAR3 == 8'VAR2 4 ? {VAR14[ 3], { 5{VAR14[31]}}, VAR14[30: 4]} :
VAR3 == 8'VAR2 5 ? {VAR14[ 4], { 6{VAR14[31]}}, VAR14[30: 5]} :
VAR3 == 8'VAR2 6 ? {VAR14[ 5], { 7{VAR14[31]}}, VAR14[30: 6]} :
VAR3 == 8'VAR2 7 ? {VAR14[ 6], { 8{VAR14[31]}}, VAR14[30: 7]} :
VAR3 == 8'VAR2 8 ? {VAR14[ 7], { 9{VAR14[31]}}, VAR14[30: 8]} :
VAR3 == 8'VAR2 9 ? {VAR14[ 8], {10{VAR14[31]}}, VAR14[30: 9]} :
VAR3 == 8'd10 ? {VAR14[ 9], {11{VAR14[31]}}, VAR14[30:10]} :
VAR3 == 8'd11 ? {VAR14[10], {12{VAR14[31]}}, VAR14[30:11]} :
VAR3 == 8'd12 ? {VAR14[11], {13{VAR14[31]}}, VAR14[30:12]} :
VAR3 == 8'd13 ? {VAR14[12], {14{VAR14[31]}}, VAR14[30:13]} :
VAR3 == 8'd14 ? {VAR14[13], {15{VAR14[31]}}, VAR14[30:14]} :
VAR3 == 8'd15 ? {VAR14[14], {16{VAR14[31]}}, VAR14[30:15]} :
VAR3 == 8'd16 ? {VAR14[15], {17{VAR14[31]}}, VAR14[30:16]} :
VAR3 == 8'd17 ? {VAR14[16], {18{VAR14[31]}}, VAR14[30:17]} :
VAR3 == 8'd18 ? {VAR14[17], {19{VAR14[31]}}, VAR14[30:18]} :
VAR3 == 8'd19 ? {VAR14[18], {20{VAR14[31]}}, VAR14[30:19]} :
VAR3 == 8'd20 ? {VAR14[19], {21{VAR14[31]}}, VAR14[30:20]} :
VAR3 == 8'd21 ? {VAR14[20], {22{VAR14[31]}}, VAR14[30:21]} :
VAR3 == 8'd22 ? {VAR14[21], {23{VAR14[31]}}, VAR14[30:22]} :
VAR3 == 8'd23 ? {VAR14[22], {24{VAR14[31]}}, VAR14[30:23]} :
VAR3 == 8'd24 ? {VAR14[23], {25{VAR14[31]}}, VAR14[30:24]} :
VAR3 == 8'd25 ? {VAR14[24], {26{VAR14[31]}}, VAR14[30:25]} :
VAR3 == 8'd26 ? {VAR14[25], {27{VAR14[31]}}, VAR14[30:26]} :
VAR3 == 8'd27 ? {VAR14[26], {28{VAR14[31]}}, VAR14[30:27]} :
VAR3 == 8'd28 ? {VAR14[27], {29{VAR14[31]}}, VAR14[30:28]} :
VAR3 == 8'd29 ? {VAR14[28], {30{VAR14[31]}}, VAR14[30:29]} :
VAR3 == 8'd30 ? {VAR14[29], {31{VAR14[31]}}, VAR14[30 ]} :
VAR3 == 8'd31 ? {VAR14[30], {32{VAR14[31]}} } :
{VAR14[31], {32{VAR14[31]}} } ;
assign VAR15 = VAR6 ? {VAR14[ 0], VAR7, VAR14[31: 1]} :
VAR3[7:0] == 8'VAR2 0 ? {VAR7, VAR14 } :
VAR3[4:0] == 5'VAR2 0 ? {VAR14[31], VAR14 } : VAR3[4:0] == 5'VAR2 1 ? {VAR14[ 0], VAR14[ 0], VAR14[31: 1]} :
VAR3[4:0] == 5'VAR2 2 ? {VAR14[ 1], VAR14[ 1: 0], VAR14[31: 2]} :
VAR3[4:0] == 5'VAR2 3 ? {VAR14[ 2], VAR14[ 2: 0], VAR14[31: 3]} :
VAR3[4:0] == 5'VAR2 4 ? {VAR14[ 3], VAR14[ 3: 0], VAR14[31: 4]} :
VAR3[4:0] == 5'VAR2 5 ? {VAR14[ 4], VAR14[ 4: 0], VAR14[31: 5]} :
VAR3[4:0] == 5'VAR2 6 ? {VAR14[ 5], VAR14[ 5: 0], VAR14[31: 6]} :
VAR3[4:0] == 5'VAR2 7 ? {VAR14[ 6], VAR14[ 6: 0], VAR14[31: 7]} :
VAR3[4:0] == 5'VAR2 8 ? {VAR14[ 7], VAR14[ 7: 0], VAR14[31: 8]} :
VAR3[4:0] == 5'VAR2 9 ? {VAR14[ 8], VAR14[ 8: 0], VAR14[31: 9]} :
VAR3[4:0] == 5'd10 ? {VAR14[ 9], VAR14[ 9: 0], VAR14[31:10]} :
VAR3[4:0] == 5'd11 ? {VAR14[10], VAR14[10: 0], VAR14[31:11]} :
VAR3[4:0] == 5'd12 ? {VAR14[11], VAR14[11: 0], VAR14[31:12]} :
VAR3[4:0] == 5'd13 ? {VAR14[12], VAR14[12: 0], VAR14[31:13]} :
VAR3[4:0] == 5'd14 ? {VAR14[13], VAR14[13: 0], VAR14[31:14]} :
VAR3[4:0] == 5'd15 ? {VAR14[14], VAR14[14: 0], VAR14[31:15]} :
VAR3[4:0] == 5'd16 ? {VAR14[15], VAR14[15: 0], VAR14[31:16]} :
VAR3[4:0] == 5'd17 ? {VAR14[16], VAR14[16: 0], VAR14[31:17]} :
VAR3[4:0] == 5'd18 ? {VAR14[17], VAR14[17: 0], VAR14[31:18]} :
VAR3[4:0] == 5'd19 ? {VAR14[18], VAR14[18: 0], VAR14[31:19]} :
VAR3[4:0] == 5'd20 ? {VAR14[19], VAR14[19: 0], VAR14[31:20]} :
VAR3[4:0] == 5'd21 ? {VAR14[20], VAR14[20: 0], VAR14[31:21]} :
VAR3[4:0] == 5'd22 ? {VAR14[21], VAR14[21: 0], VAR14[31:22]} :
VAR3[4:0] == 5'd23 ? {VAR14[22], VAR14[22: 0], VAR14[31:23]} :
VAR3[4:0] == 5'd24 ? {VAR14[23], VAR14[23: 0], VAR14[31:24]} :
VAR3[4:0] == 5'd25 ? {VAR14[24], VAR14[24: 0], VAR14[31:25]} :
VAR3[4:0] == 5'd26 ? {VAR14[25], VAR14[25: 0], VAR14[31:26]} :
VAR3[4:0] == 5'd27 ? {VAR14[26], VAR14[26: 0], VAR14[31:27]} :
VAR3[4:0] == 5'd28 ? {VAR14[27], VAR14[27: 0], VAR14[31:28]} :
VAR3[4:0] == 5'd29 ? {VAR14[28], VAR14[28: 0], VAR14[31:29]} :
VAR3[4:0] == 5'd30 ? {VAR14[29], VAR14[29: 0], VAR14[31:30]} :
{VAR14[30], VAR14[30: 0], VAR14[31:31]} ;
assign {VAR9, VAR8} = VAR4 == VAR11 ? VAR5 :
VAR4 == VAR13 ? VAR10 :
VAR4 == VAR1 ? VAR12 :
VAR15 ;
endmodule
|
gpl-3.0
|
manu3193/ControladorElevadorTDD
|
VerificadorSentidoMovimiento.v
| 3,849 |
module MODULE1(
clk,
VAR2,
VAR6,
VAR4,
VAR14,
VAR15,
VAR13,
VAR7,
VAR16,
VAR5,
VAR9,
VAR12,
VAR17,
VAR10
);
input [2:0] VAR6;
input [1:0] VAR4, VAR14, VAR15, VAR13, VAR7;
input clk, VAR2;
output reg [1:0] VAR16;
always @(posedge clk)
begin
if (VAR6 == 3'b000)
begin
if (VAR4 == 2'VAR11) VAR16 <= 2'b00;
if (VAR4 == 2'VAR3)
begin
VAR16 <= 2'b10;
VAR16 <= 2'b01;
end
if (VAR14 == 2'VAR3 | VAR15 == 2'VAR3 | VAR13 == 2'VAR3 | VAR7 == 2'VAR3)
VAR16 <= 2'b10;
end
else if (VAR6 == 3'b001)
begin
if (VAR14 == 2'VAR1)
VAR16 <= 01;
if (VAR4 == 2'VAR11)
begin
VAR16 <= 2'b11;
VAR16 <= 2'b01;
end
if (VAR15 == 2'VAR8)
begin
VAR16 <= 2'b10;
VAR16 <= 2'b01;
end
if (VAR13 == 2'VAR8 | VAR7 == 2'VAR8)
VAR16 <= 2'b10;
end
else if (VAR13 == 2'VAR11 | VAR7 == 2'VAR11)
VAR16 <= 2'b11;
end
else if (VAR6 == 3'b010)
begin
if (VAR15 == 2'VAR1)
VAR16 <= 01;
if (VAR14 == 2'VAR11)
begin
VAR16 <= 2'b11;
VAR16 <= 2'b01;
end
if (VAR13 == 2'VAR8)
begin
VAR16 <= 2'b10;
VAR16 <= 2'b01;
end
if (VAR4 == 2'VAR8 | VAR13 == 2'VAR8)
VAR16 <= 2'b10;
end
else if (VAR4 == 2'VAR11 | VAR13 == 2'VAR11)
VAR16 <= 2'b11;
end
else if (VAR6 == 3'b011)
begin
if (VAR13 == 2'VAR1)
VAR16 <= 01;
if (VAR15 == 2'VAR11)
begin
VAR16 <= 2'b11;
VAR16 <= 2'b01;
end
if (VAR7 == 2'VAR8)
begin
VAR16 <= 2'b10;
VAR16 <= 2'b01;
end
if (VAR4 == 2'VAR8 | VAR14 == 2'VAR8)
VAR16 <= 2'b10;
end
else if (VAR4 == 2'VAR11 | VAR14 == 2'VAR11)
VAR16 <= 2'b11;
end
else if (VAR6 == 3'b100)
begin
if (VAR7 == 2'VAR8) VAR16 <= 2'b00;
if (VAR7 == 2'VAR18)
begin
VAR16 <= 2'b11;
VAR16 <= 2'b01;
end
if (VAR4 == 2'VAR18 | VAR14 == 2'VAR18 | VAR15 == 2'VAR18 | VAR13 == 2'VAR18)
VAR16 <= 2'b11;
end
end
endmodule
|
mit
|
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/sha256_dsp48e1.v
| 9,358 |
module MODULE2 (
input clk,
input [511:0] VAR151,
input [255:0] VAR66,
output reg [255:0] VAR119,
output [31:0] VAR165
);
localparam VAR95 = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2};
wire [31:0] VAR87, VAR20;
wire [47:0] VAR109;
MODULE1 MODULE1 (
.VAR129 (VAR66[VAR143(7)]),
.VAR115 (VAR151[VAR143(0)]),
.VAR89 (32'h428a2f98),
.VAR44 (VAR87),
.VAR126 (VAR20)
);
MODULE4 MODULE2 (
.clk (clk),
.VAR112 ({16'd0, VAR87}),
.VAR89 ({16'd0, VAR20[30:0], 1'b0}),
.VAR90 (),
.VAR46 (),
.VAR157 (VAR109)
);
reg [511:0] VAR21, VAR32, VAR27;
genvar VAR6;
generate
for (VAR6 = 0; VAR6 < 64; VAR6 = VAR6 + 1) begin : VAR77
wire [511:0] VAR28;
wire [47:0] VAR131;
wire [223:0] state;
wire [511:0] VAR163;
wire [47:0] VAR102;
wire [223:0] VAR15;
if (VAR6 == 0)
assign VAR28 = VAR21;
end
else
assign VAR28 = VAR77[VAR6-1].VAR163;
if (VAR6 == 0)
assign VAR131 = VAR109;
else
assign VAR131 = VAR77[VAR6-1].VAR102;
if (VAR6 == 0)
assign state = VAR66[223:0];
else
assign state = VAR77[VAR6-1].VAR15;
MODULE3 # (.VAR138 (VAR95[VAR143((126 - VAR6) & 63)])) VAR127 (
.clk (clk),
.VAR151 (VAR28),
.VAR81 (VAR131),
.VAR66 (state),
.VAR163 (VAR163),
.VAR102 (VAR102),
.VAR15 (VAR15)
);
end
endgenerate
assign VAR165 = VAR77[60].VAR15[VAR143(4)];
reg [31:0] VAR10, VAR101, VAR50, VAR154;
always @ (posedge clk)
begin
{VAR27, VAR32, VAR21} <= {VAR32, VAR21, VAR151};
VAR10 <= VAR77[62].VAR15[VAR143(6)];
{VAR154, VAR50, VAR101} <= {VAR50, VAR101, VAR10};
VAR119[VAR143(7)] <= VAR154 + VAR66[VAR143(7)];
VAR119[VAR143(6)] <= VAR77[63].VAR15[VAR143(6)] + VAR66[VAR143(6)];
VAR119[VAR143(5)] <= VAR77[63].VAR15[VAR143(5)] + VAR66[VAR143(5)];
VAR119[VAR143(4)] <= VAR77[63].VAR15[VAR143(4)] + VAR66[VAR143(4)];
VAR119[VAR143(3)] <= VAR77[63].VAR15[VAR143(3)] + VAR66[VAR143(3)];
VAR119[VAR143(2)] <= VAR77[63].VAR15[VAR143(2)] + VAR66[VAR143(2)];
VAR119[VAR143(1)] <= VAR77[63].VAR15[VAR143(1)] + VAR66[VAR143(1)];
VAR119[VAR143(0)] <= VAR77[63].VAR15[VAR143(0)] + VAR66[VAR143(0)];
end
endmodule
module MODULE1 (
input [31:0] VAR129,
input [31:0] VAR115,
input [31:0] VAR89,
output [31:0] VAR44,
output [31:0] VAR126
);
assign VAR44 = VAR129 ^ VAR115 ^ VAR89;
assign VAR126 = VAR63(VAR129, VAR115, VAR89);
endmodule
module MODULE3 # (
parameter [31:0] VAR138 = 32'd0
) (
input clk,
input [511:0] VAR151,
input [47:0] VAR81,
input [223:0] VAR66,
output [511:0] VAR163,
output [47:0] VAR102,
output [223:0] VAR15
);
reg [511:0] VAR79;
reg [479:0] VAR130, VAR33, VAR147;
reg [31:0] VAR100, VAR26, VAR29, VAR76, VAR36;
wire [31:0] VAR14;
wire [31:0] VAR68;
VAR14 VAR91 (VAR79[VAR143(1)], VAR14);
VAR68 VAR144 (VAR79[VAR143(14)], VAR68);
always @ (posedge clk)
begin
VAR76 <= VAR14 + VAR79[VAR143(0)];
VAR36 <= VAR68 + VAR79[VAR143(9)];
VAR100 <= VAR76 + VAR36;
VAR29 <= VAR100;
end
always @ (posedge clk)
begin
VAR79 <= VAR151;
{VAR147, VAR33, VAR130} <= {VAR33, VAR130, VAR79[511:32]};
end
assign VAR163[479:0] = VAR147;
assign VAR163[VAR143(15)] = VAR29;
reg [31:0] VAR18, VAR40, VAR60, d0, VAR108, VAR142, VAR54, VAR150, VAR113, VAR156;
reg [63:0] VAR4, VAR73, VAR53, VAR75, VAR141;
reg [95:0] VAR2, VAR88, VAR45, VAR103, VAR159;
wire [31:0] VAR65;
wire [31:0] VAR110;
wire [31:0] VAR149;
wire [31:0] VAR140;
wire [31:0] VAR87, VAR20;
wire [47:0] VAR24, VAR3;
wire [31:0] VAR84, VAR7;
VAR149 VAR104 (VAR2[VAR143(0)], VAR149);
VAR65 VAR1 (VAR66[VAR143(4)], VAR65);
VAR110 VAR153 (VAR66[VAR143(4)], VAR66[VAR143(5)], VAR66[VAR143(6)], VAR110);
VAR140 VAR97 (VAR2[VAR143(0)], VAR2[VAR143(1)], VAR2[VAR143(2)], VAR140);
MODULE4 # (.VAR69(7'b0011111)) VAR134 (
.clk (clk),
.VAR112 ({16'd0,VAR65}),
.VAR89 ({16'd0,VAR110}),
.VAR90 (VAR81),
.VAR46 (VAR24),
.VAR157 (VAR3)
);
MODULE4 # (.VAR69(7'b0011111)) VAR148 (
.clk (clk),
.VAR112 ({16'd0,VAR149}),
.VAR89 ({16'd0,VAR140}),
.VAR90 (VAR3),
.VAR46 (VAR84),
.VAR157 ()
);
MODULE4 MODULE3 (
.clk (clk),
.VAR112 ({16'd0,VAR108}),
.VAR89 (VAR24),
.VAR90 (),
.VAR46 (VAR15[VAR143(4)]),
.VAR157 ()
);
MODULE1 MODULE1 (
.VAR129 (VAR40),
.VAR115 (VAR130[VAR143(0)]),
.VAR89 (VAR138),
.VAR44 (VAR87),
.VAR126 (VAR20)
);
MODULE4 MODULE2 (
.clk (clk),
.VAR112 ({16'd0,VAR113}),
.VAR89 ({16'd0,VAR156[30:0],1'b0}),
.VAR90 (),
.VAR46 (),
.VAR157 (VAR102)
);
always @ (posedge clk)
begin
{VAR113, VAR156} <= {VAR87, VAR20};
{VAR60, VAR40, VAR18} <= {VAR40, VAR18, VAR66[VAR143(6)]};
{VAR141, VAR75, VAR53, VAR73, VAR4} <= {VAR75, VAR53, VAR73, VAR4, VAR66[VAR143(5)], VAR66[VAR143(4)]};
{VAR159, VAR103, VAR45, VAR88, VAR2} <= {VAR103, VAR45, VAR88, VAR2, VAR66[VAR143(2)], VAR66[VAR143(1)], VAR66[VAR143(0)]};
{VAR108, d0} <= {d0, VAR66[VAR143(3)]};
{VAR54, VAR142} <= {VAR142, VAR84};
end
assign {VAR15[VAR143(6)], VAR15[VAR143(5)]} = VAR75;
assign {VAR15[VAR143(3)], VAR15[VAR143(2)], VAR15[VAR143(1)]} = VAR103;
assign VAR15[VAR143(0)] = VAR142;
endmodule
module MODULE4 # (
parameter VAR69 = 7'b0001111
) (
input clk,
input [47:0] VAR90,
input [47:0] VAR112,
input [47:0] VAR89,
output [47:0] VAR46,
output [47:0] VAR157
);
VAR34 #(
.VAR55("VAR67"),
.VAR120("VAR67"),
.VAR11("VAR70"),
.VAR25("VAR49"),
.VAR164("VAR8"),
.VAR51(48'h3fffffffffff),
.VAR155(48'h000000000000),
.VAR35("VAR51"),
.VAR52("VAR155"),
.VAR37("VAR122"),
.VAR167(1),
.VAR107(0),
.VAR9(1),
.VAR111(1),
.VAR58(1),
.VAR125(1),
.VAR43(1),
.VAR93(1),
.VAR17(1),
.VAR74(0),
.VAR135(1),
.VAR59(0),
.VAR117(1),
.VAR23(1),
.VAR48("VAR72")
) VAR57 (
.VAR61(),
.VAR114(),
.VAR105(),
.VAR42(),
.VAR16(VAR157),
.VAR99(),
.VAR71(),
.VAR162(),
.VAR106(),
.VAR124(),
.VAR161(VAR46),
.VAR145(),
.VAR13(),
.VAR158(1'b0),
.VAR78(1'b0),
.VAR22(VAR90),
.VAR47(4'b0000),
.VAR137(3'b000),
.VAR62(1'b1),
.VAR41(clk),
.VAR19(5'b00000),
.VAR69(VAR69),
.VAR39(1'b0),
.VAR118(VAR112[47:18]),
.VAR132(VAR112[17:0]),
.VAR82(VAR89),
.VAR136(1'b0),
.VAR133(),
.VAR146(1'b1),
.VAR64(1'b1),
.VAR83(1'b0),
.VAR166(1'b1),
.VAR85(1'b1),
.VAR94(1'b1),
.VAR31(1'b1),
.VAR30(1'b1),
.VAR56(1'b1),
.VAR128(1'b0),
.VAR86(1'b0),
.VAR98(1'b1),
.VAR38(1'b0),
.VAR123(1'b0),
.VAR5(1'b0),
.VAR96(1'b0),
.VAR152(1'b0),
.VAR12(1'b0),
.VAR160(1'b0),
.VAR139(1'b0),
.VAR80(1'b0)
);
endmodule
|
gpl-3.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/triple_speed_ethernet-library/altera_tse_pcs_pma.v
| 12,261 |
module MODULE1 (
address,
clk,
VAR94,
VAR83,
VAR19,
VAR79,
VAR2,
VAR75,
VAR57,
VAR56,
read,
VAR20,
reset,
VAR72,
VAR99,
VAR38,
write,
VAR26,
VAR81,
VAR11,
VAR16,
VAR104,
VAR58,
VAR32,
VAR96,
VAR95,
VAR64,
VAR7,
VAR43,
VAR29,
VAR9,
VAR34,
VAR54,
VAR93,
VAR51,
VAR40,
VAR53,
VAR36,
VAR47,
VAR17,
VAR85,
VAR86,
VAR76,
VAR35,
VAR66
);
parameter VAR59 = 32'VAR44 00000000; parameter VAR50 = 16'VAR44 0001 ; parameter VAR48 = 1; parameter VAR101 = 1'b0; parameter VAR3 = "VAR84"; parameter VAR1 = 1'b1; parameter VAR88 = 0; parameter VAR21 = 3;
output [7:0] VAR81;
output VAR11;
output VAR16;
output VAR104;
output VAR58;
output VAR32;
output VAR96;
output VAR95;
output VAR64;
output VAR7;
output VAR43;
output VAR29;
output [3:0] VAR9;
output VAR34;
output VAR54;
output VAR93;
output [15:0] VAR51;
output VAR40;
output VAR47;
output VAR17;
output VAR85;
output VAR86;
output VAR53;
output VAR36;
output VAR76;
output VAR35;
output VAR66;
input [4:0] address;
input clk;
input [7:0] VAR94;
input VAR83;
input VAR19;
input VAR2;
input VAR79;
input [3:0] VAR75;
input VAR57;
input VAR56;
input read;
input VAR20;
input reset;
input VAR72;
input VAR99;
input VAR38;
input write;
input [15:0] VAR26;
wire VAR92;
wire VAR61;
wire VAR87;
wire [7:0] VAR81;
wire VAR11;
wire VAR16;
wire VAR104;
wire VAR58;
wire VAR32;
wire VAR96;
wire VAR95;
wire VAR64;
wire VAR7;
wire VAR43;
wire VAR29;
wire [3:0] VAR9;
wire VAR34;
wire VAR54;
wire [15:0] VAR51;
wire VAR40;
wire VAR47;
wire VAR17;
wire VAR85;
wire VAR23;
wire [9:0] VAR55;
wire [9:0] VAR5;
wire VAR86;
wire VAR53;
wire VAR36;
wire VAR76;
wire VAR66;
wire VAR4;
wire VAR6;
wire VAR33;
wire [9:0] VAR82;
reg [9:0] VAR100;
reg [9:0] VAR73;
wire VAR91,VAR98,VAR15,VAR28;
wire VAR90,VAR52,VAR60;
assign VAR35 = VAR23;
VAR41 VAR70 (
.clk(VAR86),
.VAR45(VAR60),
.VAR27(VAR90)
);
VAR41 VAR97 (
.clk(VAR40),
.VAR45(VAR60),
.VAR27(VAR52)
);
assign VAR92 = VAR72 | VAR52;
assign VAR61 = VAR99 | VAR90;
assign VAR87 = reset | VAR60;
VAR39 VAR62
(
.VAR81 (VAR81),
.VAR11 (VAR11),
.VAR16 (VAR16),
.VAR94 (VAR94),
.VAR83 (VAR83),
.VAR19 (VAR19),
.VAR104 (VAR104),
.VAR58 (VAR58),
.VAR32 (VAR32),
.VAR96 (VAR96),
.VAR95 (VAR95),
.VAR64 (VAR64),
.VAR7 (VAR7),
.VAR43 (VAR43),
.VAR29 (VAR29),
.VAR9 (VAR9),
.VAR34 (VAR34),
.VAR54 (VAR54),
.VAR75 (VAR75),
.VAR57 (VAR57),
.VAR56 (VAR56),
.VAR30 (address),
.VAR42 (VAR66),
.VAR69 (clk),
.VAR18 (VAR26),
.VAR68 (VAR51),
.VAR74 (read),
.VAR13 (write),
.VAR31 (VAR87),
.VAR72 (VAR92),
.VAR99 (VAR61),
.VAR40 (VAR40),
.VAR53(VAR53),
.VAR36(VAR36),
.VAR20(1'b0),
.VAR47 (VAR47),
.VAR17 (VAR17),
.VAR85 (VAR85),
.VAR4(VAR4),
.VAR71(VAR6),
.VAR23 (VAR23),
.VAR55 (VAR55),
.VAR77 (VAR77),
.VAR5 (VAR5),
.VAR86 (VAR86)
);
VAR62.VAR59 = VAR59,
VAR62.VAR50 = VAR50,
VAR62.VAR48 = VAR48;
generate if (VAR101 == 1)
begin
assign VAR33 = VAR2;
assign VAR93 = VAR6;
end
else
begin
assign VAR33 = VAR6;
assign VAR93 = 1'b0;
end
endgenerate
generate if (VAR3 != "VAR84" && VAR1 == 1)
begin
assign VAR77 = VAR20;
assign VAR55 = VAR100;
VAR41 VAR12 (
.clk(VAR20),
.VAR45(reset),
.VAR27(VAR78)
);
VAR41 VAR22 (
.clk(VAR23),
.VAR45(reset),
.VAR27(VAR102)
);
always @(posedge VAR23 or posedge VAR102)
begin
if (VAR102 == 1)
VAR100 <= 0;
end
else
begin
VAR100[0] <= VAR82[9];
VAR100[1] <= VAR82[8];
VAR100[2] <= VAR82[7];
VAR100[3] <= VAR82[6];
VAR100[4] <= VAR82[5];
VAR100[5] <= VAR82[4];
VAR100[6] <= VAR82[3];
VAR100[7] <= VAR82[2];
VAR100[8] <= VAR82[1];
VAR100[9] <= VAR82[0];
end
end
always @(posedge VAR20 or posedge VAR78)
begin
if (VAR78 == 1)
VAR73 <= 0;
end
else
begin
VAR73[0] <= VAR5[9];
VAR73[1] <= VAR5[8];
VAR73[2] <= VAR5[7];
VAR73[3] <= VAR5[6];
VAR73[4] <= VAR5[5];
VAR73[5] <= VAR5[4];
VAR73[6] <= VAR5[3];
VAR73[7] <= VAR5[2];
VAR73[8] <= VAR5[1];
VAR73[9] <= VAR5[0];
end
end
VAR14 VAR67
(
.VAR91 ( reset ),
.VAR98 ( VAR98 ),
.VAR15 ( VAR15 ),
.VAR28 ( VAR28 ),
.VAR63 (VAR23),
.VAR65 (VAR38),
.VAR10 (VAR20),
.VAR37 (VAR82),
.VAR46 (),
.VAR60 (VAR60)
);
VAR80 VAR103 (
.clk ( clk ),
.reset ( reset ),
.VAR28 ( VAR28 ),
.VAR15 ( VAR15 ),
.VAR91 ( VAR91 ),
.VAR60 ( VAR60 ),
.VAR98 ( VAR98 )
);
VAR89 VAR25
(
.VAR49 (VAR73),
.VAR91 ( reset ),
.VAR24 (VAR20),
.VAR8 (VAR76)
);
end
endgenerate
endmodule
|
mit
|
ashish-17/x86_decoder
|
register_file.v
| 1,197 |
module MODULE1(
input VAR11,
input VAR5,
input[4:0] VAR6,
output[31:0] VAR2,
input[4:0] VAR10,
output[31:0] VAR1,
input[4:0] VAR3,
input[31:0] VAR12);
reg[31:0] VAR8[VAR7-1:0];
reg[31:0] VAR4[1:0];
assign VAR2 = VAR4[0];
assign VAR1 = VAR4[1];
integer VAR9;
|
gpl-3.0
|
vvk/sysrek
|
martix_multiplier/ipcore_dir/sum.v
| 43,152 |
module MODULE2 (
clk, VAR107, VAR89, VAR343
);
input clk;
output [26 : 0] VAR107;
input [25 : 0] VAR89;
input [25 : 0] VAR343;
wire \VAR70/VAR307 ;
wire \VAR70/VAR436 ;
wire \VAR70/VAR234 ;
wire \VAR70/VAR74 ;
wire \VAR70/VAR132 ;
wire \VAR70/VAR308 ;
wire \VAR70/VAR327 ;
wire \VAR70/VAR445 ;
wire \VAR70/VAR19 ;
wire \VAR70/VAR286 ;
wire \VAR70/VAR252 ;
wire \VAR70/VAR35 ;
wire \VAR70/VAR346 ;
wire \VAR70/VAR384 ;
wire \VAR70/VAR139 ;
wire \VAR70/VAR30 ;
wire \VAR70/VAR383 ;
wire \VAR70/VAR401 ;
wire \VAR70/VAR76 ;
wire \VAR70/VAR255 ;
wire \VAR70/VAR112 ;
wire \VAR70/VAR171 ;
wire \VAR70/VAR46 ;
wire \VAR70/VAR267 ;
wire \VAR70/VAR194 ;
wire \VAR70/VAR249 ;
wire \VAR70/VAR335 ;
wire \VAR70/VAR216 ;
wire \VAR70/VAR52 ;
wire \VAR70/VAR455 ;
wire \VAR70/VAR88 ;
wire \VAR70/VAR453 ;
wire \VAR70/VAR20 ;
wire \VAR70/VAR338 ;
wire \VAR70/VAR24 ;
wire \VAR70/VAR147 ;
wire \VAR70/VAR303 ;
wire \VAR70/VAR354 ;
wire \VAR70/VAR138 ;
wire \VAR70/VAR11 ;
wire \VAR70/VAR6 ;
wire \VAR70/VAR269 ;
wire \VAR70/VAR261 ;
wire \VAR70/VAR206 ;
wire \VAR70/VAR388 ;
wire \VAR70/VAR62 ;
wire \VAR70/VAR369 ;
wire \VAR70/VAR290 ;
wire \VAR70/VAR40 ;
wire \VAR70/VAR418 ;
wire \VAR70/VAR326 ;
wire \VAR70/VAR407 ;
wire \VAR70/VAR377 ;
wire \VAR70/VAR205 ;
wire \VAR70/VAR348 ;
wire \VAR70/VAR248 ;
wire \VAR70/VAR429 ;
wire \VAR70/VAR150 ;
wire \VAR70/VAR68 ;
wire \VAR70/VAR230 ;
wire \VAR70/VAR2 ;
wire \VAR70/VAR106 ;
wire \VAR70/VAR65 ;
wire \VAR70/VAR339 ;
wire \VAR70/VAR118 ;
wire \VAR70/VAR360 ;
wire \VAR70/VAR437 ;
wire \VAR70/VAR263 ;
wire \VAR70/VAR59 ;
wire \VAR70/VAR56 ;
wire \VAR70/VAR182 ;
wire \VAR70/VAR67 ;
wire \VAR70/VAR451 ;
wire \VAR70/VAR404 ;
wire \VAR70/VAR161 ;
wire \VAR70/VAR363 ;
wire \VAR70/VAR121 ;
wire \VAR70/VAR315 ;
wire \VAR70/VAR33 ;
wire \VAR70/VAR434 ;
wire \VAR70/VAR393 ;
wire \VAR70/VAR322 ;
wire \VAR70/VAR220 ;
wire \VAR70/VAR102 ;
wire \VAR70/VAR438 ;
wire \VAR70/VAR368 ;
wire \VAR70/VAR218 ;
wire \VAR70/VAR173 ;
wire \VAR70/VAR441 ;
wire \VAR70/VAR382 ;
wire \VAR70/VAR256 ;
wire \VAR70/VAR145 ;
wire \VAR70/VAR221 ;
wire \VAR70/VAR367 ;
wire \VAR70/VAR191 ;
wire \VAR70/VAR109 ;
wire \VAR70/VAR162 ;
wire \VAR70/VAR163 ;
wire \VAR70/VAR425 ;
wire \VAR70/VAR60 ;
wire \VAR70/VAR75 ;
wire \VAR70/VAR422 ;
wire \VAR70/VAR228 ;
wire \VAR70/VAR61 ;
wire \VAR70/VAR190 ;
wire \VAR70/VAR320 ;
wire \VAR70/VAR281 ;
wire \VAR70/VAR323 ;
wire \VAR70/VAR180 ;
wire \VAR70/VAR314 ;
wire \VAR70/VAR130 ;
wire \VAR70/VAR186 ;
wire \VAR70/VAR306 ;
wire \VAR70/VAR439 ;
wire \VAR70/VAR344 ;
wire \VAR70/VAR43 ;
wire \VAR70/VAR293 ;
wire \VAR70/VAR334 ;
wire \VAR70/VAR201 ;
wire \VAR70/VAR227 ;
wire \VAR70/VAR295 ;
wire \VAR70/VAR365 ;
wire \VAR70/VAR13 ;
wire \VAR70/VAR435 ;
wire \VAR70/VAR128 ;
wire \VAR70/VAR244 ;
wire \VAR70/VAR428 ;
wire \VAR70/VAR96 ;
wire \VAR70/VAR9 ;
wire \VAR70/VAR111 ;
wire \VAR70/VAR142 ;
wire \VAR70/VAR83 ;
wire \VAR70/VAR251 ;
wire \VAR70/VAR311 ;
wire \VAR70/VAR34 ;
wire \VAR70/VAR352 ;
wire \VAR70/VAR426 ;
wire \VAR70/VAR54 ;
wire \VAR70/VAR397 ;
wire \VAR70/VAR272 ;
wire \VAR70/VAR115 ;
wire \VAR70/VAR277 ;
wire \VAR70/VAR265 ;
wire \VAR70/VAR297 ;
wire \VAR70/VAR341 ;
wire \VAR70/VAR49 ;
wire \VAR70/VAR305 ;
wire \VAR70/VAR280 ;
wire \VAR70/VAR184 ;
wire \VAR70/VAR137 ;
wire \VAR70/VAR408 ;
wire \VAR70/VAR92 ;
wire \VAR70/VAR336 ;
wire \VAR70/VAR187 ;
wire \VAR70/VAR86 ;
wire \VAR70/VAR209 ;
wire \VAR70/VAR16 ;
wire \VAR70/VAR28 ;
wire \VAR70/VAR117 ;
wire \VAR70/VAR101 ;
wire \VAR70/VAR411 ;
wire \VAR70/VAR370 ;
wire \VAR70/VAR410 ;
wire \VAR70/VAR203 ;
wire \VAR70/VAR126 ;
wire \VAR70/VAR246 ;
wire \VAR70/VAR1 ;
wire \VAR70/VAR18 ;
wire \VAR70/VAR36 ;
wire \VAR70/VAR136 ;
wire \VAR70/VAR415 ;
wire \VAR70/VAR262 ;
wire \VAR70/VAR395 ;
wire \VAR70/VAR238 ;
wire \VAR70/VAR48 ;
wire \VAR70/VAR122 ;
wire \VAR70/VAR260 ;
wire \VAR70/VAR457 ;
wire \VAR70/VAR168 ;
wire \VAR70/VAR285 ;
wire \VAR70/VAR319 ;
wire \VAR70/VAR443 ;
wire \VAR70/VAR185 ;
wire \VAR448/VAR82 ;
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR110 (
.VAR22(clk),
.VAR356(\VAR70/VAR335 ),
.VAR133(VAR107[9])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR349 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR339 ),
.VAR133(\VAR70/VAR335 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR135 (
.VAR22(clk),
.VAR356(\VAR70/VAR147 ),
.VAR133(VAR107[0])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR38 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR411 ),
.VAR133(\VAR70/VAR147 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR4 (
.VAR22(clk),
.VAR356(\VAR70/VAR24 ),
.VAR133(VAR107[1])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR175 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR101 ),
.VAR133(\VAR70/VAR24 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR27 (
.VAR22(clk),
.VAR356(\VAR70/VAR338 ),
.VAR133(VAR107[2])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR374 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR117 ),
.VAR133(\VAR70/VAR338 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR152 (
.VAR22(clk),
.VAR356(\VAR70/VAR20 ),
.VAR133(VAR107[3])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR55 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR28 ),
.VAR133(\VAR70/VAR20 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR454 (
.VAR22(clk),
.VAR356(\VAR70/VAR453 ),
.VAR133(VAR107[4])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR17 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR16 ),
.VAR133(\VAR70/VAR453 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR159 (
.VAR22(clk),
.VAR356(\VAR70/VAR455 ),
.VAR133(VAR107[6])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR381 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR86 ),
.VAR133(\VAR70/VAR455 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR282 (
.VAR22(clk),
.VAR356(\VAR70/VAR52 ),
.VAR133(VAR107[7])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR387 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR187 ),
.VAR133(\VAR70/VAR52 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR331 (
.VAR22(clk),
.VAR356(\VAR70/VAR88 ),
.VAR133(VAR107[5])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR243 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR209 ),
.VAR133(\VAR70/VAR88 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR259 (
.VAR22(clk),
.VAR356(\VAR70/VAR216 ),
.VAR133(VAR107[8])
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR95 (
.VAR21(\VAR70/VAR443 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR336 ),
.VAR133(\VAR70/VAR216 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR427 (
.VAR22(clk),
.VAR356(\VAR70/VAR205 ),
.VAR133(\VAR70/VAR388 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR446 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR163 ),
.VAR133(\VAR70/VAR205 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR226 (
.VAR22(clk),
.VAR356(\VAR70/VAR407 ),
.VAR133(\VAR70/VAR261 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR258 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR109 ),
.VAR133(\VAR70/VAR407 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR361 (
.VAR22(clk),
.VAR356(\VAR70/VAR326 ),
.VAR133(\VAR70/VAR269 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR400 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR191 ),
.VAR133(\VAR70/VAR326 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR41 (
.VAR22(clk),
.VAR356(\VAR70/VAR377 ),
.VAR133(\VAR70/VAR206 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR419 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR162 ),
.VAR133(\VAR70/VAR377 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR378 (
.VAR22(clk),
.VAR356(\VAR70/VAR418 ),
.VAR133(\VAR70/VAR6 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR105 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR367 ),
.VAR133(\VAR70/VAR418 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR324 (
.VAR22(clk),
.VAR356(\VAR70/VAR40 ),
.VAR133(\VAR70/VAR11 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR7 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR221 ),
.VAR133(\VAR70/VAR40 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR176 (
.VAR22(clk),
.VAR356(\VAR70/VAR369 ),
.VAR133(\VAR70/VAR354 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR164 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR256 ),
.VAR133(\VAR70/VAR369 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR10 (
.VAR22(clk),
.VAR356(\VAR70/VAR62 ),
.VAR133(\VAR70/VAR303 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR300 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR382 ),
.VAR133(\VAR70/VAR62 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR208 (
.VAR22(clk),
.VAR356(\VAR70/VAR290 ),
.VAR133(\VAR70/VAR138 )
);
VAR318 #(
.VAR385 ( 16'h0000 ))
\VAR70/VAR3 (
.VAR21(\VAR70/VAR185 ),
.VAR8(\VAR70/VAR185 ),
.VAR444(\VAR70/VAR185 ),
.VAR140(\VAR70/VAR185 ),
.VAR417(clk),
.VAR356(\VAR70/VAR145 ),
.VAR133(\VAR70/VAR290 )
);
VAR211 \VAR70/VAR91 (
.VAR386(\VAR70/VAR443 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR456 (
.VAR432(\VAR70/VAR388 ),
.VAR423(\VAR70/VAR286 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR63 (
.VAR432(\VAR70/VAR303 ),
.VAR423(\VAR70/VAR252 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR51 (
.VAR432(\VAR70/VAR206 ),
.VAR423(\VAR70/VAR401 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR225 (
.VAR432(\VAR70/VAR261 ),
.VAR423(\VAR70/VAR383 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR353 (
.VAR432(\VAR70/VAR269 ),
.VAR423(\VAR70/VAR30 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR442 (
.VAR432(\VAR70/VAR6 ),
.VAR423(\VAR70/VAR139 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR355 (
.VAR432(\VAR70/VAR11 ),
.VAR423(\VAR70/VAR384 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR103 (
.VAR432(\VAR70/VAR138 ),
.VAR423(\VAR70/VAR346 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR433 (
.VAR432(\VAR70/VAR354 ),
.VAR423(\VAR70/VAR35 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR312 (
.VAR432(\VAR70/VAR311 ),
.VAR423(\VAR70/VAR118 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR405 (
.VAR432(\VAR70/VAR244 ),
.VAR423(\VAR70/VAR360 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR215 (
.VAR432(\VAR70/VAR251 ),
.VAR423(\VAR70/VAR451 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR154 (
.VAR432(\VAR70/VAR83 ),
.VAR423(\VAR70/VAR67 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR98 (
.VAR432(\VAR70/VAR142 ),
.VAR423(\VAR70/VAR182 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR119 (
.VAR432(\VAR70/VAR111 ),
.VAR423(\VAR70/VAR56 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR430 (
.VAR432(\VAR70/VAR9 ),
.VAR423(\VAR70/VAR59 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR398 (
.VAR432(\VAR70/VAR96 ),
.VAR423(\VAR70/VAR263 )
);
VAR440 #(
.VAR385 ( 2'h2 ))
\VAR70/VAR342 (
.VAR432(\VAR70/VAR428 ),
.VAR423(\VAR70/VAR437 )
);
VAR200 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR78 (
.VAR22(clk),
.VAR356(\VAR70/VAR319 ),
.VAR170(\VAR70/VAR128 ),
.VAR133(\VAR70/VAR348 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR458 (
.VAR432(VAR343[0]),
.VAR114(VAR89[0]),
.VAR423(\VAR70/VAR415 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR317 (
.VAR432(VAR343[9]),
.VAR114(VAR89[9]),
.VAR423(\VAR70/VAR265 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR73 (
.VAR432(VAR343[18]),
.VAR114(VAR89[18]),
.VAR423(\VAR70/VAR281 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR120 (
.VAR432(VAR343[1]),
.VAR114(VAR89[1]),
.VAR423(\VAR70/VAR136 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR81 (
.VAR432(VAR343[10]),
.VAR114(VAR89[10]),
.VAR423(\VAR70/VAR277 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR5 (
.VAR432(VAR343[19]),
.VAR114(VAR89[19]),
.VAR423(\VAR70/VAR320 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR276 (
.VAR432(VAR343[2]),
.VAR114(VAR89[2]),
.VAR423(\VAR70/VAR36 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR309 (
.VAR432(VAR343[11]),
.VAR114(VAR89[11]),
.VAR423(\VAR70/VAR115 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR250 (
.VAR432(VAR343[20]),
.VAR114(VAR89[20]),
.VAR423(\VAR70/VAR190 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR294 (
.VAR432(VAR343[3]),
.VAR114(VAR89[3]),
.VAR423(\VAR70/VAR18 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR178 (
.VAR432(VAR343[12]),
.VAR114(VAR89[12]),
.VAR423(\VAR70/VAR272 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR333 (
.VAR432(VAR343[21]),
.VAR114(VAR89[21]),
.VAR423(\VAR70/VAR61 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR347 (
.VAR432(VAR343[4]),
.VAR114(VAR89[4]),
.VAR423(\VAR70/VAR1 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR149 (
.VAR432(VAR343[13]),
.VAR114(VAR89[13]),
.VAR423(\VAR70/VAR397 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR287 (
.VAR432(VAR343[22]),
.VAR114(VAR89[22]),
.VAR423(\VAR70/VAR228 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR313 (
.VAR432(VAR343[5]),
.VAR114(VAR89[5]),
.VAR423(\VAR70/VAR246 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR351 (
.VAR432(VAR343[14]),
.VAR114(VAR89[14]),
.VAR423(\VAR70/VAR54 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR53 (
.VAR432(VAR343[23]),
.VAR114(VAR89[23]),
.VAR423(\VAR70/VAR422 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR108 (
.VAR432(VAR343[6]),
.VAR114(VAR89[6]),
.VAR423(\VAR70/VAR126 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR198 (
.VAR432(VAR343[15]),
.VAR114(VAR89[15]),
.VAR423(\VAR70/VAR426 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR66 (
.VAR432(VAR343[24]),
.VAR114(VAR89[24]),
.VAR423(\VAR70/VAR75 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR366 (
.VAR432(VAR343[7]),
.VAR114(VAR89[7]),
.VAR423(\VAR70/VAR203 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR146 (
.VAR432(VAR343[16]),
.VAR114(VAR89[16]),
.VAR423(\VAR70/VAR352 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR291 (
.VAR432(VAR343[25]),
.VAR114(VAR89[25]),
.VAR423(\VAR70/VAR60 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR12 (
.VAR432(VAR343[8]),
.VAR114(VAR89[8]),
.VAR423(\VAR70/VAR410 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR452 (
.VAR432(VAR343[17]),
.VAR114(VAR89[17]),
.VAR423(\VAR70/VAR34 )
);
VAR414 #(
.VAR385 ( 4'h6 ))
\VAR70/VAR26 (
.VAR432(VAR343[25]),
.VAR114(VAR89[25]),
.VAR423(\VAR70/VAR425 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR127 (
.VAR22(clk),
.VAR356(\VAR70/VAR393 ),
.VAR133(VAR107[10])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR77 (
.VAR22(clk),
.VAR356(\VAR70/VAR434 ),
.VAR133(VAR107[11])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR274 (
.VAR22(clk),
.VAR356(\VAR70/VAR33 ),
.VAR133(VAR107[12])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR14 (
.VAR22(clk),
.VAR356(\VAR70/VAR315 ),
.VAR133(VAR107[13])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR350 (
.VAR22(clk),
.VAR356(\VAR70/VAR121 ),
.VAR133(VAR107[14])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR271 (
.VAR22(clk),
.VAR356(\VAR70/VAR363 ),
.VAR133(VAR107[15])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR197 (
.VAR22(clk),
.VAR356(\VAR70/VAR161 ),
.VAR133(VAR107[16])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR396 (
.VAR22(clk),
.VAR356(\VAR70/VAR404 ),
.VAR133(VAR107[17])
);
VAR449 \VAR70/VAR166 (
.VAR196(\VAR70/VAR185 ),
.VAR212(VAR89[9]),
.VAR170(\VAR70/VAR265 ),
.VAR423(\VAR70/VAR92 )
);
VAR371 \VAR70/VAR169 (
.VAR196(\VAR70/VAR185 ),
.VAR302(\VAR70/VAR265 ),
.VAR423(\VAR70/VAR435 )
);
VAR371 \VAR70/VAR134 (
.VAR196(\VAR70/VAR341 ),
.VAR302(\VAR70/VAR34 ),
.VAR423(\VAR70/VAR43 )
);
VAR449 \VAR70/VAR364 (
.VAR196(\VAR70/VAR341 ),
.VAR212(VAR89[17]),
.VAR170(\VAR70/VAR34 ),
.VAR423(\VAR70/VAR297 )
);
VAR449 \VAR70/VAR131 (
.VAR196(\VAR70/VAR92 ),
.VAR212(VAR89[10]),
.VAR170(\VAR70/VAR277 ),
.VAR423(\VAR70/VAR408 )
);
VAR371 \VAR70/VAR37 (
.VAR196(\VAR70/VAR92 ),
.VAR302(\VAR70/VAR277 ),
.VAR423(\VAR70/VAR13 )
);
VAR449 \VAR70/VAR157 (
.VAR196(\VAR70/VAR408 ),
.VAR212(VAR89[11]),
.VAR170(\VAR70/VAR115 ),
.VAR423(\VAR70/VAR137 )
);
VAR371 \VAR70/VAR229 (
.VAR196(\VAR70/VAR408 ),
.VAR302(\VAR70/VAR115 ),
.VAR423(\VAR70/VAR365 )
);
VAR449 \VAR70/VAR71 (
.VAR196(\VAR70/VAR137 ),
.VAR212(VAR89[12]),
.VAR170(\VAR70/VAR272 ),
.VAR423(\VAR70/VAR184 )
);
VAR371 \VAR70/VAR299 (
.VAR196(\VAR70/VAR137 ),
.VAR302(\VAR70/VAR272 ),
.VAR423(\VAR70/VAR295 )
);
VAR449 \VAR70/VAR236 (
.VAR196(\VAR70/VAR184 ),
.VAR212(VAR89[13]),
.VAR170(\VAR70/VAR397 ),
.VAR423(\VAR70/VAR280 )
);
VAR371 \VAR70/VAR357 (
.VAR196(\VAR70/VAR184 ),
.VAR302(\VAR70/VAR397 ),
.VAR423(\VAR70/VAR227 )
);
VAR449 \VAR70/VAR380 (
.VAR196(\VAR70/VAR280 ),
.VAR212(VAR89[14]),
.VAR170(\VAR70/VAR54 ),
.VAR423(\VAR70/VAR305 )
);
VAR371 \VAR70/VAR392 (
.VAR196(\VAR70/VAR280 ),
.VAR302(\VAR70/VAR54 ),
.VAR423(\VAR70/VAR201 )
);
VAR449 \VAR70/VAR148 (
.VAR196(\VAR70/VAR305 ),
.VAR212(VAR89[15]),
.VAR170(\VAR70/VAR426 ),
.VAR423(\VAR70/VAR49 )
);
VAR371 \VAR70/VAR79 (
.VAR196(\VAR70/VAR305 ),
.VAR302(\VAR70/VAR426 ),
.VAR423(\VAR70/VAR334 )
);
VAR449 \VAR70/VAR124 (
.VAR196(\VAR70/VAR49 ),
.VAR212(VAR89[16]),
.VAR170(\VAR70/VAR352 ),
.VAR423(\VAR70/VAR341 )
);
VAR371 \VAR70/VAR301 (
.VAR196(\VAR70/VAR49 ),
.VAR302(\VAR70/VAR352 ),
.VAR423(\VAR70/VAR293 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR316 (
.VAR22(clk),
.VAR356(\VAR70/VAR297 ),
.VAR133(\VAR70/VAR128 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR94 (
.VAR22(clk),
.VAR356(\VAR70/VAR435 ),
.VAR133(\VAR70/VAR311 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR45 (
.VAR22(clk),
.VAR356(\VAR70/VAR13 ),
.VAR133(\VAR70/VAR251 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR64 (
.VAR22(clk),
.VAR356(\VAR70/VAR365 ),
.VAR133(\VAR70/VAR83 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR57 (
.VAR22(clk),
.VAR356(\VAR70/VAR295 ),
.VAR133(\VAR70/VAR142 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR47 (
.VAR22(clk),
.VAR356(\VAR70/VAR227 ),
.VAR133(\VAR70/VAR111 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR177 (
.VAR22(clk),
.VAR356(\VAR70/VAR201 ),
.VAR133(\VAR70/VAR9 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR199 (
.VAR22(clk),
.VAR356(\VAR70/VAR334 ),
.VAR133(\VAR70/VAR96 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR459 (
.VAR22(clk),
.VAR356(\VAR70/VAR293 ),
.VAR133(\VAR70/VAR428 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR237 (
.VAR22(clk),
.VAR356(\VAR70/VAR43 ),
.VAR133(\VAR70/VAR244 )
);
VAR449 \VAR70/VAR72 (
.VAR196(\VAR70/VAR185 ),
.VAR212(VAR89[0]),
.VAR170(\VAR70/VAR415 ),
.VAR423(\VAR70/VAR285 )
);
VAR371 \VAR70/VAR289 (
.VAR196(\VAR70/VAR185 ),
.VAR302(\VAR70/VAR415 ),
.VAR423(\VAR70/VAR411 )
);
VAR371 \VAR70/VAR283 (
.VAR196(\VAR70/VAR395 ),
.VAR302(\VAR70/VAR410 ),
.VAR423(\VAR70/VAR336 )
);
VAR449 \VAR70/VAR188 (
.VAR196(\VAR70/VAR395 ),
.VAR212(VAR89[8]),
.VAR170(\VAR70/VAR410 ),
.VAR423(\VAR70/VAR262 )
);
VAR449 \VAR70/VAR235 (
.VAR196(\VAR70/VAR285 ),
.VAR212(VAR89[1]),
.VAR170(\VAR70/VAR136 ),
.VAR423(\VAR70/VAR168 )
);
VAR371 \VAR70/VAR420 (
.VAR196(\VAR70/VAR285 ),
.VAR302(\VAR70/VAR136 ),
.VAR423(\VAR70/VAR101 )
);
VAR449 \VAR70/VAR394 (
.VAR196(\VAR70/VAR168 ),
.VAR212(VAR89[2]),
.VAR170(\VAR70/VAR36 ),
.VAR423(\VAR70/VAR457 )
);
VAR371 \VAR70/VAR372 (
.VAR196(\VAR70/VAR168 ),
.VAR302(\VAR70/VAR36 ),
.VAR423(\VAR70/VAR117 )
);
VAR449 \VAR70/VAR247 (
.VAR196(\VAR70/VAR457 ),
.VAR212(VAR89[3]),
.VAR170(\VAR70/VAR18 ),
.VAR423(\VAR70/VAR260 )
);
VAR371 \VAR70/VAR358 (
.VAR196(\VAR70/VAR457 ),
.VAR302(\VAR70/VAR18 ),
.VAR423(\VAR70/VAR28 )
);
VAR449 \VAR70/VAR143 (
.VAR196(\VAR70/VAR260 ),
.VAR212(VAR89[4]),
.VAR170(\VAR70/VAR1 ),
.VAR423(\VAR70/VAR122 )
);
VAR371 \VAR70/VAR144 (
.VAR196(\VAR70/VAR260 ),
.VAR302(\VAR70/VAR1 ),
.VAR423(\VAR70/VAR16 )
);
VAR449 \VAR70/VAR87 (
.VAR196(\VAR70/VAR122 ),
.VAR212(VAR89[5]),
.VAR170(\VAR70/VAR246 ),
.VAR423(\VAR70/VAR48 )
);
VAR371 \VAR70/VAR284 (
.VAR196(\VAR70/VAR122 ),
.VAR302(\VAR70/VAR246 ),
.VAR423(\VAR70/VAR209 )
);
VAR449 \VAR70/VAR257 (
.VAR196(\VAR70/VAR48 ),
.VAR212(VAR89[6]),
.VAR170(\VAR70/VAR126 ),
.VAR423(\VAR70/VAR238 )
);
VAR371 \VAR70/VAR217 (
.VAR196(\VAR70/VAR48 ),
.VAR302(\VAR70/VAR126 ),
.VAR423(\VAR70/VAR86 )
);
VAR449 \VAR70/VAR29 (
.VAR196(\VAR70/VAR238 ),
.VAR212(VAR89[7]),
.VAR170(\VAR70/VAR203 ),
.VAR423(\VAR70/VAR395 )
);
VAR371 \VAR70/VAR416 (
.VAR196(\VAR70/VAR238 ),
.VAR302(\VAR70/VAR203 ),
.VAR423(\VAR70/VAR187 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR329 (
.VAR22(clk),
.VAR356(\VAR70/VAR262 ),
.VAR133(\VAR70/VAR370 )
);
VAR449 \VAR70/VAR210 (
.VAR196(\VAR70/VAR185 ),
.VAR212(VAR89[18]),
.VAR170(\VAR70/VAR281 ),
.VAR423(\VAR70/VAR344 )
);
VAR371 \VAR70/VAR195 (
.VAR196(\VAR70/VAR185 ),
.VAR302(\VAR70/VAR281 ),
.VAR423(\VAR70/VAR163 )
);
VAR371 \VAR70/VAR402 (
.VAR196(\VAR70/VAR323 ),
.VAR302(\VAR70/VAR425 ),
.VAR423(\VAR70/VAR382 )
);
VAR449 \VAR70/VAR104 (
.VAR196(\VAR70/VAR344 ),
.VAR212(VAR89[19]),
.VAR170(\VAR70/VAR320 ),
.VAR423(\VAR70/VAR439 )
);
VAR371 \VAR70/VAR214 (
.VAR196(\VAR70/VAR344 ),
.VAR302(\VAR70/VAR320 ),
.VAR423(\VAR70/VAR162 )
);
VAR449 \VAR70/VAR158 (
.VAR196(\VAR70/VAR439 ),
.VAR212(VAR89[20]),
.VAR170(\VAR70/VAR190 ),
.VAR423(\VAR70/VAR306 )
);
VAR371 \VAR70/VAR156 (
.VAR196(\VAR70/VAR439 ),
.VAR302(\VAR70/VAR190 ),
.VAR423(\VAR70/VAR109 )
);
VAR449 \VAR70/VAR253 (
.VAR196(\VAR70/VAR306 ),
.VAR212(VAR89[21]),
.VAR170(\VAR70/VAR61 ),
.VAR423(\VAR70/VAR186 )
);
VAR371 \VAR70/VAR376 (
.VAR196(\VAR70/VAR306 ),
.VAR302(\VAR70/VAR61 ),
.VAR423(\VAR70/VAR191 )
);
VAR449 \VAR70/VAR275 (
.VAR196(\VAR70/VAR186 ),
.VAR212(VAR89[22]),
.VAR170(\VAR70/VAR228 ),
.VAR423(\VAR70/VAR130 )
);
VAR371 \VAR70/VAR202 (
.VAR196(\VAR70/VAR186 ),
.VAR302(\VAR70/VAR228 ),
.VAR423(\VAR70/VAR367 )
);
VAR449 \VAR70/VAR90 (
.VAR196(\VAR70/VAR130 ),
.VAR212(VAR89[23]),
.VAR170(\VAR70/VAR422 ),
.VAR423(\VAR70/VAR314 )
);
VAR371 \VAR70/VAR160 (
.VAR196(\VAR70/VAR130 ),
.VAR302(\VAR70/VAR422 ),
.VAR423(\VAR70/VAR221 )
);
VAR449 \VAR70/VAR447 (
.VAR196(\VAR70/VAR314 ),
.VAR212(VAR89[24]),
.VAR170(\VAR70/VAR75 ),
.VAR423(\VAR70/VAR180 )
);
VAR371 \VAR70/VAR325 (
.VAR196(\VAR70/VAR314 ),
.VAR302(\VAR70/VAR75 ),
.VAR423(\VAR70/VAR145 )
);
VAR449 \VAR70/VAR84 (
.VAR196(\VAR70/VAR180 ),
.VAR212(VAR89[25]),
.VAR170(\VAR70/VAR60 ),
.VAR423(\VAR70/VAR323 )
);
VAR371 \VAR70/VAR125 (
.VAR196(\VAR70/VAR180 ),
.VAR302(\VAR70/VAR60 ),
.VAR423(\VAR70/VAR256 )
);
VAR449 \VAR70/VAR304 (
.VAR196(\VAR70/VAR348 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR286 ),
.VAR423(\VAR70/VAR249 )
);
VAR371 \VAR70/VAR155 (
.VAR196(\VAR70/VAR348 ),
.VAR302(\VAR70/VAR286 ),
.VAR423(\VAR70/VAR19 )
);
VAR371 \VAR70/VAR242 (
.VAR196(\VAR70/VAR76 ),
.VAR302(\VAR70/VAR252 ),
.VAR423(\VAR70/VAR307 )
);
VAR449 \VAR70/VAR39 (
.VAR196(\VAR70/VAR76 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR252 ),
.VAR423(\VAR448/VAR82 )
);
VAR449 \VAR70/VAR332 (
.VAR196(\VAR70/VAR249 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR401 ),
.VAR423(\VAR70/VAR194 )
);
VAR371 \VAR70/VAR207 (
.VAR196(\VAR70/VAR249 ),
.VAR302(\VAR70/VAR401 ),
.VAR423(\VAR70/VAR445 )
);
VAR449 \VAR70/VAR337 (
.VAR196(\VAR70/VAR194 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR383 ),
.VAR423(\VAR70/VAR267 )
);
VAR371 \VAR70/VAR113 (
.VAR196(\VAR70/VAR194 ),
.VAR302(\VAR70/VAR383 ),
.VAR423(\VAR70/VAR327 )
);
VAR449 \VAR70/VAR219 (
.VAR196(\VAR70/VAR267 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR30 ),
.VAR423(\VAR70/VAR46 )
);
VAR371 \VAR70/VAR189 (
.VAR196(\VAR70/VAR267 ),
.VAR302(\VAR70/VAR30 ),
.VAR423(\VAR70/VAR308 )
);
VAR449 \VAR70/VAR174 (
.VAR196(\VAR70/VAR46 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR139 ),
.VAR423(\VAR70/VAR171 )
);
VAR371 \VAR70/VAR223 (
.VAR196(\VAR70/VAR46 ),
.VAR302(\VAR70/VAR139 ),
.VAR423(\VAR70/VAR132 )
);
VAR449 \VAR70/VAR273 (
.VAR196(\VAR70/VAR171 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR384 ),
.VAR423(\VAR70/VAR112 )
);
VAR371 \VAR70/VAR413 (
.VAR196(\VAR70/VAR171 ),
.VAR302(\VAR70/VAR384 ),
.VAR423(\VAR70/VAR74 )
);
VAR449 \VAR70/VAR31 (
.VAR196(\VAR70/VAR112 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR346 ),
.VAR423(\VAR70/VAR255 )
);
VAR371 \VAR70/VAR391 (
.VAR196(\VAR70/VAR112 ),
.VAR302(\VAR70/VAR346 ),
.VAR423(\VAR70/VAR234 )
);
VAR449 \VAR70/VAR399 (
.VAR196(\VAR70/VAR255 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR35 ),
.VAR423(\VAR70/VAR76 )
);
VAR371 \VAR70/VAR298 (
.VAR196(\VAR70/VAR255 ),
.VAR302(\VAR70/VAR35 ),
.VAR423(\VAR70/VAR436 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR97 (
.VAR22(clk),
.VAR356(\VAR70/VAR19 ),
.VAR133(VAR107[18])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR431 (
.VAR22(clk),
.VAR356(\VAR70/VAR445 ),
.VAR133(VAR107[19])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR80 (
.VAR22(clk),
.VAR356(\VAR70/VAR327 ),
.VAR133(VAR107[20])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR421 (
.VAR22(clk),
.VAR356(\VAR70/VAR308 ),
.VAR133(VAR107[21])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR123 (
.VAR22(clk),
.VAR356(\VAR70/VAR132 ),
.VAR133(VAR107[22])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR69 (
.VAR22(clk),
.VAR356(\VAR70/VAR74 ),
.VAR133(VAR107[23])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR245 (
.VAR22(clk),
.VAR356(\VAR70/VAR234 ),
.VAR133(VAR107[24])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR141 (
.VAR22(clk),
.VAR356(\VAR70/VAR436 ),
.VAR133(VAR107[25])
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR239 (
.VAR22(clk),
.VAR356(\VAR70/VAR307 ),
.VAR133(VAR107[26])
);
VAR449 \VAR70/VAR129 (
.VAR196(\VAR70/VAR370 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR118 ),
.VAR423(\VAR70/VAR441 )
);
VAR371 \VAR70/VAR23 (
.VAR196(\VAR70/VAR370 ),
.VAR302(\VAR70/VAR118 ),
.VAR423(\VAR70/VAR339 )
);
VAR371 \VAR70/VAR240 (
.VAR196(\VAR70/VAR322 ),
.VAR302(\VAR70/VAR360 ),
.VAR423(\VAR70/VAR248 )
);
VAR449 \VAR70/VAR222 (
.VAR196(\VAR70/VAR322 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR360 ),
.VAR423(\VAR70/VAR319 )
);
VAR449 \VAR70/VAR321 (
.VAR196(\VAR70/VAR441 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR451 ),
.VAR423(\VAR70/VAR173 )
);
VAR371 \VAR70/VAR412 (
.VAR196(\VAR70/VAR441 ),
.VAR302(\VAR70/VAR451 ),
.VAR423(\VAR70/VAR65 )
);
VAR449 \VAR70/VAR254 (
.VAR196(\VAR70/VAR173 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR67 ),
.VAR423(\VAR70/VAR218 )
);
VAR371 \VAR70/VAR379 (
.VAR196(\VAR70/VAR173 ),
.VAR302(\VAR70/VAR67 ),
.VAR423(\VAR70/VAR106 )
);
VAR449 \VAR70/VAR167 (
.VAR196(\VAR70/VAR218 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR182 ),
.VAR423(\VAR70/VAR368 )
);
VAR371 \VAR70/VAR345 (
.VAR196(\VAR70/VAR218 ),
.VAR302(\VAR70/VAR182 ),
.VAR423(\VAR70/VAR2 )
);
VAR449 \VAR70/VAR424 (
.VAR196(\VAR70/VAR368 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR56 ),
.VAR423(\VAR70/VAR438 )
);
VAR371 \VAR70/VAR264 (
.VAR196(\VAR70/VAR368 ),
.VAR302(\VAR70/VAR56 ),
.VAR423(\VAR70/VAR230 )
);
VAR449 \VAR70/VAR100 (
.VAR196(\VAR70/VAR438 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR59 ),
.VAR423(\VAR70/VAR102 )
);
VAR371 \VAR70/VAR328 (
.VAR196(\VAR70/VAR438 ),
.VAR302(\VAR70/VAR59 ),
.VAR423(\VAR70/VAR68 )
);
VAR449 \VAR70/VAR42 (
.VAR196(\VAR70/VAR102 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR263 ),
.VAR423(\VAR70/VAR220 )
);
VAR371 \VAR70/VAR32 (
.VAR196(\VAR70/VAR102 ),
.VAR302(\VAR70/VAR263 ),
.VAR423(\VAR70/VAR150 )
);
VAR449 \VAR70/VAR193 (
.VAR196(\VAR70/VAR220 ),
.VAR212(\VAR70/VAR185 ),
.VAR170(\VAR70/VAR437 ),
.VAR423(\VAR70/VAR322 )
);
VAR371 \VAR70/VAR231 (
.VAR196(\VAR70/VAR220 ),
.VAR302(\VAR70/VAR437 ),
.VAR423(\VAR70/VAR429 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR288 (
.VAR22(clk),
.VAR356(\VAR70/VAR65 ),
.VAR133(\VAR70/VAR393 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR266 (
.VAR22(clk),
.VAR356(\VAR70/VAR106 ),
.VAR133(\VAR70/VAR434 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR373 (
.VAR22(clk),
.VAR356(\VAR70/VAR2 ),
.VAR133(\VAR70/VAR33 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR359 (
.VAR22(clk),
.VAR356(\VAR70/VAR230 ),
.VAR133(\VAR70/VAR315 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR15 (
.VAR22(clk),
.VAR356(\VAR70/VAR68 ),
.VAR133(\VAR70/VAR121 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR403 (
.VAR22(clk),
.VAR356(\VAR70/VAR150 ),
.VAR133(\VAR70/VAR363 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR151 (
.VAR22(clk),
.VAR356(\VAR70/VAR429 ),
.VAR133(\VAR70/VAR161 )
);
VAR58 #(
.VAR385 ( 1'b0 ))
\VAR70/VAR179 (
.VAR22(clk),
.VAR356(\VAR70/VAR248 ),
.VAR133(\VAR70/VAR404 )
);
VAR270 \VAR70/VAR232 (
.VAR183(\VAR70/VAR185 )
);
endmodule
module MODULE1 ();
parameter VAR375 = 100000;
parameter VAR192 = 0;
wire VAR181;
wire VAR330;
wire VAR450;
wire VAR213;
tri1 VAR241;
tri (weak1, strong0) VAR406 = VAR241;
wire VAR172;
wire VAR279;
reg VAR233;
reg VAR292;
reg VAR310;
wire VAR93;
wire VAR390;
wire VAR389;
wire VAR409;
wire VAR44;
reg VAR204;
reg VAR50;
reg VAR268;
reg VAR224;
reg VAR362;
reg VAR340 = 0;
reg VAR99 = 0 ;
reg VAR25 = 0;
reg VAR278 = 0;
reg VAR296 = 1'VAR116;
reg VAR153 = 1'VAR116;
reg VAR165 = 1'VAR116;
reg VAR85 = 1'VAR116;
assign (weak1, weak0) VAR181 = VAR233;
assign (weak1, weak0) VAR330 = VAR292;
assign (weak1, weak0) VAR213 = VAR310;
|
gpl-2.0
|
timtian090/Playground
|
UVM/UVMPlayground/Lab2/Lab2-Project/CLS_PWM_DutyCycle_Timer.v
| 1,962 |
module MODULE1
parameter VAR1 = 50000000, parameter VAR6 = 1000, parameter VAR3 = 50 )
(
input VAR4,
output reg VAR5,
input VAR2
);
begin
begin
begin
end
begin
|
mit
|
andrewandrepowell/kernel-on-chip
|
hdl/projects/Nexys4/bd/ip/bd_mig_7series_0_0/bd_mig_7series_0_0/user_design/rtl/bd_mig_7series_0_0_mig.v
| 69,873 |
module MODULE1 #
(
parameter VAR341 = 1,
parameter VAR239 = 3,
parameter VAR130 = 1,
parameter VAR45 = 10,
parameter VAR95 = 1,
parameter VAR3 = 1,
parameter VAR92 = 1,
parameter VAR427 = 4,
parameter VAR143 = 4,
parameter VAR423 = 8,
parameter VAR367 = 2,
parameter VAR101 = 16,
parameter VAR246 = 2,
parameter VAR351 = 1,
parameter VAR316 = 8,
parameter VAR251 = "VAR152",
parameter VAR107 = 16,
parameter VAR297 = "VAR152",
parameter VAR270 = (VAR297 == "VAR152") ? VAR107 : VAR101,
parameter VAR419 = "VAR32",
parameter VAR374 = 4,
parameter VAR36 = 1,
parameter VAR436 = 1,
parameter VAR135 = 13,
parameter VAR225 = 27,
parameter VAR115 = 1,
parameter VAR183 = 1,
parameter VAR237 = 1,
parameter VAR397 = 0,
parameter VAR8 = "1Gb",
parameter VAR150 = "25E",
parameter VAR189 = 16,
parameter VAR293 = "0",
parameter VAR317 = 0,
parameter VAR384 = "8",
parameter VAR286 = "VAR90",
parameter VAR301 = 4,
parameter VAR347 = "VAR348",
parameter VAR241 = "50",
parameter VAR177 = "1T" ,
parameter VAR274 = "VAR152",
parameter VAR406 = 10000,
parameter VAR299 = 10,
parameter VAR305 = 1,
parameter VAR285 = 0.0,
parameter VAR27 = 2,
parameter VAR67 = 4,
parameter VAR228 = 64,
parameter VAR187 = 8,
parameter VAR295 = 1200,
parameter VAR412 = 9,
parameter VAR356 = 1,
parameter VAR147 = "VAR24",
parameter VAR129 = "VAR24",
parameter VAR51 = "VAR24",
parameter VAR195 = "VAR24",
parameter VAR404 = "VAR24",
parameter VAR157 = 1.000,
parameter VAR88 = 1,
parameter VAR430 = 1,
parameter VAR308 = 1,
parameter VAR4 = 1,
parameter VAR142 = 7500,
parameter VAR194 = 45000,
parameter VAR426 = 1000000,
parameter VAR171 = 40000,
parameter VAR259 = 15000,
parameter VAR192 = 7800000,
parameter VAR200 = 127500,
parameter VAR175 = 12500,
parameter VAR131 = 10000,
parameter VAR256 = 7500,
parameter VAR234 = 7500,
parameter VAR20 = 128000000,
parameter VAR360 = 64,
parameter VAR364 = "VAR152",
parameter VAR154 = "VAR24",
parameter VAR329 = 4'b1111,
parameter VAR159 = 4'b0000,
parameter VAR391 = 4'b0000,
parameter VAR320 = 4'b0000,
parameter VAR344 = 4'b0000,
parameter VAR382 = 4'b0101,
parameter VAR202 = 4'b0000,
parameter VAR275 = 4'b0000,
parameter VAR282 = 4'b0000,
parameter VAR83 = 4'b0000,
parameter VAR271 = 48'hFFC3F7FFF3FE,
parameter VAR168 = 48'h000000000000,
parameter VAR118 = 48'h000000000000,
parameter VAR50
= 144'h000000000000000000000000000000000003,
parameter VAR174
= 192'h00000000001003301A01903203A034018036012011017015,
parameter VAR312 = 36'h01301601B,
parameter VAR229 = 12'h039,
parameter VAR31 = 8'h00,
parameter VAR46 = 96'h000000000000000000000038,
parameter VAR128 = 96'h000000000000000000000035,
parameter VAR292 = 120'h000000000000000000000000000037,
parameter VAR196 = 12'h000,
parameter VAR385 = 12'h014,
parameter VAR166 = 12'h03B,
parameter VAR169
= 144'h000000000000000000000000000000000200,
parameter VAR279 = 96'h008004009007005001006003,
parameter VAR314 = 96'h022028020024027025026021,
parameter VAR87 = 96'h000000000000000000000000,
parameter VAR236 = 96'h000000000000000000000000,
parameter VAR170 = 96'h000000000000000000000000,
parameter VAR81 = 96'h000000000000000000000000,
parameter VAR85 = 96'h000000000000000000000000,
parameter VAR137 = 96'h000000000000000000000000,
parameter VAR313 = 96'h000000000000000000000000,
parameter VAR267 = 96'h000000000000000000000000,
parameter VAR265 = 96'h000000000000000000000000,
parameter VAR63 = 96'h000000000000000000000000,
parameter VAR335 = 96'h000000000000000000000000,
parameter VAR190 = 96'h000000000000000000000000,
parameter VAR411 = 96'h000000000000000000000000,
parameter VAR336 = 96'h000000000000000000000000,
parameter VAR331 = 96'h000000000000000000000000,
parameter VAR369 = 96'h000000000000000000000000,
parameter VAR218 = 108'h000000000000000000000029002,
parameter VAR209 = 108'h000000000000000000000000000,
parameter VAR121 = 8'b00000001,
parameter VAR326 = 8'b00000000,
parameter VAR106 = "VAR152",
parameter VAR123 = "VAR220",
parameter VAR181 = "VAR110",
parameter VAR89 = "VAR248",
parameter VAR64 = "VAR24",
parameter VAR2 = "VAR152",
parameter VAR438 = "VAR152",
parameter VAR39 = "VAR186",
parameter VAR402 = 16'h0000,
parameter VAR17 = 12'h000,
parameter VAR173 = 3'h0,
parameter VAR43 = 100,
parameter VAR86 = "VAR273",
parameter VAR366 = "VAR158",
parameter VAR268 = "VAR158",
parameter VAR278 = "VAR24",
parameter VAR387 = "VAR220",
parameter VAR310 = "VAR231",
parameter VAR355 = "VAR437",
parameter VAR378 = 2,
parameter VAR264 = 200.0,
parameter VAR224 = "VAR390",
parameter VAR201 = 4000,
parameter VAR176 = 2,
parameter VAR288 = "VAR390",
parameter VAR210 = "VAR390",
parameter VAR388 = 4,
parameter VAR1 = "134217728",
parameter VAR141 = 32,
parameter VAR235 = 32,
parameter VAR76 = 2,
parameter VAR26 = 1,
parameter VAR11 = "VAR149",
parameter VAR60 = 20'h00000,
parameter VAR56 = 20'h00000,
parameter VAR439 = 32,
parameter VAR230 = 32,
parameter VAR399 = 32'h00000000,
parameter VAR252 = 1,
parameter VAR16 = 8,
parameter VAR172 = "VAR152",
parameter VAR410 = "VAR429"
)
(
inout [VAR101-1:0] VAR82,
inout [VAR246-1:0] VAR415,
inout [VAR246-1:0] VAR227,
output [VAR135-1:0] VAR289,
output [VAR239-1:0] VAR255,
output VAR132,
output VAR199,
output VAR302,
output [VAR130-1:0] VAR425,
output [VAR130-1:0] VAR52,
output [VAR92-1:0] VAR61,
output [(VAR95*VAR3)-1:0] VAR164,
output [VAR367-1:0] VAR269,
output [VAR436-1:0] VAR428,
input VAR249,
input VAR104,
output VAR353,
output VAR165,
output VAR432,
output VAR29,
output VAR151,
output VAR139,
output VAR422,
output VAR340,
input VAR119,
output VAR243,
output VAR148,
output VAR197,
input [VAR388-1:0] VAR53,
input [VAR141-1:0] VAR323,
input [7:0] VAR21,
input [2:0] VAR283,
input [1:0] VAR332,
input [0:0] VAR372,
input [3:0] VAR205,
input [2:0] VAR5,
input [3:0] VAR254,
input VAR418,
output VAR354,
input [VAR235-1:0] VAR138,
input [(VAR235/8)-1:0] VAR108,
input VAR403,
input VAR96,
output VAR232,
input VAR321,
output [VAR388-1:0] VAR6,
output [1:0] VAR338,
output VAR242,
input [VAR388-1:0] VAR250,
input [VAR141-1:0] VAR212,
input [7:0] VAR359,
input [2:0] VAR339,
input [1:0] VAR226,
input [0:0] VAR221,
input [3:0] VAR94,
input [2:0] VAR389,
input [3:0] VAR114,
input VAR392,
output VAR368,
input VAR324,
output [VAR388-1:0] VAR163,
output [VAR235-1:0] VAR91,
output [1:0] VAR113,
output VAR343,
output VAR99,
output VAR304,
input VAR180
);
function integer VAR203 (input integer VAR337);
begin
VAR337 = VAR337 - 1;
for (VAR203=1; VAR337>1; VAR203=VAR203+1)
VAR337 = VAR337 >> 1;
end
endfunction
localparam VAR97 = VAR203(VAR374);
localparam VAR126 = VAR203(VAR36);
localparam VAR136 = (VAR251 == "VAR152")?
0 : (VAR107 <= 4)?
4 : (VAR107 <= 10)?
5 : (VAR107 <= 26)?
6 : (VAR107 <= 57)?
7 : (VAR107 <= 120)?
8 : (VAR107 <= 247)?
9 : 10;
localparam VAR244 = 1;
localparam VAR188 = ((VAR95 == 1) ? 0 : VAR126)
+ VAR239 + VAR135 + VAR45
+ VAR244;
localparam VAR394 = 2 * VAR176 * VAR270;
localparam VAR349 = VAR394 / 8;
localparam VAR260 = (VAR154 == "VAR24") ? "VAR220" : "VAR152";
localparam VAR127 = 10000000; localparam VAR105 = 5000;
localparam VAR162 = 56;
wire [VAR97-1:0] VAR78;
wire clk;
wire [1:0] VAR55;
wire [1:0] VAR376;
wire VAR319;
wire VAR398;
wire VAR311;
wire VAR431;
wire VAR22 ;
wire VAR375 ;
wire VAR215 ;
wire VAR396;
wire VAR400;
wire VAR100;
wire VAR424;
wire VAR296;
wire VAR386;
wire VAR213;
wire VAR28;
wire VAR358;
wire VAR253;
wire rst;
wire [(2*VAR176)-1:0] VAR373;
wire [(2*VAR176)-1:0] VAR370;
wire VAR277;
wire VAR395;
wire VAR401;
wire VAR198;
wire [VAR439-1:0] VAR73;
wire VAR207;
wire VAR98;
wire [VAR230-1:0] VAR276;
wire VAR325;
wire VAR72;
wire [1:0] VAR69;
wire VAR211;
wire VAR40;
wire [VAR439-1:0] VAR179;
wire VAR262;
wire VAR357;
wire [VAR230-1:0] VAR365;
wire [1:0] VAR182;
wire interrupt;
wire VAR206;
wire VAR222;
wire VAR75;
wire VAR122;
wire VAR7;
wire [11:0] VAR25;
wire [11:0] VAR240;
wire VAR80;
wire VAR84;
wire VAR405;
wire VAR41;
wire VAR223;
wire [VAR351-1:0] VAR381;
wire VAR125;
wire [VAR351:0] VAR71;
wire VAR111;
wire VAR59;
wire [5:0] VAR284;
wire [8:0] VAR247;
wire [(6*VAR246*VAR36)-1:0] VAR346;
wire [(5*VAR246*VAR36)-1:0] VAR208;
wire [255:0] VAR191;
wire [(6*VAR246*VAR36)-1:0] VAR10;
wire [(6*VAR246*VAR36)-1:0] VAR156;
wire [(6*VAR36)-1:0] VAR413;
wire [255:0] VAR57;
wire [99:0] VAR54;
wire [(6*VAR246)-1:0] VAR19;
wire [(3*VAR246)-1:0] VAR371;
wire [255:0] VAR133;
wire [255:0] VAR328;
wire [255:0] VAR48;
wire [255:0] VAR303;
wire VAR13;
wire VAR70;
wire VAR14;
wire VAR42;
wire VAR233;
wire VAR117;
wire VAR178;
wire VAR318;
wire VAR334;
wire [11:0] VAR219;
wire [11:0] VAR112;
wire VAR146;
wire VAR204;
wire [255:0] VAR330;
wire [(VAR316*16)-1:0] VAR38;
wire [VAR246-1:0] VAR407;
wire [(2*VAR176*VAR101)-1:0] VAR153;
wire VAR68;
wire [1:0] VAR272;
wire [1:0] VAR393;
wire [1:0] VAR49;
wire [(6*VAR246)-1:0] VAR258;
wire [(3*VAR246)-1:0] VAR15;
wire [5:0] VAR435;
wire VAR352;
wire VAR167;
wire VAR257;
wire VAR216;
reg [63:0] VAR9;
reg VAR74;
wire [53:0] VAR291;
wire [4:0] VAR333;
wire [8:0] VAR307;
wire [8:0] VAR294;
wire [53:0] VAR44;
wire [26:0] VAR62;
wire [(6*VAR36)-1:0] VAR309;
wire [(6*VAR36)-1:0] VAR120;
wire [5:0] VAR281;
wire [5:0] VAR23;
wire [5:0] VAR66;
wire [390:0] VAR37;
wire [1023:0] VAR342;
wire [119:0] VAR134;
wire [(6*VAR246*VAR36)-1:0] VAR414;
wire [(6*VAR246*VAR36)-1:0] VAR33;
wire [(6*VAR246*VAR36)-1:0] VAR35;
assign VAR353 = clk;
assign VAR165 = rst;
assign VAR206 = 1'b0;
assign VAR222 = 1'b0;
assign VAR122 = 1'b0;
assign VAR7 = 1'b0;
generate
if (VAR268 == "VAR298")
assign VAR319 = VAR75;
else
assign VAR319 = VAR104;
endgenerate
VAR409 #
(
.VAR43 (VAR43),
.VAR86 (VAR86),
.VAR268 (VAR268),
.VAR366 (VAR366),
.VAR278 (VAR278),
.VAR341 (VAR341),
.VAR224 (VAR224)
)
VAR315
(
.VAR376 (VAR376),
.VAR398 (VAR398),
.VAR55 (VAR55),
.VAR122 (VAR122),
.VAR7 (VAR7),
.VAR104 (VAR319),
.VAR180 (VAR180)
);
VAR77 #
(
.VAR366 (VAR366),
.VAR288 (VAR288)
)
VAR109
(
.VAR206 (VAR206),
.VAR222 (VAR222),
.VAR249 (VAR249),
.VAR75 (VAR75)
);
generate
if (VAR260 == "VAR220") begin: VAR30
VAR155 #
(
.VAR43 (VAR43),
.VAR410 (VAR410),
.VAR105 (VAR105),
.VAR127 (VAR127)
)
VAR160
(
.clk (clk),
.VAR379 (VAR55[0]),
.rst (rst),
.VAR240 (VAR240),
.VAR25 (VAR25)
);
end else begin: VAR145
assign VAR25 = 'b0;
end
endgenerate
VAR434 #
(
.VAR43 (VAR43),
.VAR176 (VAR176),
.VAR406 (VAR406),
.VAR366 (VAR366),
.VAR210 (VAR210),
.VAR299 (VAR299),
.VAR305 (VAR305),
.VAR285 (VAR285),
.VAR27 (VAR27),
.VAR67 (VAR67),
.VAR228 (VAR228),
.VAR187 (VAR187),
.VAR295 (VAR295),
.VAR412 (VAR412),
.VAR356 (VAR356),
.VAR147 (VAR147),
.VAR129 (VAR129),
.VAR51 (VAR51),
.VAR195 (VAR195),
.VAR404 (VAR404),
.VAR157 (VAR157),
.VAR88 (VAR88),
.VAR430 (VAR430),
.VAR308 (VAR308),
.VAR4 (VAR4),
.VAR341 (VAR341),
.VAR201 (VAR201),
.VAR193 (VAR310)
)
VAR263
(
.VAR116 (rst),
.clk (clk),
.VAR311 (VAR311),
.VAR431 (VAR431),
.VAR375 (VAR375),
.VAR22 (VAR22),
.VAR396 (VAR396),
.VAR400 (VAR400),
.VAR100 (VAR100),
.VAR386 (VAR386),
.VAR213 (VAR213),
.VAR432 (VAR432),
.VAR29 (VAR29),
.VAR151 (VAR151),
.VAR139 (VAR139),
.VAR422 (VAR422),
.VAR253 (VAR253),
.VAR340 (VAR340),
.VAR358 (VAR358),
.VAR424 (VAR424),
.VAR296 (VAR296),
.VAR75 (VAR75),
.VAR180 (VAR398),
.VAR376 (VAR376),
.VAR28 (VAR28)
);
VAR102 #
(
.VAR43 (VAR43),
.VAR177 (VAR177),
.VAR293 (VAR293),
.VAR270 (VAR270),
.VAR239 (VAR239),
.VAR97 (VAR97),
.VAR384 (VAR384),
.VAR286 (VAR286),
.VAR130 (VAR130),
.VAR45 (VAR45),
.VAR387 (VAR387),
.VAR95 (VAR95),
.VAR3 (VAR3),
.VAR92 (VAR92),
.VAR107 (VAR107),
.VAR427 (VAR427),
.VAR367 (VAR367),
.VAR143 (VAR143),
.VAR101 (VAR101),
.VAR351 (VAR351),
.VAR246 (VAR246),
.VAR310 (VAR310),
.VAR316 (VAR316),
.VAR251 (VAR251),
.VAR136 (VAR136),
.VAR297 (VAR297),
.VAR188 (VAR188),
.VAR264 (VAR264),
.VAR317 (VAR317),
.VAR374 (VAR374),
.VAR64 (VAR64),
.VAR176 (VAR176),
.VAR39 (VAR39),
.VAR347 (VAR347),
.VAR106 (VAR106),
.VAR123 (VAR123),
.VAR181 (VAR181),
.VAR89 (VAR89),
.VAR86 (VAR86),
.VAR274 (VAR274),
.VAR241 (VAR241),
.VAR301 (VAR301),
.VAR201 (VAR201),
.VAR142 (VAR142),
.VAR194 (VAR194),
.VAR426 (VAR426),
.VAR171 (VAR171),
.VAR259 (VAR259),
.VAR192 (VAR192),
.VAR200 (VAR200),
.VAR175 (VAR175),
.VAR131 (VAR131),
.VAR256 (VAR256),
.VAR234 (VAR234),
.VAR20 (VAR20),
.VAR360 (VAR360),
.VAR2 (VAR2),
.VAR260 (VAR260),
.VAR438 (VAR438),
.VAR172 (VAR172),
.VAR355 (VAR355),
.VAR126 (VAR126),
.VAR36 (VAR36),
.VAR436 (VAR436),
.VAR135 (VAR135),
.VAR225 (VAR225),
.VAR394 (VAR394),
.VAR349 (VAR349),
.VAR364 (VAR364),
.VAR329 (VAR329),
.VAR159 (VAR159),
.VAR391 (VAR391),
.VAR320 (VAR320),
.VAR344 (VAR344),
.VAR382 (VAR382),
.VAR202 (VAR202),
.VAR275 (VAR275),
.VAR282 (VAR282),
.VAR83 (VAR83),
.VAR271 (VAR271),
.VAR168 (VAR168),
.VAR118 (VAR118),
.VAR50 (VAR50),
.VAR174 (VAR174),
.VAR312 (VAR312),
.VAR229 (VAR229),
.VAR31 (VAR31),
.VAR46 (VAR46),
.VAR128 (VAR128),
.VAR292 (VAR292),
.VAR196 (VAR196),
.VAR385 (VAR385),
.VAR166 (VAR166),
.VAR169 (VAR169),
.VAR279 (VAR279),
.VAR314 (VAR314),
.VAR87 (VAR87),
.VAR236 (VAR236),
.VAR170 (VAR170),
.VAR81 (VAR81),
.VAR85 (VAR85),
.VAR137 (VAR137),
.VAR313 (VAR313),
.VAR267 (VAR267),
.VAR265 (VAR265),
.VAR63 (VAR63),
.VAR335 (VAR335),
.VAR190 (VAR190),
.VAR411 (VAR411),
.VAR336 (VAR336),
.VAR331 (VAR331),
.VAR369 (VAR369),
.VAR218 (VAR218),
.VAR209 (VAR209),
.VAR402 (VAR402),
.VAR17 (VAR17),
.VAR173 (VAR173),
.VAR65 ("VAR152"),
.VAR12 ("VAR152"),
.VAR144 ("VAR152"),
.VAR238 ("VAR152"),
.VAR121 (VAR121),
.VAR326 (VAR326),
.VAR419 (VAR419),
.VAR378 (VAR378),
.VAR388 (VAR388),
.VAR141 (VAR141),
.VAR235 (VAR235),
.VAR26 (VAR26),
.VAR11 (VAR11),
.VAR60 (VAR60),
.VAR56 (VAR56),
.VAR439 (VAR439),
.VAR230 (VAR230),
.VAR399 (VAR399),
.VAR252 (VAR252),
.VAR16 (VAR16),
.VAR115 (VAR115),
.VAR183 (VAR183),
.VAR237 (VAR237),
.VAR18 (VAR397),
.VAR162 (VAR162),
.VAR103 ("VAR24"),
.VAR280 ("VAR185")
)
VAR362
(
.clk (clk),
.VAR311 (VAR311),
.VAR431 (VAR431),
.VAR55 (VAR55),
.VAR375 (VAR375), .VAR22 (VAR22),
.VAR215 (VAR253),
.VAR396 (VAR396),
.VAR400 (VAR400),
.VAR100 (VAR100),
.VAR386 (VAR386),
.VAR213 (VAR213),
.VAR424 (VAR424),
.VAR296 (VAR296),
.rst (rst),
.VAR358 (VAR358),
.VAR28 (VAR28),
.VAR287 (VAR82),
.VAR34 (VAR415),
.VAR361 (VAR227),
.VAR363 (VAR289),
.VAR140 (VAR255),
.VAR79 (VAR199),
.VAR214 (VAR52),
.VAR350 (VAR425),
.VAR417 (VAR61),
.VAR380 (VAR164),
.VAR266 (VAR269),
.VAR290 (VAR428),
.VAR47 (VAR132),
.VAR261 (VAR277),
.VAR408 (VAR395),
.VAR184 (VAR302),
.VAR78 (VAR78),
.VAR322 (),
.VAR370 (),
.VAR25 (VAR25),
.VAR124 (),
.VAR345 (1'b0),
.VAR421 (7'b0),
.VAR377 (8'b0),
.VAR416 (1'b0),
.VAR405 (VAR405),
.VAR80 (VAR80),
.VAR41 (VAR41),
.VAR84 (VAR84),
.VAR381 (VAR381),
.VAR223 (VAR223),
.VAR125 (VAR125),
.VAR58 (VAR58),
.VAR71 (VAR71),
.VAR111 (VAR111),
.VAR59 (VAR59),
.VAR420 (VAR420),
.VAR433 (VAR433),
.VAR327 (VAR327),
.VAR346 (VAR346),
.VAR208 (VAR208),
.VAR191 (VAR191),
.VAR10 (VAR10),
.VAR156 (VAR156),
.VAR413 (VAR413),
.VAR57 (VAR57),
.VAR54 (VAR54),
.VAR19 (VAR19),
.VAR371 (VAR371),
.VAR407 (VAR407),
.VAR153 (VAR153),
.VAR68 (VAR68),
.VAR272 (VAR272),
.VAR393 (VAR393),
.VAR49 (VAR49),
.VAR258 (VAR258),
.VAR15 (VAR15),
.VAR435 (VAR435),
.VAR352 (VAR352),
.VAR167 (VAR167),
.VAR257 (VAR257),
.VAR216 (VAR216),
.VAR133 (VAR133),
.VAR328 (VAR328),
.VAR48 (VAR48),
.VAR284 (VAR284),
.VAR247 (VAR247),
.VAR306 (VAR414),
.VAR300 (VAR33),
.VAR161 (VAR35),
.VAR13 (VAR13),
.VAR70 (VAR70),
.VAR14 (VAR14),
.VAR112 (VAR112),
.VAR42 (VAR42),
.VAR233 (VAR233),
.VAR117 (VAR117),
.VAR219 (VAR219),
.VAR309 (VAR309),
.VAR120 (VAR120),
.VAR281 (VAR281),
.VAR23 (VAR23),
.VAR66 (VAR66),
.VAR178 (VAR178),
.VAR318 (VAR318),
.VAR334 (VAR334),
.VAR330 (VAR330),
.VAR38 (VAR38),
.VAR146 (VAR146),
.VAR204 (VAR204),
.VAR303 (VAR303),
.VAR119 (VAR119),
.VAR245 (1'b0),
.VAR243 (VAR243),
.VAR93 (1'b0),
.VAR148 (VAR148),
.VAR217 (1'b0),
.VAR197 (VAR197),
.VAR53 (VAR53),
.VAR323 (VAR323),
.VAR21 (VAR21),
.VAR283 (VAR283),
.VAR332 (VAR332),
.VAR372 (VAR372),
.VAR205 (VAR205),
.VAR5 (VAR5),
.VAR254 (VAR254),
.VAR418 (VAR418),
.VAR354 (VAR354),
.VAR138 (VAR138),
.VAR108 (VAR108),
.VAR403 (VAR403),
.VAR96 (VAR96),
.VAR232 (VAR232),
.VAR6 (VAR6),
.VAR338 (VAR338),
.VAR242 (VAR242),
.VAR321 (VAR321),
.VAR250 (VAR250),
.VAR212 (VAR212),
.VAR359 (VAR359),
.VAR339 (VAR339),
.VAR226 (VAR226),
.VAR221 (VAR221),
.VAR94 (VAR94),
.VAR389 (VAR389),
.VAR114 (VAR114),
.VAR392 (VAR392),
.VAR368 (VAR368),
.VAR163 (VAR163),
.VAR91 (VAR91),
.VAR113 (VAR113),
.VAR343 (VAR343),
.VAR99 (VAR99),
.VAR324 (VAR324),
.VAR401 (VAR401),
.VAR198 (VAR198),
.VAR73 (VAR73),
.VAR207 (VAR207),
.VAR98 (VAR98),
.VAR276 (VAR276),
.VAR325 (VAR325),
.VAR72 (VAR72),
.VAR69 (VAR69),
.VAR211 (VAR211),
.VAR40 (VAR40),
.VAR179 (VAR179),
.VAR262 (VAR262),
.VAR357 (VAR357),
.VAR365 (VAR365),
.VAR182 (VAR182),
.interrupt (interrupt),
.VAR304 (VAR304),
.VAR383 (VAR383)
);
assign VAR80 = 1'b0;
assign VAR84 = 1'b0;
assign VAR405 = 1'b0;
assign VAR41 = 1'b0;
assign VAR223 = 1'b0;
assign VAR381 = 'b0;
assign VAR71 = 'd0;
assign VAR125 = 1'b0;
assign VAR111 = 1'b0;
assign VAR59 = 1'b0;
assign VAR420 = 'b0;
assign VAR327 = 'b0;
assign VAR433 = 'b0;
assign VAR58 = 'b0;
endmodule
|
mit
|
fabianz66/cursos-tec
|
taller-digital/Lab3/laboratorio3/Clock_Divider.v
| 1,038 |
module MODULE1(input VAR1, input reset, output reg VAR2);
reg [25:0] counter;
begin
begin
begin
end
begin
|
mit
|
mbus/mbus
|
layer_controller_v3/verilog/rf_ctrl.v
| 1,387 |
module MODULE1 #(
parameter VAR4 = 256, parameter VAR5 = 24
)
(
input VAR6,
input [VAR5-1:0] VAR7,
input [VAR4-1:0] VAR8,
output [VAR5*VAR4-1:0] VAR2
);
reg [VAR5-1:0] VAR9 [0:VAR4-1];
genvar VAR1;
generate
for (VAR1=0; VAR1<(VAR4); VAR1=VAR1+1)
begin: VAR10
assign VAR2[VAR5*(VAR1+1)-1:VAR5*VAR1] = VAR9[VAR1];
end
for (VAR1=0; VAR1<VAR4; VAR1 = VAR1+1)
begin: VAR3
always @ (posedge VAR8[VAR1] or negedge VAR6)
begin
if (~VAR6)
VAR9[VAR1] <= 0;
end
else
VAR9[VAR1] <= VAR7;
end
end
endgenerate
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/dfxtp/sky130_fd_sc_hvl__dfxtp.functional.pp.v
| 1,897 |
module MODULE1 (
VAR8 ,
VAR12 ,
VAR4 ,
VAR1,
VAR2,
VAR9 ,
VAR3
);
output VAR8 ;
input VAR12 ;
input VAR4 ;
input VAR1;
input VAR2;
input VAR9 ;
input VAR3 ;
wire VAR11 ;
wire VAR5;
VAR15 VAR6 VAR10 (VAR11 , VAR4, VAR12, , VAR1, VAR2 );
buf VAR13 (VAR5, VAR11 );
VAR14 VAR7 (VAR8 , VAR5, VAR1, VAR2);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/sdfsbp/sky130_fd_sc_hvl__sdfsbp.behavioral.pp.v
| 3,205 |
module MODULE1 (
VAR16 ,
VAR2 ,
VAR30 ,
VAR15 ,
VAR17 ,
VAR7 ,
VAR25,
VAR20 ,
VAR31 ,
VAR18 ,
VAR11
);
output VAR16 ;
output VAR2 ;
input VAR30 ;
input VAR15 ;
input VAR17 ;
input VAR7 ;
input VAR25;
input VAR20 ;
input VAR31 ;
input VAR18 ;
input VAR11 ;
wire VAR13 ;
wire VAR26 ;
wire VAR23 ;
reg VAR21 ;
wire VAR36 ;
wire VAR29 ;
wire VAR32 ;
wire VAR5 ;
wire VAR28 ;
wire VAR1 ;
wire VAR33 ;
wire VAR35;
wire VAR14 ;
wire VAR24 ;
wire VAR34 ;
not VAR3 (VAR26 , VAR35 );
VAR4 VAR27 (VAR23 , VAR28, VAR1, VAR33 );
VAR9 VAR19 (VAR13 , VAR23, VAR14, VAR26, VAR21, VAR20, VAR31);
assign VAR36 = ( VAR35 === 1'b1 );
assign VAR29 = ( ( VAR33 === 1'b0 ) & VAR36 );
assign VAR32 = ( ( VAR33 === 1'b1 ) & VAR36 );
assign VAR5 = ( ( VAR28 !== VAR1 ) & VAR36 );
buf VAR6 (VAR24 , VAR13 );
VAR8 VAR22 (VAR16 , VAR24, VAR20, VAR31 );
not VAR12 (VAR34, VAR13 );
VAR8 VAR10 (VAR2 , VAR34, VAR20, VAR31 );
endmodule
|
apache-2.0
|
Yarr/Yarr-fw
|
rtl/kintex7/rx-core/scrambler.v
| 1,342 |
module MODULE1 #
(
parameter VAR2 = 64
)
(
input [0:(VAR2-1)] VAR3,
output [(VAR2+1):0] VAR4,
input enable,
input [1:0] VAR8,
input clk,
input rst
);
integer VAR7;
reg [((VAR2*2)-7):0] VAR6;
reg [((VAR2*2)-7):0] MODULE1;
reg [0:(VAR2-1)] VAR1 = {VAR2{1'b0}};
reg VAR5;
always @(MODULE1,VAR3)
begin
VAR6 = MODULE1;
for (VAR7=0;VAR7<=(VAR2-1);VAR7=VAR7+1)
begin
VAR5 = VAR3[VAR7] ^ VAR6[38] ^ VAR6[57];
VAR6 = {VAR6[((VAR2*2)-8):0],VAR5};
VAR1[VAR7] = VAR5;
end
end
always @(posedge clk)
begin
if (rst) begin
MODULE1 <= 122'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF;
end
else if (enable) begin
MODULE1 <= VAR6;
end
end
assign VAR4 = {VAR8, VAR1};
endmodule
|
gpl-3.0
|
UA3MQJ/fpga-synth
|
modules/note2dds_5st_gen.v
| 1,334 |
module MODULE1(clk, VAR4, VAR5);
input wire clk;
input wire [6:0] VAR4;
output [31:0] VAR5;
reg [31:0] VAR3;
reg [4:0] VAR2;
wire [3:0] VAR1 = (VAR4 < 12) ? 4'd00 :
(VAR4 < 24) ? 4'd01 :
(VAR4 < 36) ? 4'd02 :
(VAR4 < 48) ? 4'd03 :
(VAR4 < 60) ? 4'd04 :
(VAR4 < 72) ? 4'd05 :
(VAR4 < 84) ? 4'd06 :
(VAR4 < 96) ? 4'd07 :
(VAR4 < 108) ? 4'd08 :
(VAR4 < 120) ? 4'd09 : 4'd010 ;
wire [6:0] VAR6 = VAR4 - (VAR1 * 4'd012);
wire [3:0] VAR7 = 4'd010 - VAR1;
always@(posedge clk) begin
VAR2 <= VAR6[3:0];
case(VAR2)
4'd00: VAR3 <= 32'd0359575;
4'd01: VAR3 <= 32'd0380957;
4'd02: VAR3 <= 32'd0403610;
4'd03: VAR3 <= 32'd0427610;
4'd04: VAR3 <= 32'd0453037;
4'd05: VAR3 <= 32'd0479976;
4'd06: VAR3 <= 32'd0508516;
4'd07: VAR3 <= 32'd0538754;
4'd08: VAR3 <= 32'd0570790;
4'd09: VAR3 <= 32'd0604731;
4'd10: VAR3 <= 32'd0640691;
4'd11: VAR3 <= 32'd0678788;
4'd12: VAR3 <= 32'd0;
4'd13: VAR3 <= 32'd0;
4'd14: VAR3 <= 32'd0;
4'd15: VAR3 <= 32'd0;
endcase
end
assign VAR5 = VAR3 >> VAR7;
endmodule
|
gpl-3.0
|
neurobiofisica/IntelSBESC2014
|
hw/AcqSys.v
| 2,316 |
module MODULE1(
input VAR26,
input VAR32,
input VAR25,
input [3:0] VAR23,
output [8:0] VAR6,
output [17:0] VAR27,
input VAR20,
input VAR10,
input [0:0] VAR19,
output [0:0] VAR4,
output VAR2,
inout [35:0] VAR29,
inout VAR7
);
VAR1 VAR30 (
.VAR16 (VAR10),
.VAR8 (VAR20),
.VAR31 (VAR2),
.VAR17 (VAR2),
.VAR24 (VAR19[0]),
.VAR21 (VAR4[0]),
.VAR5 (VAR27[17:8]),
.VAR28 (VAR29[0]),
.VAR18 (VAR29[1]),
.VAR22 (VAR29[2]),
.VAR14 (VAR29[3]),
.VAR11 (VAR29[4]),
.VAR9 (VAR29[5]),
.VAR15 (VAR29[6]),
.VAR3 (~ VAR23[0]),
.VAR12 (VAR6[7:0]),
);
assign VAR7 = 1'VAR13;
assign VAR27[7:0] = 0;
assign VAR6[8] = 0;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and3/sky130_fd_sc_hs__and3.functional.v
| 1,699 |
module MODULE1 (
VAR4,
VAR9,
VAR10 ,
VAR5 ,
VAR2 ,
VAR8
);
input VAR4;
input VAR9;
output VAR10 ;
input VAR5 ;
input VAR2 ;
input VAR8 ;
wire VAR1 ;
wire VAR11;
and VAR3 (VAR1 , VAR8, VAR5, VAR2 );
VAR12 VAR6 (VAR11, VAR1, VAR4, VAR9);
buf VAR7 (VAR10 , VAR11 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nor4b/sky130_fd_sc_lp__nor4b_m.v
| 2,299 |
module MODULE1 (
VAR7 ,
VAR5 ,
VAR8 ,
VAR11 ,
VAR4 ,
VAR2,
VAR6,
VAR3 ,
VAR10
);
output VAR7 ;
input VAR5 ;
input VAR8 ;
input VAR11 ;
input VAR4 ;
input VAR2;
input VAR6;
input VAR3 ;
input VAR10 ;
VAR9 VAR1 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR7 ,
VAR5 ,
VAR8 ,
VAR11 ,
VAR4
);
output VAR7 ;
input VAR5 ;
input VAR8 ;
input VAR11 ;
input VAR4;
supply1 VAR2;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR10 ;
VAR9 VAR1 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
sergachev/spi_mem_programmer
|
spi_cmd.v
| 3,398 |
module MODULE1(
input clk,
input reset,
input VAR11,
output reg VAR5,
input [8:0] VAR10,
input VAR15,
input [260 * 8 - 1 : 0] VAR12, output reg [7:0] VAR13,
input VAR9,
inout [3:0] VAR6,
output reg VAR16
);
wire [2:0] VAR8 = VAR9 ? 4 : 1;
reg [11:0] VAR4;
reg [3:0] VAR14 = 4'b1111;
reg VAR3;
reg [1:0] state;
assign VAR6[0] = VAR3 ? VAR14[0] : 1'VAR7;
assign VAR6[1] = VAR3 ? VAR14[1] : 1'VAR7;
assign VAR6[2] = VAR3 ? VAR14[2] : 1'VAR7;
assign VAR6[3] = VAR9 ? (VAR3 ? VAR14[3] : 1'VAR7) : 1'b1;
always @(posedge clk) begin
if (reset) begin
state <= VAR2;
VAR3 <= 0;
VAR16 <= 1;
VAR5 <= 1;
end else begin
case (state)
if (VAR11 && !VAR5) begin
state <= VAR1;
VAR5 <= 1;
VAR4 <= VAR10 * 8 - 1;
end else begin
VAR16 <= 1;
VAR5 <= 0;
end
end
VAR16 <= 0;
VAR3 <= 1;
if(VAR9) begin
VAR14[0] <= VAR12[VAR4 - 3];
VAR14[1] <= VAR12[VAR4 - 2];
VAR14[2] <= VAR12[VAR4 - 1];
VAR14[3] <= VAR12[VAR4];
end else
VAR14[0] <= VAR12[VAR4];
if (VAR4 > VAR8 - 1) begin
VAR4 <= VAR4 - VAR8;
end else begin
if (VAR15 > 0) begin
state <= VAR17;
VAR4 <= 7 + 1; end
else begin
state <= VAR2;
end
end
end
VAR3 <= 0;
if (VAR4 > VAR8 - 1) begin
VAR4 <= VAR4 - VAR8;
end else begin
VAR16 <= 1;
state <= VAR2;
end
end
default: begin
state <= VAR2;
end
endcase
end
end
always @(negedge clk) begin
if (reset) begin
VAR13 <= 0;
end else begin
if (state == VAR17) begin
if (VAR9)
VAR13 <= {VAR13[3:0], VAR6[3], VAR6[2], VAR6[1], VAR6[0]};
end
else
VAR13 <= {VAR13[6:0], VAR6[1]};
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dfrbp/sky130_fd_sc_lp__dfrbp_lp.v
| 2,449 |
module MODULE1 (
VAR1 ,
VAR10 ,
VAR8 ,
VAR9 ,
VAR11,
VAR6 ,
VAR5 ,
VAR4 ,
VAR7
);
output VAR1 ;
output VAR10 ;
input VAR8 ;
input VAR9 ;
input VAR11;
input VAR6 ;
input VAR5 ;
input VAR4 ;
input VAR7 ;
VAR2 VAR3 (
.VAR1(VAR1),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR1 ,
VAR10 ,
VAR8 ,
VAR9 ,
VAR11
);
output VAR1 ;
output VAR10 ;
input VAR8 ;
input VAR9 ;
input VAR11;
supply1 VAR6;
supply0 VAR5;
supply1 VAR4 ;
supply0 VAR7 ;
VAR2 VAR3 (
.VAR1(VAR1),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR11(VAR11)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrtp/sky130_fd_sc_lp__dlrtp.behavioral.pp.v
| 2,177 |
module MODULE1 (
VAR14 ,
VAR18,
VAR7 ,
VAR12 ,
VAR15 ,
VAR20 ,
VAR2 ,
VAR6
);
output VAR14 ;
input VAR18;
input VAR7 ;
input VAR12 ;
input VAR15 ;
input VAR20 ;
input VAR2 ;
input VAR6 ;
wire VAR3 ;
reg VAR10 ;
wire VAR8 ;
wire VAR11 ;
wire VAR9 ;
wire VAR17 ;
wire VAR4;
wire VAR13 ;
not VAR5 (VAR3 , VAR4 );
VAR1 VAR16 (VAR13 , VAR11, VAR9, VAR3, VAR10, VAR15, VAR20);
assign VAR8 = ( VAR4 === 1'b1 );
buf VAR19 (VAR14 , VAR13 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a211oi/sky130_fd_sc_hd__a211oi.blackbox.v
| 1,368 |
module MODULE1 (
VAR5 ,
VAR1,
VAR3,
VAR4,
VAR2
);
output VAR5 ;
input VAR1;
input VAR3;
input VAR4;
input VAR2;
supply1 VAR9;
supply0 VAR8;
supply1 VAR7 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nand4/sky130_fd_sc_hd__nand4.blackbox.v
| 1,281 |
module MODULE1 (
VAR6,
VAR5,
VAR4,
VAR1,
VAR9
);
output VAR6;
input VAR5;
input VAR4;
input VAR1;
input VAR9;
supply1 VAR3;
supply0 VAR8;
supply1 VAR7 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/inv/sky130_fd_sc_hd__inv_2.v
| 1,995 |
module MODULE1 (
VAR8 ,
VAR3 ,
VAR2,
VAR6,
VAR1 ,
VAR7
);
output VAR8 ;
input VAR3 ;
input VAR2;
input VAR6;
input VAR1 ;
input VAR7 ;
VAR4 VAR5 (
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR8,
VAR3
);
output VAR8;
input VAR3;
supply1 VAR2;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR7 ;
VAR4 VAR5 (
.VAR8(VAR8),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
hoangt/NOCulator
|
hring/hw/buffered/src/whr_routing_logic.v
| 7,144 |
module MODULE1
(clk, reset, VAR13, VAR23, VAR16);
parameter VAR19 = 4;
localparam VAR20 = VAR9(VAR19);
parameter VAR5 = 2;
localparam VAR2 = VAR5 * VAR20;
parameter VAR12 = 1;
localparam VAR26 = VAR9(VAR12);
localparam VAR27 = VAR2 + VAR26;
parameter VAR7 = VAR15;
localparam VAR17
= ((VAR7 == VAR15) ||
(VAR7 == VAR18)) ?
2 :
(VAR7 == VAR21) ?
(VAR19 - 1) :
-1;
localparam VAR22
= VAR5 * VAR17 + VAR12;
parameter VAR33 = VAR1;
parameter VAR32 = VAR8;
localparam VAR6 = VAR27;
parameter VAR11 = VAR31;
input clk;
input reset;
input [0:VAR2-1] VAR13;
input [0:VAR6-1] VAR23;
output [0:VAR22-1] VAR16;
wire [0:VAR22-1] VAR16;
wire [0:VAR2-1] VAR10;
assign VAR10 = VAR23[0:VAR2-1];
wire VAR14;
assign VAR14 = (VAR13 == VAR10);
wire [0:VAR5-1] VAR28;
generate
case(VAR33)
begin
genvar VAR24;
for(VAR24 = 0; VAR24 < VAR5; VAR24 = VAR24 + 1)
begin:VAR30
wire [0:VAR20-1] VAR25;
assign VAR25
= VAR10[VAR24*VAR20:
(VAR24+1)*VAR20-1];
wire [0:VAR20-1] VAR3;
assign VAR3
= VAR13[VAR24*VAR20:
(VAR24+1)*VAR20-1];
assign VAR28[VAR24]
= (VAR25 == VAR3);
wire VAR29;
case(VAR32)
begin
if(VAR24 == 0)
assign VAR29 = ~VAR28[VAR24];
end
else
assign VAR29 = &VAR28[0:VAR24-1] &
~VAR28[VAR24];
end
begin
if(VAR24 == (VAR5 - 1))
assign VAR29 = ~VAR28[VAR24];
end
else
assign VAR29 = ~VAR28[VAR24] &
VAR28[(VAR24+1):
(VAR5-1)];
end
endcase
wire [0:VAR17-1] VAR4;
case(VAR7)
begin
assign VAR4
= {VAR25 < VAR3,
VAR25 > VAR3};
end
begin
begin
begin
begin
|
mit
|
MarkBlanco/FPGA_Sandbox
|
VHDL_UART/DE1_SOC_golden_top.v
| 7,365 |
module MODULE1(
output VAR102,
output VAR4,
input VAR77,
output VAR10,
input VAR24,
inout VAR65,
inout VAR56,
output VAR110,
inout VAR108,
output VAR46,
input VAR89,
input VAR98,
input VAR44,
input VAR21,
output [12:0] VAR68,
output [1:0] VAR69,
output VAR76,
output VAR7,
output VAR5,
output VAR84,
inout [15:0] VAR59,
output VAR109,
output VAR71,
output VAR27,
output VAR72,
output VAR97,
output VAR14,
inout VAR106,
inout [35:0] VAR41,
inout [35:0] VAR100,
output [6:0] VAR74,
output [6:0] VAR33,
output [6:0] VAR32,
output [6:0] VAR99,
output [6:0] VAR67,
output [6:0] VAR78,
inout VAR2,
output [14:0] VAR9,
output [2:0] VAR94,
output VAR8,
output VAR79,
output VAR38, output VAR81, output VAR101,
output [3:0] VAR83,
inout [31:0] VAR36,
inout [3:0] VAR60,
inout [3:0] VAR12,
output VAR19,
output VAR95,
output VAR54,
input VAR49,
output VAR73,
output VAR28,
inout VAR51,
output VAR61,
inout VAR29,
input VAR47,
input [3:0] VAR82,
input VAR64,
output [3:0] VAR37,
output VAR18,
inout [3:0] VAR15,
output VAR87,
output VAR6,
inout VAR105,
inout VAR34,
inout VAR93,
inout VAR88,
inout VAR104,
inout VAR11,
inout VAR92,
inout VAR96,
inout VAR90,
output VAR45,
inout VAR91,
inout [3:0] VAR23,
output VAR39,
input VAR63,
output VAR66,
inout VAR103,
input VAR70,
output VAR58,
input VAR53,
inout [7:0] VAR55,
input VAR57,
input VAR43,
output VAR40,
input VAR80,
output VAR85,
input [3:0] VAR26,
output [9:0] VAR42,
inout VAR3,
inout VAR13,
inout VAR86,
inout VAR30,
input [9:0] VAR20,
input VAR52,
input [7:0] VAR16,
input VAR1,
output VAR35,
input VAR22,
output [7:0] VAR31,
output VAR25,
output VAR107,
output [7:0] VAR75,
output VAR17,
output [7:0] VAR48,
output VAR50,
output VAR62
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkdlybuf4s15/sky130_fd_sc_lp__clkdlybuf4s15.pp.symbol.v
| 1,356 |
module MODULE1 (
input VAR3 ,
output VAR5 ,
input VAR2 ,
input VAR1,
input VAR4,
input VAR6
);
endmodule
|
apache-2.0
|
BBN-Q/APS2-Comms
|
src/ip/cpld_bridge/axis_adapter.v
| 19,237 |
module MODULE1 #
(
parameter VAR8 = 8,
parameter VAR2 = (VAR8/8),
parameter VAR7 = 8,
parameter VAR16 = (VAR7/8)
)
(
input wire clk,
input wire rst,
input wire [VAR8-1:0] VAR12,
input wire [VAR2-1:0] VAR1,
input wire VAR10,
output wire VAR3,
input wire VAR13,
input wire VAR9,
output wire [VAR7-1:0] VAR22,
output wire [VAR16-1:0] VAR19,
output wire VAR17,
input wire VAR23,
output wire VAR15,
output wire VAR18
);
localparam VAR4 = VAR8 / VAR2;
localparam VAR24 = VAR7 / VAR16;
localparam VAR6 = VAR16 > VAR2;
localparam VAR11 = VAR6 ? VAR7 : VAR8;
localparam VAR20 = VAR6 ? VAR16 : VAR2;
localparam VAR14 = VAR6 ? (VAR16 / VAR2) : (VAR2 / VAR16);
localparam VAR5 = VAR11 / VAR14;
localparam VAR21 = VAR20 / VAR14;
|
mpl-2.0
|
alexforencich/verilog-flowgen
|
rtl/fg_bd_fifo.v
| 4,428 |
module MODULE1 #
(
parameter VAR5 = 10,
parameter VAR21 = 8
)
(
input wire clk,
input wire rst,
input wire VAR23,
output wire VAR6,
input wire [VAR21-1:0] VAR22,
input wire [31:0] VAR17,
output wire VAR7,
input wire VAR3,
output wire [VAR21-1:0] VAR10,
output wire [31:0] VAR8,
output wire [VAR5-1:0] VAR14,
output wire [VAR5+32-1:0] VAR24
);
reg [VAR5:0] VAR20 = {VAR5+1{1'b0}};
reg [VAR5:0] VAR11 = {VAR5+1{1'b0}};
reg [VAR21-1:0] VAR2 = 0;
reg [31:0] VAR9 = 0;
reg [VAR21-1:0] VAR13[(2**VAR5)-1:0];
reg [31:0] VAR15[(2**VAR5)-1:0];
reg VAR18 = 1'b0;
reg VAR4 = 1'b0;
reg [VAR5-1:0] VAR19 = 0;
reg [VAR5+32-1:0] VAR16 = 0;
wire VAR12 = ((VAR20[VAR5] != VAR11[VAR5]) &&
(VAR20[VAR5-1:0] == VAR11[VAR5-1:0]));
wire VAR1 = VAR20 == VAR11;
wire write = VAR23 & ~VAR12;
wire read = (VAR3 | ~VAR4) & ~VAR1;
assign VAR10 = VAR2;
assign VAR8 = VAR9;
assign VAR14 = VAR19;
assign VAR24 = VAR16;
assign VAR6 = ~VAR12;
assign VAR7 = VAR4;
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR20 <= 0;
end else if (write) begin
VAR13[VAR20[VAR5-1:0]] <= VAR22;
VAR15[VAR20[VAR5-1:0]] <= VAR17;
VAR20 <= VAR20 + 1;
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR11 <= 0;
end else if (read) begin
VAR2 <= VAR13[VAR11[VAR5-1:0]];
VAR9 <= VAR15[VAR11[VAR5-1:0]];
VAR11 <= VAR11 + 1;
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR4 <= 1'b0;
end else if (VAR3 | ~VAR4) begin
VAR4 <= ~VAR1;
end else begin
VAR4 <= VAR4;
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR19 <= 0;
VAR16 <= 0;
end else if (VAR3 & VAR4 & write) begin
VAR16 <= VAR16 + VAR17 - VAR9;
end else if (VAR3 & VAR4) begin
VAR19 <= VAR19 - 1;
VAR16 <= VAR16 - VAR9;
end else if (write) begin
VAR19 <= VAR19 + 1;
VAR16 <= VAR16 + VAR17;
end
end
endmodule
|
mit
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/wb_conmax/wb_conmax_pri_dec.v
| 6,062 |
module MODULE1(valid, VAR2, VAR4);
parameter [1:0] VAR3 = 2'd0;
input valid;
input [1:0] VAR2;
output [3:0] VAR4;
wire [3:0] VAR4;
reg [3:0] VAR1;
reg [3:0] VAR5;
always @(valid or VAR2)
if(!valid) VAR5 = 4'b0001;
else
if(VAR2==2'h0) VAR5 = 4'b0001;
else
if(VAR2==2'h1) VAR5 = 4'b0010;
else
if(VAR2==2'h2) VAR5 = 4'b0100;
else VAR5 = 4'b1000;
always @(valid or VAR2)
if(!valid) VAR1 = 4'b0001;
else
if(VAR2==2'h0) VAR1 = 4'b0001;
else VAR1 = 4'b0010;
assign VAR4 = (VAR3==2'd0) ? 4'h0 : ( (VAR3==1'd1) ? VAR1 : VAR5 );
endmodule
|
gpl-2.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/system/synthesis/submodules/system_acl_iface_mm_interconnect_0_avalon_st_adapter.v
| 6,182 |
module MODULE1 #(
parameter VAR1 = 34,
parameter VAR15 = 0,
parameter VAR9 = 34,
parameter VAR7 = 0,
parameter VAR12 = 0,
parameter VAR25 = 0,
parameter VAR18 = 1,
parameter VAR16 = 1,
parameter VAR4 = 0,
parameter VAR14 = 34,
parameter VAR21 = 0,
parameter VAR13 = 1,
parameter VAR6 = 0,
parameter VAR17 = 1,
parameter VAR8 = 1,
parameter VAR24 = 0
) (
input wire VAR19, input wire VAR11, input wire [33:0] VAR22, input wire VAR5, output wire VAR20, output wire [33:0] VAR3, output wire VAR2, input wire VAR10, output wire [0:0] VAR23 );
generate
if (VAR1 != 34)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkbuf/sky130_fd_sc_hdll__clkbuf.pp.symbol.v
| 1,270 |
module MODULE1 (
input VAR5 ,
output VAR3 ,
input VAR1 ,
input VAR6,
input VAR4,
input VAR2
);
endmodule
|
apache-2.0
|
anderson1008/PAB-NOC
|
RTL/permuterBlock.v
| 2,124 |
module MODULE1 (VAR7, VAR42, VAR23, VAR40, VAR24, VAR26, VAR6);
input VAR23, VAR40;
input [4*VAR13-1:0] VAR24;
input [VAR8-1:0] VAR7,VAR42;
output [VAR8-1:0] VAR26, VAR6;
wire VAR1, VAR30, VAR44;
wire [VAR8-1:0] VAR20 [1:0];
wire [VAR8-1:0] VAR14 [1:0];
reg VAR10;
wire [VAR13-1:0] VAR9 [3:0];
genvar VAR11;
generate
for (VAR11=0; VAR11<4; VAR11= VAR11+1) begin : VAR35
assign VAR9[VAR11] = VAR24[VAR11*VAR13+:VAR13];
end
endgenerate
VAR33 VAR36(
.VAR18 (VAR7[VAR41]),
.VAR4 (VAR42[VAR41]),
.VAR22 (VAR7[VAR12]),
.VAR19 (VAR42[VAR12]),
.VAR21 (VAR7[VAR43]),
.VAR28 (VAR42[VAR43]),
.VAR2 (VAR1)
);
VAR16 VAR25(VAR7[VAR29],VAR23,VAR30);
VAR16 VAR15(VAR42[VAR29],VAR23,VAR44);
always @ * begin
if (
((VAR23==1'b0 && VAR40==1'b0) && (VAR9[3]==VAR17 | VAR9[1]==VAR17))
| ((VAR23==1'b0 && VAR40==1'b1) && (VAR9[2]==VAR17 | VAR9[0]==VAR17))
| ((VAR23==1'b1 && VAR40==1'b0) && (VAR9[3]==VAR17 | VAR9[2]==VAR17))
| ((VAR23==1'b1 && VAR40==1'b1) && (VAR9[1]==VAR17 | VAR9[0]==VAR17))
)
VAR10 <= 0;
end
else if ((VAR1 == 0 && VAR30 == 1) || (VAR1 == 1 && VAR44 == 0))
VAR10 <= 1;
end
VAR3 VAR32(
.VAR39 (VAR7),
.sel (VAR10),
.VAR27 (VAR14[0]),
.VAR45 (VAR20[0])
);
VAR3 VAR37(
.VAR39 (VAR42),
.sel (VAR10),
.VAR27 (VAR14[1]),
.VAR45 (VAR20[1])
);
VAR47 VAR5(
.VAR34 (VAR14[0]),
.VAR46 (VAR20[1]),
.sel (VAR10),
.VAR31 (VAR26)
);
VAR47 VAR38(
.VAR34 (VAR14[1]),
.VAR46 (VAR20[0]),
.sel (VAR10),
.VAR31 (VAR6)
);
endmodule
|
gpl-2.0
|
peteasa/parallella-fpga
|
AdiHDLLib/library/common/up_clock_mon.v
| 4,976 |
module MODULE1 (
VAR17,
VAR3,
VAR2,
VAR5,
VAR12);
input VAR17;
input VAR3;
output [31:0] VAR2;
input VAR5;
input VAR12;
reg [15:0] VAR14 = 'd0;
reg VAR4 = 'd0;
reg VAR10 = 'd0;
reg VAR9 = 'd0;
reg VAR1 = 'd0;
reg [31:0] VAR2 = 'd0;
reg VAR7 = 'd0;
reg VAR6 = 'd0;
reg VAR11 = 'd0;
reg VAR13 = 'd0;
reg [31:0] VAR15 = 'd0;
reg [32:0] VAR18 = 'd0;
wire VAR16;
wire VAR8;
assign VAR16 = VAR1 ^ VAR9;
always @(negedge VAR17 or posedge VAR3) begin
if (VAR17 == 0) begin
VAR14 <= 'd0;
VAR4 <= 'd0;
VAR10 <= 'd0;
VAR9 <= 'd0;
VAR1 <= 'd0;
VAR2 <= 'd0;
end else begin
VAR14 <= VAR14 + 1'b1;
if (VAR14 == 16'd0) begin
VAR4 <= ~VAR4;
end
VAR10 <= VAR13;
VAR9 <= VAR10;
VAR1 <= VAR9;
if (VAR16 == 1'b1) begin
VAR2 <= VAR15;
end
end
end
assign VAR8 = VAR11 ^ VAR6;
always @(posedge VAR12 or posedge VAR5) begin
if (VAR5 == 1'b1) begin
VAR7 <= 'd0;
VAR6 <= 'd0;
VAR11 <= 'd0;
end else begin
VAR7 <= VAR4;
VAR6 <= VAR7;
VAR11 <= VAR6;
end
end
always @(posedge VAR12) begin
if (VAR8 == 1'b1) begin
VAR13 <= ~VAR13;
VAR15 <= VAR18[31:0];
end
if (VAR8 == 1'b1) begin
VAR18 <= 33'd1;
end else if (VAR18[32] == 1'b0) begin
VAR18 <= VAR18 + 1'b1;
end else begin
VAR18 <= {33{1'b1}};
end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nor4/sky130_fd_sc_hs__nor4.pp.blackbox.v
| 1,294 |
module MODULE1 (
VAR1 ,
VAR7 ,
VAR2 ,
VAR4 ,
VAR5 ,
VAR3,
VAR6
);
output VAR1 ;
input VAR7 ;
input VAR2 ;
input VAR4 ;
input VAR5 ;
input VAR3;
input VAR6;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2bb2a/sky130_fd_sc_ms__o2bb2a.functional.v
| 1,562 |
module MODULE1 (
VAR8 ,
VAR2,
VAR9,
VAR4 ,
VAR5
);
output VAR8 ;
input VAR2;
input VAR9;
input VAR4 ;
input VAR5 ;
wire VAR10 ;
wire VAR12 ;
wire VAR11;
nand VAR6 (VAR10 , VAR9, VAR2 );
or VAR3 (VAR12 , VAR5, VAR4 );
and VAR1 (VAR11, VAR10, VAR12);
buf VAR7 (VAR8 , VAR11 );
endmodule
|
apache-2.0
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_rf.v
| 11,894 |
module MODULE1(
clk, rst,
VAR30, VAR41, VAR34, VAR19, VAR15, VAR32,
VAR14, VAR35, VAR17, VAR24, VAR18, VAR25, VAR48,
VAR26, VAR8, VAR37, VAR5, VAR11
);
parameter VAR12 = VAR42;
parameter VAR54 = VAR3;
input clk;
input rst;
input VAR30;
input VAR41;
input [VAR54-1:0] VAR34;
input [VAR12-1:0] VAR19;
input VAR15;
input VAR32;
input VAR14;
input [VAR54-1:0] VAR35;
input [VAR54-1:0] VAR17;
output [VAR12-1:0] VAR24;
output [VAR12-1:0] VAR18;
input VAR25;
input VAR48;
input VAR26;
input VAR8;
input [31:0] VAR37;
input [31:0] VAR5;
output [31:0] VAR11;
wire [VAR12-1:0] VAR57;
wire [VAR12-1:0] VAR4;
reg [VAR12:0] VAR53;
reg [VAR12:0] VAR1;
wire [VAR54-1:0] VAR6;
wire [VAR54-1:0] VAR22;
wire [VAR12-1:0] VAR45;
wire VAR39;
wire VAR33;
wire VAR43;
wire VAR13;
reg VAR52;
assign VAR33 = VAR26 & (VAR37[10:5] == VAR46);
assign VAR11 = VAR57;
assign VAR24 = (VAR53[32]) ? VAR53[31:0] : VAR57;
assign VAR18 = (VAR1[32]) ? VAR1[31:0] : VAR4;
assign VAR6 = (VAR33 & !VAR8) ? VAR37[4:0] : VAR35;
assign VAR22 = (VAR33 & VAR8) ? VAR37[4:0] : VAR34;
assign VAR45 = (VAR33 & VAR8) ? VAR5 : VAR19;
always @(posedge rst or posedge clk)
if (rst)
VAR52 <= 1'b1;
else if (~VAR41)
VAR52 <= ~VAR32;
assign VAR39 = ((VAR33 & VAR8) | (VAR15 & ~VAR41)) & VAR52 & (VAR30 | (|VAR22));
assign VAR43 = VAR25 & ~VAR14 | VAR33;
assign VAR13 = VAR48 & ~VAR14 | VAR33;
always @(posedge clk or posedge rst)
if (rst) begin
VAR53 <= 33'b0;
end
else if (VAR14 & !VAR53[32]) begin
VAR53 <= {1'b1, VAR57};
end
else if (!VAR14)
VAR53 <= 33'b0;
always @(posedge clk or posedge rst)
if (rst) begin
VAR1 <= 33'b0;
end
else if (VAR14 & !VAR1[32]) begin
VAR1 <= {1'b1, VAR4};
end
else if (!VAR14)
VAR1 <= 33'b0;
VAR29 VAR27(
.VAR50(clk),
.VAR38(rst),
.VAR40(VAR43),
.VAR10(1'b0),
.VAR56(1'b1),
.VAR35(VAR6),
.VAR7(32'h00000000),
.VAR9(VAR57),
.VAR36(clk),
.VAR21(rst),
.VAR23(VAR39),
.VAR49(VAR39),
.VAR2(1'b0),
.VAR17(VAR22),
.VAR47(VAR45),
.VAR20()
);
VAR29 VAR31(
.VAR50(clk),
.VAR38(rst),
.VAR40(VAR13),
.VAR10(1'b0),
.VAR56(1'b1),
.VAR35(VAR17),
.VAR7(32'h00000000),
.VAR9(VAR4),
.VAR36(clk),
.VAR21(rst),
.VAR23(VAR39),
.VAR49(VAR39),
.VAR2(1'b0),
.VAR17(VAR22),
.VAR47(VAR45),
.VAR20()
);
VAR51 VAR27(
.VAR50(clk),
.VAR38(rst),
.VAR40(VAR43),
.VAR56(1'b1),
.VAR35(VAR6),
.VAR9(VAR57),
.VAR36(clk),
.VAR21(rst),
.VAR23(VAR39),
.VAR49(VAR39),
.VAR17(VAR22),
.VAR47(VAR45)
);
VAR51 VAR31(
.VAR50(clk),
.VAR38(rst),
.VAR40(VAR13),
.VAR56(1'b1),
.VAR35(VAR17),
.VAR9(VAR4),
.VAR36(clk),
.VAR21(rst),
.VAR23(VAR39),
.VAR49(VAR39),
.VAR17(VAR22),
.VAR47(VAR45)
);
VAR55 VAR27(
.clk(clk),
.rst(rst),
.VAR40(VAR43),
.VAR35(VAR6),
.VAR9(VAR57),
.VAR23(VAR13),
.VAR17(VAR17),
.VAR20(VAR4),
.VAR44(VAR39),
.VAR16(VAR39),
.VAR34(VAR22),
.VAR28(VAR45)
);
|
gpl-2.0
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6t_SEQ_RVT_FF_210930.v
| 73,174 |
module MODULE1 (VAR15, VAR9, VAR10, VAR7);
output VAR15;
input VAR9, VAR10, VAR7;
reg VAR13;
wire VAR11, VAR8;
wire VAR12, VAR5, VAR4;
wire VAR6;
not (VAR12, VAR11);
not (VAR4, VAR10);
VAR14 (VAR6, VAR8, VAR12, VAR4);
VAR2 (VAR5, VAR13, VAR8, VAR12, VAR4, VAR6);
buf (VAR15, VAR5);
wire VAR1, VAR3, VAR16;
and (VAR1, VAR9, VAR10);
not (VAR16, VAR9);
and (VAR3, VAR16, VAR10);
|
bsd-3-clause
|
eda-globetrotter/MarcheProcessor
|
processor/spare/build2/regfileww.v
| 4,751 |
module MODULE1(VAR10,VAR1,VAR2,VAR16,VAR5,VAR14,
VAR12,VAR3,VAR7,VAR4,clk);
output [127:0] VAR10,VAR1;
input [0:127] VAR2;
input clk;
input VAR7;
input VAR12, VAR3;
input [4:0] VAR14, VAR16, VAR5;
input [15:0] VAR4;
reg [127:0] VAR10,VAR1;
reg [127:0] VAR9 [31:0];
reg [127:0] VAR13; reg [127:0] VAR8; reg [7:0] VAR6;
always @(posedge clk)
begin
VAR13=128'd0;
VAR13=VAR13-1'd1;
if(VAR7)
begin
if(VAR4==16'h1)
begin
VAR6=VAR2[0:7];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h3)
begin
VAR6=VAR2[8:15];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h7)
begin
VAR6=VAR2[16:23];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'hf)
begin
VAR6=VAR2[24:31];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h1f)
begin
VAR6=VAR2[32:39];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h3f)
begin
VAR6=VAR2[40:47];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h7f)
begin
VAR6=VAR2[48:55];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'hff)
begin
VAR6=VAR2[56:63];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h1ff)
begin
VAR6=VAR2[64:71];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h3ff)
begin
VAR6=VAR2[72:79];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h7ff)
begin
VAR6=VAR2[80:87];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'hfff)
begin
VAR6=VAR2[88:95];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h1fff)
begin
VAR6=VAR2[96:103];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h3fff)
begin
VAR6=VAR2[104:111];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'h7fff)
begin
VAR6=VAR2[112:119];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
else if(VAR4==16'hffff)
begin
VAR6=VAR2[120:127];
VAR8 = VAR13 & VAR6;
VAR9[VAR14] <= VAR8;
end
end
if(VAR12 && (VAR16!==5'VAR15) && (VAR16!==5'VAR11))
begin
VAR10<=VAR9[VAR16];
end
else
begin
VAR10=128'VAR11;
end
if(VAR3 && (VAR5!==5'VAR15) && (VAR5!==5'VAR11))
begin
VAR1<=VAR9[VAR5];
end
else
begin
VAR1=128'VAR11;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a21boi/sky130_fd_sc_hs__a21boi.behavioral.pp.v
| 2,063 |
module MODULE1 (
VAR10,
VAR6,
VAR5 ,
VAR7 ,
VAR2 ,
VAR14
);
input VAR10;
input VAR6;
output VAR5 ;
input VAR7 ;
input VAR2 ;
input VAR14;
wire VAR15 ;
wire VAR16 ;
wire VAR12 ;
wire VAR11;
not VAR8 (VAR15 , VAR14 );
and VAR9 (VAR16 , VAR7, VAR2 );
nor VAR13 (VAR12 , VAR15, VAR16 );
VAR3 VAR1 (VAR11, VAR12, VAR10, VAR6);
buf VAR4 (VAR5 , VAR11 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a21bo/sky130_fd_sc_lp__a21bo.behavioral.pp.v
| 2,043 |
module MODULE1 (
VAR11 ,
VAR5 ,
VAR4 ,
VAR7,
VAR3,
VAR10,
VAR8 ,
VAR15
);
output VAR11 ;
input VAR5 ;
input VAR4 ;
input VAR7;
input VAR3;
input VAR10;
input VAR8 ;
input VAR15 ;
wire VAR2 ;
wire VAR14 ;
wire VAR9;
nand VAR1 (VAR2 , VAR4, VAR5 );
nand VAR13 (VAR14 , VAR7, VAR2 );
VAR12 VAR16 (VAR9, VAR14, VAR3, VAR10);
buf VAR6 (VAR11 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfrbp/sky130_fd_sc_hs__sdfrbp_2.v
| 2,552 |
module MODULE1 (
VAR11,
VAR7 ,
VAR6 ,
VAR5 ,
VAR4 ,
VAR2 ,
VAR3 ,
VAR9 ,
VAR10
);
input VAR11;
input VAR7 ;
input VAR6 ;
output VAR5 ;
output VAR4 ;
input VAR2 ;
input VAR3 ;
input VAR9 ;
input VAR10 ;
VAR1 VAR8 (
.VAR11(VAR11),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR11,
VAR7 ,
VAR6 ,
VAR5 ,
VAR4 ,
VAR2 ,
VAR3
);
input VAR11;
input VAR7 ;
input VAR6 ;
output VAR5 ;
output VAR4 ;
input VAR2 ;
input VAR3 ;
supply1 VAR9;
supply0 VAR10;
VAR1 VAR8 (
.VAR11(VAR11),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/fill/sky130_fd_sc_hd__fill.behavioral.pp.v
| 1,147 |
module MODULE1 (
VAR2,
VAR3,
VAR1 ,
VAR4
);
input VAR2;
input VAR3;
input VAR1 ;
input VAR4 ;
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.