repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
sam-falvo/kestrel
|
cores/KCP53K/processor/rtl/verilog/polaris.v
| 13,914 |
module MODULE2(
output VAR231,
output VAR114,
output [3:0] VAR137,
output [63:0] VAR120,
output VAR57,
output VAR158,
input VAR175,
input VAR238,
input [31:0] VAR39,
output [63:0] VAR83,
output VAR20,
input VAR118,
input [63:0] VAR141,
output [63:0] VAR84,
output [63:0] VAR197,
output VAR169,
output VAR152,
output VAR236,
output [1:0] VAR143,
output VAR58,
output [11:0] VAR40,
output VAR127,
output VAR160,
input VAR65,
output [63:0] VAR102,
input [63:0] VAR87,
input VAR77,
input VAR227
);
wire VAR113, VAR217;
wire VAR67;
wire VAR104;
wire VAR85;
reg VAR216;
reg rst;
reg [63:0] VAR10, VAR208;
wire [63:0] VAR220, VAR90;
reg [31:0] VAR37;
wire [31:0] VAR224;
reg VAR182, VAR124, VAR133, VAR136, VAR173;
wire VAR60, VAR135, VAR97, VAR30, VAR44;
wire [4:0] VAR232;
wire VAR76, VAR88, VAR198;
wire VAR205, VAR7;
wire [63:0] VAR26, VAR70;
wire VAR134;
reg [63:0] VAR221, VAR181;
wire [63:0] VAR18, VAR13;
wire VAR109, VAR15, VAR69;
wire VAR234, VAR193, VAR132, VAR194, VAR89;
wire [63:0] VAR215, VAR79, VAR219;
wire VAR226;
wire VAR161;
wire VAR166;
wire VAR29;
wire VAR148;
wire VAR203;
wire VAR112;
wire VAR98;
wire VAR5, VAR117;
wire [63:0] VAR106, VAR222;
wire VAR96;
wire VAR80;
wire VAR95;
wire [3:0] VAR22;
wire VAR178;
wire VAR150;
wire VAR233;
wire [63:0] VAR154, VAR139;
wire VAR164;
wire VAR24;
wire VAR188;
wire VAR145;
wire VAR206;
wire VAR72;
wire VAR1;
wire [7:0] VAR45;
reg [7:0] VAR172;
wire VAR53;
wire VAR78;
reg VAR239;
wire VAR48, VAR43, VAR129;
wire VAR191;
reg [63:0] VAR171;
wire [63:0] VAR125;
wire VAR235;
wire VAR33;
wire VAR130, VAR56;
wire VAR187, VAR213;
wire VAR63, VAR156;
wire VAR131, VAR62;
wire VAR75, VAR200;
wire VAR111;
wire VAR204;
wire VAR123;
wire VAR225;
wire VAR31;
wire [63:0] VAR157;
wire [63:0] VAR144;
wire VAR167;
wire VAR52;
wire VAR47;
wire VAR151 = VAR31 | VAR65;
wire [63:0] VAR185 = VAR157 | VAR87;
wire VAR16 = |VAR37[11:7];
wire VAR163 = |VAR37[19:15];
wire [63:0] VAR2 = {59'b0, VAR37[19:15]};
assign VAR127 = VAR75 & VAR16;
assign VAR160 = VAR200 & VAR163;
assign VAR40 = VAR37[31:20];
assign VAR102 = (VAR62 ? VAR70 : 0) |
(VAR204 ? VAR222 : 0) |
(VAR111 ? VAR2 : 0);
wire VAR170 = VAR222[63] ^ VAR80;
assign VAR45 = VAR53 ? {VAR96, ~VAR96, ~VAR170, VAR170, 2'b00, ~VAR95, VAR95} : VAR172;
assign VAR58 = VAR206 & ~VAR37[14];
assign VAR143 = VAR206 ? VAR37[13:12] : 2'b00;
assign VAR152 = VAR188;
assign VAR236 = VAR145;
assign VAR197 = (VAR24 ? VAR222 : 64'd0);
assign VAR84 = (VAR1 ? VAR70 : 0);
assign VAR222 = (VAR178 ? {{32{VAR106[31]}}, VAR106[31:0]} : VAR106);
assign VAR215 = {{52{VAR37[31]}}, VAR37[31:20]};
assign VAR79 = {{52{VAR37[31]}}, VAR37[31:25], VAR37[11:7]};
assign VAR219 = {{51{VAR37[31]}}, VAR37[31], VAR37[7], VAR37[30:25], VAR37[11:8], 1'b0};
assign VAR154 = {{32{VAR37[31]}}, VAR37[31:12], 12'd0};
assign VAR139 = {{43{VAR37[31]}}, VAR37[31], VAR37[19:12], VAR37[20], VAR37[30:21], 1'b0};
assign VAR150 = ~|{VAR109, VAR15, VAR69, VAR225};
assign VAR233 = ~|{VAR234, VAR193, VAR132, VAR78, VAR194, VAR89, VAR123};
assign VAR18 = (VAR69 ? VAR208 : 0) |
(VAR109 ? VAR70 : 0) |
(VAR225 ? VAR185 : 0) |
(VAR150 ? VAR221 : 0);
assign VAR13 =
(VAR234 ? VAR70 : 0) |
(VAR193 ? VAR215 : 0) |
(VAR132 ? VAR79 : 0) |
(VAR78 ? VAR219 : 0) |
(VAR194 ? VAR154 : 0) |
(VAR89 ? VAR139 : 0) |
(VAR123 ? VAR2 : 0) |
(VAR233 ? VAR181 : 0);
assign VAR26 = (VAR205 ? VAR222 : 0) |
(VAR72 ? VAR141 : 0) |
(VAR131 ? VAR185 : 0) |
(VAR7 ? VAR10 : 0);
assign VAR232 = (VAR76 ? VAR37[19:15] : 0) |
(VAR88 ? VAR37[24:20] : 0) |
(VAR198 ? VAR37[11:7] : 0); wire VAR189 = ~|{VAR113,VAR217,VAR226,VAR191,VAR33};
assign VAR220 = (VAR113 ? 64'hFFFFFFFFFFFFFF00 : 64'h0) |
(VAR217 ? VAR10 + 4 : 64'h0) |
(VAR226 ? VAR222 : 64'h0) |
(VAR191 ? VAR144 : 64'h0) |
(VAR33 ? VAR120 : 64'h0) |
(VAR189 ? VAR10 : 64'h0); wire VAR180 = ~VAR164;
assign VAR90 = (VAR164 ? VAR10 : 0) |
(VAR180 ? VAR208 : 0);
assign VAR83 = VAR104 ? VAR10 : 0;
wire VAR116 = ~VAR85;
assign VAR224 = (VAR85 ? VAR39 : 0) |
(VAR116 ? VAR37 : 0);
always @(posedge VAR77) begin
rst <= VAR227;
VAR10 <= VAR220;
VAR208 <= VAR90;
VAR216 <= VAR67;
VAR182 <= VAR60;
VAR124 <= VAR135;
VAR133 <= VAR97;
VAR136 <= VAR30;
VAR173 <= VAR44;
VAR37 <= VAR224;
VAR221 <= VAR18;
VAR181 <= VAR13;
VAR172 <= VAR45;
VAR239 <= VAR114;
end
VAR229 VAR210(
.VAR60(VAR60),
.VAR135(VAR135),
.VAR97(VAR97),
.VAR30(VAR30),
.VAR182(VAR182),
.VAR124(VAR124),
.VAR133(VAR133),
.VAR136(VAR136),
.VAR216(VAR216),
.VAR20(VAR20),
.VAR104(VAR104),
.VAR238(VAR238),
.VAR113(VAR113),
.VAR217(VAR217),
.VAR85(VAR85),
.VAR37(VAR37),
.VAR67(VAR67),
.VAR7(VAR7),
.VAR166(VAR166),
.VAR226(VAR226),
.VAR76(VAR76),
.VAR88(VAR88),
.VAR198(VAR198),
.VAR109(VAR109),
.VAR234(VAR234),
.VAR193(VAR193),
.VAR134(VAR134),
.VAR205(VAR205),
.VAR29(VAR29),
.VAR148(VAR148),
.VAR203(VAR203),
.VAR112(VAR112),
.VAR98(VAR98),
.VAR161(VAR161),
.VAR178(VAR178),
.VAR15(VAR15),
.VAR194(VAR194),
.VAR164(VAR164),
.VAR69(VAR69),
.VAR24(VAR24),
.VAR188(VAR188),
.VAR145(VAR145),
.VAR206(VAR206),
.VAR72(VAR72),
.VAR118(VAR118),
.VAR1(VAR1),
.VAR132(VAR132),
.VAR169(VAR169),
.VAR89(VAR89),
.VAR53(VAR53),
.VAR78(VAR78),
.VAR44(VAR44),
.VAR173(VAR173),
.VAR172(VAR172),
.VAR231(VAR231),
.VAR114(VAR114),
.VAR239(VAR239),
.VAR48(VAR48),
.VAR43(VAR43),
.VAR129(VAR129),
.VAR191(VAR191),
.VAR235(VAR235),
.VAR33(VAR33),
.VAR63(VAR63),
.VAR156(VAR156),
.VAR213(VAR213),
.VAR187(VAR187),
.VAR177(VAR151),
.VAR131(VAR131),
.VAR127(VAR75),
.VAR62(VAR62),
.VAR160(VAR200),
.VAR111(VAR111),
.VAR204(VAR204),
.VAR123(VAR123),
.VAR225(VAR225),
.VAR167(VAR167),
.VAR52(VAR52),
.VAR47(VAR47),
.VAR5(VAR5),
.VAR117(VAR117),
.rst(rst)
);
VAR199 VAR199(
.VAR77(VAR77),
.VAR23(VAR232),
.VAR26(VAR26),
.VAR70(VAR70),
.VAR22({4{VAR134}})
);
alu alu(
.VAR138(VAR221),
.VAR146(VAR181),
.VAR161(VAR161),
.VAR14(VAR166),
.VAR92(VAR29),
.VAR218(VAR148),
.VAR207(VAR203),
.VAR211(VAR112),
.VAR21(VAR98),
.VAR223(VAR5),
.VAR3(VAR117),
.VAR115(VAR106),
.VAR96(VAR96),
.VAR80(VAR80),
.VAR95(VAR95)
);
MODULE1 MODULE1(
.VAR17(VAR40),
.VAR66(VAR31),
.VAR102(VAR157),
.VAR87(VAR102),
.VAR179(VAR127),
.VAR153(VAR160),
.VAR187(VAR187),
.VAR213(VAR213),
.VAR63(VAR63),
.VAR156(VAR156),
.VAR162(VAR144),
.VAR120(VAR120),
.VAR158(VAR158),
.VAR57(VAR57),
.VAR12(VAR216),
.VAR68(1'b0), .VAR48(VAR48),
.VAR43(VAR43),
.VAR129(VAR129),
.VAR235(VAR235),
.VAR52(VAR52),
.VAR27(VAR208),
.VAR159(VAR10),
.VAR137(VAR137),
.VAR175(VAR175),
.VAR209(VAR167),
.VAR149(VAR47),
.VAR227(VAR227),
.VAR77(VAR77)
);
endmodule
module MODULE1(
input [11:0] VAR17,
output VAR66,
output [63:0] VAR102,
input [63:0] VAR87,
input VAR179,
input VAR153,
input VAR187,
input VAR213,
input VAR63,
input VAR156,
output [63:0] VAR162,
output [63:0] VAR120,
output [3:0] VAR137,
input [63:0] VAR27,
input [63:0] VAR159,
output VAR158,
output VAR57,
input VAR12,
input VAR68,
input VAR48,
input VAR43,
input VAR129,
input VAR149,
input VAR235,
input VAR52,
input VAR175,
output VAR209,
input VAR227,
input VAR77
);
reg VAR56, VAR130;
reg [63:0] VAR108;
reg [63:0] VAR42;
reg [63:0] VAR171;
reg [4:0] VAR168; reg [63:0] VAR119;
reg [63:0] VAR91;
reg [63:0] VAR25;
reg [63:0] VAR4;
reg VAR176;
wire VAR230, VAR195;
wire [63:0] VAR32;
wire [63:0] VAR155;
wire [63:0] VAR125;
wire [4:0] VAR103;
wire [63:0] VAR186;
wire [63:0] VAR55;
wire [63:0] VAR9;
wire [63:0] VAR110;
assign VAR162 = VAR108;
assign VAR120 = VAR171;
wire VAR93 = (VAR17 == 12'hF10);
wire VAR190 = (VAR17 == 12'hF11);
wire VAR183 = (VAR17 == 12'hF12);
wire VAR201 = (VAR17 == 12'hF13);
wire VAR202 = (VAR17 == 12'hF14);
wire VAR81 = (VAR17 == 12'h300);
wire VAR74 = (VAR17 == 12'h302);
wire VAR107 = (VAR17 == 12'h303);
wire VAR82 = (VAR17 == 12'h304);
wire VAR38 = (VAR17 == 12'h305);
wire VAR147 = (VAR17 == 12'h340);
wire VAR140 = (VAR17 == 12'h341);
wire VAR214 = (VAR17 == 12'h342);
wire VAR36 = (VAR17 == 12'h343);
wire VAR64 = (VAR17 == 12'h344);
wire VAR100 = (VAR17 == 12'hF00);
wire VAR54 = (VAR17 == 12'hF01);
wire VAR128 = (VAR17 == 12'hF02);
assign VAR66 = |{
VAR93, VAR190, VAR183,
VAR201, VAR202, VAR81,
VAR74, VAR107, VAR82,
VAR38, VAR147, VAR140,
VAR214, VAR36, VAR64,
VAR100, VAR54, VAR128
};
wire [63:0] VAR174 = {2'b10, 36'd0, 26'b00000001000000000100000000};
wire [63:0] VAR142 = 64'd0;
wire [63:0] VAR192 = 64'd0;
wire [63:0] VAR86 = 64'h1161008010000000;
wire [63:0] VAR34 = 64'd0;
wire [63:0] VAR228 = {
1'b0, 34'd0, 5'b00000, 4'b0000, 3'b000, 2'b00, 2'b00, 2'b11, 2'b10, 1'b1, VAR56, 3'b000,
VAR130, 3'b000
};
wire [63:0] VAR51 = 64'd0;
wire [63:0] VAR212 = 64'd0;
wire [63:0] VAR35 = {
52'd0,
VAR176,
11'd0
};
wire [63:0] VAR8 = VAR108;
wire [63:0] VAR41 = VAR42;
wire [63:0] VAR61 = VAR171;
wire [63:0] VAR94 = {
VAR168[4],
59'd0, VAR168[3:0]
};
wire [63:0] VAR184 = VAR119;
wire [63:0] VAR99 = {
52'd0,
VAR175,
11'd0
};
wire [63:0] VAR59 = VAR91;
wire [63:0] VAR165 = VAR25;
wire [63:0] VAR19 = VAR4;
assign VAR209 = VAR130 & VAR176 & VAR175;
assign VAR102 =
(VAR93 ? VAR174 : 0) |
(VAR190 ? VAR142 : 0) |
(VAR183 ? VAR192 : 0) |
(VAR201 ? VAR86 : 0) |
(VAR202 ? VAR34 : 0) |
(VAR81 ? VAR228 : 0) |
(VAR74 ? VAR51 : 0) |
(VAR107 ? VAR212 : 0) |
(VAR82 ? VAR35 : 0) |
(VAR38 ? VAR8 : 0) |
(VAR147 ? VAR41 : 0) |
(VAR140 ? VAR61 : 0) |
(VAR214 ? VAR94 : 0) |
(VAR36 ? VAR184 : 0) |
(VAR64 ? VAR99 : 0) |
(VAR100 ? VAR59 : 0) |
(VAR54 ? VAR165 : 0) |
(VAR128 ? VAR19 : 0);
wire VAR121 = VAR82 & VAR153;
wire VAR46 = ~|{VAR121, VAR227};
wire VAR6 =
(VAR121 ? VAR87[11] : 0) |
(VAR46 ? VAR176 : 0);
wire VAR126 = VAR81 & VAR153;
wire VAR196 = ~|{VAR187, VAR213, VAR126};
assign VAR195 =
(VAR187 ? 0 : 0) |
(VAR213 ? VAR56 : 0) |
(VAR126 ? VAR87[3] : 0) |
(VAR196 ? VAR130 : 0);
wire VAR237 = ~|{VAR63, VAR156, VAR126};
assign VAR230 =
(VAR63 ? VAR130 : 0) |
(VAR156 ? 1 : 0) |
(VAR126 ? VAR87[7] : 0) |
(VAR237 ? VAR56 : 0);
assign VAR158 = VAR130;
assign VAR57 = VAR56;
wire VAR101 = VAR38 & VAR153;
wire VAR71 = ~|{VAR101, VAR227};
assign VAR32 =
(VAR101 ? VAR87 : 0) |
(VAR227 ? 64'hFFFFFFFFFFFFFE00 : 0) |
(VAR71 ? VAR108 : 0);
wire VAR50 = VAR147 & VAR153;
assign VAR155 = (VAR50 ? VAR87 : VAR42);
wire VAR28 = VAR140 & VAR153;
wire VAR122 = ~|{VAR28, VAR235, VAR52};
assign VAR125 =
(VAR28 ? VAR87 : 0) |
(VAR235 ? VAR27 : 0) |
(VAR52 ? VAR159 : 0) |
(VAR122 ? VAR171 : 0);
wire VAR105 = VAR214 & VAR153;
wire VAR49 = ~|{VAR48, VAR43, VAR129, VAR105};
assign VAR137 = VAR103[3:0];
assign VAR103 =
(VAR105 ? {VAR87[63], VAR87[3:0]} : 0) |
(VAR48 ? {VAR149, 4'd2} : 0) |
(VAR43 ? {VAR149, 4'd3} : 0) |
(VAR129 ? {VAR149, 4'd11} : 0) |
(VAR49 ? VAR168 : 0);
wire VAR11 = VAR36 & VAR153;
assign VAR186 = (VAR11 ? VAR87 : VAR119);
assign VAR55 = (~VAR227 ? VAR91 + 1 : 0);
assign VAR110 =
(~VAR227 & VAR12 ? VAR4 + 1 : 0) |
(~VAR227 & ~VAR12 ? VAR4 : 0);
assign VAR9 =
(~VAR227 & VAR68 ? VAR25 + 1 : 0) |
(~VAR227 & ~VAR68 ? VAR25 : 0);
always @(posedge VAR77) begin
VAR130 <= VAR195;
VAR56 <= VAR230;
VAR108 <= VAR32;
VAR42 <= VAR155;
VAR171 <= VAR125;
VAR168 <= VAR103;
VAR119 <= VAR186;
VAR91 <= VAR55;
VAR4 <= VAR110;
VAR25 <= VAR9;
VAR176 <= VAR6;
end
endmodule
|
mpl-2.0
|
comododragon/SHA256_FPGA
|
Full/Verilog/sha256_core.v
| 15,378 |
module MODULE1(
input wire clk,
input wire VAR68,
input wire VAR89,
input wire VAR49,
input wire VAR30,
input wire [511 : 0] VAR50,
output wire ready,
output wire [255 : 0] VAR71,
output wire VAR93
);
parameter VAR100 = 32'hc1059ed8;
parameter VAR20 = 32'h367cd507;
parameter VAR90 = 32'h3070dd17;
parameter VAR65 = 32'hf70e5939;
parameter VAR101 = 32'hffc00b31;
parameter VAR62 = 32'h68581511;
parameter VAR19 = 32'h64f98fa7;
parameter VAR6 = 32'hbefa4fa4;
parameter VAR12 = 32'h6a09e667;
parameter VAR58 = 32'hbb67ae85;
parameter VAR99 = 32'h3c6ef372;
parameter VAR45 = 32'ha54ff53a;
parameter VAR55 = 32'h510e527f;
parameter VAR11 = 32'h9b05688c;
parameter VAR63 = 32'h1f83d9ab;
parameter VAR54 = 32'h5be0cd19;
parameter VAR44 = 63;
parameter VAR83 = 0;
parameter VAR53 = 1;
parameter VAR10 = 2;
reg [31 : 0] VAR33;
reg [31 : 0] VAR67;
reg [31 : 0] VAR21;
reg [31 : 0] VAR39;
reg [31 : 0] VAR70;
reg [31 : 0] VAR38;
reg [31 : 0] VAR9;
reg [31 : 0] VAR15;
reg [31 : 0] VAR16;
reg [31 : 0] VAR5;
reg [31 : 0] VAR78;
reg [31 : 0] VAR97;
reg [31 : 0] VAR85;
reg [31 : 0] VAR25;
reg [31 : 0] VAR96;
reg [31 : 0] VAR28;
reg VAR46;
reg [31 : 0] VAR37;
reg [31 : 0] VAR66;
reg [31 : 0] VAR31;
reg [31 : 0] VAR94;
reg [31 : 0] VAR2;
reg [31 : 0] VAR57;
reg [31 : 0] VAR13;
reg [31 : 0] VAR84;
reg [31 : 0] VAR56;
reg [31 : 0] VAR91;
reg [31 : 0] VAR26;
reg [31 : 0] VAR24;
reg [31 : 0] VAR92;
reg [31 : 0] VAR4;
reg [31 : 0] VAR73;
reg [31 : 0] VAR17;
reg VAR35;
reg [5 : 0] VAR79;
reg [5 : 0] VAR77;
reg VAR69;
reg VAR61;
reg VAR60;
reg VAR52;
reg VAR1;
reg VAR43;
reg [1 : 0] VAR59;
reg [1 : 0] VAR18;
reg VAR23;
reg VAR8;
reg VAR80;
reg VAR86;
reg VAR7;
reg VAR48;
reg VAR98;
reg [31 : 0] VAR87;
reg [31 : 0] VAR3;
wire [31 : 0] VAR74;
reg VAR22;
reg VAR40;
wire [31 : 0] VAR36;
VAR47 VAR51(
.addr(VAR79),
.VAR64(VAR74)
);
VAR95 VAR75(
.clk(clk),
.VAR68(VAR68),
.VAR50(VAR50),
.VAR89(VAR22),
.VAR49(VAR40),
.VAR34(VAR36)
);
assign ready = VAR98;
assign VAR71 = {VAR37, VAR31, VAR2, VAR13,
VAR56, VAR26, VAR92, VAR73};
assign VAR93 = VAR52;
always @ (posedge clk or negedge VAR68)
begin : VAR14
if (!VAR68)
begin
VAR33 <= 32'h0;
VAR21 <= 32'h0;
VAR70 <= 32'h0;
VAR9 <= 32'h0;
VAR16 <= 32'h0;
VAR78 <= 32'h0;
VAR85 <= 32'h0;
VAR96 <= 32'h0;
VAR37 <= 32'h0;
VAR31 <= 32'h0;
VAR2 <= 32'h0;
VAR13 <= 32'h0;
VAR56 <= 32'h0;
VAR26 <= 32'h0;
VAR92 <= 32'h0;
VAR73 <= 32'h0;
VAR52 <= 0;
VAR79 <= 6'h0;
VAR59 <= VAR83;
end
else
begin
if (VAR46)
begin
VAR33 <= VAR67;
VAR21 <= VAR39;
VAR70 <= VAR38;
VAR9 <= VAR15;
VAR16 <= VAR5;
VAR78 <= VAR97;
VAR85 <= VAR25;
VAR96 <= VAR28;
end
if (VAR35)
begin
VAR37 <= VAR66;
VAR31 <= VAR94;
VAR2 <= VAR57;
VAR13 <= VAR84;
VAR56 <= VAR91;
VAR26 <= VAR24;
VAR92 <= VAR4;
VAR73 <= VAR17;
end
if (VAR69)
VAR79 <= VAR77;
if (VAR43)
VAR52 <= VAR1;
if (VAR23)
VAR59 <= VAR18;
end
end
always @*
begin : VAR32
VAR66 = 32'h0;
VAR94 = 32'h0;
VAR57 = 32'h0;
VAR84 = 32'h0;
VAR91 = 32'h0;
VAR24 = 32'h0;
VAR4 = 32'h0;
VAR17 = 32'h0;
VAR35 = 0;
if (VAR8)
begin
VAR35 = 1;
if (VAR30)
begin
VAR66 = VAR12;
VAR94 = VAR58;
VAR57 = VAR99;
VAR84 = VAR45;
VAR91 = VAR55;
VAR24 = VAR11;
VAR4 = VAR63;
VAR17 = VAR54;
end
else
begin
VAR66 = VAR100;
VAR94 = VAR20;
VAR57 = VAR90;
VAR84 = VAR65;
VAR91 = VAR101;
VAR24 = VAR62;
VAR4 = VAR19;
VAR17 = VAR6;
end
end
if (VAR80)
begin
VAR66 = VAR37 + VAR33;
VAR94 = VAR31 + VAR21;
VAR57 = VAR2 + VAR70;
VAR84 = VAR13 + VAR9;
VAR91 = VAR56 + VAR16;
VAR24 = VAR26 + VAR78;
VAR4 = VAR92 + VAR85;
VAR17 = VAR73 + VAR96;
VAR35 = 1;
end
end
always @*
begin : VAR42
reg [31 : 0] VAR29;
reg [31 : 0] VAR72;
VAR29 = {VAR16[5 : 0], VAR16[31 : 6]} ^
{VAR16[10 : 0], VAR16[31 : 11]} ^
{VAR16[24 : 0], VAR16[31 : 25]};
VAR72 = (VAR16 & VAR78) ^ ((~VAR16) & VAR85);
VAR87 = VAR96 + VAR29 + VAR72 + VAR36 + VAR74;
end
always @*
begin : VAR76
reg [31 : 0] VAR82;
reg [31 : 0] VAR41;
VAR82 = {VAR33[1 : 0], VAR33[31 : 2]} ^
{VAR33[12 : 0], VAR33[31 : 13]} ^
{VAR33[21 : 0], VAR33[31 : 22]};
VAR41 = (VAR33 & VAR21) ^ (VAR33 & VAR70) ^ (VAR21 & VAR70);
VAR3 = VAR82 + VAR41;
end
always @*
begin : VAR88
VAR67 = 32'h0;
VAR39 = 32'h0;
VAR38 = 32'h0;
VAR15 = 32'h0;
VAR5 = 32'h0;
VAR97 = 32'h0;
VAR25 = 32'h0;
VAR28 = 32'h0;
VAR46 = 0;
if (VAR86)
begin
VAR46 = 1;
if (VAR48)
begin
if (VAR30)
begin
VAR67 = VAR12;
VAR39 = VAR58;
VAR38 = VAR99;
VAR15 = VAR45;
VAR5 = VAR55;
VAR97 = VAR11;
VAR25 = VAR63;
VAR28 = VAR54;
end
else
begin
VAR67 = VAR100;
VAR39 = VAR20;
VAR38 = VAR90;
VAR15 = VAR65;
VAR5 = VAR101;
VAR97 = VAR62;
VAR25 = VAR19;
VAR28 = VAR6;
end
end
else
begin
VAR67 = VAR37;
VAR39 = VAR31;
VAR38 = VAR2;
VAR15 = VAR13;
VAR5 = VAR56;
VAR97 = VAR26;
VAR25 = VAR92;
VAR28 = VAR73;
end
end
if (VAR7)
begin
VAR67 = VAR87 + VAR3;
VAR39 = VAR33;
VAR38 = VAR21;
VAR15 = VAR70;
VAR5 = VAR9 + VAR87;
VAR97 = VAR16;
VAR25 = VAR78;
VAR28 = VAR85;
VAR46 = 1;
end
end
always @*
begin : VAR81
VAR77 = 0;
VAR69 = 0;
if (VAR60)
begin
VAR77 = 0;
VAR69 = 1;
end
if (VAR61)
begin
VAR77 = VAR79 + 1'b1;
VAR69 = 1;
end
end
always @*
begin : VAR27
VAR8 = 0;
VAR80 = 0;
VAR86 = 0;
VAR7 = 0;
VAR48 = 0;
VAR98 = 0;
VAR22 = 0;
VAR40 = 0;
VAR61 = 0;
VAR60 = 0;
VAR1 = 0;
VAR43 = 0;
VAR18 = VAR83;
VAR23 = 0;
case (VAR59)
VAR83:
begin
VAR98 = 1;
if (VAR89)
begin
VAR8 = 1;
VAR22 = 1;
VAR86 = 1;
VAR48 = 1;
VAR60 = 1;
VAR1 = 0;
VAR43 = 1;
VAR18 = VAR53;
VAR23 = 1;
end
if (VAR49)
begin
VAR22 = 1;
VAR86 = 1;
VAR60 = 1;
VAR1 = 0;
VAR43 = 1;
VAR18 = VAR53;
VAR23 = 1;
end
end
VAR53:
begin
VAR40 = 1;
VAR7 = 1;
VAR61 = 1;
if (VAR79 == VAR44)
begin
VAR18 = VAR10;
VAR23 = 1;
end
end
VAR10:
begin
VAR80 = 1;
VAR1 = 1;
VAR43 = 1;
VAR18 = VAR83;
VAR23 = 1;
end
endcase end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dfrtp/sky130_fd_sc_hdll__dfrtp_1.v
| 2,345 |
module MODULE2 (
VAR7 ,
VAR2 ,
VAR8 ,
VAR5,
VAR10 ,
VAR3 ,
VAR4 ,
VAR1
);
output VAR7 ;
input VAR2 ;
input VAR8 ;
input VAR5;
input VAR10 ;
input VAR3 ;
input VAR4 ;
input VAR1 ;
VAR6 VAR9 (
.VAR7(VAR7),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR7 ,
VAR2 ,
VAR8 ,
VAR5
);
output VAR7 ;
input VAR2 ;
input VAR8 ;
input VAR5;
supply1 VAR10;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR1 ;
VAR6 VAR9 (
.VAR7(VAR7),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
fbalakirev/red-pitaya-notes
|
cores/axis_scaler_v1_0/axis_scaler.v
| 1,519 |
module MODULE1 #
(
parameter integer VAR4 = 14
)
(
input wire VAR3,
input wire VAR15,
input wire signed [VAR4-1:0] VAR14,
input wire signed [VAR4-1:0] VAR10,
input wire VAR8,
output wire VAR6,
input wire VAR12,
output wire signed [VAR4-1:0] VAR11,
output wire VAR1
);
reg signed [VAR4-1:0] VAR9,VAR5;
reg [VAR4*2-1:0] VAR13, VAR2;
wire VAR7 = VAR8 & VAR12;
always @(posedge VAR3)
begin
if(~VAR15)
begin
VAR9 <= 0;
VAR5 <= 0;
VAR13 <= 0;
VAR2 <= 0;
end
else
begin
if(VAR7)
begin
VAR9 <= VAR10;
VAR5 <= VAR9;
VAR13 <= VAR5*VAR14;
VAR2 <= VAR13;
end
end
end
assign VAR6 = VAR12;
assign VAR1 = VAR8;
assign VAR11 = VAR2[VAR4*2-3:VAR4-2];
endmodule
|
mit
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/mc/mc_chroma_ip4x4.v
| 5,097 |
module MODULE1(
clk,
VAR10,
VAR8,
VAR15,
VAR14,
VAR11,
VAR9,
VAR7,
VAR21,
VAR27,
VAR12,
VAR31,
VAR6 ,
VAR2,
VAR25
);
input clk;
input VAR10;
input [5 :0] VAR8;
input VAR15;
input VAR14;
input [VAR23-1:0] VAR11;
input [VAR23-1:0] VAR9;
input [VAR23-1:0] VAR7;
input [VAR23-1:0] VAR21;
input [VAR23-1:0] VAR27;
input [VAR23-1:0] VAR12;
input [VAR23-1:0] VAR31;
output VAR2;
output VAR6;
output [4*VAR23-1:0] VAR25;
reg [1:0] VAR33;
reg VAR6;
reg [4*VAR23-1:0] VAR25;
wire [2:0] VAR28;
wire [2:0] VAR30;
wire VAR18;
wire [VAR23-1:0] VAR16;
wire [VAR23-1:0] VAR4;
wire [VAR23-1:0] VAR26;
wire [VAR23-1:0] VAR1;
assign VAR28 = VAR8[2:0];
assign VAR30 = VAR8[5:3];
always @(posedge clk or negedge VAR10) begin
if (!VAR10) begin
VAR33 <= 'd0;
end
else if (VAR15 || (VAR2)) begin
VAR33 <= 'd0;
end
else if (VAR6) begin
VAR33 <= VAR33 + 'd1;
end
end
assign VAR2 = (VAR33 == 'd3);
always @(posedge clk or negedge VAR10) begin
if (!VAR10) begin
VAR25 <= 'd0;
VAR6<= 'd0;
end
else if (VAR18) begin
VAR25 <= {VAR16,VAR4,VAR26,VAR1};
VAR6<= 'd1;
end
else begin
VAR25 <= VAR25;
VAR6<= 'd0;
end
end
VAR19 VAR24(
.clk (clk),
.VAR10 (VAR10),
.VAR15 (VAR15),
.VAR29 (VAR28),
.VAR20 (VAR30),
.VAR13 (VAR14),
.VAR11 (VAR11),
.VAR9 (VAR9),
.VAR7 (VAR7),
.VAR21 (VAR21),
.VAR22(VAR18),
.VAR3 (VAR16)
);
VAR19 VAR32(
.clk (clk),
.VAR10 (VAR10),
.VAR15 (VAR15),
.VAR29 (VAR28),
.VAR20 (VAR30),
.VAR13 (VAR14),
.VAR11 (VAR9),
.VAR9 (VAR7),
.VAR7 (VAR21),
.VAR21 (VAR27),
.VAR22(),
.VAR3 (VAR4)
);
VAR19 VAR5(
.clk (clk),
.VAR10 (VAR10),
.VAR15 (VAR15),
.VAR29 (VAR28),
.VAR20 (VAR30),
.VAR13 (VAR14),
.VAR11 (VAR7),
.VAR9 (VAR21),
.VAR7 (VAR27),
.VAR21 (VAR12),
.VAR22(),
.VAR3 (VAR26)
);
VAR19 VAR17(
.clk (clk),
.VAR10 (VAR10),
.VAR15 (VAR15),
.VAR29 (VAR28),
.VAR20 (VAR30),
.VAR13 (VAR14),
.VAR11 (VAR21),
.VAR9 (VAR27),
.VAR7 (VAR12),
.VAR21 (VAR31),
.VAR22(),
.VAR3 (VAR1)
);
endmodule
|
gpl-3.0
|
Cognoscan/BoostDSP
|
verilog/src/math/XorShift128Plus.v
| 3,888 |
module MODULE1 (
input clk,
input rst,
input [127:0] VAR5,
input VAR14,
input read,
output reg VAR1,
output reg [63:0] VAR12
);
localparam VAR6 = 0;
localparam VAR4 = 1;
localparam VAR11 = 2;
localparam VAR10 = 3;
localparam VAR3 = 4;
localparam VAR2 = 5;
localparam VAR8 = 6;
reg [2:0] state;
reg [63:0] VAR13;
reg [63:0] VAR9;
reg [63:0] VAR7;
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a41o/sky130_fd_sc_ms__a41o.behavioral.v
| 1,558 |
module MODULE1 (
VAR10 ,
VAR4,
VAR2,
VAR11,
VAR6,
VAR13
);
output VAR10 ;
input VAR4;
input VAR2;
input VAR11;
input VAR6;
input VAR13;
supply1 VAR1;
supply0 VAR7;
supply1 VAR14 ;
supply0 VAR9 ;
wire VAR8 ;
wire VAR3;
and VAR12 (VAR8 , VAR4, VAR2, VAR11, VAR6 );
or VAR5 (VAR3, VAR8, VAR13 );
buf VAR15 (VAR10 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_clkbufkapwr/sky130_fd_sc_hd__lpflow_clkbufkapwr.pp.symbol.v
| 1,370 |
module MODULE1 (
input VAR7 ,
output VAR1 ,
input VAR5,
input VAR3 ,
input VAR6 ,
input VAR4 ,
input VAR2
);
endmodule
|
apache-2.0
|
VerticalResearchGroup/miaow
|
src/verilog/rtl/issue/sgpr_comparator.v
| 5,375 |
module MODULE1
(
VAR9,
VAR33, VAR37, VAR38,
VAR31, VAR13, VAR26, VAR7,
VAR45
);
wire VAR1, VAR42, VAR43, VAR28,
VAR5, VAR35;
input [3:0] VAR33;
wire [3:0] VAR22, VAR11, VAR25;
wire [2:0] VAR14, VAR15, VAR16;
input [VAR12-1:0] VAR37;
input [13:0] VAR38, VAR31, VAR13;
input [12:0] VAR26, VAR7, VAR45;
output [VAR41-1:0] VAR9;
wire [3:0] VAR39, VAR40, VAR2;
wire [1:0] VAR8, VAR24, VAR44;
assign VAR1 = VAR21(VAR38[11:0]);
assign VAR42 = VAR21(VAR26[11:0]);
assign VAR43 = VAR21(VAR7[11:0]);
assign VAR28 = VAR21(VAR31[11:0]);
assign VAR5 = VAR21(VAR13[11:0]);
assign VAR35 = VAR21(VAR45[11:0]);
assign VAR22 = VAR32(VAR38);
assign VAR14 = VAR6(VAR26);
assign VAR15 = VAR6(VAR7);
assign VAR11 = VAR32(VAR31);
assign VAR25 = VAR32(VAR13);
assign VAR16 = VAR6(VAR45);
assign VAR39 = VAR36(VAR1, VAR37,
VAR38[VAR12-1:0],
VAR33,
VAR22);
assign VAR8 = VAR29(VAR42, VAR37,
VAR26[VAR12-1:0],
VAR33,
VAR14);
assign VAR24 = VAR29(VAR43, VAR37,
VAR7[VAR12-1:0],
VAR33,
VAR15);
assign VAR40 = VAR36(VAR28, VAR37,
VAR31[VAR12-1:0],
VAR33,
VAR11);
assign VAR2 = VAR36(VAR5, VAR37,
VAR13[VAR12-1:0],
VAR33,
VAR25);
assign VAR44 = VAR29(VAR35, VAR37,
VAR45[VAR12-1:0],
VAR33,
VAR16);
assign VAR9 = {VAR39, VAR8, VAR24,
VAR40, VAR2, VAR44};
function VAR21;
input[11:0] VAR19;
begin
VAR21
= (VAR19[VAR18:VAR10] == VAR30)?
1'b1 : 1'b0;
end
endfunction
function [3:0] VAR32;
input[13:0] VAR19;
begin
VAR32
= (VAR19[VAR34]) ? 4'b1111 :
(VAR19[VAR27]) ? 4'b0011 :
4'b0001;
end
endfunction
function [1:0] VAR6;
input[12:0] VAR19;
begin
VAR6
= (VAR19[VAR27]) ? 4'b0011 :
4'b0001;
end
endfunction
function [3:0] VAR36;
input VAR17;
input [VAR12-1:0] VAR3, VAR20;
input [3:0] VAR4, VAR23;
begin
VAR36
= ( VAR17 == 1'b0 ) ? 4'b0000 :
( VAR20+3 == VAR3 ) ? VAR23 & VAR4<<3 :
( VAR20+2 == VAR3 ) ? VAR23 & VAR4<<2 :
( VAR20+1 == VAR3 ) ? VAR23 & VAR4<<1 :
( VAR20 == VAR3 ) ? VAR23 & VAR4 :
( VAR20 == VAR3+1 ) ? VAR23 & VAR4>>1 :
( VAR20 == VAR3+2 ) ? VAR23 & VAR4>>2 :
( VAR20 == VAR3+3 ) ? VAR23 & VAR4>>3 :
4'b0000;
end
endfunction
function [1:0] VAR29;
input VAR17;
input [VAR12-1:0] VAR3, VAR20;
input [3:0] VAR4;
input [1:0] VAR23;
begin
VAR29
= ( VAR17 == 1'b0 )? 2'b00 :
( VAR20+1 == VAR3 ) ? (VAR23 & {VAR4[0],1'b0}) :
( VAR20 == VAR3 ) ? (VAR23 & VAR4[1:0]) :
( VAR20 == VAR3+1 ) ? (VAR23 & VAR4[2:1]) :
( VAR20 == VAR3+2 ) ? VAR23 & VAR4[3:2] :
( VAR20 == VAR3+3 ) ? VAR23 & {1'b0,VAR4[3]} :
2'b00;
end
endfunction
endmodule
|
bsd-3-clause
|
hakehuang/pycpld
|
ips/ip/spi_master_reduced/spi_master_reduced.v
| 4,000 |
module MODULE1(
clk,VAR11,
VAR7,VAR1,VAR3,
VAR5,VAR18,VAR14,VAR12
);
parameter VAR17 = 64;
input clk;
input VAR11;
input VAR7;
output VAR1;
output VAR3;
input VAR5;
output VAR12;
input VAR18;
input VAR14;
reg[8:0] VAR15;
reg[7:0] VAR13;
reg[7:0] VAR16;
reg[4:0] VAR10;
reg VAR9;
reg VAR20;
reg VAR19;
reg VAR12;
reg[7:0] VAR2;
reg[7:0] VAR8;
wire[7:0] VAR21;
wire[4:0] VAR4;
wire[4:0] VAR6;
assign VAR4 = VAR14 ? 5'd18 : 5'd17;
assign VAR6 = VAR14 ? 5'd1 : 5'd0;
always @(posedge clk or negedge VAR11) begin
if(!VAR11) begin
VAR10 <= 5'd0;
VAR15 <= 9'h0;
VAR16 <= 8'h0;
VAR13 <= 8'h0;
end
else if((VAR5 || VAR18) && ((VAR15 < VAR17) )) begin
if(VAR10 < VAR4)
VAR10 <= VAR10+1'b1;
end
else begin
if(VAR5 && VAR18) begin
VAR10 <= 5'd0;
VAR15 <= VAR15 + 1'b1;
VAR16 <= VAR16 + 1'b1;
VAR13 <= (VAR21 == VAR15) ? (VAR13+1'b1) : VAR13;
end
else begin
if(VAR5) begin
VAR10 <= 5'd0;
VAR15 <= VAR15 + 1'b1;
VAR16 <= VAR16 + 1'b1;
end
else begin
VAR10 <= 5'd0;
VAR15 <= VAR15 + 1'b1;
VAR13 <= (VAR21 == VAR15) ? (VAR13+1'b1) : VAR13;
end
end
end
end
else begin
VAR10 <= 5'd0;
VAR15 <= VAR15;
end
end
always @(posedge clk or negedge VAR11) begin
if(!VAR11)
VAR9 <= VAR14 ? 1'b1 : 1'b0;
end
else if(VAR10 > VAR6 && VAR10 < VAR4)
VAR9 <= ~VAR9;
else
VAR9 <= VAR9;
end
assign VAR3 = VAR9;
always @(posedge clk or negedge VAR11) begin
if(!VAR11)
VAR20 <= 1'b1;
end
else if(VAR5) begin
case(VAR10[4:1])
4'd0: VAR20 <= VAR16[7];
4'd1: VAR20 <= VAR16[6];
4'd2: VAR20 <= VAR16[5];
4'd3: VAR20 <= VAR16[4];
4'd4: VAR20 <= VAR16[3];
4'd5: VAR20 <= VAR16[2];
4'd6: VAR20 <= VAR16[1];
4'd7: VAR20 <= VAR16[0];
default: VAR20 <= 1'b1;
endcase
end
else
VAR20 <= 1'b1;
end
always @(posedge clk or negedge VAR11) begin
if(!VAR11)
VAR19 <= 1'b1;
end
else if(VAR5) begin
case(VAR10[4:1])
4'd1: VAR19 <= VAR16[7];
4'd2: VAR19 <= VAR16[6];
4'd3: VAR19 <= VAR16[5];
4'd4: VAR19 <= VAR16[4];
4'd5: VAR19 <= VAR16[3];
4'd6: VAR19 <= VAR16[2];
4'd7: VAR19 <= VAR16[1];
4'd8: VAR19 <= VAR16[0];
default: VAR19 <= 1'b1;
endcase
end
else
VAR19 <= 1'b1;
end
assign VAR1 = VAR14 ? VAR19 : VAR20;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/tapvgnd/sky130_fd_sc_ls__tapvgnd.blackbox.v
| 1,249 |
module MODULE1 ();
supply1 VAR2;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/or2/sky130_fd_sc_hd__or2.pp.blackbox.v
| 1,254 |
module MODULE1 (
VAR1 ,
VAR3 ,
VAR4 ,
VAR7,
VAR2,
VAR6 ,
VAR5
);
output VAR1 ;
input VAR3 ;
input VAR4 ;
input VAR7;
input VAR2;
input VAR6 ;
input VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a32oi/sky130_fd_sc_ls__a32oi.behavioral.v
| 1,711 |
module MODULE1 (
VAR9 ,
VAR7,
VAR2,
VAR14,
VAR15,
VAR5
);
output VAR9 ;
input VAR7;
input VAR2;
input VAR14;
input VAR15;
input VAR5;
supply1 VAR1;
supply0 VAR6;
supply1 VAR13 ;
supply0 VAR11 ;
wire VAR3 ;
wire VAR17 ;
wire VAR8;
nand VAR16 (VAR3 , VAR2, VAR7, VAR14 );
nand VAR10 (VAR17 , VAR5, VAR15 );
and VAR4 (VAR8, VAR3, VAR17);
buf VAR12 (VAR9 , VAR8 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkinvlp/sky130_fd_sc_lp__clkinvlp.pp.symbol.v
| 1,286 |
module MODULE1 (
input VAR2 ,
output VAR1 ,
input VAR5 ,
input VAR3,
input VAR4,
input VAR6
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/tapvpwrvgnd/sky130_fd_sc_ls__tapvpwrvgnd.functional.v
| 1,067 |
module MODULE1 ();
endmodule
|
apache-2.0
|
vipinkmenon/scas
|
hw/fpga/source/pcie_if/pcie_clocking_v6.v
| 11,347 |
module MODULE1 # (
parameter VAR68 = "VAR18",
parameter VAR34 = 8, parameter VAR92 = 4'h1, parameter VAR41 = 0, parameter VAR22 = 3
)
(
input wire VAR79,
input wire VAR125,
input wire VAR107,
input wire [1:0] VAR47,
output wire VAR16,
output wire VAR59,
output wire VAR52,
output wire VAR117,
output wire VAR106,
output wire VAR119,
output wire VAR61
);
parameter VAR21 = 1;
wire VAR53;
wire VAR93;
wire VAR45;
wire VAR71;
wire VAR73;
wire VAR102;
wire VAR44;
wire VAR5;
wire VAR99;
reg [1:0] VAR40 = 2'b11;
localparam VAR28 = (VAR41 == 0) ? 10 :
(VAR41 == 1) ? 8 :
(VAR41 == 2) ? 4 : 0;
localparam VAR105 = (VAR41 == 0) ? 10 :
(VAR41 == 1) ? 8 :
(VAR41 == 2) ? 8 : 0;
localparam VAR91 = (VAR41 == 0) ? 1 :
(VAR41 == 1) ? 1 :
(VAR41 == 2) ? 2 : 0;
localparam VAR58 = 4;
localparam VAR56 = 8;
localparam VAR32 = ((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 0)) ? 32 :
((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 1)) ? 16 :
((VAR34 == 6'h01) && (VAR92 == 4'h2) && (VAR22 == 1)) ? 16 :
((VAR34 == 6'h02) && (VAR92 == 4'h1) && (VAR22 == 1)) ? 16 : 2;
localparam VAR108 = 2;
assign VAR71 = 1'b0;
assign VAR119 = VAR106;
generate
if (VAR92 == 4'h1) begin : VAR74
VAR122 VAR120 (.VAR3(VAR59),.VAR85(VAR44));
end else if (VAR92 == 4'h2) begin : VAR38
VAR27 #(.VAR121(0)) VAR81 (.VAR65(VAR99),
.VAR66(VAR107), .VAR116(VAR59),.VAR36(VAR61), .VAR94(1'b1),.VAR12(1'b1),.VAR114(1'b1),.VAR29(1'b1));
VAR97 VAR111 (.VAR3(VAR59), .VAR50(VAR44),.VAR129(VAR102),.VAR26(VAR99));
end else begin : VAR10
end
if ((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 0)) begin : VAR19
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR5));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 1)) begin : VAR39
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR5));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 2)) begin : VAR51
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR44));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h1) && (VAR22 == 3)) begin : VAR55
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h2) && (VAR22 == 1)) begin : VAR30
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR5));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h2) && (VAR22 == 2)) begin : VAR2
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR44));
end else if ((VAR34 == 6'h01) && (VAR92 == 4'h2) && (VAR22 == 3)) begin : VAR118
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h02) && (VAR92 == 4'h1) && (VAR22 == 1)) begin : VAR76
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR5));
end else if ((VAR34 == 6'h02) && (VAR92 == 4'h1) && (VAR22 == 2)) begin : VAR123
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR44));
end else if ((VAR34 == 6'h02) && (VAR92 == 4'h1) && (VAR22 == 3)) begin : VAR35
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h02) && (VAR92 == 4'h2) && (VAR22 == 2)) begin : VAR86
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR44));
end else if ((VAR34 == 6'h02) && (VAR92 == 4'h2) && (VAR22 == 3)) begin : VAR78
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h04) && (VAR92 == 4'h1) && (VAR22 == 2)) begin : VAR88
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR44));
end else if ((VAR34 == 6'h04) && (VAR92 == 4'h1) && (VAR22 == 3)) begin : VAR75
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h04) && (VAR92 == 4'h2) && (VAR22 == 3)) begin : VAR80
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h08) && (VAR92 == 4'h1) && (VAR22 == 3)) begin : VAR49
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
end else if ((VAR34 == 6'h08) && (VAR92 == 4'h2) && (VAR22 == 4)) begin : VAR77
VAR122 VAR25 (.VAR3(VAR52),.VAR85(VAR102));
VAR122 VAR103 (.VAR3(VAR117),.VAR85(VAR73));
end else begin : VAR46
end
endgenerate
VAR122 VAR110 (.VAR3(VAR106), .VAR85(VAR44));
VAR122 VAR42 (.VAR3(VAR93), .VAR85(VAR45));
VAR122 VAR89 (.VAR3(VAR16), .VAR85(VAR79));
VAR100 # (
.VAR17 (VAR105),
.VAR69 (VAR91),
.VAR20(0),
.VAR115 (VAR28),
.VAR23 (VAR28),
.VAR63 (VAR58),
.VAR124 (0),
.VAR84 (VAR56),
.VAR7 (0),
.VAR128 (VAR32),
.VAR101 (0),
.VAR87 (VAR108),
.VAR33 (0)
) VAR90 (
.VAR126 (VAR45),
.VAR37 (VAR102), .VAR48 (VAR44), .VAR43 (VAR5), .VAR82 (VAR73),
.VAR13 (),
.VAR31 (),
.VAR1 (),
.VAR64 (),
.VAR104 (),
.VAR8 (),
.VAR127 (),
.VAR70 (),
.VAR98 (),
.VAR109 (),
.VAR15 (),
.VAR14 (),
.VAR72 (),
.VAR113 (VAR53),
.VAR9 (VAR93),
.VAR62 (VAR79),
.VAR4 (1'b0),
.VAR54 (1'b1),
.VAR95 (7'b0),
.VAR24 (1'b0),
.VAR57 (1'b0),
.VAR67 (16'b0),
.VAR60 (1'b0),
.VAR6 (1'b0),
.VAR96 (1'b0),
.VAR11 (1'b0),
.VAR112 (1'b0),
.VAR83 (VAR71)
);
always @ (posedge VAR59 or negedge VAR125) begin
if (!VAR125)
end
else
end
assign VAR61 = !VAR40[1] & VAR53;
endmodule
|
mit
|
intelligenttoasters/CPC2.0
|
FPGA/rtl/support_io_if.v
| 4,778 |
module MODULE1(
input VAR26,
input [7:0] VAR29,
input [7:0] VAR18,
output [7:0] VAR7,
input VAR20,
input VAR27,
input VAR28,
output VAR5,
output [3:0] VAR12,
output [15:0] VAR24, output [15:0] VAR30, output [7:0] VAR21, input [8*16-1:0] VAR9, input VAR31, output [15:0] VAR13, output [15:0] VAR33, output [7:0] VAR1, output [7:0] VAR4 );
wire VAR6, VAR15;
wire [3:0] VAR22, VAR25;
wire [15:0] VAR8;
reg [15:0] VAR32 = 0;
reg [15:0] VAR34 = 0;
reg [7:0] VAR11 = 8'hff;
reg [7:0] VAR17 = 8'hff;
assign VAR5 = VAR26; assign VAR6 = VAR28 | VAR27;
assign VAR15 = VAR28 | VAR20;
assign VAR22 = VAR29[7:4];
assign VAR25 = VAR29[3:0];
assign VAR21 = VAR18;
assign VAR13 = VAR32;
assign VAR33 = VAR34;
assign VAR1 = VAR11;
assign VAR4 = VAR17;
assign VAR8 = {
(VAR22 != 4'd15),
(VAR22 != 4'd14),
(VAR22 != 4'd13),
(VAR22 != 4'd12),
(VAR22 != 4'd11),
(VAR22 != 4'd10),
(VAR22 != 4'd9),
(VAR22 != 4'd8),
(VAR22 != 4'd7),
(VAR22 != 4'd6),
(VAR22 != 4'd5),
(VAR22 != 4'd4),
(VAR22 != 4'd3),
(VAR22 != 4'd2),
(VAR22 != 4'd1),
(VAR22 != 4'd0)
};
assign VAR30 = (VAR6) ? 16'hffff : VAR8;
assign VAR24 = (VAR15) ? 16'hffff : VAR8;
assign VAR12 = VAR25; assign VAR7 = (!VAR8[0]) ? VAR9[16*8-1:15*8] :
(!VAR8[1]) ? VAR9[15*8-1:14*8] :
(!VAR8[2]) ? VAR9[14*8-1:13*8] :
(!VAR8[3]) ? VAR9[13*8-1:12*8] :
(!VAR8[4]) ? VAR9[12*8-1:11*8] :
(!VAR8[5]) ? VAR9[11*8-1:10*8] :
(!VAR8[6]) ? VAR9[10*8-1:9*8] :
(!VAR8[7]) ? VAR9[9*8-1:8*8] :
(!VAR8[8]) ? VAR9[8*8-1:7*8] :
(!VAR8[9]) ? VAR9[7*8-1:6*8] :
(!VAR8[10]) ? VAR9[6*8-1:5*8] :
(!VAR8[11]) ? VAR9[5*8-1:4*8] :
(!VAR8[12]) ? VAR9[4*8-1:3*8] :
(!VAR8[13]) ? VAR9[3*8-1:2*8] :
(!VAR8[14]) ? VAR9[2*8-1:1*8] :
(!VAR8[15]) ? VAR9[1*8-1:0*8] :
8'hff;
wire VAR23 = !(VAR24 == 16'hffff);
wire VAR35 = !(VAR30 == 16'hffff);
reg VAR19, VAR2;
wire VAR16 = ({VAR19,VAR23} == 2'b01);
wire VAR3 = ({VAR2,VAR35} == 2'b01);
reg [1:0] VAR14 = 0;
always @(negedge VAR26) VAR14 = {VAR14[0], !(VAR23 | VAR35)};
wire VAR10 = (VAR14 == 2'd0);
always @(negedge VAR26)
begin
VAR19 <= VAR23;
VAR2 <= VAR35;
end
always @(posedge VAR26)
begin
if( VAR31 | VAR10 ) begin
VAR34 <= 16'b0;
VAR32 <= 16'b0;
end
else begin
if( VAR16 | VAR3 )
begin
VAR11 <= VAR29;
VAR17 <= VAR18;
VAR34[VAR22] <= 1'b1;
VAR32[VAR22] <= VAR35;
end
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21a/sky130_fd_sc_hdll__o21a.functional.pp.v
| 2,018 |
module MODULE1 (
VAR10 ,
VAR15 ,
VAR8 ,
VAR12 ,
VAR9,
VAR4,
VAR14 ,
VAR16
);
output VAR10 ;
input VAR15 ;
input VAR8 ;
input VAR12 ;
input VAR9;
input VAR4;
input VAR14 ;
input VAR16 ;
wire VAR11 ;
wire VAR3 ;
wire VAR5;
or VAR6 (VAR11 , VAR8, VAR15 );
and VAR2 (VAR3 , VAR11, VAR12 );
VAR13 VAR1 (VAR5, VAR3, VAR9, VAR4);
buf VAR7 (VAR10 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a2111o/sky130_fd_sc_hs__a2111o_4.v
| 2,321 |
module MODULE2 (
VAR7 ,
VAR2 ,
VAR5 ,
VAR3 ,
VAR1 ,
VAR10 ,
VAR6,
VAR8
);
output VAR7 ;
input VAR2 ;
input VAR5 ;
input VAR3 ;
input VAR1 ;
input VAR10 ;
input VAR6;
input VAR8;
VAR9 VAR4 (
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR7 ,
VAR2,
VAR5,
VAR3,
VAR1,
VAR10
);
output VAR7 ;
input VAR2;
input VAR5;
input VAR3;
input VAR1;
input VAR10;
supply1 VAR6;
supply0 VAR8;
VAR9 VAR4 (
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
MegabytePhreak/Verilog-Perl
|
verilog/parser_sv.v
| 8,859 |
package VAR11;
bit [7:0] VAR37;
bit [7:0] VAR2;
endpackage
module MODULE7 ();
VAR23 VAR14;
VAR20 VAR14 = 33ns; endmodule : MODULE7
interface VAR24 #(parameter VAR13 = 0);
logic VAR29;
logic [7:0] addr, VAR16[9];
modport VAR38(input VAR16, VAR22, output addr);
endinterface : VAR24
module MODULE5 (
VAR24 VAR27,
VAR24.MODULE5 MODULE1,
input logic clk, rst,
input logic din,
output logic dout
);
import VAR11::*;
logic VAR33;
logic [7:0] VAR16, VAR25[2];
assign VAR33 = din + VAR2;
assign MODULE1.VAR16 = VAR16;
VAR15 @(posedge clk or negedge rst) begin
if (~rst) dout <= '0;
end
else dout <= VAR33;
end
property VAR31;
@(posedge clk)
disable iff(!rst)
(VAR33) | #VAR33;
endproperty
VAR8: cover property(VAR31)
endmodule : MODULE5
module MODULE4 (
input VAR28, input [1:0] VAR19[2:0], input VAR36, output logic VAR12, output VAR42 );
endmodule
module MODULE8 (VAR18, VAR43, VAR41);
input VAR18;
input [1:0] VAR43 [2:0];
output reg VAR41;
input signed VAR35;
var VAR9;
var [1:0] VAR1 [2:0];
var reg VAR34;
var logic VAR5;
endmodule
program automatic VAR30;
int VAR17;
endprogram
package VAR39;
typedef logic [7:0] VAR10;
typedef logic [15:0] VAR40;
function VAR32(integer VAR26); VAR32=0; endfunction
endpackage
module MODULE2;
import VAR39::VAR10;
VAR10 VAR3;
endmodule
module MODULE6;
import VAR39::*;
VAR40 VAR6;
endmodule
module MODULE1
( input VAR39::VAR40 VAR4 );
localparam VAR21 = VAR39::VAR32(1);
endmodule
module MODULE3;
|
artistic-2.0
|
eda-globetrotter/MarcheProcessor
|
final/src/tosynth Folder/regfileww.v
| 1,951 |
module MODULE1(VAR13, VAR9, VAR6, VAR11, VAR1, VAR2,
VAR5, VAR10, VAR4, VAR3, clk);
output [0:127] VAR13, VAR9;
input [0:127] VAR6;
input clk;
input VAR4;
input VAR5, VAR10;
input [0:4] VAR2, VAR11, VAR1;
input [0:15] VAR3;
reg [0:127] VAR13, VAR9;
reg [0:127] VAR12 [0:31];
reg [0:127] VAR7, VAR8;
always @(posedge clk)
begin
VAR7=128'b0;
VAR8=128'b0;
VAR13=128'b0;
VAR9=128'b0;
if(VAR4)
begin
VAR8=VAR12[VAR2];
VAR7[0:7]=(VAR3[0]==1'b1)? VAR6[0:7]:VAR8[0:7];
VAR7[8:15]=(VAR3[1]==1'b1)? VAR6[8:15]:VAR8[8:15];
VAR7[16:23]=(VAR3[2]==1'b1)? VAR6[16:23]:VAR8[16:23];
VAR7[24:31]=(VAR3[3]==1'b1)? VAR6[24:31]:VAR8[24:31];
VAR7[32:39]=(VAR3[4]==1'b1)? VAR6[32:39]:VAR8[32:39];
VAR7[40:47]=(VAR3[5]==1'b1)? VAR6[40:47]:VAR8[40:47];
VAR7[48:55]=(VAR3[6]==1'b1)? VAR6[48:55]:VAR8[48:55];
VAR7[56:63]=(VAR3[7]==1'b1)? VAR6[56:63]:VAR8[56:63];
VAR7[64:71]=(VAR3[8]==1'b1)? VAR6[64:71]:VAR8[64:71];
VAR7[72:79]=(VAR3[9]==1'b1)? VAR6[72:79]:VAR8[72:79];
VAR7[80:87]=(VAR3[10]==1'b1)? VAR6[80:87]:VAR8[80:87];
VAR7[88:95]=(VAR3[11]==1'b1)? VAR6[88:95]:VAR8[88:95];
VAR7[96:103]=(VAR3[12]==1'b1)? VAR6[96:103]:VAR8[96:103];
VAR7[104:111]=(VAR3[13]==1'b1)? VAR6[104:111]:VAR8[104:111];
VAR7[112:119]=(VAR3[14]==1'b1)? VAR6[112:119]:VAR8[112:119];
VAR7[120:127]=(VAR3[15]==1'b1)? VAR6[120:127]:VAR8[120:127];
VAR12[VAR2] = VAR7;
end
VAR13=VAR5?VAR12[VAR11]:128'd0;
VAR9=VAR10?VAR12[VAR1]:128'd0;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o31ai/sky130_fd_sc_hs__o31ai.functional.v
| 1,919 |
module MODULE1 (
VAR12,
VAR15,
VAR7 ,
VAR2 ,
VAR3 ,
VAR9 ,
VAR13
);
input VAR12;
input VAR15;
output VAR7 ;
input VAR2 ;
input VAR3 ;
input VAR9 ;
input VAR13 ;
wire VAR13 VAR10 ;
wire VAR6 ;
wire VAR8;
or VAR4 (VAR10 , VAR3, VAR2, VAR9 );
nand VAR1 (VAR6 , VAR13, VAR10 );
VAR5 VAR11 (VAR8, VAR6, VAR12, VAR15);
buf VAR14 (VAR7 , VAR8 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/einvn/sky130_fd_sc_lp__einvn_2.v
| 2,150 |
module MODULE2 (
VAR6 ,
VAR7 ,
VAR2,
VAR5,
VAR1,
VAR3 ,
VAR4
);
output VAR6 ;
input VAR7 ;
input VAR2;
input VAR5;
input VAR1;
input VAR3 ;
input VAR4 ;
VAR9 VAR8 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR6 ,
VAR7 ,
VAR2
);
output VAR6 ;
input VAR7 ;
input VAR2;
supply1 VAR5;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR4 ;
VAR9 VAR8 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
rqou/openfpga
|
hdl/xc2c-model/ConfigurableEdgeFlipflop.v
| 3,069 |
module MODULE1(
VAR1, clk, VAR11, VAR6, VAR2, VAR13, VAR14, VAR9
);
input wire VAR1;
input wire clk;
input wire VAR11;
output wire VAR13;
input wire VAR6;
input wire VAR2;
input wire VAR14;
input wire VAR9;
wire VAR3;
VAR19 #(
.VAR10(1'b0)
) VAR15 (
.VAR8(VAR3),
.VAR16(clk),
.VAR17(VAR11 & VAR14),
.VAR7(VAR6 && VAR2==0),
.VAR12(VAR1 ^ VAR18),
.VAR5(VAR6 && VAR2==1)
);
wire VAR18;
VAR19 #(
.VAR10(1'b0)
) VAR4 (
.VAR8(VAR18),
.VAR16(!clk),
.VAR17(VAR11 & VAR9),
.VAR7(VAR6), .VAR12(VAR1 ^ VAR3),
.VAR5(1'b0)
);
assign VAR13 = VAR3 ^ VAR18;
endmodule
|
lgpl-2.1
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlxbn/sky130_fd_sc_hs__dlxbn.behavioral.v
| 2,021 |
module MODULE1 (
VAR1 ,
VAR16 ,
VAR2 ,
VAR10,
VAR13 ,
VAR12
);
output VAR1 ;
output VAR16 ;
input VAR2 ;
input VAR10;
input VAR13 ;
input VAR12 ;
wire VAR3 ;
wire VAR5 ;
wire VAR6;
wire VAR15 ;
reg VAR7 ;
wire VAR9 ;
wire 1 ;
not VAR14 (VAR3 , VAR6 );
VAR8 VAR11 (VAR5 , VAR15, VAR3, VAR7, VAR13, VAR12);
assign VAR9 = ( VAR13 === 1 );
buf VAR4 (VAR1 , VAR5 );
not VAR17 (VAR16 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/mux2i/sky130_fd_sc_lp__mux2i.blackbox.v
| 1,291 |
module MODULE1 (
VAR1 ,
VAR7,
VAR2,
VAR5
);
output VAR1 ;
input VAR7;
input VAR2;
input VAR5 ;
supply1 VAR6;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
TalentlessAlpaca/Automated_Vacuum_Cleaner
|
Position/intr_clk_tst.v
| 1,314 |
module MODULE1;
reg clk;
reg en;
reg VAR3;
reg [31:0] VAR4;
wire [31:0] VAR7;
reg rst;
wire VAR2;
VAR5 VAR6 (
.clk(clk),
.en(en),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2)
);
VAR1 counter(
.clk(clk),
.en(VAR3),
.rst(rst),
.VAR7(VAR7)
);
|
mit
|
Elphel/x353
|
ddr/ddr.v
| 55,741 |
module MODULE1 (VAR56, VAR79, VAR45, VAR92, VAR40, VAR77, VAR3, VAR105, VAR112, VAR29, VAR53, VAR119);
inout [VAR67 - 1 : 0] VAR56;
inout [VAR41 - 1 : 0] VAR79;
input [VAR25 - 1 : 0] VAR45;
input [1 : 0] VAR92;
input VAR40;
input VAR77;
input VAR3;
input VAR105;
input VAR112;
input VAR29;
input VAR53;
input [VAR72 - 1 : 0] VAR119;
wire [15 : 0] VAR4;
wire [1 : 0] VAR6;
wire [1 : 0] VAR120;
assign VAR4 [VAR67 - 1 : 0] = VAR56;
assign VAR6 [VAR41 - 1 : 0] = VAR79;
assign VAR120 [VAR72 - 1 : 0] = VAR119;
reg [15 : 0] VAR9;
reg [1 : 0] VAR97;
reg [15 : 0] VAR66;
reg [1 : 0] VAR80;
reg [3 : 0] VAR104;
reg [15 : 0] VAR43;
reg [VAR25 - 1 : 0] VAR87;
reg VAR83, VAR101;
reg VAR23;
reg [VAR41 - 1 : 0] VAR103;
reg [VAR67 - 1 : 0] VAR69;
reg VAR24 [0 : 6];
reg [1 : 0] VAR73 [0 : 6];
reg [VAR81 - 1 : 0] VAR86 [0 : 6];
reg VAR30 [0 : 3];
reg [1 : 0] VAR84 [0 : 3];
reg [VAR81 - 1 : 0] VAR121 [0 : 3];
reg VAR54 [0 : 3];
reg VAR1 [0 : 3];
integer VAR35 [0 : 3];
reg VAR100 [0 : 6];
reg [1 : 0] VAR109 [0 : 6];
reg VAR96 [0 : 6];
reg VAR26 [0 : 6];
reg [VAR67 - 1 : 0] VAR11 [0 : (1<<VAR8)-1];
reg [VAR67 - 1 : 0] VAR11 [0 : (1<<VAR39)-1];
reg [VAR8 - 1 : 0] VAR94 [0 : (1<<VAR39)-1];
reg [VAR39 : 0] VAR102;
VAR22 VAR102 = 0;
integer VAR18;
reg [1:0] VAR106;
reg [1:0] VAR36;
reg [VAR81 - 1 : 0] VAR15;
reg VAR82, VAR108, VAR37, VAR114;
reg VAR88, VAR31, VAR44, VAR61;
reg VAR90;
reg VAR32;
reg [1 : 0] VAR89;
reg [1 : 0] VAR10;
reg [VAR81 - 1 : 0] VAR71, VAR99, VAR17;
reg [VAR25 - 1 : 0] VAR42;
reg [VAR25 - 1 : 0] VAR115;
reg [VAR25 - 1 : 0] VAR20;
reg [VAR25 - 1 : 0] VAR14;
reg [VAR25 - 1 : 0] VAR111;
reg VAR122;
reg VAR91;
reg VAR74;
integer VAR51;
integer VAR98;
integer VAR62;
reg VAR64;
wire VAR5 = VAR30[2] || VAR30[1] || VAR90;
wire VAR65 = ~VAR105 & ~VAR112 & VAR29 & VAR53;
wire VAR27 = ~VAR105 & ~VAR112 & ~VAR29 & VAR53;
wire VAR93 = ~VAR105 & VAR112 & VAR29 & ~VAR53;
wire VAR110 = ~VAR105 & ~VAR112 & ~VAR29 & ~VAR53 & VAR92[0] & ~VAR92[1];
wire VAR78 = ~VAR105 & ~VAR112 & ~VAR29 & ~VAR53 & ~VAR92[0] & ~VAR92[1];
wire VAR107 = ~VAR105 & ~VAR112 & VAR29 & ~VAR53;
wire VAR70 = ~VAR105 & VAR112 & ~VAR29 & VAR53;
wire VAR7 = ~VAR105 & VAR112 & ~VAR29 & ~VAR53;
wire [3:0] VAR63 = 1 << (VAR87[2:0]);
reg [3:0] VAR28;
wire [2:0] VAR59 = (VAR87[6:4] === 3'o6) ? 5 : 2*VAR87[6:4];
assign VAR79 = VAR103;
assign VAR56 = VAR69;
VAR49 VAR58;
VAR49 VAR12;
VAR49 VAR52;
VAR49 VAR33, VAR113, VAR118, VAR48;
VAR49 VAR55, VAR13, VAR21, VAR34;
VAR49 VAR16, VAR2, VAR76, VAR75;
VAR49 VAR50, VAR85, VAR46, VAR57;
VAR49 VAR117, VAR68, VAR95, VAR38;
VAR49 VAR60, VAR47, VAR19, VAR116;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-3.0
|
lfmunoz/vhdl
|
ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/axi_traffic_gen_v2_0/hdl/src/verilog/axi_traffic_gen_v2_0_slvram_v7.v
| 8,318 |
module MODULE1 #(
parameter VAR8 = "VAR6" ,
parameter VAR28 = 64 ,
parameter VAR19 = 1024 ,
parameter VAR26= 10 ,
parameter VAR12 = "VAR13"
) (
input VAR18 ,
input [VAR28/8-1:0] VAR24 ,
input [VAR26-1:0] VAR21 ,
input [VAR28-1:0] VAR15,
output [VAR28-1:0] VAR16,
input VAR29 ,
input [VAR28/8-1:0] VAR1 ,
input [VAR26-1:0] VAR27 ,
input [VAR28-1:0] VAR3,
output [VAR28-1:0] VAR11
);
VAR17 #(
.VAR8 (VAR8 ),
.VAR10(VAR12 ),
.VAR14 (VAR19 ),
.VAR9 (VAR26 ),
.VAR23 (VAR28/8),
.VAR7 (8 ),
.VAR5 (1 )
) VAR25 (
.clk(VAR18),
.VAR24(VAR24),
.VAR1(VAR1),
.VAR21(VAR21),
.VAR27(VAR27),
.VAR20(VAR15),
.VAR22(VAR3),
.VAR4(VAR16),
.VAR2(VAR11)
);
endmodule
|
mit
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
ASIC_FLOW/ASIC_KOA_1_cycles/integracion_fisica/front_end/source/KOA_1c.v
| 5,671 |
module MODULE1
(
input wire clk,
input wire rst,
input wire VAR21,
input wire [VAR36-1:0] VAR19,
input wire [VAR36-1:0] VAR16,
output reg [2*VAR36-1:0] VAR27
);
wire [1:0] VAR14;
wire [3:0] VAR8;
assign VAR14 = 2'b00;
assign VAR8 = 4'b0000;
wire [VAR36/2-1:0] VAR13;
wire [VAR36/2:0] VAR12;
wire [VAR36/2-3:0] VAR32;
wire [VAR36/2-4:0] VAR26;
reg [4*(VAR36/2)+2:0] VAR24;
reg [4*(VAR36/2)-1:0] VAR35;
assign VAR13 = {(VAR36/2){1'b0}};
assign VAR12 = {(VAR36/2+1){1'b0}};
assign VAR32 = {(VAR36/2-4){1'b0}}; assign VAR26 = {(VAR36/2-5){1'b0}};
localparam VAR18 = VAR36/2;
generate
case (VAR36%2)
0:begin : VAR20
reg [VAR36/2:0] VAR25;
reg [VAR36/2:0] VAR22;
reg [VAR36-1:0] VAR4;
reg [VAR36-1:0] VAR9;
reg [VAR36+1:0] VAR1;
reg [2*(VAR36/2+2)-1:0] VAR2;
reg [VAR36+1:0] VAR29;
VAR11 #(.VAR36(VAR36/2)) VAR10(
.VAR19(VAR19[VAR36-1:VAR36-VAR36/2]),
.VAR16(VAR16[VAR36-1:VAR36-VAR36/2]),
.VAR3(VAR4)
);
VAR11 #(.VAR36(VAR36/2)) VAR30(
.VAR19(VAR19[VAR36-VAR36/2-1:0]),
.VAR16(VAR16[VAR36-VAR36/2-1:0]),
.VAR3(VAR9)
);
VAR11 #(.VAR36((VAR36/2)+1)) VAR15 (
.VAR19(VAR25),
.VAR16(VAR22),
.VAR3(VAR1)
);
always @* begin : VAR23
VAR25 <= (VAR19[((VAR36/2)-1):0] + VAR19[(VAR36-1) -: VAR36/2]);
VAR22 <= (VAR16[((VAR36/2)-1):0] + VAR16[(VAR36-1) -: VAR36/2]);
VAR29 <= (VAR1 - VAR4 - VAR9);
VAR24[4*(VAR36/2):0] <= {VAR32,VAR29,VAR13} + {VAR4,VAR9};
end
VAR33 #(.VAR31(4*(VAR36/2))) VAR34 ( .clk(clk),
.rst(rst),
.VAR17(VAR21),
.VAR6(VAR24[4*(VAR36/2)-1:0]),
.VAR28({VAR27})
);
end
1:begin : VAR5
reg [VAR36/2+1:0] VAR25;
reg [VAR36/2+1:0] VAR22;
reg [2*(VAR36/2)-1:0] VAR4;
reg [2*(VAR36/2+1)-1:0] VAR9;
reg [2*(VAR36/2+2)-1:0] VAR1;
reg [2*(VAR36/2+2)-1:0] VAR2;
reg [VAR36+4-1:0] VAR29;
VAR11 #(.VAR36(VAR36/2)) VAR10(
.clk(clk),
.VAR19(VAR19[VAR36-1:VAR36-VAR36/2]),
.VAR16(VAR16[VAR36-1:VAR36-VAR36/2]),
.VAR3(VAR4)
);
VAR11 #(.VAR36(VAR36/2)) VAR30(
.clk(clk),
.VAR19(VAR19[VAR36-VAR36/2-1:0]),
.VAR16(VAR16[VAR36-VAR36/2-1:0]),
.VAR3(VAR9)
);
VAR11 #(.VAR36(VAR36/2+2)) VAR15 (
.clk(clk),
.VAR19(VAR25),
.VAR16(VAR22),
.VAR3(VAR1)
);
always @* begin : VAR7
VAR25 <= (VAR19[VAR36-VAR36/2-1:0] + VAR19[VAR36-1:VAR36-VAR36/2]);
VAR22 <= VAR16[VAR36-VAR36/2-1:0] + VAR16[VAR36-1:VAR36-VAR36/2];
VAR29 <= (VAR1 - VAR4 - VAR9);
VAR24[4*(VAR36/2)+2:0]<= {VAR26,VAR29,VAR12} + {VAR4,VAR9};
end
VAR33 #(.VAR31(4*(VAR36/2)+2)) VAR34 ( .clk(clk),
.rst(rst),
.VAR17(VAR21),
.VAR6(VAR24[2*VAR36-1:0]),
.VAR28({VAR27})
);
end
endcase
endgenerate
endmodule
|
gpl-3.0
|
briburrell/amica
|
device/scrypt_mono_pll/scrypt_mono_pll.srcs/sources_1/imports/scrypt_mono_pll/ztex_ufm1_15y1.v
| 10,882 |
module MODULE1 (VAR18, reset, select, VAR68, VAR104, VAR107, VAR89, VAR76, VAR50, VAR94, VAR11, read, write);
input VAR18, select, reset, VAR68, VAR104, VAR107, VAR89, VAR76, VAR50, VAR94, VAR11;
input [7:0] read;
output [7:0] write;
function integer VAR79; input integer VAR100;
begin
VAR100 = VAR100-1;
for (VAR79=0; VAR100>0; VAR79=VAR79+1)
VAR100 = VAR100>>1;
end
endfunction
localparam VAR15 = 1;
localparam VAR82 = 12 - VAR79(VAR15); localparam VAR35 = 8;
reg [3:0] VAR69, VAR114;
reg VAR87 = 0, VAR62 = 0, VAR10 = 0, VAR41 = 1, VAR108 = 1, VAR46 = 0;
reg VAR123, VAR121, VAR12;
reg [3:0] VAR83;
reg [127:0] VAR53;
reg [7:0] VAR88, VAR37;
reg [31:0] VAR110 = 32'd0, VAR124 = 32'd0;
wire VAR111, clk, VAR52, VAR32, VAR23, VAR106, VAR26;
wire [2:1] VAR80;
wire [31:0] VAR84, VAR29;
wire [31:0] VAR85, VAR86;
wire [31:0] VAR91, VAR66, VAR59;
wire VAR25, VAR2;
VAR101 VAR81 (
.VAR27(VAR18),
.VAR51(VAR111)
);
VAR101 VAR14 (
.VAR27(VAR23),
.VAR51(clk)
);
VAR17 #(
.VAR28(4),
.VAR64(8),
.VAR72(2),
.VAR3(28.2)
)
VAR48 (
.VAR40(VAR111),
.VAR75(VAR52),
.VAR97(1'b0),
.VAR58(VAR123),
.VAR1(VAR121),
.VAR16(VAR12),
.VAR120(VAR106),
.VAR116(VAR80),
.VAR57(VAR41)
);
VAR122 #(
.VAR103("VAR71"),
.VAR54(40),
.VAR39(16),
.VAR65(0.5),
.VAR22("VAR21"),
.VAR102("VAR49"),
.VAR60(1),
.VAR61(0.10),
.VAR3(28.2),
.VAR93("VAR109")
)
VAR98 (
.VAR21(VAR32),
.VAR55(VAR23),
.VAR77(VAR32),
.VAR40(VAR52),
.VAR57(VAR26)
);
assign write = select ? VAR37 : 8'VAR13; assign VAR26 = VAR108 | ~VAR106 | VAR41 | VAR80[2];
reg [639:0] VAR117 = {
128'h0000318f7e71441b141fe951b2b0c7df,
256'hc791d4646240fc2a2d1b80900020a24dc501ef1599fc48ed6cbac920af755756,
256'h18e7b1e8eaf0b62a90d1942ea64d250357e9a09c063a47827c57b44e01000000
};
reg [607:0] VAR117 = {
96'h7e71441b141fe951b2b0c7df,
256'hc791d4646240fc2a2d1b80900020a24dc501ef1599fc48ed6cbac920af755756,
256'h18e7b1e8eaf0b62a90d1942ea64d250357e9a09c063a47827c57b44e01000000
};
reg [639:0] VAR117;
reg [639:0] VAR117;
reg [639:0] VAR125; else
reg [607:0] VAR125; VAR19
wire [31:0] VAR115 = 32'h000007ff; wire [255:0] VAR118 = VAR125[255:0];
wire [255:0] VAR45 = VAR125[511:256];
wire [127:0] VAR113 = VAR125[639:512];
wire [127:0] VAR113 = { 32'h0000318f, VAR125[607:512] };
wire [127:0] VAR113 = { 32'd0, VAR125[607:512] };
reg VAR99 = 1'b0; reg VAR7 = 1'b0;
wire [31:0] VAR6;
wire VAR78, VAR90, VAR31, VAR34, VAR44;
wire [VAR35-1:0] VAR126;
wire [VAR35-1:0] VAR119;
VAR67 #(.VAR35(VAR35)) VAR30
(.VAR96(clk), .VAR20(clk), .VAR118(VAR118), .VAR45(VAR45), .VAR113(VAR113), .VAR74(VAR115),
.VAR24( 4'd0 ), .VAR95(VAR6), .VAR112(VAR84),
.VAR56(VAR25), .VAR99(VAR7),
.VAR9(VAR126), .VAR43(VAR119), .VAR42(VAR78), .VAR105(VAR90),
.VAR47(VAR31), .VAR38(VAR34), .VAR8(VAR44), .VAR63(VAR29));
VAR33 #(.VAR82(VAR82), .VAR35(VAR35)) VAR73
(.VAR96(clk), .reset(VAR31), .din(VAR126), .dout(VAR119),
.VAR4(VAR44), .VAR36(VAR34), .VAR5(VAR78), .VAR92(VAR90) );
assign VAR66 = VAR6;
assign VAR59 = VAR29;
assign VAR70 = VAR25;
assign VAR91 = VAR84;
always @ (posedge clk)
begin
VAR99 <= 1'b0; VAR7 <= VAR99;
if ( (VAR69[3] == VAR69[2]) && (VAR69[2] == VAR69[1]) && (VAR69[1] != VAR69[0]) && VAR46 )
begin
VAR117[639:632] <= VAR88;
VAR117[631:0] <= VAR117[639:8];
VAR117[607:600] <= VAR88;
VAR117[599:0] <= VAR117[607:8];
VAR99 <= 1'b1; end
VAR125 <= VAR117;
if ( VAR87 )
begin
VAR83 <= 4'd0;
end else
begin
VAR83[0] <= 1'b1;
VAR83[3:1] <= VAR83[2:0];
end
if ( ! VAR83[3] )
begin
VAR53 <= { VAR124, VAR59, VAR66, VAR110 };
end else
begin
if ( (VAR114[3] == VAR114[2]) && (VAR114[2] == VAR114[1]) && (VAR114[1] != VAR114[0]) )
VAR53[119:0] <= VAR53[127:8];
end
if ( VAR62 | VAR99 ) begin
VAR110 <= 32'd0;
VAR124 <= 32'd0;
end else if ( VAR70 )
begin
VAR124 <= VAR110;
VAR110 <= VAR91;
end
VAR88 <= read;
VAR37 <= VAR53[7:0];
VAR69[0] <= VAR50;
VAR69[3:1] <= VAR69[2:0];
VAR114[0] <= VAR94;
VAR114[3:1] <= VAR114[2:0];
VAR87 <= VAR11;
VAR46 <= select;
if ( select )
begin
VAR62 <= reset;
end
VAR10 <= VAR62;
if (VAR10 & ~VAR62) begin
end
end
always @ (posedge VAR111)
begin
VAR123 <= VAR107;
VAR121 <= VAR89;
VAR12 <= VAR76 & select;
if ( select )
begin
VAR41 <= VAR68;
VAR108 <= VAR104;
end
end
endmodule
|
gpl-3.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9652/axi_ad9652_if.v
| 6,922 |
module MODULE1 (
VAR21,
VAR16,
VAR28,
VAR27,
VAR13,
VAR12,
VAR33,
VAR40,
VAR25,
VAR29,
VAR23,
VAR2,
VAR49,
VAR10,
VAR18,
VAR22,
VAR30,
VAR44,
VAR45,
VAR5);
parameter VAR26 = 0;
parameter VAR6 = "VAR41";
input VAR21;
input VAR16;
input [15:0] VAR28;
input [15:0] VAR27;
input VAR13;
input VAR12;
output VAR33;
output [15:0] VAR40;
output [15:0] VAR25;
output VAR29;
output VAR23;
output VAR2;
input VAR49;
input VAR10;
input [16:0] VAR18;
input [84:0] VAR22;
output [84:0] VAR30;
input VAR44;
input VAR45;
output VAR5;
reg VAR2 = 'd0;
reg [15:0] VAR37 = 'd0;
reg [15:0] VAR48 = 'd0;
reg [15:0] VAR8 = 'd0;
reg VAR31 = 'd0;
reg VAR4 = 'd0;
reg VAR43 = 'd0;
reg [15:0] VAR40 = 'd0;
reg [15:0] VAR25 = 'd0;
reg VAR29 = 'd0;
reg VAR23 = 'd0;
wire [15:0] VAR20;
wire [15:0] VAR36;
wire VAR39;
wire VAR46;
genvar VAR7;
always @(posedge VAR33) begin
VAR2 <= 1'b1;
VAR37 <= VAR20;
VAR48 <= VAR36;
VAR8 <= VAR37;
VAR31 <= VAR39;
VAR4 <= VAR46;
VAR43 <= VAR31;
end
always @(posedge VAR33) begin
if (VAR49 == 1'b1) begin
VAR40 <= VAR8;
VAR25 <= VAR48;
VAR29 <= VAR43;
VAR23 <= VAR4;
end else begin
VAR40 <= VAR48;
VAR25 <= VAR37;
VAR29 <= VAR4;
VAR23 <= VAR31;
end
end
generate
for (VAR7 = 0; VAR7 <= 15; VAR7 = VAR7 + 1) begin : VAR24
VAR14 #(
.VAR32 (VAR26),
.VAR42 (0),
.VAR11 (VAR6))
VAR47 (
.VAR34 (VAR33),
.VAR50 (VAR28[VAR7]),
.VAR19 (VAR27[VAR7]),
.VAR1 (VAR20[VAR7]),
.VAR17 (VAR36[VAR7]),
.VAR10 (VAR10),
.VAR18 (VAR18[VAR7]),
.VAR22 (VAR22[((VAR7*5)+4):(VAR7*5)]),
.VAR30 (VAR30[((VAR7*5)+4):(VAR7*5)]),
.VAR44 (VAR44),
.VAR45 (VAR45),
.VAR5 ());
end
endgenerate
VAR14 #(
.VAR32 (VAR26),
.VAR42 (1),
.VAR11 (VAR6))
VAR15 (
.VAR34 (VAR33),
.VAR50 (VAR13),
.VAR19 (VAR12),
.VAR1 (VAR39),
.VAR17 (VAR46),
.VAR10 (VAR10),
.VAR18 (VAR18[16]),
.VAR22 (VAR22[84:80]),
.VAR30 (VAR30[84:80]),
.VAR44 (VAR44),
.VAR45 (VAR45),
.VAR5 (VAR5));
VAR38 #(
.VAR32 (VAR26))
VAR3 (
.VAR35 (VAR21),
.VAR9 (VAR16),
.clk (VAR33));
endmodule
|
gpl-3.0
|
sergev/vak-opensource
|
hardware/s3esk-openrisc/or1200/or1200_spram_64x24.v
| 11,148 |
module MODULE1(
VAR15, VAR10, VAR34,
clk, rst, VAR23, VAR46, VAR22, addr, VAR5, VAR36
);
parameter VAR43 = 6;
parameter VAR17 = 24;
input VAR15;
input [VAR21 - 1:0] VAR34;
output VAR10;
input clk; input rst; input VAR23; input VAR46; input VAR22; input [VAR43-1:0] addr; input [VAR17-1:0] VAR5; output [VAR17-1:0] VAR36;
wire [7:0] VAR42;
wire [7:0] VAR42;
assign VAR10 = VAR15;
VAR11 #(VAR17, 1<<VAR43, VAR43) VAR7(
VAR26 VAR7(
VAR11 VAR7(
.VAR15(VAR15),
.VAR10(VAR10),
.VAR34(VAR34),
.VAR13(clk),
.VAR40(~VAR23),
.VAR14(~VAR46),
.VAR38(addr),
.VAR18(VAR5),
.VAR16(~VAR22),
.VAR4(VAR36)
);
VAR3 VAR3(
.VAR49(~VAR46),
.VAR35(),
.VAR8(~VAR22),
.VAR45(),
.VAR20(),
.VAR52(addr),
.VAR41(addr),
.VAR5(VAR5),
.VAR36(VAR36)
);
VAR28 VAR28(
.clk(clk),
.VAR47(addr),
.VAR6(VAR5),
.VAR46(VAR46),
.VAR22(VAR22),
.VAR9(VAR23),
.VAR55(VAR36)
);
VAR27 #(1<<VAR43, VAR43-1, VAR17-1) VAR25(
VAR44 VAR25(
VAR27 VAR25(
.VAR15(VAR15),
.VAR10(VAR10),
.VAR34(VAR34),
.VAR33(clk),
.VAR51(addr),
.VAR29(VAR5),
.VAR14(~VAR46),
.VAR40(~VAR23),
.VAR16(~VAR22),
.VAR24(VAR36)
);
VAR37 VAR54(
.VAR13(clk),
.VAR30(rst),
.VAR48({2'b00, addr}),
.VAR29(VAR5[15:0]),
.VAR2(VAR23),
.VAR19(VAR46),
.VAR12(VAR36[15:0])
);
VAR37 VAR50(
.VAR13(clk),
.VAR30(rst),
.VAR48({2'b00, addr}),
.VAR29({8'h00, VAR5[23:16]}),
.VAR2(VAR23),
.VAR19(VAR46),
.VAR12({VAR42, VAR36[23:16]})
);
VAR32 VAR31(
.VAR13(clk),
.VAR53(rst),
.VAR48({3'b000, addr}),
.VAR29({8'h00,VAR5}),
.VAR1(4'h0),
.VAR2(VAR23),
.VAR19(VAR46),
.VAR12({VAR42, VAR36}),
.VAR39()
);
wire wr;
assign wr = VAR23 & VAR46;
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
verif/env/cmp/monitor.v
| 177,470 |
module MODULE1(
clk, VAR5, VAR6
);
input clk;
input VAR5;
input VAR6;
integer VAR3, VAR1, VAR2, VAR4;
begin
end
begin
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a32o/sky130_fd_sc_hd__a32o_1.v
| 2,469 |
module MODULE1 (
VAR3 ,
VAR5 ,
VAR6 ,
VAR2 ,
VAR11 ,
VAR10 ,
VAR1,
VAR12,
VAR7 ,
VAR4
);
output VAR3 ;
input VAR5 ;
input VAR6 ;
input VAR2 ;
input VAR11 ;
input VAR10 ;
input VAR1;
input VAR12;
input VAR7 ;
input VAR4 ;
VAR9 VAR8 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR3 ,
VAR5,
VAR6,
VAR2,
VAR11,
VAR10
);
output VAR3 ;
input VAR5;
input VAR6;
input VAR2;
input VAR11;
input VAR10;
supply1 VAR1;
supply0 VAR12;
supply1 VAR7 ;
supply0 VAR4 ;
VAR9 VAR8 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv5sd1/sky130_fd_sc_ls__clkdlyinv5sd1.pp.blackbox.v
| 1,344 |
module MODULE1 (
VAR5 ,
VAR2 ,
VAR6,
VAR4,
VAR1 ,
VAR3
);
output VAR5 ;
input VAR2 ;
input VAR6;
input VAR4;
input VAR1 ;
input VAR3 ;
endmodule
|
apache-2.0
|
HirokiNakahara/HLS_FPGA_NES
|
mariones_VerilogHDLs/NES.v
| 5,839 |
module MODULE1(
input VAR53,
input VAR18,
output VAR27,
output VAR35,
output [3:0]VAR4,
output [3:0]VAR3,
output [3:0]VAR9,
input [5:0]VAR11,
input VAR49,
input VAR25,
input VAR30,
input VAR16,
output [10:0]VAR31
);
wire [31:0]VAR21; wire [11:0]VAR19; wire [15:0]VAR40; wire VAR52;
wire [11:0]VAR15; wire [9:0]VAR36; wire [9:0]VAR29;
reg [1:0]VAR39; wire VAR1;
assign VAR31[7:0] = VAR21[7:0];
assign VAR4 = VAR36 < 10'd512 ? VAR15[3:0] : 4'b0;
assign VAR3 = VAR36 < 10'd512 ? VAR15[7:4] : 4'b0;
assign VAR9 = VAR36 < 10'd512 ? VAR15[11:8] : 4'b0;
always@( posedge VAR53 or posedge VAR18)begin
if( VAR18 == 1'b1)begin
VAR39 <= 2'b00;
end else begin
VAR39 <= VAR39 + 1'b1;
end
end
assign VAR1 = VAR39[0];
VAR55 VAR23(
.VAR8( VAR39[1]), .VAR2( VAR18), .VAR10( VAR27), .VAR7( VAR35), .VAR37( ), .VAR59( ), .VAR13( ), .VAR36( VAR36),
.VAR29( VAR29)
);
reg VAR57; reg VAR6;
wire VAR26;
always@( posedge VAR53 or posedge VAR18)begin
if( VAR18 == 1'b1)begin
VAR57 <= 1'b0;
VAR6 <= 1'b0;
end else begin
if( VAR57 == 1'b1)begin
if( VAR26 == 1'b1)begin
VAR57 <= 1'b0;
end
end else begin
if( VAR27 == 1'b1 && VAR35 == 1'b1)begin
VAR57 <= 1'b1;
VAR6 <= ~VAR6;
end
end
end
end
assign VAR31[10:8] = {VAR57, VAR6, VAR26};
VAR43 VAR56(
.VAR46( VAR1), .VAR51( 1'b1), .VAR41( VAR52), .VAR47( { ~VAR6, VAR40[7:0], VAR40[15:8]}), .VAR32( VAR19), .VAR24( VAR39), .VAR14( 1'b1), .VAR45( { VAR6, VAR36[8:1], VAR29[8:1]}), .VAR22( VAR15) );
VAR42 VAR58(
.VAR54(VAR39),
.VAR5(VAR18),
.VAR48( VAR57 | VAR11[0]),
.VAR20( VAR26),
.VAR38(),
.VAR34(),
.reset( VAR11[1]),
.VAR12( VAR40),
.VAR50(),
.VAR17( VAR52),
.VAR60( VAR19),
.VAR44({ VAR25,VAR30,VAR16,VAR49,VAR11[5:2]}), .VAR28(8'b0), .VAR33( VAR21)
);
endmodule
|
mit
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
bin_Sobel_Filter/system/synthesis/submodules/acl_loop_limiter.v
| 4,888 |
module MODULE1 #(
parameter VAR25 = 8, VAR7 = 8, VAR23 = 100,
VAR6 = 0, VAR5 = (VAR7 == 0)? 1 : VAR7 )(
input VAR28,
input VAR1,
input [VAR25-1:0] VAR20,
input [VAR25-1:0] VAR16,
input [VAR5-1:0] VAR8,
input [VAR5-1:0] VAR15,
output [VAR25-1:0] VAR19,
output [VAR25-1:0] VAR31
);
localparam VAR18 = VAR21(VAR25 + 1);
localparam VAR9 = VAR21(VAR5 + 1);
localparam VAR12 = VAR21(VAR23 + 1);
integer VAR4;
wire [VAR25-1:0] VAR32;
wire [VAR18-1:0] VAR13 [VAR25];
wire [VAR5-1:0] VAR26;
wire [VAR9-1:0] VAR27 [VAR5];
wire [VAR18-1:0] VAR3 [VAR25];
wire VAR2;
wire [VAR12:0] VAR29;
reg [VAR12-1:0] VAR30, VAR10;
wire [VAR25-1:0] VAR11;
wire [VAR25-1:0] VAR33;
assign VAR2 = VAR3[VAR25-1] > VAR27[VAR5-1];
assign VAR29 = VAR10 + VAR27[VAR5-1] - VAR3[VAR25-1];
always @(*) begin
if(VAR2) VAR30 = VAR29[VAR12]? 0 : VAR29[VAR12-1:0];
end
else VAR30 = (VAR29 > VAR23)? VAR23 : VAR29[VAR12-1:0];
end
wire [VAR12:0] VAR17;
assign VAR17 = VAR10 + VAR27[VAR5-1];
genvar VAR14;
generate
for(VAR14=0; VAR14<VAR25; VAR14=VAR14+1) begin : VAR22
assign VAR32[VAR14] = ~VAR16[VAR14] & VAR20[VAR14];
assign VAR13[VAR14] = (VAR14==0)? VAR20[0] : VAR13[VAR14-1] + VAR20[VAR14];
assign VAR11[VAR14] = VAR13[VAR14] <= (VAR6? VAR17 : VAR10);
assign VAR33[VAR14] = VAR32[VAR14] & VAR11[VAR14];
assign VAR3[VAR14] = (VAR14==0)? VAR33[0] : VAR3[VAR14-1] + VAR33[VAR14];
assign VAR19[VAR14] = VAR11[VAR14] & VAR20[VAR14];
assign VAR31[VAR14] = (VAR25 == 1)? (VAR10 == 0 | VAR16[VAR14]) : (!VAR19[VAR14] | VAR16[VAR14]);
end
for(VAR14=0; VAR14<VAR5; VAR14=VAR14+1) begin : VAR24
assign VAR26[VAR14] = !VAR15[VAR14] & VAR8[VAR14];
assign VAR27[VAR14] = (VAR14==0)? VAR26[0] : VAR27[VAR14-1] + VAR26[VAR14];
end
endgenerate
always @(posedge VAR28 or negedge VAR1) begin
if(!VAR1) begin
VAR10 <= VAR23;
end
else begin
VAR10 <= VAR30;
end
end
endmodule
|
mit
|
alexforencich/xfcp
|
lib/eth/example/HXT100G/fpga/rtl/fpga.v
| 27,713 |
module MODULE1 (
input wire VAR245,
input wire VAR270,
input wire [1:0] VAR248,
input wire [3:0] VAR40,
output wire [3:0] VAR333,
output wire VAR55,
input wire VAR247,
output wire VAR10,
output wire VAR116,
input wire VAR182,
output wire VAR106,
input wire VAR313,
output wire VAR72,
input wire VAR29,
output wire VAR308,
inout wire VAR239,
inout wire VAR231,
output wire VAR60,
input wire VAR83,
input wire VAR39,
input wire VAR75,
input wire VAR167,
output wire VAR328,
inout wire VAR300,
output wire VAR112,
output wire VAR351,
inout wire VAR93,
output wire VAR223,
input wire VAR96,
input wire VAR260,
output wire VAR15,
output wire VAR185,
input wire VAR64,
input wire VAR189,
output wire VAR110,
output wire VAR229,
input wire VAR287,
input wire VAR234,
output wire VAR274,
output wire VAR246,
input wire VAR109,
input wire VAR263,
output wire VAR156,
output wire VAR105,
input wire VAR311,
input wire VAR145,
input wire VAR32,
input wire VAR136,
output wire VAR50,
output wire VAR358,
input wire VAR217,
input wire VAR385,
output wire VAR394,
output wire VAR90,
input wire VAR41,
input wire VAR108,
output wire VAR53,
output wire VAR7,
input wire VAR292,
input wire VAR375,
output wire VAR250,
output wire VAR211,
input wire VAR386,
input wire VAR157,
input wire VAR170,
input wire VAR196,
output wire VAR175,
output wire VAR34,
input wire VAR284,
input wire VAR171,
output wire VAR401,
output wire VAR92,
input wire VAR159,
input wire VAR350,
output wire VAR224,
output wire VAR209,
input wire VAR402,
input wire VAR325,
output wire VAR127,
output wire VAR261,
input wire VAR16,
input wire VAR359,
input wire VAR101,
input wire VAR143,
output wire VAR258,
output wire VAR249,
input wire VAR62,
input wire VAR335,
output wire VAR118,
output wire VAR23,
input wire VAR176,
input wire VAR317,
output wire VAR6,
output wire VAR225,
input wire VAR24,
input wire VAR295,
output wire VAR172,
output wire VAR374,
input wire VAR111,
input wire VAR163,
input wire VAR88,
input wire VAR312,
output wire VAR221,
output wire VAR21,
input wire VAR272,
input wire VAR141,
output wire VAR265,
output wire VAR137,
input wire VAR139,
input wire VAR360,
output wire VAR9,
output wire VAR310,
input wire VAR341,
input wire VAR47,
output wire VAR321,
output wire VAR59,
input wire VAR318,
input wire VAR276,
input wire VAR151,
input wire VAR303,
output wire VAR314,
output wire VAR164,
input wire VAR235,
input wire VAR391,
output wire VAR400,
output wire VAR257,
input wire VAR226,
input wire VAR329,
output wire VAR78,
output wire VAR389,
input wire VAR190,
input wire VAR19,
output wire VAR149,
output wire VAR227,
input wire VAR336,
input wire VAR186
);
wire VAR346;
wire VAR298;
wire VAR181;
wire VAR131;
wire VAR365;
wire [1:0] VAR393;
wire [3:0] VAR8;
wire [3:0] VAR286;
wire VAR376;
wire VAR179;
wire VAR103;
wire VAR205;
wire VAR142;
wire VAR266;
wire VAR199;
wire VAR283;
wire VAR44;
wire VAR122;
wire VAR113;
wire VAR371;
wire VAR337;
wire VAR160;
wire VAR259;
wire VAR253;
wire VAR28;
wire VAR82;
wire VAR256;
wire VAR94;
wire VAR219;
wire VAR65;
wire VAR244;
wire VAR140;
wire VAR158;
wire VAR155;
wire VAR161;
wire VAR305;
wire [63:0] VAR380;
wire [7:0] VAR297;
wire [63:0] VAR86;
wire [7:0] VAR201;
wire [63:0] VAR236;
wire [7:0] VAR27;
wire [63:0] VAR165;
wire [7:0] VAR204;
wire [63:0] VAR214;
wire [7:0] VAR278;
wire [63:0] VAR120;
wire [7:0] VAR282;
wire [63:0] VAR345;
wire [7:0] VAR218;
wire [63:0] VAR404;
wire [7:0] VAR344;
wire [63:0] VAR187;
wire [7:0] VAR275;
wire [63:0] VAR153;
wire [7:0] VAR277;
wire [63:0] VAR370;
wire [7:0] VAR367;
wire [63:0] VAR119;
wire [7:0] VAR332;
wire [63:0] VAR66;
wire [7:0] VAR316;
wire [63:0] VAR13;
wire [7:0] VAR203;
wire [63:0] VAR291;
wire [7:0] VAR324;
wire [63:0] VAR4;
wire [7:0] VAR69;
wire [63:0] VAR368;
wire [7:0] VAR290;
wire [63:0] VAR133;
wire [7:0] VAR97;
wire [63:0] VAR87;
wire [7:0] VAR180;
wire [63:0] VAR178;
wire [7:0] VAR68;
wire [63:0] VAR134;
wire [7:0] VAR132;
wire [63:0] VAR91;
wire [7:0] VAR123;
wire [63:0] VAR352;
wire [7:0] VAR173;
wire [63:0] VAR281;
wire [7:0] VAR125;
wire [63:0] VAR146;
wire [7:0] VAR322;
wire [63:0] VAR11;
wire [7:0] VAR194;
wire [63:0] VAR56;
wire [7:0] VAR36;
wire [63:0] VAR206;
wire [7:0] VAR45;
wire [63:0] VAR396;
wire [7:0] VAR357;
wire [63:0] VAR405;
wire [7:0] VAR347;
wire [63:0] VAR102;
wire [7:0] VAR354;
wire [63:0] VAR349;
wire [7:0] VAR299;
wire [63:0] VAR233;
wire [7:0] VAR251;
wire [63:0] VAR362;
wire [7:0] VAR48;
wire [63:0] VAR121;
wire [7:0] VAR331;
wire [63:0] VAR42;
wire [7:0] VAR5;
wire [63:0] VAR342;
wire [7:0] VAR35;
wire [63:0] VAR280;
wire [7:0] VAR264;
wire [63:0] VAR307;
wire [7:0] VAR222;
wire [63:0] VAR198;
wire [7:0] VAR288;
wire [63:0] VAR124;
wire [7:0] VAR373;
wire [63:0] VAR184;
wire [7:0] VAR166;
wire [63:0] VAR268;
wire [7:0] VAR230;
wire [63:0] VAR117;
wire [7:0] VAR320;
wire [63:0] VAR193;
wire [7:0] VAR353;
wire [63:0] VAR269;
wire [7:0] VAR363;
wire [63:0] VAR54;
wire [7:0] VAR147;
wire [63:0] VAR26;
wire [7:0] VAR130;
VAR208
VAR128 (
.VAR51(VAR245),
.VAR18(VAR346)
);
VAR12
VAR197 (
.VAR51(VAR346),
.VAR18(VAR298)
);
wire VAR369;
VAR12
VAR395 (
.VAR51(VAR369),
.VAR18(VAR181)
);
VAR73 #(
.VAR304(6)
)
VAR74 (
.clk(VAR298),
.rst(~VAR270),
.out(VAR131)
);
VAR348 #(
.VAR25(4),
.VAR304(2)
)
VAR71 (
.clk(VAR298),
.in({VAR83,
VAR39,
VAR75,
VAR167}),
.out({VAR28,
VAR82,
VAR256,
VAR94})
);
VAR348 #(
.VAR25(4),
.VAR304(2)
)
VAR79 (
.clk(VAR181),
.in({VAR247,
VAR182,
VAR313,
VAR29}),
.out({VAR179,
VAR142,
VAR199,
VAR44})
);
VAR38 #(
.VAR25(6),
.VAR304(4),
.VAR398(50000)
)
VAR188 (
.clk(VAR298),
.rst(VAR131),
.in({VAR248, VAR40}),
.out({VAR393, VAR8})
);
assign VAR333 = VAR286;
assign VAR55 = VAR252;
assign VAR10 = VAR103;
assign VAR116 = VAR205;
assign VAR106 = VAR266;
assign VAR72 = VAR283;
assign VAR308 = VAR122;
assign VAR113 = VAR239;
assign VAR239 = VAR337 ? 1'VAR267 : VAR371;
assign VAR160 = VAR231;
assign VAR231 = VAR253 ? 1'VAR267 : VAR259;
assign VAR60 = ~VAR131;
wire [6:0] VAR14;
wire VAR104;
wire VAR174;
wire VAR364;
wire VAR289;
wire VAR242;
wire VAR237;
wire VAR216;
wire [7:0] VAR262;
wire VAR138;
wire VAR126;
wire VAR392;
VAR63
VAR294 (
.clk(VAR298),
.rst(VAR131),
.VAR241(VAR14),
.VAR46(VAR104),
.VAR58(VAR174),
.VAR343(VAR364),
.VAR213(VAR289),
.VAR31(VAR242),
.VAR154(VAR237),
.VAR381(VAR216),
.VAR77(VAR262),
.VAR273(VAR138),
.VAR340(VAR126),
.VAR238(VAR392),
.VAR338(),
.VAR169(1)
);
VAR210
VAR98 (
.clk(VAR298),
.rst(VAR131),
.VAR241(VAR14),
.VAR46(VAR104),
.VAR58(VAR174),
.VAR343(VAR364),
.VAR213(VAR289),
.VAR31(VAR242),
.VAR154(VAR237),
.VAR381(VAR216),
.VAR99(VAR262),
.VAR37(VAR138),
.VAR355(VAR126),
.VAR100(VAR392),
.VAR77(),
.VAR273(),
.VAR340(1),
.VAR238(),
.VAR293(VAR113),
.VAR85(VAR371),
.VAR177(VAR337),
.VAR301(VAR160),
.VAR84(VAR259),
.VAR43(VAR253),
.VAR338(),
.VAR319(),
.VAR388(),
.VAR302(),
.VAR200(312),
.VAR33(1)
);
wire VAR3;
wire VAR80;
wire VAR323;
wire VAR192;
wire VAR52;
wire VAR285;
wire VAR76;
wire VAR254 = VAR80 & VAR323 & VAR192 & VAR52 & VAR285 & VAR76;
wire VAR152 = ~VAR82 & ~VAR94;
VAR73 #(
.VAR304(6)
)
VAR195 (
.clk(VAR298),
.rst(VAR131 | ~VAR152),
.out(VAR3)
);
VAR73 #(
.VAR304(6)
)
VAR243 (
.clk(VAR181),
.rst(VAR3 | ~VAR254),
.out(VAR365)
);
assign VAR112 = ~VAR365;
assign VAR223 = ~VAR365;
assign VAR328 = VAR219;
assign VAR65 = VAR300;
assign VAR300 = VAR140 ? 1'VAR267 : VAR244;
assign VAR351 = VAR158;
assign VAR155 = VAR93;
assign VAR93 = VAR305 ? 1'VAR267 : VAR161;
VAR215
VAR383 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(VAR369),
.VAR3(VAR3),
.VAR254(VAR80),
.VAR144(VAR96),
.VAR232(VAR260),
.VAR81(VAR185),
.VAR387(VAR15),
.VAR20(VAR189),
.VAR397(VAR64),
.VAR366(VAR229),
.VAR240(VAR110),
.VAR306(VAR234),
.VAR212(VAR287),
.VAR382(VAR246),
.VAR150(VAR274),
.VAR309(VAR263),
.VAR356(VAR109),
.VAR129(VAR105),
.VAR61(VAR156),
.VAR271(VAR145),
.VAR330(VAR311),
.VAR228(VAR380),
.VAR2(VAR297),
.VAR49(VAR86),
.VAR183(VAR201),
.VAR207(VAR214),
.VAR1(VAR278),
.VAR22(VAR120),
.VAR220(VAR282),
.VAR202(VAR187),
.VAR70(VAR275),
.VAR57(VAR153),
.VAR327(VAR277),
.VAR135(VAR66),
.VAR30(VAR316),
.VAR399(VAR13),
.VAR191(VAR203),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR215
VAR115 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(),
.VAR3(VAR3),
.VAR254(VAR323),
.VAR144(VAR32),
.VAR232(VAR136),
.VAR81(VAR358),
.VAR387(VAR50),
.VAR20(VAR385),
.VAR397(VAR217),
.VAR366(VAR90),
.VAR240(VAR394),
.VAR306(VAR108),
.VAR212(VAR41),
.VAR382(VAR7),
.VAR150(VAR53),
.VAR309(VAR375),
.VAR356(VAR292),
.VAR129(VAR211),
.VAR61(VAR250),
.VAR271(VAR157),
.VAR330(VAR386),
.VAR228(VAR345),
.VAR2(VAR218),
.VAR49(VAR404),
.VAR183(VAR344),
.VAR207(VAR370),
.VAR1(VAR367),
.VAR22(VAR119),
.VAR220(VAR332),
.VAR202(VAR236),
.VAR70(VAR27),
.VAR57(VAR165),
.VAR327(VAR204),
.VAR135(VAR291),
.VAR30(VAR324),
.VAR399(VAR4),
.VAR191(VAR69),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR215
VAR361 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(),
.VAR3(VAR3),
.VAR254(VAR192),
.VAR144(VAR170),
.VAR232(VAR196),
.VAR81(VAR34),
.VAR387(VAR175),
.VAR20(VAR171),
.VAR397(VAR284),
.VAR366(VAR92),
.VAR240(VAR401),
.VAR306(VAR350),
.VAR212(VAR159),
.VAR382(VAR209),
.VAR150(VAR224),
.VAR309(VAR325),
.VAR356(VAR402),
.VAR129(VAR261),
.VAR61(VAR127),
.VAR271(VAR359),
.VAR330(VAR16),
.VAR228(VAR368),
.VAR2(VAR290),
.VAR49(VAR133),
.VAR183(VAR97),
.VAR207(VAR87),
.VAR1(VAR180),
.VAR22(VAR178),
.VAR220(VAR68),
.VAR202(VAR352),
.VAR70(VAR173),
.VAR57(VAR281),
.VAR327(VAR125),
.VAR135(VAR134),
.VAR30(VAR132),
.VAR399(VAR91),
.VAR191(VAR123),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR215
VAR390 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(),
.VAR3(VAR3),
.VAR254(VAR52),
.VAR144(VAR101),
.VAR232(VAR143),
.VAR81(VAR249),
.VAR387(VAR258),
.VAR20(VAR335),
.VAR397(VAR62),
.VAR366(VAR23),
.VAR240(VAR118),
.VAR306(VAR317),
.VAR212(VAR176),
.VAR382(VAR225),
.VAR150(VAR6),
.VAR309(VAR295),
.VAR356(VAR24),
.VAR129(VAR374),
.VAR61(VAR172),
.VAR271(VAR163),
.VAR330(VAR111),
.VAR228(VAR146),
.VAR2(VAR322),
.VAR49(VAR11),
.VAR183(VAR194),
.VAR207(VAR396),
.VAR1(VAR357),
.VAR22(VAR405),
.VAR220(VAR347),
.VAR202(VAR233),
.VAR70(VAR251),
.VAR57(VAR362),
.VAR327(VAR48),
.VAR135(VAR342),
.VAR30(VAR35),
.VAR399(VAR280),
.VAR191(VAR264),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR215
VAR89 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(),
.VAR3(VAR3),
.VAR254(VAR285),
.VAR144(VAR88),
.VAR232(VAR312),
.VAR81(VAR21),
.VAR387(VAR221),
.VAR20(VAR141),
.VAR397(VAR272),
.VAR366(VAR137),
.VAR240(VAR265),
.VAR306(VAR360),
.VAR212(VAR139),
.VAR382(VAR310),
.VAR150(VAR9),
.VAR309(VAR47),
.VAR356(VAR341),
.VAR129(VAR59),
.VAR61(VAR321),
.VAR271(VAR276),
.VAR330(VAR318),
.VAR228(VAR102),
.VAR2(VAR354),
.VAR49(VAR349),
.VAR183(VAR299),
.VAR207(VAR121),
.VAR1(VAR331),
.VAR22(VAR42),
.VAR220(VAR5),
.VAR202(VAR56),
.VAR70(VAR36),
.VAR57(VAR206),
.VAR327(VAR45),
.VAR135(VAR307),
.VAR30(VAR222),
.VAR399(VAR198),
.VAR191(VAR288),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR215
VAR148 (
.VAR403(VAR181),
.VAR279(VAR365),
.VAR339(VAR298),
.VAR95(VAR131),
.VAR369(),
.VAR3(VAR3),
.VAR254(VAR76),
.VAR144(VAR151),
.VAR232(VAR303),
.VAR81(VAR164),
.VAR387(VAR314),
.VAR20(VAR391),
.VAR397(VAR235),
.VAR366(VAR257),
.VAR240(VAR400),
.VAR306(VAR329),
.VAR212(VAR226),
.VAR382(VAR389),
.VAR150(VAR78),
.VAR309(VAR19),
.VAR356(VAR190),
.VAR129(VAR227),
.VAR61(VAR149),
.VAR271(VAR186),
.VAR330(VAR336),
.VAR228(VAR124),
.VAR2(VAR373),
.VAR49(VAR184),
.VAR183(VAR166),
.VAR207(VAR268),
.VAR1(VAR230),
.VAR22(VAR117),
.VAR220(VAR320),
.VAR202(VAR54),
.VAR70(VAR147),
.VAR57(VAR26),
.VAR327(VAR130),
.VAR135(VAR193),
.VAR30(VAR353),
.VAR399(VAR269),
.VAR191(VAR363),
.VAR255(1'b0),
.VAR67(1'b0),
.VAR17(1'b0),
.VAR315(1'b0),
.VAR334(1'b0),
.VAR107(1'b0),
.VAR168(1'b0),
.VAR326(1'b0)
);
VAR378
VAR114 (
.clk(VAR181),
.rst(VAR365),
.VAR248(VAR393),
.VAR40(VAR8),
.VAR333(VAR286),
.VAR55(VAR252),
.VAR247(VAR179),
.VAR10(VAR103),
.VAR116(VAR205),
.VAR182(VAR142),
.VAR106(VAR266),
.VAR313(VAR199),
.VAR72(VAR283),
.VAR29(VAR44),
.VAR308(VAR122),
.VAR328(VAR219),
.VAR379(VAR65),
.VAR377(VAR244),
.VAR384(VAR140),
.VAR351(VAR158),
.VAR296(VAR155),
.VAR162(VAR161),
.VAR372(VAR305),
.VAR380(VAR380),
.VAR297(VAR297),
.VAR86(VAR86),
.VAR201(VAR201),
.VAR236(VAR236),
.VAR27(VAR27),
.VAR165(VAR165),
.VAR204(VAR204),
.VAR214(VAR214),
.VAR278(VAR278),
.VAR120(VAR120),
.VAR282(VAR282),
.VAR345(VAR345),
.VAR218(VAR218),
.VAR404(VAR404),
.VAR344(VAR344),
.VAR187(VAR187),
.VAR275(VAR275),
.VAR153(VAR153),
.VAR277(VAR277),
.VAR370(VAR370),
.VAR367(VAR367),
.VAR119(VAR119),
.VAR332(VAR332),
.VAR66(VAR66),
.VAR316(VAR316),
.VAR13(VAR13),
.VAR203(VAR203),
.VAR291(VAR291),
.VAR324(VAR324),
.VAR4(VAR4),
.VAR69(VAR69),
.VAR368(VAR368),
.VAR290(VAR290),
.VAR133(VAR133),
.VAR97(VAR97),
.VAR87(VAR87),
.VAR180(VAR180),
.VAR178(VAR178),
.VAR68(VAR68),
.VAR134(VAR134),
.VAR132(VAR132),
.VAR91(VAR91),
.VAR123(VAR123),
.VAR352(VAR352),
.VAR173(VAR173),
.VAR281(VAR281),
.VAR125(VAR125),
.VAR146(VAR146),
.VAR322(VAR322),
.VAR11(VAR11),
.VAR194(VAR194),
.VAR56(VAR56),
.VAR36(VAR36),
.VAR206(VAR206),
.VAR45(VAR45),
.VAR396(VAR396),
.VAR357(VAR357),
.VAR405(VAR405),
.VAR347(VAR347),
.VAR102(VAR102),
.VAR354(VAR354),
.VAR349(VAR349),
.VAR299(VAR299),
.VAR233(VAR233),
.VAR251(VAR251),
.VAR362(VAR362),
.VAR48(VAR48),
.VAR121(VAR121),
.VAR331(VAR331),
.VAR42(VAR42),
.VAR5(VAR5),
.VAR342(VAR342),
.VAR35(VAR35),
.VAR280(VAR280),
.VAR264(VAR264),
.VAR307(VAR307),
.VAR222(VAR222),
.VAR198(VAR198),
.VAR288(VAR288),
.VAR124(VAR124),
.VAR373(VAR373),
.VAR184(VAR184),
.VAR166(VAR166),
.VAR268(VAR268),
.VAR230(VAR230),
.VAR117(VAR117),
.VAR320(VAR320),
.VAR193(VAR193),
.VAR353(VAR353),
.VAR269(VAR269),
.VAR363(VAR363),
.VAR54(VAR54),
.VAR147(VAR147),
.VAR26(VAR26),
.VAR130(VAR130)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand4bb/sky130_fd_sc_ls__nand4bb.behavioral.v
| 1,532 |
module MODULE1 (
VAR10 ,
VAR2,
VAR12,
VAR5 ,
VAR9
);
output VAR10 ;
input VAR2;
input VAR12;
input VAR5 ;
input VAR9 ;
supply1 VAR8;
supply0 VAR4;
supply1 VAR6 ;
supply0 VAR1 ;
wire VAR14;
wire VAR3;
nand VAR7 (VAR14, VAR9, VAR5 );
or VAR11 (VAR3, VAR12, VAR2, VAR14);
buf VAR13 (VAR10 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/ha/sky130_fd_sc_ms__ha.functional.pp.v
| 2,194 |
module MODULE1 (
VAR9,
VAR6 ,
VAR8 ,
VAR1 ,
VAR7,
VAR16,
VAR4 ,
VAR5
);
output VAR9;
output VAR6 ;
input VAR8 ;
input VAR1 ;
input VAR7;
input VAR16;
input VAR4 ;
input VAR5 ;
wire VAR12 ;
wire VAR14;
wire VAR13 ;
wire VAR18 ;
and VAR3 (VAR12 , VAR8, VAR1 );
VAR10 VAR11 (VAR14, VAR12, VAR7, VAR16);
buf VAR17 (VAR9 , VAR14 );
xor VAR15 (VAR13 , VAR1, VAR8 );
VAR10 VAR2 (VAR18 , VAR13, VAR7, VAR16 );
buf VAR19 (VAR6 , VAR18 );
endmodule
|
apache-2.0
|
walkthetalk/fsref
|
ip/mutex_buffer/src/mutex_buffer.v
| 3,497 |
module MODULE1 #
(
parameter integer VAR17 = 32,
parameter integer VAR29 = 64,
parameter integer VAR10 = 2
) (
input wire clk,
input wire VAR11,
output wire VAR20,
input wire [VAR17-1:0] VAR5,
input wire [VAR17-1:0] VAR27,
input wire [VAR17-1:0] VAR1,
input wire [VAR17-1:0] VAR25,
input wire [VAR29-1:0] VAR3,
input wire VAR13,
output reg [VAR17-1:0] VAR12,
output reg [VAR10-1:0] VAR8,
input wire VAR4,
output reg [VAR17-1:0] VAR15,
output reg [VAR10-1:0] VAR22,
output reg [VAR29-1:0] VAR24,
input wire VAR7,
output reg [VAR17-1:0] VAR28,
output reg [VAR10-1:0] VAR2,
output reg [VAR29-1:0] VAR19
);
localparam integer VAR31 = 2;
localparam integer VAR6 = VAR31 + 2;
assign VAR20 = VAR13;
reg [VAR6-1:0] VAR14;
reg [VAR6-1:0] VAR23;
reg [VAR17-1:0] VAR16;
reg [VAR6-1:0] VAR21;
reg [VAR10-1:0] VAR26;
reg [VAR29-1:0] VAR18;
reg [VAR6-1:0] VAR9;
always @(posedge clk) begin
if (VAR11 == 0) begin
VAR15 <= 0;
VAR14 <= 0;
VAR22 <= 0;
VAR24 <= 0;
end
else if (VAR4) begin
if (VAR13) begin
VAR15 <= VAR12;
VAR14 <= VAR9;
VAR22 <= VAR8;
VAR24 <= VAR3;
end
else begin
VAR15 <= VAR16;
VAR14 <= VAR21;
VAR22 <= VAR26;
VAR24 <= VAR18;
end
end
end
always @(posedge clk) begin
if (VAR11 == 0) begin
VAR28 <= 0;
VAR23 <= 0;
VAR2 <= 0;
VAR19 <= 0;
end
else if (VAR7) begin
if (VAR13) begin
VAR28 <= VAR12;
VAR23 <= VAR9;
VAR2 <= VAR8;
VAR19 <= VAR3;
end
else begin
VAR28 <= VAR16;
VAR23 <= VAR21;
VAR2 <= VAR26;
VAR19 <= VAR18;
end
end
end
always @(posedge clk) begin
if (VAR11 == 0) begin
VAR16 <= VAR5;
VAR21 <= 4'b0001;
VAR26 <= 0;
VAR18 <= 0;
end
else if (VAR13) begin
VAR16 <= VAR12;
VAR21 <= VAR9;
VAR26 <= VAR8;
VAR18 <= VAR3;
end
end
always @(posedge clk) begin
if (VAR11 == 0) begin
VAR12 <= VAR27;
VAR9 <= 4'b0010;
VAR8 <= 1;
end
else if (VAR13) begin
casez (VAR9 | VAR14 | VAR23)
4'VAR30???0: begin
VAR12 <= VAR5;
VAR9 <= 4'b0001;
VAR8 <= 0;
end
4'VAR30??01: begin
VAR12 <= VAR27;
VAR9 <= 4'b0010;
VAR8 <= 1;
end
4'VAR30?011: begin
VAR12 <= VAR1;
VAR9 <= 4'b0100;
VAR8 <= 2;
end
4'b0111: begin
VAR12 <= VAR25;
VAR9 <= 4'b1000;
VAR8 <= 3;
end
default: begin
VAR12 <= VAR27;
VAR9 <= 4'b0010;
VAR8 <= 1;
end
endcase
end
end
endmodule
|
gpl-3.0
|
joseluisquiroga/bj-actor-model
|
hlang/hgen_net/vlg_fnd/pakin.v
| 1,575 |
module MODULE1
VAR4=VAR9,
VAR26=VAR17,
VAR7=VAR14,
VAR1=VAR29,
VAR10=VAR3
)(
);
parameter VAR20 = VAR8;
parameter VAR5 = VAR13;
localparam VAR15 = ((VAR19 / VAR4) + 1);
localparam VAR12 = (((VAR30(VAR26)-1) >= 0)?(VAR30(VAR26)-1):(0));
localparam VAR16 = (((VAR30(VAR15)-1) >= 0)?(VAR30(VAR15)-1):(0));
reg [0:0] VAR27 = VAR11;
reg [0:0] VAR28 = VAR11;
reg [0:0] VAR6 = VAR11;
reg [0:0] VAR31 = VAR11;
always @(posedge VAR2)
begin
if(VAR23) begin
VAR27 <= VAR11;
end
if(! VAR23 && ! VAR27) begin
VAR27 <= ! VAR27;
VAR28 <= VAR11;
VAR6 <= VAR11;
VAR31 <= VAR11;
end
if(! VAR23 && VAR27) begin
end
end
assign VAR22 = VAR27 && VAR25 && VAR18;
assign VAR24 = VAR28;
assign VAR21 = VAR31;
endmodule
|
gpl-3.0
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_spram_2048x32_bw.v
| 17,496 |
module MODULE1(
VAR69, VAR13, VAR56,
clk, rst, VAR73, VAR18, VAR62, addr, VAR55, VAR77
);
input VAR69;
input [VAR20 - 1:0] VAR56; output VAR13;
input clk; input rst; input VAR73; input [3:0] VAR18; input VAR62; input [10:0] addr; input [31:0] VAR55; output [31:0] VAR77;
assign VAR13 = VAR69;
VAR58 VAR74(
VAR40 VAR74(
VAR58 VAR74(
.VAR69(VAR69),
.VAR13(VAR13),
.VAR56(VAR56),
.VAR26(clk),
.VAR35(~VAR73),
.VAR67(~VAR18),
.VAR70(addr),
.VAR66(VAR55),
.VAR49(~VAR62),
.VAR60(VAR77)
);
VAR47 VAR47(
.VAR14(~VAR18),
.VAR59(),
.VAR11(~VAR62),
.VAR43(),
.VAR8(),
.VAR48(addr),
.VAR64(addr),
.VAR55(VAR55),
.VAR77(VAR77)
);
VAR51 VAR51(
.clk(clk),
.VAR31(addr),
.VAR85(VAR55),
.VAR18(VAR18),
.VAR62(VAR62),
.VAR54(VAR73),
.VAR9(VAR77)
);
wire VAR32;
wire VAR34;
wire VAR15;
wire VAR5;
wire VAR76;
wire VAR23;
wire VAR81;
wire VAR65;
assign VAR32 = VAR69;
assign VAR34 = VAR76;
assign VAR15 = VAR23;
assign VAR5 = VAR81;
assign VAR13 = VAR65;
VAR41 VAR52(
VAR19 VAR52(
VAR41 VAR52(
.VAR69(VAR32),
.VAR13(VAR76),
.VAR56(VAR56),
.VAR72(clk),
.VAR24(addr),
.VAR1(VAR55[7:0]),
.VAR67(~VAR18[0]),
.VAR35(~VAR73),
.VAR49(~VAR62),
.VAR10(VAR77[7:0])
);
VAR41 VAR27(
VAR19 VAR27(
VAR41 VAR27(
.VAR69(VAR34),
.VAR13(VAR23),
.VAR56(VAR56),
.VAR72(clk),
.VAR24(addr),
.VAR1(VAR55[15:8]),
.VAR67(~VAR18[1]),
.VAR35(~VAR73),
.VAR49(~VAR62),
.VAR10(VAR77[15:8])
);
VAR41 VAR79(
VAR19 VAR79(
VAR41 VAR79(
.VAR69(VAR15),
.VAR13(VAR81),
.VAR56(VAR56),
.VAR72(clk),
.VAR24(addr),
.VAR1(VAR55[23:16]),
.VAR67(~VAR18[2]),
.VAR35(~VAR73),
.VAR49(~VAR62),
.VAR10(VAR77[23:16])
);
VAR41 VAR42(
VAR19 VAR42(
VAR41 VAR42(
.VAR69(VAR5),
.VAR13(VAR65),
.VAR56(VAR56),
.VAR72(clk),
.VAR24(addr),
.VAR1(VAR55[31:24]),
.VAR67(~VAR18[3]),
.VAR35(~VAR73),
.VAR49(~VAR62),
.VAR10(VAR77[31:24])
);
VAR38 VAR25(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[1:0]),
.VAR50(VAR73),
.VAR12(VAR18[0]),
.VAR53(VAR77[1:0])
);
VAR38 VAR7(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[3:2]),
.VAR50(VAR73),
.VAR12(VAR18[0]),
.VAR53(VAR77[3:2])
);
VAR38 VAR57(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[5:4]),
.VAR50(VAR73),
.VAR12(VAR18[0]),
.VAR53(VAR77[5:4])
);
VAR38 VAR30(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[7:6]),
.VAR50(VAR73),
.VAR12(VAR18[0]),
.VAR53(VAR77[7:6])
);
VAR38 VAR82(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[9:8]),
.VAR50(VAR73),
.VAR12(VAR18[1]),
.VAR53(VAR77[9:8])
);
VAR38 VAR36(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[11:10]),
.VAR50(VAR73),
.VAR12(VAR18[1]),
.VAR53(VAR77[11:10])
);
VAR38 VAR28(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[13:12]),
.VAR50(VAR73),
.VAR12(VAR18[1]),
.VAR53(VAR77[13:12])
);
VAR38 VAR71(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[15:14]),
.VAR50(VAR73),
.VAR12(VAR18[1]),
.VAR53(VAR77[15:14])
);
VAR38 VAR17(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[17:16]),
.VAR50(VAR73),
.VAR12(VAR18[2]),
.VAR53(VAR77[17:16])
);
VAR38 VAR39(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[19:18]),
.VAR50(VAR73),
.VAR12(VAR18[2]),
.VAR53(VAR77[19:18])
);
VAR38 VAR63(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[21:20]),
.VAR50(VAR73),
.VAR12(VAR18[2]),
.VAR53(VAR77[21:20])
);
VAR38 VAR61(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[23:22]),
.VAR50(VAR73),
.VAR12(VAR18[2]),
.VAR53(VAR77[23:22])
);
VAR38 VAR37(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[25:24]),
.VAR50(VAR73),
.VAR12(VAR18[3]),
.VAR53(VAR77[25:24])
);
VAR38 VAR80(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[27:26]),
.VAR50(VAR73),
.VAR12(VAR18[3]),
.VAR53(VAR77[27:26])
);
VAR38 VAR16(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[29:28]),
.VAR50(VAR73),
.VAR12(VAR18[3]),
.VAR53(VAR77[29:28])
);
VAR38 VAR78(
.VAR26(clk),
.VAR3(rst),
.VAR29(addr),
.VAR1(VAR55[31:30]),
.VAR50(VAR73),
.VAR12(VAR18[3]),
.VAR53(VAR77[31:30])
);
VAR6 VAR22(
.VAR26(clk),
.VAR33(rst),
.VAR29(addr),
.VAR1(VAR55[7:0]),
.VAR83(1'b0),
.VAR50(VAR73),
.VAR12(VAR18[0]),
.VAR53(VAR77[7:0]),
.VAR2()
);
VAR6 VAR4(
.VAR26(clk),
.VAR33(rst),
.VAR29(addr),
.VAR1(VAR55[15:8]),
.VAR83(1'b0),
.VAR50(VAR73),
.VAR12(VAR18[1]),
.VAR53(VAR77[15:8]),
.VAR2()
);
VAR6 VAR44(
.VAR26(clk),
.VAR33(rst),
.VAR29(addr),
.VAR1(VAR55[23:16]),
.VAR83(1'b0),
.VAR50(VAR73),
.VAR12(VAR18[2]),
.VAR53(VAR77[23:16]),
.VAR2()
);
VAR6 VAR75(
.VAR26(clk),
.VAR33(rst),
.VAR29(addr),
.VAR1(VAR55[31:24]),
.VAR83(1'b0),
.VAR50(VAR73),
.VAR12(VAR18[3]),
.VAR53(VAR77[31:24]),
.VAR2()
);
reg [7:0] VAR46 [2047:0]; reg [7:0] VAR21 [2047:0]; reg [7:0] VAR84 [2047:0]; reg [7:0] VAR45 [2047:0]; reg [10:0] VAR68;
assign VAR77 = (VAR62) ? {VAR45[VAR68], VAR84[VAR68], VAR21[VAR68], VAR46[VAR68]} : {32{1'b0}};
always @(posedge clk or posedge rst)
if (rst)
VAR68 <= 11'h000;
else if (VAR73)
VAR68 <= addr;
always @(posedge clk)
if (VAR73 && VAR18[0])
VAR46[addr] <= VAR55[7:0];
always @(posedge clk)
if (VAR73 && VAR18[1])
VAR21[addr] <= VAR55[15:8];
always @(posedge clk)
if (VAR73 && VAR18[2])
VAR84[addr] <= VAR55[23:16];
always @(posedge clk)
if (VAR73 && VAR18[3])
VAR45[addr] <= VAR55[31:24];
endmodule
|
gpl-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_exu_alu_rglr.v
| 4,845 |
module MODULE1(
input VAR49, output VAR55,
input [VAR1-1:0] VAR35,
input [VAR1-1:0] VAR7,
input [VAR1-1:0] VAR36,
input [VAR31-1:0] VAR43,
input [VAR25-1:0] VAR47,
output VAR42, input VAR28, output [VAR1-1:0] VAR15,
output VAR4,
output VAR51,
output VAR23,
output VAR14,
output VAR34 ,
output VAR53 ,
output VAR6 ,
output VAR10 ,
output VAR24 ,
output VAR33 ,
output VAR16 ,
output VAR27 ,
output VAR30 ,
output VAR2,
output VAR17 ,
output [VAR1-1:0] VAR37,
output [VAR1-1:0] VAR29,
input [VAR1-1:0] VAR9,
input clk,
input VAR50
);
wire VAR13 = VAR47 [VAR52 ];
wire VAR3 = VAR47 [VAR11 ];
assign VAR37 = VAR3 ? VAR43 : VAR35;
assign VAR29 = VAR13 ? VAR36 : VAR7;
wire VAR26 = VAR47 [VAR54 ] ;
wire VAR39 = VAR47 [VAR21 ];
wire VAR5 = VAR47 [VAR46 ];
wire VAR19 = VAR47 [VAR22 ];
assign VAR34 = VAR47 [VAR18 ] & (~VAR26);
assign VAR53 = VAR47 [VAR20 ];
assign VAR6 = VAR47 [VAR8 ];
assign VAR10 = VAR47 [VAR40 ];
assign VAR24 = VAR47 [VAR48 ];
assign VAR33 = VAR47 [VAR32 ];
assign VAR16 = VAR47 [VAR45 ];
assign VAR27 = VAR47 [VAR38 ];
assign VAR30 = VAR47 [VAR41 ];
assign VAR2 = VAR47 [VAR44];
assign VAR17 = VAR47 [VAR12 ];
assign VAR42 = VAR49;
assign VAR55 = VAR28;
assign VAR15 = VAR9;
assign VAR51 = VAR39;
assign VAR23 = VAR5;
assign VAR14 = VAR19;
assign VAR4 = VAR51 | VAR23 | VAR14;
endmodule
|
apache-2.0
|
tmatsuya/milkymist-ml401
|
cores/pfpu/rtl/pfpu_regf.v
| 2,842 |
module MODULE1(
input VAR2,
input VAR18,
output reg VAR12,
output [31:0] VAR6,
output [31:0] VAR27,
input [31:0] VAR19,
input VAR24,
input [6:0] VAR32,
input [6:0] VAR3,
input [6:0] VAR4,
input VAR16,
input [6:0] VAR14,
output [31:0] VAR25,
input [31:0] VAR5,
input VAR7,
input [31:0] VAR21,
input [31:0] VAR20,
output VAR9
);
wire [6:0] VAR26;
wire [31:0] VAR17;
wire [6:0] VAR11;
wire [31:0] VAR33;
wire VAR31;
wire [6:0] VAR15;
wire [31:0] VAR13;
VAR34 VAR30(
.VAR2(VAR2),
.VAR26(VAR26),
.VAR17(VAR17),
.VAR11(VAR11),
.VAR33(VAR33),
.VAR31(VAR31),
.VAR15(VAR15),
.VAR13(VAR13)
);
assign VAR26 = VAR16 ? VAR14 : VAR32;
reg VAR23;
reg VAR10;
reg [31:0] VAR22;
always @(posedge VAR2) begin
VAR23 <= 1'b0;
VAR10 <= 1'b1;
if(VAR26 == 7'd0) VAR22 <= VAR21;
end
else if(VAR26 == 7'd1) VAR22 <= VAR20;
else begin
VAR23 <= 1'b1;
VAR10 <= 1'b0;
VAR22 <= 32'VAR28;
end
end
assign VAR6 = ({32{VAR23}} & VAR17)|({32{VAR10}} & VAR22);
assign VAR25 = VAR6;
assign VAR11 = VAR3;
reg VAR29;
reg VAR8;
reg [31:0] VAR1;
always @(posedge VAR2) begin
VAR29 <= 1'b0;
VAR8 <= 1'b1;
if(VAR11 == 7'd0) VAR1 <= VAR21;
end
else if(VAR11 == 7'd1) VAR1 <= VAR20;
else begin
VAR29 <= 1'b1;
VAR8 <= 1'b0;
VAR1 <= 32'VAR28;
end
end
assign VAR27 = ({32{VAR29}} & VAR33)|({32{VAR8}} & VAR1);
assign VAR31 = VAR16 ? VAR7 : VAR24;
assign VAR15 = VAR16 ? VAR14 : VAR4;
assign VAR13 = VAR16 ? VAR5 : VAR19;
always @(posedge VAR2) begin
if(VAR31) begin
if(VAR15 == 7'd2)
VAR12 <= VAR13 != 32'd0;
end
end
assign VAR9 = VAR31 & (VAR15 == 7'd0);
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/fah/sky130_fd_sc_hs__fah.symbol.v
| 1,260 |
module MODULE1 (
input VAR6 ,
input VAR3 ,
input VAR5 ,
output VAR4,
output VAR1
);
supply1 VAR7;
supply0 VAR2;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/dfxbp/sky130_fd_sc_hvl__dfxbp_1.v
| 2,234 |
module MODULE1 (
VAR8 ,
VAR4 ,
VAR6 ,
VAR9 ,
VAR1,
VAR5,
VAR10 ,
VAR3
);
output VAR8 ;
output VAR4 ;
input VAR6 ;
input VAR9 ;
input VAR1;
input VAR5;
input VAR10 ;
input VAR3 ;
VAR7 VAR2 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR8 ,
VAR4,
VAR6,
VAR9
);
output VAR8 ;
output VAR4;
input VAR6;
input VAR9 ;
supply1 VAR1;
supply0 VAR5;
supply1 VAR10 ;
supply0 VAR3 ;
VAR7 VAR2 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfrtn/sky130_fd_sc_hs__dfrtn.symbol.v
| 1,395 |
module MODULE1 (
input VAR2 ,
output VAR5 ,
input VAR4,
input VAR3
);
supply1 VAR6;
supply0 VAR1;
endmodule
|
apache-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_bram_7vx_cpl.v
| 7,282 |
module MODULE1 #(
parameter VAR35 = "VAR11", parameter VAR6 = "VAR8", parameter VAR28 = "500 VAR33", parameter VAR24 = "16 VAR9"
) (
input VAR45, input VAR12,
input [9:0] VAR18, input [9:0] VAR16, input [9:0] VAR19, input [9:0] VAR37, input [127:0] VAR14, input [15:0] VAR36, input VAR30, input VAR43, input VAR7, input VAR10, input VAR25, input VAR1, input VAR13, input VAR21,
input [9:0] VAR39, input [9:0] VAR23, input [9:0] VAR5, input [9:0] VAR41, output [127:0] VAR38, output [15:0] VAR32, input VAR15, input VAR4, input VAR3, input VAR22, input VAR29, input VAR26, input VAR27, input VAR34
);
generate begin
if (VAR24 == "16KB") begin : VAR2
VAR20 # (
.VAR35(VAR35),
.VAR6(VAR6),
.VAR28(VAR28),
.VAR24(VAR24)
)
VAR40
(
.VAR45 (VAR45),
.VAR12 (VAR12),
.VAR18 (VAR18[9:0]),
.VAR16 (VAR16[9:0]),
.VAR19 (VAR19[9:0]),
.VAR37 (VAR37[9:0]),
.VAR14 (VAR14[127:0]),
.VAR36 (VAR36[15:0]),
.VAR31 ({VAR21, VAR13, VAR1, VAR25, VAR10, VAR7, VAR43, VAR30}),
.VAR39 (VAR39[9:0]),
.VAR23 (VAR23[9:0]),
.VAR5 (VAR5[9:0]),
.VAR41 (VAR41[9:0]),
.VAR38 (VAR38[127:0]),
.VAR32 (VAR32[15:0]),
.VAR17 ({VAR34, VAR27, VAR26, VAR29, VAR22, VAR3, VAR4, VAR15})
);
end else begin : VAR42
VAR44 # (
.VAR35(VAR35),
.VAR28(VAR28),
.VAR24(VAR24)
)
VAR40
(
.VAR45 (VAR45),
.VAR12 (VAR12),
.VAR18 (VAR18[8:0]),
.VAR16 (VAR16[8:0]),
.VAR14 (VAR14[127:0]),
.VAR36 (VAR36[15:0]),
.VAR31 ({VAR10, VAR7, VAR43, VAR30}),
.VAR39 (VAR39[8:0]),
.VAR23 (VAR23[8:0]),
.VAR38 (VAR38[127:0]),
.VAR32 (VAR32[15:0]),
.VAR17 ({VAR22, VAR3, VAR4, VAR15})
);
end
end
endgenerate
endmodule
|
gpl-3.0
|
ShepardSiegel/ocpi
|
coregen/dram_k7_mig11/mig_7series_v1_1/user_design/rtl/ui/ui_cmd.v
| 7,688 |
module MODULE1 #
(
parameter VAR10 = 100,
parameter VAR27 = 33,
parameter VAR3 = 3,
parameter VAR31 = 12,
parameter VAR11 = 2,
parameter VAR38 = 16,
parameter VAR2 = 4,
parameter VAR19 = "VAR48"
)
(
VAR34, VAR15, VAR7, VAR17, VAR13, VAR33, VAR9, VAR14, VAR8,
VAR52, VAR30, VAR44,
rst, clk, VAR20, VAR36, VAR57, VAR50, VAR51,
VAR45, VAR47, VAR16, VAR21, VAR54
);
input rst;
input clk;
input VAR20;
input VAR36;
input VAR57;
wire VAR24 = VAR20 && ~VAR36 && ~VAR57;
reg VAR43;
output wire VAR34;
assign VAR34 = VAR43;
input [VAR27-1:0] VAR50;
input [2:0] VAR51;
input VAR45;
input VAR47;
input VAR16;
reg [VAR27-1:0] VAR26;
reg [VAR27-1:0] VAR12;
reg [2:0] VAR39;
reg [2:0] VAR49;
reg VAR6;
reg VAR23;
reg VAR56;
reg VAR25;
reg VAR29;
reg VAR32;
wire [VAR27-1:0] VAR41 = VAR43 ? VAR50 : VAR26;
wire [VAR27-1:0] VAR53 = VAR43 ? VAR26 : VAR12;
wire [2:0] VAR4 = VAR43 ? VAR51 : VAR39;
wire [2:0] VAR55 = VAR43 ? VAR39 : VAR49;
wire VAR22 = VAR43 ? VAR45 : VAR6;
wire VAR28 = VAR43 ? VAR6 : VAR23;
wire VAR40 = VAR43 ? VAR47 : VAR56;
wire VAR5 = VAR43 ? VAR56 : VAR25;
wire VAR18 = ~rst && (VAR43 ? VAR16 : VAR29);
wire VAR1 = ~rst && (VAR43 ? VAR29 : VAR32);
always @(posedge clk) begin
end
wire VAR42 = VAR32 && VAR43;
output wire VAR15;
assign VAR15 = VAR42;
output wire [VAR11-1:0] VAR7;
output wire [VAR3-1:0] VAR17;
output wire [VAR38-1:0] VAR13;
output wire [VAR31-1:0] VAR33;
output wire VAR9;
output wire [2:0] VAR14;
output wire VAR8;
assign VAR33 = VAR43
? VAR26[0+:VAR31]
: VAR12[0+:VAR31];
generate
begin
if (VAR19 == "VAR35")
begin
assign VAR13 = VAR43
? VAR26[VAR31+VAR3+:VAR38]
: VAR12[VAR31+VAR3+:VAR38];
assign VAR17 = VAR43
? VAR26[VAR31+:VAR3]
: VAR12[VAR31+:VAR3];
end
else
begin
assign VAR13 = VAR43
? VAR26[VAR31+:VAR38]
: VAR12[VAR31+:VAR38];
assign VAR17 = VAR43
? VAR26[VAR31+VAR38+:VAR3]
: VAR12[VAR31+VAR38+:VAR3];
end
end
endgenerate
assign VAR7 = (VAR2 == 1)
? 1'b0
: VAR43
? VAR26[VAR31+VAR38+VAR3+:VAR11]
: VAR12[VAR31+VAR38+VAR3+:VAR11];
assign VAR9 = VAR43
? VAR6
: VAR23;
assign VAR14 = VAR43
? VAR39
: VAR49;
assign VAR8 = VAR43
? VAR56
: VAR25;
wire VAR46 = VAR42 && VAR43;
wire rd = VAR49[1:0] == 2'b01;
wire wr = VAR49[1:0] == 2'b00;
wire VAR37 = VAR49[1:0] == 2'b11;
wire write = wr || VAR37;
output wire VAR52;
assign VAR52 = VAR46 && rd;
output wire VAR30;
assign VAR30 = VAR46 && write;
input [3:0] VAR21;
input [3:0] VAR54;
output wire [3:0] VAR44;
assign VAR44 = ~write ? VAR54 : VAR21;
endmodule
|
lgpl-3.0
|
mballance/oc_wb_ip
|
rtl/wb_dma/bench/verilog/wb_mast_model.v
| 10,981 |
module MODULE1(clk, rst, VAR2, din, dout, VAR9, VAR7, sel, VAR3, ack, VAR1, VAR10);
input clk, rst;
output [31:0] VAR2;
input [31:0] din;
output [31:0] dout;
output VAR9, VAR7;
output [3:0] sel;
output VAR3;
input ack, VAR1, VAR10;
parameter VAR11 = 4096;
reg [31:0] VAR2;
reg [31:0] dout;
reg VAR9, VAR7;
reg [3:0] sel;
reg VAR3;
reg [31:0] VAR6[VAR11:0];
reg [31:0] VAR5[VAR11:0];
integer VAR8;
integer VAR4;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
apache-2.0
|
bit0fun/Fusion-Core
|
Fusion-Core-Base/and_32.v
| 2,013 |
module MODULE1(
input [31:0] VAR1, input [31:0] VAR2,
output [31:0] out );
assign out[0] = VAR1[0] & VAR2[0];
assign out[1] = VAR1[1] & VAR2[1];
assign out[2] = VAR1[2] & VAR2[2];
assign out[3] = VAR1[3] & VAR2[3];
assign out[4] = VAR1[4] & VAR2[4];
assign out[5] = VAR1[5] & VAR2[5];
assign out[6] = VAR1[6] & VAR2[6];
assign out[7] = VAR1[7] & VAR2[7];
assign out[8] = VAR1[8] & VAR2[8];
assign out[9] = VAR1[9] & VAR2[9];
assign out[10] = VAR1[10] & VAR2[10];
assign out[11] = VAR1[11] & VAR2[11];
assign out[12] = VAR1[12] & VAR2[12];
assign out[13] = VAR1[13] & VAR2[13];
assign out[14] = VAR1[14] & VAR2[14];
assign out[15] = VAR1[15] & VAR2[15];
assign out[16] = VAR1[16] & VAR2[16];
assign out[17] = VAR1[17] & VAR2[17];
assign out[18] = VAR1[18] & VAR2[18];
assign out[19] = VAR1[19] & VAR2[19];
assign out[20] = VAR1[20] & VAR2[20];
assign out[21] = VAR1[21] & VAR2[21];
assign out[22] = VAR1[22] & VAR2[22];
assign out[23] = VAR1[23] & VAR2[23];
assign out[24] = VAR1[24] & VAR2[24];
assign out[25] = VAR1[25] & VAR2[25];
assign out[26] = VAR1[26] & VAR2[26];
assign out[27] = VAR1[27] & VAR2[27];
assign out[28] = VAR1[28] & VAR2[28];
assign out[29] = VAR1[29] & VAR2[29];
assign out[30] = VAR1[30] & VAR2[30];
assign out[31] = VAR1[31] & VAR2[31];
endmodule
|
gpl-3.0
|
Feuerwerk/fpgaNES
|
master_pll/master_pll_0002.v
| 6,478 |
module MODULE1(
input wire VAR42,
input wire rst,
output wire VAR78,
output wire VAR53,
input wire [63:0] VAR118,
output wire [63:0] VAR33
);
VAR132 #(
.VAR120("false"),
.VAR18("50.0 VAR24"),
.VAR200(32),
.VAR191("1storder"),
.VAR147("VAR173"),
.VAR122(1),
.VAR123("26.600985 VAR24"),
.VAR49("0 VAR26"),
.VAR206(50),
.VAR145("0 VAR24"),
.VAR169("0 VAR26"),
.VAR98(50),
.VAR148("0 VAR24"),
.VAR194("0 VAR26"),
.VAR214(50),
.VAR224("0 VAR24"),
.VAR216("0 VAR26"),
.VAR222(50),
.VAR183("0 VAR24"),
.VAR188("0 VAR26"),
.VAR142(50),
.VAR165("0 VAR24"),
.VAR105("0 VAR26"),
.VAR90(50),
.VAR27("0 VAR24"),
.VAR137("0 VAR26"),
.VAR81(50),
.VAR143("0 VAR24"),
.VAR106("0 VAR26"),
.VAR146(50),
.VAR159("0 VAR24"),
.VAR220("0 VAR26"),
.VAR54(50),
.VAR108("0 VAR24"),
.VAR100("0 VAR26"),
.VAR113(50),
.VAR89("0 VAR24"),
.VAR82("0 VAR26"),
.VAR174(50),
.VAR202("0 VAR24"),
.VAR66("0 VAR26"),
.VAR31(50),
.VAR187("0 VAR24"),
.VAR176("0 VAR26"),
.VAR36(50),
.VAR178("0 VAR24"),
.VAR12("0 VAR26"),
.VAR185(50),
.VAR58("0 VAR24"),
.VAR16("0 VAR26"),
.VAR124(50),
.VAR223("0 VAR24"),
.VAR8("0 VAR26"),
.VAR212(50),
.VAR110("0 VAR24"),
.VAR199("0 VAR26"),
.VAR210(50),
.VAR128("0 VAR24"),
.VAR149("0 VAR26"),
.VAR68(50),
.VAR57("VAR5 VAR64"),
.VAR88("VAR65"),
.VAR114(54),
.VAR44(54),
.VAR77(4),
.VAR190(3),
.VAR17("false"),
.VAR79("false"),
.VAR170("false"),
.VAR177("true"),
.VAR151(15),
.VAR127(14),
.VAR92(1),
.VAR95(0),
.VAR153("VAR63"),
.VAR15("false"),
.VAR211("true"),
.VAR73(1),
.VAR59(1),
.VAR135(1),
.VAR141(0),
.VAR2("VAR63"),
.VAR184("true"),
.VAR9("false"),
.VAR94(1),
.VAR62(1),
.VAR85(1),
.VAR96(0),
.VAR25("VAR63"),
.VAR112("true"),
.VAR50("false"),
.VAR166(1),
.VAR99(1),
.VAR181(1),
.VAR4(0),
.VAR163("VAR63"),
.VAR138("true"),
.VAR14("false"),
.VAR84(1),
.VAR205(1),
.VAR196(1),
.VAR97(0),
.VAR186("VAR63"),
.VAR131("true"),
.VAR179("false"),
.VAR87(1),
.VAR154(1),
.VAR71(1),
.VAR119(0),
.VAR167("VAR63"),
.VAR51("true"),
.VAR195("false"),
.VAR171(1),
.VAR215(1),
.VAR46(1),
.VAR107(0),
.VAR91("VAR63"),
.VAR126("true"),
.VAR74("false"),
.VAR39(1),
.VAR76(1),
.VAR175(1),
.VAR130(0),
.VAR150("VAR63"),
.VAR19("true"),
.VAR93("false"),
.VAR189(1),
.VAR172(1),
.VAR129(1),
.VAR103(0),
.VAR208("VAR63"),
.VAR157("true"),
.VAR115("false"),
.VAR209(1),
.VAR133(1),
.VAR218(1),
.VAR116(0),
.VAR158("VAR63"),
.VAR136("true"),
.VAR21("false"),
.VAR144(1),
.VAR41(1),
.VAR203(1),
.VAR101(0),
.VAR204("VAR63"),
.VAR221("true"),
.VAR45("false"),
.VAR55(1),
.VAR47(1),
.VAR43(1),
.VAR155(0),
.VAR11("VAR63"),
.VAR213("true"),
.VAR198("false"),
.VAR201(1),
.VAR56(1),
.VAR6(1),
.VAR102(0),
.VAR152("VAR63"),
.VAR3("true"),
.VAR161("false"),
.VAR117(1),
.VAR69(1),
.VAR109(1),
.VAR219(0),
.VAR35("VAR63"),
.VAR13("true"),
.VAR10("false"),
.VAR180(1),
.VAR217(1),
.VAR125(1),
.VAR162(0),
.VAR20("VAR63"),
.VAR168("true"),
.VAR207("false"),
.VAR164(1),
.VAR75(1),
.VAR37(1),
.VAR192(0),
.VAR32("VAR63"),
.VAR52("true"),
.VAR193("false"),
.VAR1(1),
.VAR140(1),
.VAR60(1),
.VAR104(0),
.VAR111("VAR63"),
.VAR23("true"),
.VAR29("false"),
.VAR28(1),
.VAR80(1),
.VAR134(1),
.VAR22(0),
.VAR34("VAR63"),
.VAR182("true"),
.VAR83("false"),
.VAR160(1),
.VAR38(20),
.VAR40(10000),
.VAR72("771.428571 VAR24"),
.VAR197("1"),
.VAR139("none"),
.VAR30("VAR86"),
.VAR61("VAR7"),
.VAR48("VAR63"),
.VAR70("false")
) VAR156 (
.rst (rst),
.VAR121 ({VAR78}),
.VAR53 (VAR53),
.VAR118 (VAR118),
.VAR225 ( ),
.VAR67 (1'b0),
.VAR42 (VAR42),
.VAR33 (VAR33)
);
endmodule
|
gpl-3.0
|
CospanDesign/python
|
game/panda/panda_path/example_project/rtl/bus/slave/wb_gpio.v
| 10,141 |
module MODULE1#(
parameter VAR18 = 0,
parameter VAR28 = 0,
parameter VAR33 = 0,
parameter VAR11 = 0
)(
input clk,
input rst,
input VAR37,
input VAR38,
input [3:0] VAR34,
input [31:0] VAR3,
input VAR7,
output reg VAR17,
output reg [31:0] VAR8,
input [31:0] VAR4,
output reg VAR31,
output reg [31:0] VAR24,
input [31:0] VAR16
);
localparam VAR15 = 32'h00000000;
localparam VAR22 = 32'h00000001;
localparam VAR9 = 32'h00000002;
localparam VAR40 = 32'h00000003;
localparam VAR6 = 32'h00000004;
localparam VAR12 = 32'h00000005;
localparam VAR25 = 32'h00000006;
localparam VAR14 = 32'h00000007;
reg [31:0] VAR21;
wire [31:0] VAR26;
reg [31:0] VAR32;
reg [31:0] VAR19;
reg [31:0] VAR27;
reg [31:0] VAR36;
reg [31:0] VAR35;
reg [31:0] VAR39;
reg VAR13;
genvar VAR1;
generate
for (VAR1 = 0; VAR1 < 32; VAR1 = VAR1 + 1) begin : VAR10
assign VAR26[VAR1] = VAR21[VAR1] ? VAR24[VAR1] : VAR16[VAR1];
end
endgenerate
always @ (posedge clk) begin
if (rst) begin
VAR8 <= 32'h00000000;
VAR17 <= 0;
VAR24 <= 32'h00000000;
VAR21 <= 32'h00000000;
VAR19 <= VAR18;
VAR27 <= VAR28;
VAR36 <= VAR33;
VAR35 <= VAR11;
VAR13 <= 0;
end
else begin
VAR13 <= 0;
if (VAR17 & ~ VAR7)begin
VAR17 <= 0;
end
if (VAR7 & VAR38) begin
if (!VAR17) begin
if (VAR37) begin
case (VAR4)
VAR15: begin
VAR24 <= VAR3 & VAR21;
end
VAR22: begin
VAR20("%VAR29 VAR21", VAR3);
VAR21 <= VAR3;
end
VAR9: begin
end
VAR40: begin
VAR20("%VAR29 interrupt enable", VAR3);
VAR19 <= VAR3;
VAR13 <= 1;
end
VAR6: begin
VAR20("%VAR29 VAR27", VAR3);
VAR27 <= VAR3;
VAR13 <= 1;
end
VAR12: begin
VAR20("%VAR29 VAR36", VAR3);
VAR36 <= VAR3;
VAR13 <= 1;
end
VAR25: begin
VAR35 <= VAR3;
end
default: begin
end
endcase
end
else begin
if (!VAR17) begin case (VAR4)
VAR15: begin
VAR8 <= VAR26;
VAR13 <= 1;
end
VAR22: begin
VAR8 <= VAR21;
end
VAR9: begin
VAR8 <= VAR32;
VAR13 <= 1;
end
VAR40: begin
VAR8 <= VAR19;
end
VAR6: begin
VAR8 <= VAR27;
end
VAR12: begin
VAR8 <= VAR36;
end
VAR25: begin
VAR8 <= VAR35;
end
VAR14: begin
VAR8 <= VAR23;
end
default: begin
VAR8 <= 32'h00;
end
endcase
end
end
VAR17 <= 1;
end
end
end
end
reg [31:0] VAR5;
wire [31:0] VAR2;
wire [31:0] VAR30;
assign VAR30 = ((~VAR27 | VAR36) & (VAR19 & ( VAR5 & ~VAR16)));
assign VAR2 = (( VAR27 | VAR36) & (VAR19 & (~VAR5 & VAR16)));
always @ (posedge clk) begin
if (rst) begin
VAR39 <= 0;
VAR32 <= 32'h00000000;
VAR31 <= 0;
end
else begin
if (VAR13) begin
VAR32 <= 32'h00000000;
end
if ((VAR2 > 0) || (VAR30 > 0)) begin
VAR32 <= (VAR2 | VAR30);
end
if (VAR32 == 0) begin
VAR39 <= 0;
end
if ((VAR32 > 0) && (VAR35 > 0)) begin
if (VAR39 < VAR35) begin
VAR39 <= VAR39 + 1;
end
else begin
VAR32 <= 32'h00000000;
VAR39 <= 0;
end
end
if (VAR32 > 0) begin
VAR31 <= 1;
end
else begin
VAR31 <= 0;
end
VAR5 <= VAR16;
end
end
endmodule
|
mit
|
trevortheblack/NewLondo16
|
Verilog/RFT/arithmetic.v
| 1,159 |
module MODULE1(VAR15, VAR8, VAR3, VAR12, VAR7, VAR13, VAR10);
input [31:0] VAR15, VAR8;
input [2:0] VAR3;
input [3:0] VAR12;
output reg [31:0] VAR7;
output [1:0] VAR13;
input VAR10;
always @ (*) begin
case(VAR3)
3'b010: VAR7 = VAR16;
3'b011: VAR7 = VAR16;
3'b100: VAR7 = VAR9;
3'b101: VAR7 = VAR5;
3'b110: VAR7 = VAR16;
3'b111: VAR7 = VAR16;
default: VAR7 = 32'b0;
endcase
end
wire [31:0] VAR16;
wire [31:0] VAR9;
wire [31:0] VAR5;
VAR6 VAR4(VAR15, VAR8, VAR3[2], VAR16, VAR10, VAR13[1], VAR13[0]);
VAR1 VAR14(VAR15, VAR8, VAR12, VAR9, VAR10);
VAR2 VAR11(VAR15, VAR8, VAR12, VAR5, VAR10);
endmodule
|
mit
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/jbi_min/rtl/jbi_min_rq_tag.v
| 13,869 |
module MODULE1(
VAR14,
clk, VAR21, VAR29, VAR31, VAR34, VAR60,
VAR65, VAR5, VAR16, VAR56, VAR26
);
input clk;
input VAR21;
input VAR29;
input VAR31;
input VAR34;
input [VAR69-1:0] VAR60;
input [3:0] VAR65;
input VAR5;
input [VAR69-1:0] VAR16;
input VAR56;
input [3:0] VAR26;
output VAR14;
reg VAR14;
wire VAR45;
wire VAR46;
wire VAR57;
wire VAR53;
wire VAR82;
wire VAR52;
wire VAR71;
wire VAR17;
wire VAR6;
wire VAR12;
wire VAR36;
wire VAR1;
wire VAR40;
wire VAR49;
wire VAR50;
wire VAR24;
wire VAR3;
wire VAR62;
wire VAR64;
wire VAR19;
wire VAR22;
wire VAR81;
wire VAR10;
wire VAR42;
wire VAR41;
wire VAR39;
wire VAR58;
wire VAR2;
wire VAR30;
wire VAR44;
wire VAR66;
wire VAR54;
wire VAR32;
wire [VAR69-1:0] VAR67;
wire VAR55;
wire [3:0] VAR74;
wire VAR25;
wire [VAR69-1:0] VAR8;
wire VAR27;
wire [3:0] VAR75;
assign VAR3 = ~VAR27 & VAR75 == 4'd0;
assign VAR62 = ~VAR27 & VAR75 == 4'd1;
assign VAR64 = ~VAR27 & VAR75 == 4'd2;
assign VAR19 = ~VAR27 & VAR75 == 4'd3;
assign VAR22 = ~VAR27 & VAR75 == 4'd4;
assign VAR81 = ~VAR27 & VAR75 == 4'd5;
assign VAR10 = ~VAR27 & VAR75 == 4'd6;
assign VAR42 = ~VAR27 & VAR75 == 4'd7;
assign VAR41 = ~VAR27 & VAR75 == 4'd8;
assign VAR39 = ~VAR27 & VAR75 == 4'd9;
assign VAR58 = ~VAR27 & VAR75 == 4'd10;
assign VAR2 = ~VAR27 & VAR75 == 4'd11;
assign VAR30 = ~VAR27 & VAR75 == 4'd12;
assign VAR44 = ~VAR27 & VAR75 == 4'd13;
assign VAR66 = ~VAR27 & VAR75 == 4'd14;
assign VAR54 = ~VAR27 & VAR75 == 4'd15;
always @ ( VAR65 or VAR45 or VAR36
or VAR1 or VAR40 or VAR49
or VAR50 or VAR24 or VAR46
or VAR57 or VAR53 or VAR82
or VAR52 or VAR71 or VAR17
or VAR6 or VAR12) begin
case(VAR65)
4'd0: VAR14 = VAR45;
4'd1: VAR14 = VAR46;
4'd2: VAR14 = VAR57;
4'd3: VAR14 = VAR53;
4'd4: VAR14 = VAR82;
4'd5: VAR14 = VAR52;
4'd6: VAR14 = VAR71;
4'd7: VAR14 = VAR17;
4'd8: VAR14 = VAR6;
4'd9: VAR14 = VAR12;
4'd10: VAR14 = VAR36;
4'd11: VAR14 = VAR1;
4'd12: VAR14 = VAR40;
4'd13: VAR14 = VAR49;
4'd14: VAR14 = VAR50;
4'd15: VAR14 = VAR24;
default: VAR14 = 1'VAR51;
endcase
end
VAR83 VAR37 (
.VAR28(VAR45), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR3)); VAR83 VAR79 (
.VAR28(VAR46), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR62)); VAR83 VAR11 (
.VAR28(VAR57), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR64)); VAR83 VAR4 (
.VAR28(VAR53), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR19)); VAR83 VAR80 (
.VAR28(VAR82), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR22)); VAR83 VAR23 (
.VAR28(VAR52), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR81)); VAR83 VAR15 (
.VAR28(VAR71), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR10)); VAR83 VAR13 (
.VAR28(VAR17), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR42)); VAR83 VAR63 (
.VAR28(VAR6), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR41)); VAR83 VAR43 (
.VAR28(VAR12), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR39)); VAR83 VAR61 (
.VAR28(VAR36), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR58)); VAR83 VAR33 (
.VAR28(VAR1), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR2)); VAR83 VAR9 (
.VAR28(VAR40), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR30)); VAR83 VAR76 (
.VAR28(VAR49), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR44)); VAR83 VAR18 (
.VAR28(VAR50), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR66)); VAR83 VAR20 (
.VAR28(VAR24), .VAR29(VAR29),
.VAR31(VAR31),
.VAR60(VAR60[VAR69-1:0]),
.VAR25(VAR25),
.VAR8(VAR8[VAR69-1:0]),
.VAR59(VAR54));
VAR35 #(4) VAR47
(.din(VAR26),
.clk(clk),
.VAR21(VAR21),
.VAR48(VAR74)
);
VAR68 #(4) VAR38
(.din(VAR74),
.clk(VAR29),
.en(VAR34),
.VAR21(VAR31),
.VAR48(VAR75)
);
VAR35 #(1) VAR73
(.din(VAR56),
.clk(clk),
.VAR21(VAR21),
.VAR48(VAR55)
);
VAR68 #(1) VAR78
(.din(VAR55),
.clk(VAR29),
.en(VAR34),
.VAR21(VAR31),
.VAR48(VAR27)
);
VAR35 #(VAR69) VAR7
(.din(VAR16),
.clk(clk),
.VAR21(VAR21),
.VAR48(VAR67)
);
VAR68 #(VAR69) VAR70
(.din(VAR67),
.clk(VAR29),
.en(VAR34),
.VAR21(VAR31),
.VAR48(VAR8)
);
VAR35 #(1) VAR77
(.din(VAR5),
.clk(clk),
.VAR21(VAR21),
.VAR48(VAR32)
);
VAR68 #(1) VAR72
(.din(VAR32),
.clk(VAR29),
.en(VAR34),
.VAR21(VAR31),
.VAR48(VAR25)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o22a/sky130_fd_sc_ls__o22a.blackbox.v
| 1,356 |
module MODULE1 (
VAR2 ,
VAR9,
VAR4,
VAR6,
VAR7
);
output VAR2 ;
input VAR9;
input VAR4;
input VAR6;
input VAR7;
supply1 VAR8;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/or2b/sky130_fd_sc_ls__or2b.pp.blackbox.v
| 1,281 |
module MODULE1 (
VAR2 ,
VAR1 ,
VAR3 ,
VAR5,
VAR6,
VAR7 ,
VAR4
);
output VAR2 ;
input VAR1 ;
input VAR3 ;
input VAR5;
input VAR6;
input VAR7 ;
input VAR4 ;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/clkbuf/gf180mcu_fd_sc_mcu9t5v0__clkbuf_8.behavioral.v
| 1,108 |
module MODULE1( VAR5, VAR4 );
input VAR5;
output VAR4;
VAR1 VAR3(.VAR5(VAR5),.VAR4(VAR4));
VAR1 VAR2(.VAR5(VAR5),.VAR4(VAR4));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o31a/sky130_fd_sc_ls__o31a.behavioral.pp.v
| 2,015 |
module MODULE1 (
VAR11 ,
VAR4 ,
VAR17 ,
VAR1 ,
VAR3 ,
VAR14,
VAR7,
VAR8 ,
VAR15
);
output VAR11 ;
input VAR4 ;
input VAR17 ;
input VAR1 ;
input VAR3 ;
input VAR14;
input VAR7;
input VAR8 ;
input VAR15 ;
wire VAR6 ;
wire VAR12 ;
wire VAR5;
or VAR13 (VAR6 , VAR17, VAR4, VAR1 );
and VAR2 (VAR12 , VAR6, VAR3 );
VAR10 VAR16 (VAR5, VAR12, VAR14, VAR7);
buf VAR9 (VAR11 , VAR5 );
endmodule
|
apache-2.0
|
ipburbank/Raster-Laser-Projector
|
src/Raster_Laser_Projector/synthesis/submodules/Raster_Laser_Projector_X_Axis_Subsystem.v
| 4,065 |
module MODULE1 (
input wire VAR41, input wire VAR20, input wire VAR57, input wire VAR11 );
wire VAR63;
VAR47 VAR48 (
.clk (VAR41), .reset (VAR63), .VAR35 (), .VAR31 () );
VAR5 #(
.VAR45 (1),
.VAR40 ("VAR66"),
.VAR46 (2),
.VAR43 (0),
.VAR61 (1),
.VAR70 (3),
.VAR68 (1),
.VAR50 (0),
.VAR30 (0),
.VAR49 (0),
.VAR51 (0),
.VAR38 (0),
.VAR62 (0),
.VAR6 (0),
.VAR17 (0),
.VAR44 (0),
.VAR58 (0),
.VAR8 (0),
.VAR9 (0),
.VAR29 (0),
.VAR21 (0),
.VAR23 (0),
.VAR4 (0),
.VAR13 (0)
) VAR2 (
.VAR26 (~VAR11), .clk (VAR41), .VAR16 (VAR63), .VAR27 (), .VAR7 (1'b0), .VAR39 (1'b0), .VAR24 (1'b0), .VAR36 (1'b0), .VAR3 (1'b0), .VAR10 (1'b0), .VAR53 (1'b0), .VAR54 (1'b0), .VAR69 (1'b0), .VAR33 (1'b0), .VAR1 (1'b0), .VAR28 (1'b0), .VAR18 (1'b0), .VAR64 (1'b0), .VAR37 (1'b0), .VAR67 (1'b0), .VAR42 (1'b0), .VAR65 (1'b0), .VAR60 (1'b0), .VAR59 (1'b0), .VAR19 (1'b0), .VAR25 (1'b0), .VAR34 (1'b0), .VAR22 (1'b0), .VAR52 (1'b0), .VAR14 (1'b0), .VAR32 (1'b0), .VAR15 (1'b0), .VAR55 (1'b0), .VAR12 (1'b0), .VAR56 (1'b0) );
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
models/udp_dlatch_pr/sky130_fd_sc_hdll__udp_dlatch_pr.blackbox.v
| 1,299 |
module MODULE1 (
VAR1 ,
VAR2 ,
VAR4 ,
VAR3
);
output VAR1 ;
input VAR2 ;
input VAR4 ;
input VAR3;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/sdffrnq/gf180mcu_fd_sc_mcu9t5v0__sdffrnq_4.functional.v
| 1,728 |
module MODULE1( VAR16, VAR22, VAR15, VAR9, VAR6, VAR21, VAR25 );
input VAR9, VAR15, VAR6, VAR16, VAR22, VAR25;
output VAR21;
not VAR2( VAR26, VAR6 );
wire VAR12;
not VAR4( VAR12, VAR15 );
wire VAR11;
not VAR3( VAR11, VAR16 );
wire VAR13;
and VAR1( VAR13, VAR12, VAR11 );
wire VAR23;
not VAR14( VAR23, VAR22 );
wire VAR5;
and VAR8( VAR5, VAR12, VAR23 );
wire VAR17;
and VAR7( VAR17, VAR23, VAR16 );
or VAR10( VAR19, VAR13, VAR5, VAR17 );
VAR20( VAR18, 1'b0, VAR26, VAR9, VAR19, VAR25 );
not VAR24( VAR21, VAR18 );
endmodule
|
apache-2.0
|
orbancedric/DeepGate
|
src/interface/custom/master_control.v
| 10,436 |
module MODULE1 (
input clk,
input rst,
input VAR17,
input VAR65,
input [7:0] VAR1,
output reg [7:0] VAR5 = 8'd0,
output reg VAR33 = 0,
input VAR11,
input VAR15,
input VAR24,
input [7:0] VAR59,
output reg [7:0] VAR42 = 8'd0,
output reg VAR55 = 0,
output reg VAR53 = 0,
input [VAR10 - 1'b1 : 0] VAR38,
output reg [VAR10 - 1'b1 : 0] VAR43 = 0,
output reg [7:0] VAR6 = 0,
input VAR26,
input VAR21,
input [31:0] VAR36,
output reg [31:0] VAR45 = 32'd0,
output wire [22:0] VAR31,
output reg VAR22 = 0,
output reg VAR4 = 0,
output wire [7:0] VAR3
);
localparam VAR9 = 7'b0000001,
VAR37 = 7'b0000010,
VAR41 = 7'b0000100,
VAR25 = 7'b0001000,
VAR56 = 7'b0010000,
VAR52 = 7'b0100000,
VAR16 = 7'b1000000;
localparam VAR14 = 8'hAB,
VAR61 = 8'hAC;
localparam VAR2 = 8'hFA,
VAR60 = 8'hFB,
VAR12 = 8'hFC;
reg [31:0] VAR66 = 32'd0;
reg [22:0] VAR49 = 0;
reg [22:0] VAR13 = 0;
reg [22:0] VAR46 = VAR64[VAR44(0)];
reg [22:0] VAR57 = VAR64[VAR44(1)];
reg [22:0] VAR50 = VAR64[VAR44(0)];
reg [31:0] VAR20 = 0;
reg [31:0] VAR54 = 0;
reg [1:0] VAR8 = 0;
reg [1:0] VAR40 = 0;
reg [VAR34(VAR10) - 1:0] VAR28 = 0;
reg VAR19 = 0;
reg VAR51 = 0;
reg VAR30 = 0;
reg VAR63 = 0;
reg VAR18 = 0;
reg VAR58 = 0;
reg VAR48 = 0;
reg VAR27 = 0;
reg VAR35 = 0;
reg [6:0] VAR23 = VAR9;
reg [7:0] VAR47 [VAR39[VAR44(0)] - 1 : 0];
reg [VAR34(VAR39[VAR44(0)] - 1):0] VAR7 = 0;
assign VAR3 = VAR23;
assign VAR31 = !VAR28[1] ? (VAR28[0] ? VAR46 : VAR13) : VAR57;
integer VAR29;
always@(posedge clk) begin
VAR33 <= 0;
VAR53 <= 0;
VAR55 <= 0;
VAR22 <= 0;
VAR58 <= 0;
VAR42 <= VAR47[VAR7];
for(VAR29 = 0; VAR29 < VAR10; VAR29 = VAR29 + 1'b1)
VAR43[VAR29] <= 0;
case(VAR23)
VAR9: begin
if(VAR65) begin
if(VAR1 == VAR14) begin
VAR19 <= 0;
VAR23 <= VAR37;
end
else if(VAR1 == VAR61) begin
VAR19 <= 1;
VAR23 <= VAR37;
end
end
if((VAR24 || VAR40[0]) && !VAR17 && !VAR33) begin
if(!VAR40[0])
VAR33 <= 1;
VAR40[0] <= 1;
VAR5 <= VAR12;
if(VAR40[0]) begin
VAR40[0] <= 0;
VAR23 <= VAR56;
end
end
end
VAR37: begin
if(!VAR17 && !VAR33) begin
VAR40[0] <= 1;
if(!VAR40[0])
VAR33 <= 1;
if(!VAR15 || VAR40[1]) begin
VAR40[1] <= 1;
VAR5 <= VAR2;
if(VAR40[0]) begin
VAR40 <= 0;
VAR23 <= VAR9;
end
end
else begin
VAR5 <= VAR60;
if(VAR40[0]) begin
if(!VAR19)
VAR23 <= VAR41;
end
else
VAR23 <= VAR52;
VAR40[0] <= 0;
VAR19 <= 0;
end
end
end
end
VAR41: begin
if(VAR65) begin
VAR47[VAR7] <= VAR1;
VAR7 <= VAR7 + 1'b1;
if(VAR7 == VAR39[VAR44(0)] - 1'd1) begin
VAR7 <= 0;
VAR55 <= 1;
VAR23 <= VAR25;
end
end
end
VAR25: begin
if(VAR11) begin
if(VAR7 < VAR39[VAR44(0)] - 1)
VAR7 <= VAR7 + 1'b1;
end
else
VAR7 <= 0;
end
if(VAR15 && !VAR55) begin
VAR7 <= 0;
VAR23 <= VAR9;
end
end
VAR56: begin
if(VAR24 && !VAR27 && !VAR35) begin
VAR53 <= 1;
VAR27 <= 1;
end
if(VAR27 && !VAR53) begin
VAR27 <= 0;
VAR35 <= 1;
VAR5 <= VAR59;
end
if(!VAR17 && !VAR33 && VAR35) begin
if(!VAR40[0])
VAR33 <= 1;
VAR40[0] <= 1;
if(VAR40[0]) begin
VAR35 <= 0;
VAR40[0] <= 0;
if(!VAR24)
VAR23 <= VAR9;
end
end
end
VAR52: begin
if(VAR65 && !VAR51 && !VAR18) begin
VAR4 <= 1;
VAR45 <= {VAR1, VAR45[31:8]};
VAR8 <= VAR8 + 1'b1;
if(VAR8 == 2'd3)
VAR51 <= 1;
end
if(VAR51 && !VAR26) begin
VAR51 <= 0;
VAR22 <= 1;
VAR13 <= VAR13 + 1'b1;
end
if(VAR31 == VAR64[VAR44(VAR10 - 1)]) begin
VAR48 <= 0;
VAR18 <= 1;
VAR13 <= VAR49;
VAR23 <= VAR9;
end
end
endcase
if(!VAR48 && !VAR58) begin
if(VAR38[0] || VAR23 == VAR52 || VAR23 == VAR16) begin
VAR48 <= 1;
VAR28 <= 2'b00;
VAR54 <= VAR32[VAR44(0)]/VAR62[VAR44(0)];
VAR50 <= VAR64[VAR44(0)];
if(VAR23 == VAR52 || VAR23 == VAR16) begin
VAR49 <= VAR13;
VAR18 <= 0;
VAR13 <= 0;
end
end
else if(VAR38[1]) begin
VAR48 <= 1;
VAR28 <= 2'b01;
VAR54 <= VAR32[VAR44(1)]/VAR62[VAR44(1)];
VAR50 <= VAR64[VAR44(1)];
end
else if(VAR38[2]) begin
VAR48 <= 1;
VAR28 <= 2'b10;
VAR54 <= VAR32[VAR44(2)]/VAR62[VAR44(2)];
VAR50 <= VAR64[VAR44(2)];
end
else
VAR48 <= 0;
end
if(VAR18) begin
VAR4 <= 0;
VAR6 <= VAR66[7:0];
if(!VAR26 && !VAR30 && !VAR63 && VAR48) begin
VAR30 <= 1;
VAR22 <= 1;
case(VAR28)
2'b00: VAR13 <= VAR13 + 1'b1;
2'b01: VAR46 <= VAR46 + 1'b1;
2'b10: VAR57 <= VAR57 + 1'b1;
2'b11: VAR57 <= VAR57 + 1'b1;
endcase
end
if(VAR30 && VAR21) begin
VAR30 <= 0;
VAR63 <= 1;
VAR66 <= VAR36;
end
if(VAR63 && !VAR58 && VAR38) begin
if(VAR20 == VAR54) begin
VAR20 <= 0;
VAR8 <= 0;
VAR63 <= 0;
VAR48 <= 0;
VAR58 <= 1;
if(VAR31 >= VAR50) begin
case(VAR28)
2'b00: VAR13 <= 0;
2'b01: VAR46 <= VAR64[VAR44(0)];
2'b10: VAR57 <= VAR64[VAR44(1)];
2'b11: VAR57 <= VAR64[VAR44(1)];
endcase
end
end
else begin
case(VAR28)
2'b00: VAR43[0] <= 1;
2'b01: VAR43[1] <= 1;
2'b10: VAR43[2] <= 1;
2'b11: VAR43[2] <= 1;
endcase
VAR20 <= VAR20 + 1'b1;
VAR66 <= {8'b0, VAR66[31:8]};
VAR8 <= VAR8 + 1'b1;
if(VAR8 == 2'd3) begin
if(VAR20 != VAR54 - 1'b1)
VAR63 <= 0;
end
end
end
end
end
endmodule
|
gpl-3.0
|
AmeerAbdelhadi/Dynamic-Frequency-Phase-Sweeping
|
bin2bcd9.v
| 3,046 |
module MODULE1 (
input [8 :0] VAR1, output reg [11:0] VAR2
);
reg [19:0] VAR3;
integer VAR4;
always @(VAR1) begin
for(VAR4 = 0; VAR4 <= 19; VAR4 = VAR4+1) VAR3[VAR4] = 0;
VAR3[11:3] = VAR1;
for(VAR4 = 0; VAR4 <= 5; VAR4 = VAR4+1) begin
if(VAR3[12:9 ] > 4) VAR3[12:9 ] = VAR3[12:9 ] + 3;
if(VAR3[16:13] > 4) VAR3[16:13] = VAR3[16:13] + 3;
VAR3[19:1] = VAR3[18:0];
end
VAR2 = {1'b0,VAR3[19:9]};
end
endmodule
|
bsd-3-clause
|
MeshSr/onetswitch30
|
ons30-app21-ref_switch/vivado/onets_7030_4x_ref_switch/ip/ref_switch_core/src/ip/reg_access_fifo.v
| 15,536 |
module MODULE1(
VAR254,
VAR323,
VAR273,
VAR386,
VAR179,
VAR108,
VAR269,
VAR23,
VAR455,
VAR335,
VAR167,
VAR230,
VAR351,
VAR51,
VAR277,
VAR115,
VAR125,
VAR160,
VAR117,
VAR330,
VAR410,
VAR244,
VAR170,
VAR233,
VAR157,
VAR40,
VAR361,
VAR256,
VAR239,
VAR293,
VAR408,
VAR228,
VAR70,
VAR105,
VAR50,
VAR217,
VAR314,
VAR400,
VAR282,
VAR186,
VAR396
);
input VAR254;
input VAR323;
input VAR273;
input [26 : 0] VAR386;
input [2 : 0] VAR179;
input VAR108;
output VAR269;
input [31 : 0] VAR23;
input [3 : 0] VAR455;
input VAR335;
output VAR167;
output [1 : 0] VAR230;
output VAR351;
input VAR51;
output [26 : 0] VAR277;
output [2 : 0] VAR115;
output VAR125;
input VAR160;
output [31 : 0] VAR117;
output [3 : 0] VAR330;
output VAR410;
input VAR244;
input [1 : 0] VAR170;
input VAR233;
output VAR157;
input [26 : 0] VAR40;
input [2 : 0] VAR361;
input VAR256;
output VAR239;
output [31 : 0] VAR293;
output [1 : 0] VAR408;
output VAR228;
input VAR70;
output [26 : 0] VAR105;
output [2 : 0] VAR50;
output VAR217;
input VAR314;
input [31 : 0] VAR400;
input [1 : 0] VAR282;
input VAR186;
output VAR396;
VAR222 #(
.VAR294(0),
.VAR135(0),
.VAR381(0),
.VAR213(0),
.VAR405(0),
.VAR127(0),
.VAR102(0),
.VAR438(27),
.VAR189(1),
.VAR360(1),
.VAR454(1),
.VAR383(32),
.VAR13(4),
.VAR91(1),
.VAR241(2),
.VAR344(1),
.VAR78(64),
.VAR261(4),
.VAR279(8),
.VAR315(4),
.VAR7(4),
.VAR22(4),
.VAR425(0),
.VAR451(0),
.VAR32(0),
.VAR368(10),
.VAR234("VAR430"),
.VAR208(18),
.VAR322(1),
.VAR393(30),
.VAR306(34),
.VAR2(30),
.VAR90(36),
.VAR332(2),
.VAR376("0"),
.VAR171(18),
.VAR312(0),
.VAR166(1),
.VAR259(0),
.VAR349(0),
.VAR43(0),
.VAR154(0),
.VAR109(0),
.VAR246(0),
.VAR401(0),
.VAR449("VAR348"),
.VAR121(1),
.VAR139(0),
.VAR255(0),
.VAR48(0),
.VAR207(0),
.VAR225(0),
.VAR427(1),
.VAR371(0),
.VAR100(1),
.VAR358(0),
.VAR65(0),
.VAR385(0),
.VAR276(0),
.VAR19(0),
.VAR334(0),
.VAR18(1),
.VAR373(0),
.VAR94(0),
.VAR41(0),
.VAR388(0),
.VAR257(0),
.VAR260(0),
.VAR369(0),
.VAR198(0),
.VAR147(0),
.VAR30(0),
.VAR92(0),
.VAR142(0),
.VAR327(0),
.VAR436(0),
.VAR73(0),
.VAR394(0),
.VAR66(0),
.VAR221(0),
.VAR281(0),
.VAR107(0),
.VAR24(0),
.VAR262(0),
.VAR98(1),
.VAR285(0),
.VAR193(0),
.VAR11(0),
.VAR347(0),
.VAR9(0),
.VAR203(0),
.VAR266(0),
.VAR447(0),
.VAR384(11),
.VAR173(12),
.VAR164(12),
.VAR17(12),
.VAR340(12),
.VAR49(12),
.VAR201(0),
.VAR316(1),
.VAR433(1),
.VAR124("VAR430"),
.VAR82(1),
.VAR426(0),
.VAR356(0),
.VAR75(1),
.VAR416(0),
.VAR96("4kx4"),
.VAR146(2),
.VAR392(1021),
.VAR140(13),
.VAR302(13),
.VAR128(13),
.VAR406(13),
.VAR56(13),
.VAR85(3),
.VAR183(0),
.VAR292(0),
.VAR156(0),
.VAR434(0),
.VAR141(0),
.VAR224(0),
.VAR209(0),
.VAR245(1022),
.VAR319(1023),
.VAR57(15),
.VAR251(15),
.VAR159(15),
.VAR413(15),
.VAR174(15),
.VAR35(1021),
.VAR25(0),
.VAR114(0),
.VAR14(0),
.VAR79(0),
.VAR5(0),
.VAR253(0),
.VAR288(0),
.VAR403(0),
.VAR151(10),
.VAR138(1024),
.VAR187(1),
.VAR223(10),
.VAR190(0),
.VAR106(0),
.VAR58(0),
.VAR308(0),
.VAR338(0),
.VAR131(0),
.VAR337(0),
.VAR428(2),
.VAR199(0),
.VAR3(0),
.VAR86(0),
.VAR301(0),
.VAR112(1),
.VAR120(0),
.VAR342(0),
.VAR328(0),
.VAR80(0),
.VAR165(0),
.VAR68(0),
.VAR176(0),
.VAR145(0),
.VAR47(0),
.VAR204(0),
.VAR152(0),
.VAR325(0),
.VAR178(0),
.VAR336(0),
.VAR411(10),
.VAR28(1024),
.VAR299(1024),
.VAR162(16),
.VAR240(16),
.VAR29(16),
.VAR300(16),
.VAR26(16),
.VAR27(1),
.VAR175(10),
.VAR305(10),
.VAR113(4),
.VAR272(4),
.VAR89(4),
.VAR10(4),
.VAR150(4),
.VAR372(1),
.VAR353(0)
)
VAR326 (
.VAR298(VAR254),
.VAR249(VAR323),
.VAR211(VAR273),
.VAR101(VAR386),
.VAR206(VAR179),
.VAR252(VAR108),
.VAR324(VAR269),
.VAR248(VAR23),
.VAR237(VAR455),
.VAR226(VAR335),
.VAR421(VAR167),
.VAR422(VAR230),
.VAR220(VAR351),
.VAR129(VAR51),
.VAR291(VAR277),
.VAR363(VAR115),
.VAR380(VAR125),
.VAR99(VAR160),
.VAR155(VAR117),
.VAR97(VAR330),
.VAR216(VAR410),
.VAR439(VAR244),
.VAR229(VAR170),
.VAR382(VAR233),
.VAR44(VAR157),
.VAR172(VAR40),
.VAR63(VAR361),
.VAR31(VAR256),
.VAR21(VAR239),
.VAR275(VAR293),
.VAR333(VAR408),
.VAR205(VAR228),
.VAR148(VAR70),
.VAR180(VAR105),
.VAR194(VAR50),
.VAR197(VAR217),
.VAR412(VAR314),
.VAR415(VAR400),
.VAR46(VAR282),
.VAR445(VAR186),
.VAR84(VAR396),
.VAR192(),
.VAR67(),
.VAR242(),
.VAR429(),
.VAR297(),
.VAR122(),
.VAR424(),
.VAR74(),
.VAR418(),
.VAR450(),
.VAR391(),
.VAR83(),
.VAR34(),
.VAR331(),
.VAR268(),
.VAR143(),
.VAR442(),
.VAR352(),
.VAR339(),
.VAR362(),
.VAR313(),
.VAR111(),
.VAR350(),
.VAR387(),
.VAR236(),
.VAR218(),
.VAR182(),
.VAR158(),
.VAR214(),
.VAR284(),
.VAR42(),
.VAR304(),
.VAR404(),
.VAR235(),
.VAR446(),
.VAR188(),
.VAR309(),
.VAR341(),
.VAR270(),
.VAR181(),
.VAR263(),
.VAR280(),
.VAR61(),
.VAR287(),
.VAR329(),
.VAR321(),
.VAR185(),
.VAR76(),
.VAR452(),
.VAR52(),
.VAR177(),
.VAR274(),
.VAR195(),
.VAR390(),
.VAR231(),
.VAR219(),
.VAR366(),
.VAR290(),
.VAR320(),
.VAR317(),
.VAR77(),
.VAR448(),
.VAR420(),
.VAR378(),
.VAR343(),
.VAR379(),
.VAR395(),
.VAR133(),
.VAR163(),
.VAR149(),
.VAR238(),
.VAR55(),
.VAR144(),
.VAR296(),
.VAR136(),
.VAR37(),
.VAR357(),
.VAR414(),
.VAR132(),
.VAR437(),
.VAR110(),
.VAR60(),
.VAR1(),
.VAR459(),
.VAR440(),
.VAR283(),
.VAR370(),
.VAR399(),
.VAR130(),
.VAR184(),
.VAR377(),
.VAR227(),
.VAR53(),
.VAR126(),
.VAR8(),
.VAR33(),
.VAR161(),
.VAR265(),
.VAR202(),
.VAR264(),
.VAR435(),
.VAR12(),
.VAR104(),
.VAR419(),
.VAR103(),
.VAR250(),
.VAR303(),
.VAR365(),
.VAR15(),
.VAR69(),
.VAR200(),
.VAR4(),
.VAR267(),
.VAR258(),
.VAR215(),
.VAR444(),
.VAR409(),
.VAR457(),
.VAR54(),
.VAR20(),
.VAR374(),
.VAR458(),
.VAR210(),
.VAR375(),
.VAR441(),
.VAR417(),
.VAR95(),
.VAR310(),
.VAR71(),
.VAR432(),
.VAR364(),
.VAR307(),
.VAR118(),
.VAR389(),
.VAR134(),
.VAR59(),
.VAR191(),
.VAR271(),
.VAR318(),
.VAR461(),
.VAR88(),
.VAR38(),
.VAR311(),
.VAR119(),
.VAR295(),
.VAR286(),
.VAR243(),
.VAR153(),
.VAR397(),
.VAR355(),
.VAR45(),
.VAR278(),
.VAR16(),
.VAR62(),
.VAR72(),
.VAR39(),
.VAR354(),
.VAR93(),
.VAR453(),
.VAR359(),
.VAR36(),
.VAR212(),
.VAR196(),
.VAR232(),
.VAR6(),
.VAR87(),
.VAR443(),
.VAR431(),
.VAR116(),
.VAR247(),
.VAR456(),
.VAR168(),
.VAR123(),
.VAR289(),
.VAR64(),
.VAR460(),
.VAR407(),
.VAR81(),
.VAR367(),
.VAR398(),
.VAR346(),
.VAR137(),
.VAR345(),
.VAR462(),
.VAR423(),
.VAR402(),
.VAR169()
);
endmodule
|
lgpl-2.1
|
bluespec/Flute
|
builds/RV64ACIMU_Flute_iverilog/Verilog_RTL/mkCSR_MIP.v
| 7,574 |
module MODULE1(VAR7,
VAR20,
VAR9,
VAR15,
VAR46,
VAR31,
VAR10,
VAR8,
VAR21,
VAR30,
VAR28,
VAR57);
input VAR7;
input VAR20;
input VAR9;
output [63 : 0] VAR15;
input [27 : 0] VAR46;
input [63 : 0] VAR31;
input VAR10;
output [63 : 0] VAR8;
input VAR21;
input VAR30;
input VAR28;
input VAR57;
wire [63 : 0] VAR8, VAR15;
reg VAR6;
wire VAR52, VAR45;
reg VAR3;
wire VAR32, VAR60;
reg VAR36;
wire VAR43, VAR59;
reg VAR24;
wire VAR11, VAR16;
reg VAR56;
wire VAR35, VAR1;
reg VAR25;
wire VAR26, VAR58;
reg VAR27;
wire VAR13, VAR22;
reg VAR53;
wire VAR40, VAR55;
reg VAR44;
wire VAR39, VAR54;
wire VAR29,
VAR34,
VAR48,
VAR5,
VAR12,
VAR18,
VAR51,
VAR4,
VAR41,
VAR33,
VAR61,
VAR23;
wire [11 : 0] VAR49, VAR2;
wire VAR38, VAR50, VAR17, VAR19, VAR37, VAR47;
assign VAR48 = 1'd1 ;
assign VAR41 = VAR9 ;
assign VAR15 = { 52'd0, VAR49 } ;
assign VAR8 = { 52'd0, VAR2 } ;
assign VAR34 = 1'd1 ;
assign VAR4 = VAR10 ;
assign VAR29 = 1'd1 ;
assign VAR51 = 1'd1 ;
assign VAR5 = 1'd1 ;
assign VAR33 = 1'd1 ;
assign VAR12 = 1'd1 ;
assign VAR61 = 1'd1 ;
assign VAR18 = 1'd1 ;
assign VAR23 = 1'd1 ;
assign VAR52 = VAR21 ;
assign VAR45 = 1'b1 ;
assign VAR32 = VAR28 ;
assign VAR60 = 1'b1 ;
assign VAR43 = VAR57 ;
assign VAR59 = 1'b1 ;
assign VAR11 = VAR30 ;
assign VAR16 = 1'b1 ;
assign VAR35 = !VAR9 && VAR50 ;
assign VAR1 = VAR10 || VAR9 ;
assign VAR26 = !VAR9 && VAR17 ;
assign VAR58 = VAR10 || VAR9 ;
assign VAR13 = !VAR9 && VAR19 ;
assign VAR22 = VAR10 || VAR9 ;
assign VAR40 = !VAR9 && VAR37 ;
assign VAR55 = VAR10 || VAR9 ;
assign VAR39 = !VAR9 && VAR47 ;
assign VAR54 = VAR10 || VAR9 ;
assign VAR49 =
{ VAR6,
1'b0,
VAR24,
VAR27,
VAR36,
1'b0,
VAR25,
VAR44,
VAR3,
1'b0,
VAR56,
VAR53 } ;
assign VAR2 =
{ VAR6,
1'b0,
VAR38,
VAR19,
VAR36,
1'b0,
VAR17,
VAR47,
VAR3,
1'b0,
VAR50,
VAR37 } ;
assign VAR38 = VAR46[18] && VAR31[9] ;
assign VAR50 = VAR46[18] && VAR31[1] ;
assign VAR17 = VAR46[18] && VAR31[5] ;
assign VAR19 = VAR46[13] && VAR31[8] ;
assign VAR37 = VAR46[13] && VAR31[0] ;
assign VAR47 = VAR46[13] && VAR31[4] ;
always@(posedge VAR7)
begin
if (VAR20 == VAR14)
begin
VAR6 <= VAR42 1'd0;
VAR3 <= VAR42 1'd0;
VAR36 <= VAR42 1'd0;
VAR24 <= VAR42 1'd0;
VAR56 <= VAR42 1'd0;
VAR25 <= VAR42 1'd0;
VAR27 <= VAR42 1'd0;
VAR53 <= VAR42 1'd0;
VAR44 <= VAR42 1'd0;
end
else
begin
if (VAR45) VAR6 <= VAR42 VAR52;
if (VAR60) VAR3 <= VAR42 VAR32;
if (VAR59) VAR36 <= VAR42 VAR43;
if (VAR16) VAR24 <= VAR42 VAR11;
if (VAR1) VAR56 <= VAR42 VAR35;
if (VAR58) VAR25 <= VAR42 VAR26;
if (VAR22) VAR27 <= VAR42 VAR13;
if (VAR55) VAR53 <= VAR42 VAR40;
if (VAR54) VAR44 <= VAR42 VAR39;
end
end
begin
VAR6 = 1'h0;
VAR3 = 1'h0;
VAR36 = 1'h0;
VAR24 = 1'h0;
VAR56 = 1'h0;
VAR25 = 1'h0;
VAR27 = 1'h0;
VAR53 = 1'h0;
VAR44 = 1'h0;
end
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/nand2/gf180mcu_fd_sc_mcu9t5v0__nand2_4.behavioral.v
| 1,193 |
module MODULE1( VAR2, VAR6, VAR5 );
input VAR5, VAR2;
output VAR6;
VAR4 VAR3(.VAR2(VAR2),.VAR6(VAR6),.VAR5(VAR5));
VAR4 VAR1(.VAR2(VAR2),.VAR6(VAR6),.VAR5(VAR5));
|
apache-2.0
|
kyzhai/NUNY
|
src/hardware/clock_pll.v
| 17,310 |
module MODULE1 (
input wire VAR5, input wire rst, output wire VAR4, output wire VAR3 );
VAR6 VAR1 (
.VAR5 (VAR5), .rst (rst), .VAR4 (VAR4), .VAR3 (VAR3), .VAR2 () );
endmodule
|
gpl-2.0
|
LordRafa/Sobel-FPGA
|
Project_With_Cache/ip/SIS/SIS.v
| 6,884 |
module MODULE1 (
input clk,
input rst,
output wire[VAR4-1:0] VAR54,
input VAR69,
output wire[VAR58-1:0] VAR64,
output wire VAR86,
output wire[VAR30-1:0] VAR26,
output wire[VAR57-1:0] VAR44,
output wire[VAR4-1:0] VAR35,
input VAR16,
input VAR32,
output wire[VAR58-1:0] VAR29,
output wire VAR62,
input wire[VAR30-1:0] VAR33,
output wire[VAR72-1:0] VAR59,
input [23:0] VAR2,
input VAR87,
output wire VAR48,
input wire VAR11,
input wire VAR65,
input VAR6,
input VAR15,
input VAR27,
input VAR13,
output wire VAR41,
input[VAR30-1:0] VAR1,
input[VAR30-1:0] VAR3,
output wire[VAR30-1:0] VAR88,
input [1:0] VAR23
);
parameter VAR30 = 32;
parameter VAR4 = 32;
parameter VAR57 = 5;
parameter VAR72 = 6;
parameter VAR58 = 4; parameter VAR55 = 8;
wire [VAR30-1:0] VAR50;
wire VAR24;
wire VAR51;
wire [VAR4-1:0] VAR9;
wire [VAR30-1:0] VAR79;
wire VAR7;
wire VAR10;
wire [VAR55:0] VAR71;
wire [VAR30-1:0] VAR38;
wire VAR63;
wire VAR66;
wire [VAR4-1:0] VAR56;
wire [VAR30-1:0] VAR43;
wire VAR70;
wire VAR68;
wire [VAR55:0] VAR85;
wire [VAR30-1:0] VAR77;
wire VAR60;
wire [VAR30-1:0] VAR20;
wire VAR12;
wire [VAR30-1:0] VAR61;
wire VAR14;
wire VAR84;
wire VAR31;
wire VAR22;
reg [1:0] VAR40;
reg VAR8;
reg valid;
reg [VAR30-1:0] VAR37;
wire VAR53;
wire VAR80;
wire VAR83;
wire VAR46;
wire VAR39;
wire VAR73;
wire[VAR4-1:0] VAR34;
wire[VAR58-1:0] VAR5;
wire VAR82;
wire[VAR72-1:0] VAR67;
wire[VAR4-1:0] VAR28;
wire[VAR58-1:0] VAR17;
wire VAR18;
wire[VAR72-1:0] VAR47;
VAR52 VAR74 (
.clk(clk),
.rst(rst),
.VAR35(VAR34),
.VAR16(VAR16),
.VAR32(VAR32),
.VAR29(VAR5),
.VAR62(VAR82),
.VAR33(VAR33),
.VAR59(VAR67),
.VAR50(VAR50),
.VAR24(VAR24),
.VAR51(VAR51),
.VAR9(VAR9),
.VAR79(VAR79),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR71(VAR71)
);
VAR42 VAR49 (
.clk(clk),
.rst(rst),
.VAR54(VAR54),
.VAR69(VAR69),
.VAR64(VAR64),
.VAR86(VAR86),
.VAR26(VAR26),
.VAR44(VAR44),
.VAR38(VAR38),
.VAR63(VAR63),
.VAR66(VAR66),
.VAR56(VAR56),
.VAR43(VAR43),
.VAR70(VAR70),
.VAR68(VAR68),
.VAR85(VAR85)
);
VAR36 VAR81 (
.clk(clk),
.rst(rst),
.VAR2(VAR2),
.VAR87(VAR87),
.VAR48(VAR48),
.VAR11(VAR11),
.VAR65(VAR65),
.VAR38(VAR77),
.VAR63(VAR60),
.VAR85(VAR85),
.VAR78(VAR46),
.VAR25(VAR53)
);
VAR75 VAR76 (
.clk(clk),
.rst(rst),
.VAR38(VAR20),
.VAR63(VAR12),
.VAR85(VAR85),
.VAR50(VAR50),
.VAR24(VAR84),
.VAR71(VAR71),
.VAR78(VAR39),
.VAR25(VAR80)
);
VAR21 VAR45 (
.clk(clk),
.rst(rst),
.VAR38(VAR61),
.VAR63(VAR14),
.VAR85(VAR85),
.VAR35(VAR28),
.VAR16(VAR16),
.VAR32(VAR32),
.VAR29(VAR17),
.VAR62(VAR18),
.VAR33(VAR33),
.VAR59(VAR47),
.VAR78(VAR73),
.VAR25(VAR83),
.VAR19(VAR1)
);
always @(negedge VAR6 or posedge VAR15) begin
if (VAR15 == 1) begin
VAR40 <= 2'd0;
end else begin
if ((VAR27 == 1) && (VAR13 == 1)) begin
VAR40 <= 2'd1;
end else begin
if ((VAR70 == 0) && (VAR8 == 1'd1)) begin
VAR40 <= 2'd2;
end else begin
if ((VAR40 == 2'd2) && (VAR8 == 1'd0)) begin
VAR40 <= 2'd3;
end else begin
if (VAR41 == 1) begin
VAR40 <= 2'd0;
end
end
end
end
end
end
always @(posedge clk) begin
if (VAR22 == 1) begin
VAR8 <= 1;
end else begin
if (VAR40 == 2'd2) begin
VAR8 <= 0;
end
end
end
assign VAR38 = (VAR23 == 0)? VAR77 : ((VAR23 == 1)? VAR20 : VAR61);
assign VAR63 = (VAR23 == 0)? VAR60 : ((VAR23 == 1)? VAR12 : VAR14);
assign VAR66 = VAR22;
assign VAR56 = VAR3;
assign VAR43 = 12000;
assign VAR24 = (VAR23 == 0)? 0 : ((VAR23 == 1)? VAR84 : VAR31);
assign VAR51 = VAR22;
assign VAR9 = VAR1;
assign VAR79 = (VAR23 != 1)? 0 : 12000;
assign VAR35 = (VAR23 == 1)? VAR34 : VAR28;
assign VAR29 = (VAR23 == 1)? VAR5 : VAR17;
assign VAR62 = (VAR23 == 1)? VAR82 : VAR18;
assign VAR59 = (VAR23 == 1)? VAR67 : VAR47;
assign VAR46 = (VAR22 == 1) & (VAR23 == 0);
assign VAR39 = (VAR22 == 1) & (VAR23 == 1);
assign VAR73 = (VAR22 == 1) & (VAR23 == 2);
assign VAR22 = ((VAR40 == 1) & (VAR8 == 0));
assign VAR41 = (VAR40 == 2'd3);
assign VAR88 = 0;
endmodule
|
gpl-2.0
|
shailcoolboy/Warp-Trinity
|
edk_user_repository/WARP/pcores/eeprom_v1_07_a/hdl/verilog/one_wire_interface.v
| 13,367 |
module MODULE1 (
VAR63, VAR11, VAR4, VAR19, VAR64, VAR25, VAR28, VAR23,
VAR60, VAR54, VAR58, VAR65, VAR45,
VAR48, VAR9, VAR26, VAR31, VAR14, VAR10, VAR15,
VAR16, VAR36, VAR20, VAR12, VAR7, VAR46, VAR1, VAR61, VAR21,
VAR3, VAR62, VAR29, VAR50, VAR55, VAR24, VAR2, VAR13,
VAR22, VAR41, VAR38, VAR49, VAR30, VAR39, VAR53, VAR27, VAR37, VAR35, VAR33, VAR32,
VAR34,VAR56);
input [2:0] VAR63;
input VAR11;
input VAR4;
input [7:0] VAR19;
input VAR64;
input VAR25;
input VAR28;
input VAR23;
input VAR60;
input VAR54;
input VAR58; input VAR65; input VAR45; input [7:0] VAR48;
input VAR9;
input VAR26;
input VAR31; input VAR14;
input VAR10;
output VAR15; output VAR16; output VAR36;
output VAR20;
output VAR12; output VAR7; output VAR46; output [7:0] VAR1;
output VAR61; output VAR21; output VAR3; output VAR62; output VAR29; output VAR50; output VAR55; output VAR24; output VAR2; output VAR13; output VAR22; output VAR41; output VAR38;
output VAR49;
output VAR30; output VAR39; output VAR53; output VAR27; output VAR37;
output VAR35; output VAR33; output VAR32;
output [7:0] VAR34;
output [2:0] VAR56;
reg [2:0] VAR56;
wire VAR57;
wire VAR17;
reg [2:0] VAR5;
reg VAR37; reg VAR38; reg VAR2; wire [7:0] VAR43 = {4'b0, VAR64, VAR2, VAR37, VAR38};
reg VAR51;
reg VAR36;
reg VAR6;
reg [7:0] VAR34;
reg VAR41; reg VAR15; reg VAR35; reg VAR33; reg VAR61; reg VAR30; reg VAR22; wire [7:0] VAR44 = {1'b0, VAR41, VAR15, VAR35, VAR33, VAR61,
VAR30, VAR22};
wire VAR58; wire VAR65; reg VAR49; wire VAR54; reg VAR32; wire VAR45; wire [7:0] VAR8 = {VAR58, VAR65, VAR31, VAR45, VAR14, VAR32, VAR54, VAR49};
reg VAR21; reg VAR3; reg VAR62; reg VAR13; reg VAR55; reg VAR24; reg VAR29; reg VAR50; wire [7:0] VAR47 = {VAR21, VAR3, VAR50, VAR29, VAR24, VAR55, VAR13, VAR62};
reg VAR39; reg VAR53; reg VAR12; reg VAR7; reg VAR46; reg VAR16; wire [7:0] VAR52 = {VAR16, 2'b0, VAR46, VAR7, VAR12, VAR53, VAR39};
assign VAR57 = ~VAR25 && ~VAR23 && ~VAR9 && VAR10;
wire VAR42=~VAR57;
assign VAR17 = ~VAR25 && ~VAR23 && ~VAR10 && VAR9;
wire VAR59 = ~VAR17;
always @(posedge VAR23 or posedge VAR10)
if(VAR23) begin
VAR21 = 1'b0;
VAR3 = 1'b0;
VAR50 = 1'b0;
VAR29 = 1'b0;
VAR24 = 1'b0;
VAR55 = 1'b0;
VAR13 = 1'b0;
VAR62 = 1'b0;
VAR34=0;
end
else
if(!VAR25 && VAR9)
case(VAR5)
3'b001:
VAR34 = VAR19;
3'b011: begin
VAR21 = VAR19[7];
VAR3 = VAR19[6];
VAR50 = VAR19[5];
VAR29 = VAR19[4];
VAR24 = VAR19[3];
VAR55 = VAR19[2];
VAR13 = VAR19[1];
VAR62 = VAR19[0];
end
endcase
assign VAR20 = VAR57;
assign VAR1 =
(VAR5 == 3'b000)?{1'b0,VAR56 [2:0],VAR64,VAR2,VAR37,VAR38}:
(VAR5 == 3'b001)?VAR48:
(VAR5 == 3'b010)?{VAR58,VAR65,VAR31,VAR45,VAR14,VAR32,VAR54,VAR49}:
(VAR5 == 3'b011)?{VAR21,VAR3,VAR50,VAR29,VAR24,VAR55,VAR13,VAR62}:
(VAR5 == 3'b100)?{VAR16,2'b00,VAR46,VAR7,VAR12,VAR53,VAR39}:
(VAR5 == 3'b101)?{1'b0,VAR41,VAR15,VAR35,VAR33,VAR61,VAR30,VAR22}:
8'h00;
always @(posedge VAR23 or posedge VAR10)
if(VAR23)
begin
VAR39 = 1'b0;
VAR53 = 1'b0;
VAR12 = 1'b0;
VAR7 = 1'b0;
VAR46 = 1'b0;
VAR16 = 1'b0;
end
else
if(!VAR25 && VAR9)
if(VAR5 == 3'b100)
begin
VAR39 = VAR19[0];
VAR53 = VAR19[1];
VAR12 = VAR19[2];
VAR7 = VAR19[3];
VAR46 = VAR19[4];
VAR16 = VAR19[7];
end
wire VAR40 = VAR23 || VAR26;
always @(posedge VAR40 or posedge VAR10)
if(VAR40)
begin
VAR38 <= 1'b0;
end
else
begin
if(VAR25 == 0 && VAR9 == 1)
if(VAR5 == 3'b000)
VAR38 <= VAR19[0];
end
always @(posedge VAR23 or posedge VAR10)
if(VAR23)
begin
VAR2 <= 1'b0;
VAR37 <= 1'b0;
VAR56 [2:0] <= 3'b000;
end
else
begin
if(VAR25 == 0 && VAR9 == 1)
if(VAR5 == 3'b000)
begin
VAR37 <= VAR19[1];
VAR2 <= VAR19[2];
VAR56 [2:0] <= VAR19[6:4];
end
end
always @(posedge VAR23 or posedge VAR10)
if(VAR23)
begin
VAR41 <= 1'b0;
VAR15 <= 1'b0;
VAR35<= 1'b0;
VAR33 <= 1'b0;
VAR61 <= 1'b0;
VAR30 <= 1'b0;
VAR22 <= 1'b0;
end
else
begin
if(VAR25 == 0 && VAR9 == 1)
if(VAR5 == 3'b101)
begin
VAR41 <= VAR19[6];
VAR15 <= VAR19[5];
VAR35<= VAR19[4];
VAR33 <= VAR19[3];
VAR61 <= VAR19[2];
VAR30 <= VAR19[1];
VAR22 <= VAR19[0];
end
end
always @(VAR11 or VAR63 or VAR25)
if(!VAR11 && !VAR25)
VAR5 = VAR63;
wire VAR18 = VAR23 || (VAR4);
always @(posedge VAR18 or posedge VAR9)
if(VAR18)
VAR36 <= 1'b0;
else
if(VAR25 == 0 && VAR10 == 1)
if(VAR5 == 3'b010)
VAR36 <= 1'b1;
wire VAR27 = (VAR57 && (VAR5 == 3'b001));
always @(posedge VAR23 or posedge VAR28)
if (VAR23)
VAR49 <= 1'b0;
else if (VAR26)
VAR49 <= 1'b1;
else if (VAR36) VAR49 <= 1'b0;
else
VAR49 <= VAR49;
always @(posedge VAR28 or posedge VAR23)
if (VAR23)
VAR32 <= 1'b1;
else
VAR32 <= ~VAR6;
always @(posedge VAR23 or posedge VAR10 or posedge VAR60)
if(VAR23)
VAR6 <= 1'b0;
else if (VAR60)
VAR6 <= 1'b0;
else
if(VAR25 == 0 && VAR9 == 1)
if(VAR5 == 3'b001)
VAR6 <= 1'b1;
endmodule
|
bsd-2-clause
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_pcie_bram_top_7x.v
| 8,784 |
module MODULE1
parameter VAR19 = "VAR36", parameter VAR1 = 0, parameter [3:0] VAR6 = 4'h1, parameter [5:0] VAR21 = 6'h08,
parameter VAR33 = 31, parameter VAR26 = 24, parameter VAR9 = 1, parameter VAR35 = 2, parameter VAR31 = 1,
parameter VAR32 = 'h1FFF, parameter VAR5 = 1, parameter VAR25 = 2, parameter VAR23 = 1 )
(
input VAR14, input VAR3,
input VAR29, input [12:0] VAR16, input [71:0] VAR34, input VAR18, input VAR13, input [12:0] VAR12, output [71:0] VAR22,
input VAR15, input [12:0] VAR8, input [71:0] VAR7, input VAR4, input VAR10, input [12:0] VAR20, output [71:0] VAR2 );
localparam VAR11 = ((VAR1 == 0) ? 128 :
(VAR1 == 1) ? 256 :
(VAR1 == 2) ? 512 :
1024 );
localparam VAR17 = (VAR33 + 1) * (VAR11 + VAR26);
localparam VAR27 = 1;
localparam VAR28 = ((VAR17 <= 4096) ? 1 :
(VAR17 <= 8192) ? 2 :
(VAR17 <= 16384) ? 4 :
(VAR17 <= 32768) ? 8 :
18
);
localparam VAR24 = 1;
localparam VAR30 = ((VAR32 < 'h0200) ? 1 :
(VAR32 < 'h0400) ? 2 :
(VAR32 < 'h0800) ? 4 :
(VAR32 < 'h1000) ? 8 :
18
);
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dff_pr_pp_sn/sky130_fd_sc_hs__udp_dff_pr_pp_sn.symbol.v
| 1,449 |
module MODULE1 (
input VAR5 ,
output VAR4 ,
input VAR3 ,
input VAR1 ,
input VAR2 ,
input VAR6
);
endmodule
|
apache-2.0
|
jhoward321/pacman
|
usb_system/synthesis/usb_system.v
| 49,415 |
module MODULE1 (
input wire VAR143, input wire VAR23, output wire [12:0] VAR312, output wire [1:0] VAR65, output wire VAR17, output wire VAR228, output wire VAR111, inout wire [31:0] VAR105, output wire [3:0] VAR208, output wire VAR296, output wire VAR313, output wire [7:0] VAR199, inout wire [15:0] VAR158, output wire [1:0] VAR319, output wire VAR2, output wire VAR54, output wire VAR20, output wire VAR59, input wire VAR176, output wire VAR260, output wire VAR227 );
wire VAR73; wire [28:0] VAR38; wire VAR197; wire [31:0] VAR304; wire VAR163; wire [31:0] VAR141; wire [28:0] VAR153; wire VAR34; wire VAR171; wire [31:0] VAR93; wire VAR182; wire [3:0] VAR341; wire VAR186; wire [31:0] VAR283; wire [8:0] VAR80; wire VAR116; wire VAR345; wire [31:0] VAR115; wire VAR229; wire [3:0] VAR160; wire VAR292; wire [31:0] VAR81; wire [24:0] VAR58; wire VAR259; wire VAR213; wire VAR194; wire [31:0] VAR361; wire VAR109; wire [3:0] VAR240; wire [31:0] VAR86; wire [1:0] VAR342; wire VAR358; wire VAR149; wire [31:0] VAR159; wire [31:0] VAR310; wire [1:0] VAR187; wire VAR91; wire VAR90; wire [31:0] VAR359; wire VAR250; wire [31:0] VAR322; wire [0:0] VAR262; wire VAR133; wire VAR85; wire VAR263; wire [31:0] VAR222; wire VAR332; wire [0:0] VAR266; wire [31:0] VAR173; wire [21:0] VAR204; wire VAR62; wire VAR43; wire [31:0] VAR142; wire VAR255; wire VAR318; wire [3:0] VAR330; wire [0:0] VAR10; wire VAR253; wire [21:0] VAR31; wire [31:0] VAR89; wire VAR169; wire VAR224; wire [31:0] VAR180; wire VAR211; wire [3:0] VAR295; wire VAR42; wire [31:0] VAR220; wire [1:0] VAR12; wire VAR74; wire VAR215; wire VAR207; wire [31:0] VAR16; wire VAR123; wire [31:0] VAR326; wire VAR264; wire [0:0] VAR148; wire VAR102; wire VAR71; wire VAR165; wire VAR225; wire VAR184;
VAR236 VAR79 (
.clk (VAR260), .VAR112 (~VAR102), .VAR41 (VAR58), .VAR53 (~VAR240), .VAR356 (VAR259), .VAR305 (VAR81), .VAR276 (~VAR194), .VAR328 (~VAR213), .VAR281 (VAR361), .VAR172 (VAR109), .VAR238 (VAR292), .VAR151 (VAR312), .VAR122 (VAR65), .VAR33 (VAR17), .VAR321 (VAR228), .VAR301 (VAR111), .VAR287 (VAR105), .VAR100 (VAR208), .VAR198 (VAR296), .VAR329 (VAR313) );
VAR132 VAR69 (
.clk (VAR143), .VAR45 (~VAR165), .VAR27 (VAR133), .VAR92 (VAR262), .VAR130 (~VAR263), .VAR340 (VAR222), .VAR177 (~VAR85), .VAR95 (VAR322), .VAR344 (VAR250), .VAR144 (VAR123) );
VAR293 VAR126 (
.clk (VAR143), .VAR112 (~VAR165), .VAR274 (VAR225), .VAR174 (VAR153), .VAR82 (VAR341), .VAR315 (VAR171), .VAR39 (VAR93), .VAR209 (VAR163), .VAR40 (VAR34), .VAR129 (VAR141), .VAR61 (VAR182), .VAR83 (VAR38), .VAR162 (VAR197), .VAR11 (VAR304), .VAR119 (VAR73), .VAR67 (VAR326), .VAR324 (VAR71), .VAR320 (VAR80), .VAR212 (VAR160), .VAR333 (VAR229), .VAR298 (VAR345), .VAR170 (VAR115), .VAR254 (VAR186), .VAR56 (VAR116), .VAR191 (VAR283), .VAR216 () );
VAR13 VAR66 (
.clk (VAR143), .reset (VAR165), .read (VAR149), .write (VAR358), .address (VAR342), .VAR231 (VAR159), .VAR221 (VAR86), .VAR68 (VAR260), .VAR15 (VAR227), .VAR217 (), .VAR261 (), .VAR52 () );
VAR230 VAR138 (
.clk (VAR143), .VAR112 (~VAR165), .address (VAR187), .VAR200 (~VAR90), .VAR221 (VAR310), .VAR14 (VAR91), .VAR231 (VAR359), .VAR357 (VAR199) );
VAR269 VAR32 (
.VAR316 (VAR16), .VAR57 (VAR12), .VAR337 (~VAR207), .VAR22 (~VAR215), .VAR145 (~VAR74), .VAR206 (VAR220), .VAR243 (VAR227), .VAR241 (~VAR184), .VAR192 (VAR148), .VAR55 (VAR158), .VAR181 (VAR319), .VAR258 (VAR2), .VAR245 (VAR54), .VAR251 (VAR20), .VAR25 (VAR59), .VAR218 (VAR176) );
VAR343 #(
.VAR104 (32),
.VAR37 (8),
.VAR98 (22),
.VAR146 (1),
.VAR106 (32),
.VAR147 (256),
.VAR156 (3),
.VAR252 (3)
) VAR285 (
.VAR327 (VAR227), .VAR244 (VAR184), .VAR70 (VAR143), .VAR234 (VAR165), .VAR235 (VAR332), .VAR267 (VAR142), .VAR190 (VAR318), .VAR303 (VAR266), .VAR166 (VAR173), .VAR286 (VAR204), .VAR152 (VAR62), .VAR278 (VAR43), .VAR355 (VAR330), .VAR94 (VAR255), .VAR275 (VAR253), .VAR299 (VAR180), .VAR335 (VAR42), .VAR48 (VAR10), .VAR317 (VAR89), .VAR325 (VAR31), .VAR36 (VAR169), .VAR96 (VAR224), .VAR50 (VAR295), .VAR271 (VAR211) );
VAR63 VAR273 (
.VAR179 (VAR143), .VAR3 (VAR260), .VAR77 (VAR165), .VAR233 (VAR102), .VAR153 (VAR153), .VAR163 (VAR163), .VAR341 (VAR341), .VAR171 (VAR171), .VAR93 (VAR93), .VAR34 (VAR34), .VAR141 (VAR141), .VAR182 (VAR182), .VAR38 (VAR38), .VAR73 (VAR73), .VAR197 (VAR197), .VAR304 (VAR304), .VAR9 (VAR204), .VAR168 (VAR62), .VAR88 (VAR43), .VAR108 (VAR142), .VAR202 (VAR173), .VAR157 (VAR266), .VAR297 (VAR330), .VAR311 (VAR318), .VAR270 (VAR332), .VAR353 (VAR255), .VAR107 (VAR342), .VAR336 (VAR358), .VAR203 (VAR149), .VAR289 (VAR159), .VAR26 (VAR86), .VAR246 (VAR80), .VAR99 (VAR116), .VAR5 (VAR345), .VAR118 (VAR115), .VAR101 (VAR283), .VAR125 (VAR160), .VAR164 (VAR186), .VAR265 (VAR229), .VAR307 (VAR262), .VAR175 (VAR85), .VAR196 (VAR263), .VAR346 (VAR222), .VAR323 (VAR322), .VAR76 (VAR250), .VAR257 (VAR133), .VAR347 (VAR187), .VAR139 (VAR90), .VAR201 (VAR359), .VAR117 (VAR310), .VAR28 (VAR91), .VAR291 (VAR58), .VAR18 (VAR213), .VAR161 (VAR194), .VAR294 (VAR361), .VAR75 (VAR81), .VAR120 (VAR240), .VAR223 (VAR109), .VAR237 (VAR292), .VAR51 (VAR259) );
VAR350 VAR331 (
.VAR64 (VAR227), .VAR351 (VAR184), .VAR31 (VAR31), .VAR253 (VAR253), .VAR10 (VAR10), .VAR295 (VAR295), .VAR224 (VAR224), .VAR180 (VAR180), .VAR42 (VAR42), .VAR169 (VAR169), .VAR89 (VAR89), .VAR211 (VAR211), .VAR6 (VAR12), .VAR110 (VAR215), .VAR131 (VAR207), .VAR268 (VAR16), .VAR354 (VAR220), .VAR282 (VAR74) );
VAR137 VAR4 (
.clk (VAR143), .reset (VAR165), .VAR189 (VAR123), .VAR72 (VAR264), .VAR24 (VAR326) );
VAR302 #(
.VAR188 (1)
) VAR19 (
.VAR256 (VAR227), .VAR35 (VAR143), .VAR309 (VAR184), .VAR140 (VAR165), .VAR114 (VAR148), .VAR24 (VAR264) );
VAR300 #(
.VAR30 (2),
.VAR21 ("VAR178"),
.VAR124 (2),
.VAR226 (0),
.VAR136 (1),
.VAR247 (3),
.VAR154 (1),
.VAR60 (0),
.VAR334 (0),
.VAR219 (0),
.VAR127 (0),
.VAR155 (0),
.VAR339 (0),
.VAR113 (0),
.VAR308 (0),
.VAR214 (0),
.VAR195 (0),
.VAR84 (0),
.VAR348 (0),
.VAR248 (0),
.VAR349 (0),
.VAR193 (0),
.VAR150 (0),
.VAR338 (0)
) VAR135 (
.VAR8 (~VAR23), .VAR279 (VAR71), .clk (VAR260), .VAR239 (VAR102), .VAR274 (), .VAR205 (1'b0), .VAR134 (1'b0), .VAR352 (1'b0), .VAR272 (1'b0), .VAR280 (1'b0), .VAR97 (1'b0), .VAR29 (1'b0), .VAR242 (1'b0), .VAR183 (1'b0), .VAR306 (1'b0), .VAR128 (1'b0), .VAR121 (1'b0), .VAR249 (1'b0), .VAR360 (1'b0), .VAR290 (1'b0), .VAR288 (1'b0), .VAR87 (1'b0), .VAR7 (1'b0), .VAR46 (1'b0), .VAR103 (1'b0), .VAR78 (1'b0), .VAR49 (1'b0), .VAR210 (1'b0), .VAR47 (1'b0), .VAR185 (1'b0), .VAR1 (1'b0), .VAR284 (1'b0), .VAR277 (1'b0), .VAR314 (1'b0), .VAR44 (1'b0) );
VAR300 #(
.VAR30 (2),
.VAR21 ("VAR178"),
.VAR124 (2),
.VAR226 (1),
.VAR136 (1),
.VAR247 (3),
.VAR154 (1),
.VAR60 (0),
.VAR334 (0),
.VAR219 (0),
.VAR127 (0),
.VAR155 (0),
.VAR339 (0),
.VAR113 (0),
.VAR308 (0),
.VAR214 (0),
.VAR195 (0),
.VAR84 (0),
.VAR348 (0),
.VAR248 (0),
.VAR349 (0),
.VAR193 (0),
.VAR150 (0),
.VAR338 (0)
) VAR232 (
.VAR8 (~VAR23), .VAR279 (VAR71), .clk (VAR143), .VAR239 (VAR165), .VAR274 (VAR225), .VAR205 (1'b0), .VAR134 (1'b0), .VAR352 (1'b0), .VAR272 (1'b0), .VAR280 (1'b0), .VAR97 (1'b0), .VAR29 (1'b0), .VAR242 (1'b0), .VAR183 (1'b0), .VAR306 (1'b0), .VAR128 (1'b0), .VAR121 (1'b0), .VAR249 (1'b0), .VAR360 (1'b0), .VAR290 (1'b0), .VAR288 (1'b0), .VAR87 (1'b0), .VAR7 (1'b0), .VAR46 (1'b0), .VAR103 (1'b0), .VAR78 (1'b0), .VAR49 (1'b0), .VAR210 (1'b0), .VAR47 (1'b0), .VAR185 (1'b0), .VAR1 (1'b0), .VAR284 (1'b0), .VAR277 (1'b0), .VAR314 (1'b0), .VAR44 (1'b0) );
VAR300 #(
.VAR30 (2),
.VAR21 ("VAR178"),
.VAR124 (2),
.VAR226 (0),
.VAR136 (1),
.VAR247 (3),
.VAR154 (1),
.VAR60 (0),
.VAR334 (0),
.VAR219 (0),
.VAR127 (0),
.VAR155 (0),
.VAR339 (0),
.VAR113 (0),
.VAR308 (0),
.VAR214 (0),
.VAR195 (0),
.VAR84 (0),
.VAR348 (0),
.VAR248 (0),
.VAR349 (0),
.VAR193 (0),
.VAR150 (0),
.VAR338 (0)
) VAR167 (
.VAR8 (~VAR23), .VAR279 (VAR71), .clk (VAR227), .VAR239 (VAR184), .VAR274 (), .VAR205 (1'b0), .VAR134 (1'b0), .VAR352 (1'b0), .VAR272 (1'b0), .VAR280 (1'b0), .VAR97 (1'b0), .VAR29 (1'b0), .VAR242 (1'b0), .VAR183 (1'b0), .VAR306 (1'b0), .VAR128 (1'b0), .VAR121 (1'b0), .VAR249 (1'b0), .VAR360 (1'b0), .VAR290 (1'b0), .VAR288 (1'b0), .VAR87 (1'b0), .VAR7 (1'b0), .VAR46 (1'b0), .VAR103 (1'b0), .VAR78 (1'b0), .VAR49 (1'b0), .VAR210 (1'b0), .VAR47 (1'b0), .VAR185 (1'b0), .VAR1 (1'b0), .VAR284 (1'b0), .VAR277 (1'b0), .VAR314 (1'b0), .VAR44 (1'b0) );
endmodule
|
mit
|
scalable-networks/ext
|
uhd/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v
| 5,400 |
module MODULE1(
rst,
VAR37,
VAR30,
din,
VAR54,
VAR10,
dout,
VAR34,
VAR13,
VAR3,
VAR38);
input rst;
input VAR37;
input VAR30;
input [35 : 0] din;
input VAR54;
input VAR10;
output [35 : 0] dout;
output VAR34;
output VAR13;
output VAR3;
output VAR38;
VAR105 #(
.VAR17(0),
.VAR22(0),
.VAR16(9),
.VAR52("VAR81"),
.VAR97(36),
.VAR66("0"),
.VAR40(36),
.VAR9(0),
.VAR11(1),
.VAR85(0),
.VAR65("VAR53"),
.VAR15(1),
.VAR102(0),
.VAR2(1),
.VAR61(0),
.VAR24(0),
.VAR101(0),
.VAR7(0),
.VAR74(0),
.VAR29(0),
.VAR1(0),
.VAR26(1),
.VAR60(0),
.VAR108(0),
.VAR58(0),
.VAR68(0),
.VAR94(0),
.VAR56(0),
.VAR64(2),
.VAR87(0),
.VAR67(1),
.VAR79("VAR81"),
.VAR14(1),
.VAR82(0),
.VAR76(0),
.VAR31(0),
.VAR28(1),
.VAR5("512x36"),
.VAR48(4),
.VAR35(5),
.VAR77(0),
.VAR109(500),
.VAR96(499),
.VAR6(1),
.VAR49(9),
.VAR100(512),
.VAR25(1),
.VAR98(9),
.VAR33(0),
.VAR95(1),
.VAR80(0),
.VAR36(0),
.VAR72(0),
.VAR59(0),
.VAR44(0),
.VAR41(0),
.VAR32(9),
.VAR45(512),
.VAR46(1),
.VAR42(9),
.VAR21(1))
VAR55 (
.VAR19(rst),
.VAR86(VAR37),
.VAR83(VAR30),
.VAR110(din),
.VAR8(VAR54),
.VAR90(VAR10),
.VAR69(dout),
.VAR107(VAR34),
.VAR23(VAR13),
.VAR88(VAR3),
.VAR78(VAR38),
.VAR99(),
.VAR73(),
.VAR62(),
.VAR71(),
.VAR75(),
.VAR43(),
.VAR104(),
.VAR57(),
.VAR70(),
.VAR84(),
.VAR4(),
.VAR89(),
.VAR63(),
.VAR12(),
.VAR51(),
.VAR20(),
.VAR93(),
.VAR47(),
.VAR18(),
.VAR39(),
.VAR92(),
.VAR50(),
.VAR103(),
.VAR91(),
.VAR27(),
.VAR106());
endmodule
|
gpl-2.0
|
asicguy/gplgpu
|
hdl/altera_ddr3/ddr3_int_example_top_3.v
| 7,205 |
module MODULE1 (
VAR10,
VAR9,
VAR69,
VAR8,
VAR42,
VAR14,
VAR31,
VAR38,
VAR20,
VAR68,
VAR3,
VAR6,
VAR58,
VAR2,
VAR24,
VAR56,
VAR63,
VAR19,
VAR54,
VAR18,
VAR66
)
;
output [ 13: 0] VAR69;
output [ 2: 0] VAR8;
output VAR42;
output [ 0: 0] VAR14;
inout [ 0: 0] VAR31;
inout [ 0: 0] VAR38;
output [ 0: 0] VAR20;
output [ 7: 0] VAR68;
inout [ 63: 0] VAR3;
inout [ 7: 0] VAR6;
inout [ 7: 0] VAR58;
output [ 0: 0] VAR2;
output VAR24;
output VAR56;
output VAR63;
output VAR19;
output [ 31: 0] VAR54;
output VAR18;
output [ 7: 0] VAR66;
input VAR10;
input VAR9;
wire [ 0: 0] VAR17;
wire VAR43;
wire [ 5: 0] VAR48;
wire VAR64;
wire [ 13: 0] VAR69;
wire VAR59;
wire VAR53;
wire [ 2: 0] VAR8;
wire VAR42;
wire [ 0: 0] VAR14;
wire [ 0: 0] VAR31;
wire [ 0: 0] VAR38;
wire [ 0: 0] VAR20;
wire [ 7: 0] VAR68;
wire [ 63: 0] VAR3;
wire [ 7: 0] VAR6;
wire [ 7: 0] VAR58;
wire [ 24: 0] VAR29;
wire [ 31: 0] VAR34;
wire [ 9: 0] VAR67;
wire VAR50;
wire [255: 0] VAR23;
wire VAR49;
wire VAR39;
wire VAR47;
wire [ 6: 0] VAR35;
wire [255: 0] VAR72;
wire VAR32;
wire [ 0: 0] VAR2;
wire VAR24;
wire VAR56;
wire VAR63;
wire VAR7;
wire VAR19;
wire [ 31: 0] VAR54;
wire VAR12;
wire VAR18;
wire [ 7: 0] VAR66;
wire VAR25;
wire VAR11;
assign VAR20 = VAR17;
assign VAR25 = 1'b1;
assign VAR11 = 1'b0;
VAR45 VAR33
(
.VAR46 (VAR59),
.VAR51 (VAR53),
.VAR13 (VAR43),
.VAR28 (VAR48),
.VAR9 (VAR9),
.VAR37 (VAR29),
.VAR36 (VAR34),
.VAR62 (VAR64),
.VAR15 (),
.VAR44 (VAR23),
.VAR27 (VAR49),
.VAR65 (VAR39),
.VAR22 (VAR47),
.VAR21 (),
.VAR61 (VAR35),
.VAR57 (VAR72),
.VAR70 (),
.VAR26 (VAR32),
.VAR69 (VAR69[13 : 0]),
.VAR8 (VAR8),
.VAR42 (VAR42),
.VAR14 (VAR14),
.VAR31 (VAR31),
.VAR38 (VAR38),
.VAR20 (VAR17),
.VAR68 (VAR68[7 : 0]),
.VAR3 (VAR3),
.VAR6 (VAR6[7 : 0]),
.VAR58 (VAR58[7 : 0]),
.VAR2 (VAR2),
.VAR24 (VAR24),
.VAR56 (VAR56),
.VAR63 (VAR63),
.VAR7 (VAR7),
.VAR40 (VAR10),
.VAR12 (VAR12),
.VAR5 (),
.VAR16 (VAR25)
);
assign VAR29[7 : 0] = VAR67[9 : 2];
VAR55 VAR4
(
.clk (VAR7),
.VAR52 (VAR29[24 : 22]),
.VAR36 (VAR34),
.VAR62 (VAR64),
.VAR60 (VAR67),
.VAR41 (VAR50),
.VAR44 (VAR23),
.VAR27 (VAR49),
.VAR65 (VAR39),
.VAR22 (VAR47),
.VAR71 (VAR29[21 : 8]),
.VAR61 (VAR35),
.VAR57 (VAR72),
.VAR26 (VAR32),
.VAR54 (VAR54[31 : 0]),
.VAR1 (VAR19),
.VAR30 (VAR12),
.VAR18 (VAR18),
.VAR66 (VAR66)
);
endmodule
|
gpl-3.0
|
lvd2/ngs
|
fpga/current/dma/dma_sd.v
| 4,759 |
module MODULE1
(
input wire clk,
input wire VAR18,
output wire VAR15,
input wire VAR29,
input wire [7:0] VAR33,
input wire [7:0] din, output reg [7:0] dout,
input wire VAR30, input wire VAR22, input wire [1:0] VAR37,
output reg [21:0] VAR4,
output wire [7:0] VAR35, output wire VAR27,
output wire VAR26,
input wire VAR25,
input wire VAR10,
output wire VAR24
);
reg VAR12;
wire VAR9;
reg [3:0] state, VAR6;
wire VAR2,VAR5;
reg VAR32;
assign VAR24 = VAR9;
localparam VAR17 = 2'b00; localparam VAR39 = 2'b01; localparam VAR36 = 2'b10; localparam VAR21 = 2'b11;
always @*
case( VAR37[1:0] )
VAR17: dout = { 2'b00, VAR4[21:16] };
VAR39: dout = VAR4[15:8];
VAR36: dout = VAR4[7:0];
VAR21: dout = { VAR12, 7'VAR38 };
endcase
always @(posedge clk, negedge VAR18)
if( !VAR18 )
VAR12 <= 1'b0;
else if( VAR9 )
VAR12 <= 1'b0;
else if( VAR30 && VAR22 && (VAR37==VAR21) )
VAR12 <= din[7];
always @(posedge clk)
if( VAR26 && VAR25 && VAR12 )
VAR4 <= VAR4 + 22'd1; else if( VAR30 && VAR22 )
begin
if( VAR37==VAR17 )
VAR4[21:16] <= din[5:0];
end
else if( VAR37==VAR39 )
VAR4[15:8] <= din[7:0];
else if( VAR37==VAR36 )
VAR4[7:0] <= din[7:0];
end
localparam VAR23 = 4'd0;
localparam VAR20 = 4'd1;
localparam VAR3 = 4'd2;
localparam VAR40 = 4'd3;
localparam VAR11 = 4'd4;
localparam VAR16 = 4'd5;
localparam VAR8 = 4'd6;
localparam VAR14 = 4'd7;
localparam VAR34 = 4'd8;
always @(posedge clk, negedge VAR12)
if( !VAR12 )
state = VAR23;
else state <= VAR6;
always @*
case( state )
VAR23: VAR6 = VAR20;
VAR20:begin
VAR6 = VAR3;
end
VAR3:begin
if( !VAR29 )
VAR6 = VAR3;
end
else if( VAR33==8'hFF )
VAR6 = VAR20;
else if( VAR33==8'hFE )
VAR6 = VAR40;
else
VAR6 = VAR34;
end
VAR40:begin
if( !VAR2 )
VAR6 = VAR11;
end
else
VAR6 = VAR16;
end
VAR11:begin
if( !VAR29 )
VAR6 = VAR11;
end
else
VAR6 = VAR40;
end
VAR16:begin if( !VAR29 )
VAR6 = VAR16;
end
else
VAR6 = VAR8;
end
VAR8:begin VAR6 = VAR14;
end
VAR14:begin
if( VAR32 )
VAR6 = VAR14;
end
else
VAR6 = VAR34;
end
VAR34:begin
VAR6 = VAR34; end
endcase
assign VAR15 = ( state==VAR20 || state==VAR40 || state==VAR8 );
assign VAR9 = ( state==VAR34 );
assign VAR27 = 1'b0;
assign VAR26 = VAR32;
always @(posedge clk, negedge VAR12)
if( !VAR12 )
VAR32 <= 1'b0;
else if( state==VAR8 )
VAR32 <= 1'b1;
else if( VAR5 && VAR25 )
VAR32 <= 1'b0;
VAR19 VAR19
(
.clk (clk ),
.VAR18(VAR12),
.VAR1( state==VAR11 && VAR29 ),
.VAR13( (VAR26 && VAR25) || state==VAR8 ),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR7( ),
.VAR31 ( ),
.VAR28(VAR33),
.rd(VAR35 )
);
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/altera_project/dpram_64_32x32_be/dpram_64_32x32_be_bb.v
| 8,112 |
module MODULE1 (
VAR7,
VAR5,
VAR8,
VAR4,
VAR1,
VAR6,
VAR2,
VAR3);
input [63:0] VAR7;
input VAR5;
input [4:0] VAR8;
input [5:0] VAR4;
input [7:0] VAR1;
input VAR6;
input VAR2;
output [31:0] VAR3;
endmodule
|
gpl-3.0
|
silverneko/dsdl
|
lab2/Timer.v
| 1,374 |
module MODULE1(VAR1, VAR4, VAR3);
input VAR1;
input [2:0] VAR4;
output [31:0] VAR3;
reg [31:0] counter;
reg [1:0] state;
reg [31:0] VAR2;
assign VAR3 = VAR2;
|
mit
|
DougFirErickson/parallella-hw
|
fpga/old/emesh_split/hdl/emesh_split.v
| 3,543 |
module MODULE1 (
VAR22, VAR19, VAR12, VAR5, VAR21,
VAR8, VAR1, VAR13, VAR16, VAR11,
VAR2, VAR15, VAR25, VAR6,
VAR20, VAR14,
VAR7, VAR4, VAR24, VAR3, VAR18,
VAR17, VAR10, VAR23, VAR9
);
input VAR7;
input VAR4;
input [1:0] VAR24;
input [3:0] VAR3;
input [31:0] VAR18;
input [31:0] VAR17;
input [31:0] VAR10;
output VAR22;
output VAR19;
output VAR12;
output VAR5;
output [1:0] VAR21;
output [3:0] VAR8;
output [31:0] VAR1;
output [31:0] VAR13;
output [31:0] VAR16;
input VAR23;
input VAR9;
output VAR11;
output VAR2;
output [1:0] VAR15;
output [3:0] VAR25;
output [31:0] VAR6;
output [31:0] VAR20;
output [31:0] VAR14;
wire VAR12 = VAR7;
wire VAR5 = VAR4;
wire [1:0] VAR21 = VAR24;
wire [3:0] VAR8 = VAR3;
wire [31:0] VAR1 = VAR18;
wire [31:0] VAR13 = VAR17;
wire [31:0] VAR16 = VAR10;
wire VAR11 = VAR7;
wire VAR2 = VAR4;
wire [1:0] VAR15 = VAR24;
wire [3:0] VAR25 = VAR3;
wire [31:0] VAR6 = VAR18;
wire [31:0] VAR20 = VAR17;
wire [31:0] VAR14 = VAR10;
wire VAR22 = VAR23;
wire VAR19 = VAR9;
endmodule
|
gpl-3.0
|
alexforencich/verilog-ethernet
|
example/ExaNIC_X25/fpga_10g/rtl/eth_xcvr_phy_wrapper.v
| 9,140 |
module MODULE1 #
(
parameter VAR25 = 1,
parameter VAR44 = 64,
parameter VAR10 = (VAR44/8),
parameter VAR21 = 2,
parameter VAR33 = 0,
parameter VAR59 = 0,
parameter VAR67 = 0,
parameter VAR14 = 1,
parameter VAR52 = 8,
parameter VAR115 = 125000/6.4
)
(
input wire VAR118,
input wire VAR79,
output wire VAR101,
input wire VAR72,
output wire VAR106,
output wire VAR122,
output wire VAR6,
input wire VAR88,
output wire VAR97,
input wire VAR17,
input wire VAR65,
output wire VAR54,
output wire VAR42,
input wire VAR87,
input wire VAR2,
output wire VAR99,
output wire VAR23,
input wire [VAR44-1:0] VAR137,
input wire [VAR10-1:0] VAR53,
output wire VAR1,
output wire VAR114,
output wire [VAR44-1:0] VAR71,
output wire [VAR10-1:0] VAR105,
output wire VAR40,
output wire [6:0] VAR123,
output wire VAR102,
output wire VAR103,
output wire VAR27,
output wire VAR20,
input wire VAR131,
input wire VAR121
);
wire VAR57;
wire VAR50 = 1'b0;
wire VAR30 = VAR57;
wire VAR34;
wire VAR113;
wire [5:0] VAR8;
wire [63:0] VAR84;
wire VAR85;
wire [5:0] VAR49;
wire [1:0] VAR43;
wire [63:0] VAR69;
wire [1:0] VAR80;
generate
if (VAR25) begin : VAR135
VAR83
VAR36 (
.VAR74(VAR118),
.VAR132(VAR79),
.VAR110(VAR101),
.VAR45(VAR72),
.VAR68(VAR106),
.VAR56(VAR122),
.VAR86(VAR6),
.VAR11(VAR54),
.VAR24(VAR42),
.VAR75(VAR87),
.VAR129(VAR2),
.VAR77(1'b0),
.VAR7(),
.VAR90(),
.VAR96(VAR99),
.VAR119(),
.VAR127(1'b0),
.VAR107(VAR50),
.VAR58(VAR34),
.VAR64(),
.VAR55(),
.VAR78(1'b1),
.VAR13(VAR84),
.VAR93(VAR8),
.VAR39(7'b0),
.VAR37(1'b0),
.VAR66(),
.VAR108(),
.VAR5(VAR1),
.VAR89(),
.VAR98(1'b0),
.VAR112(VAR30),
.VAR94(),
.VAR120(VAR113),
.VAR41(),
.VAR133(),
.VAR46(1'b0),
.VAR22(VAR85),
.VAR70(VAR69),
.VAR91(VAR80),
.VAR16(VAR49),
.VAR130(VAR43),
.VAR3()
);
end else begin : VAR135
VAR95
VAR136 (
.VAR74(VAR118),
.VAR132(VAR79),
.VAR110(VAR101),
.VAR61(VAR88),
.VAR82(VAR97),
.VAR26(VAR17),
.VAR104(VAR65),
.VAR81(1'b0),
.VAR4(1'b0),
.VAR11(VAR54),
.VAR24(VAR42),
.VAR75(VAR87),
.VAR129(VAR2),
.VAR77(1'b0),
.VAR7(),
.VAR90(),
.VAR96(VAR99),
.VAR119(),
.VAR127(1'b0),
.VAR107(VAR50),
.VAR58(VAR34),
.VAR64(),
.VAR55(),
.VAR78(1'b1),
.VAR13(VAR84),
.VAR93(VAR8),
.VAR39(7'b0),
.VAR37(1'b0),
.VAR66(),
.VAR108(),
.VAR5(VAR1),
.VAR89(),
.VAR98(1'b0),
.VAR112(VAR30),
.VAR94(),
.VAR120(VAR113),
.VAR41(),
.VAR133(),
.VAR46(1'b0),
.VAR22(VAR85),
.VAR70(VAR69),
.VAR91(VAR80),
.VAR16(VAR49),
.VAR130(VAR43),
.VAR3()
);
end
endgenerate
VAR32 #(
.VAR109(4)
)
VAR134 (
.clk(VAR99),
.rst(!VAR34),
.out(VAR23)
);
VAR32 #(
.VAR109(4)
)
VAR31 (
.clk(VAR1),
.rst(!VAR113),
.out(VAR114)
);
VAR116 #(
.VAR44(VAR44),
.VAR10(VAR10),
.VAR21(VAR21),
.VAR76(1),
.VAR9(0),
.VAR33(VAR33),
.VAR59(VAR59),
.VAR67(VAR67),
.VAR14(VAR14),
.VAR52(VAR52),
.VAR115(VAR115)
)
VAR47 (
.VAR128(VAR99),
.VAR51(VAR23),
.VAR92(VAR1),
.VAR19(VAR114),
.VAR38(VAR137),
.VAR62(VAR53),
.VAR12(VAR71),
.VAR125(VAR105),
.VAR100(VAR84),
.VAR73(VAR8),
.VAR126(VAR69),
.VAR111(VAR49),
.VAR48(VAR85),
.VAR124(VAR57),
.VAR29(VAR40),
.VAR35(VAR123),
.VAR117(VAR102),
.VAR60(VAR103),
.VAR18(VAR27),
.VAR15(VAR20),
.VAR28(VAR131),
.VAR63(VAR121)
);
endmodule
|
mit
|
osrf/wandrr
|
firmware/motor_controller/fpga/usb_tx_token.v
| 1,986 |
module MODULE1
(input VAR20,
input [18:0] VAR1,
input VAR5,
output [7:0] VAR23,
output VAR25);
localparam VAR26 = 3'd0;
localparam VAR21 = 3'd1;
localparam VAR3 = 3'd2;
localparam VAR8 = 3'd3;
localparam VAR31=4, VAR17=5;
reg [VAR17+VAR31-1:0] VAR24;
wire [VAR31-1:0] state;
wire [VAR31-1:0] VAR19 = VAR24[VAR31+VAR17-1:VAR17];
VAR13 #(VAR31) VAR7
(.VAR20(VAR20), .rst(1'b0), .en(1'b1), .VAR1(VAR19), .VAR14(state));
wire VAR12;
wire VAR10 = 1'b1;
wire [7:0] VAR32; VAR13 #(8) VAR2
(.VAR20(VAR20), .rst(VAR12 | state == VAR26), .en(VAR10),
.VAR1(VAR32 + 1'b1), .VAR14(VAR32));
wire [10:0] VAR11;
wire VAR30;
VAR13 #(11) VAR29
(.VAR20(VAR20), .rst(1'b0), .en(1'b1),
.VAR1(VAR30 ? VAR1[18:8] : {1'b0, VAR11[10:1]}),
.VAR14(VAR11));
wire VAR28;
wire [4:0] VAR6;
VAR22 VAR18
(.VAR20(VAR20), .VAR13(state == VAR26), .VAR1(VAR11[0]), .VAR27(VAR28), .VAR4(VAR6));
wire [31:0] VAR16;
wire VAR9;
VAR13 #(32) VAR15
(.VAR20(VAR20), .rst(1'b0), .en(1'b1),
.VAR1(VAR9 ? {~VAR6, VAR1, 8'b10000000} : { 8'h0, VAR16[31:8] }),
.VAR14(VAR16));
assign VAR23 = VAR16[7:0];
always @* begin
case (state)
VAR26:
if (VAR5) VAR24 = { VAR21, 5'b00011 };
end
else VAR24 = { VAR26 , 5'b00000 };
VAR21:
if (VAR32 == 8'd10) VAR24 = { VAR3 , 5'b00000 };
else VAR24 = { VAR21, 5'b00000 };
VAR3: VAR24 = { VAR8 , 5'b00101 };
VAR8:
if (VAR32 == 8'd3) VAR24 = { VAR26 , 5'b01000 };
else VAR24 = { VAR8 , 5'b01000 };
default: VAR24 = { VAR26, 5'b00000 };
endcase
end
assign VAR30 = VAR24[1];
assign VAR12 = VAR24[0];
assign VAR28 = state == VAR21;
assign VAR9 = VAR24[2];
assign VAR25 = VAR24[3];
endmodule
|
apache-2.0
|
apotocnik/redpitaya_guide
|
cores/axis_averager_v1_0/axis_averager.v
| 4,980 |
module MODULE1 #
(
parameter integer VAR32 = 32,
parameter integer VAR11 = 32,
parameter integer VAR28 = 16, parameter integer VAR42 = 32
)
(
input wire VAR4,
input wire VAR23,
input wire VAR22,
input wire VAR35,
input wire [15:0] VAR38,
input wire [VAR42-1:0] VAR3,
output wire VAR29,
output wire [VAR42-1:0] VAR37,
output wire VAR33,
input wire [VAR32-1:0] VAR17,
input wire VAR41,
output wire VAR26,
output wire VAR5,
output wire [VAR28-1:0] VAR8,
output wire [VAR11-1:0] VAR19,
input wire [VAR11-1:0] VAR25,
output wire VAR12,
output wire VAR13,
output wire VAR9,
output wire [VAR28-1:0] VAR1,
output wire [VAR11-1:0] VAR34,
input wire [VAR11-1:0] VAR40,
output wire VAR43
);
reg [VAR28-1:0] VAR16, VAR21;
reg [VAR28-1:0] VAR15, VAR31;
reg [2:0] VAR20, VAR27;
reg VAR24, VAR7;
reg [VAR42-1:0] VAR10, VAR36;
reg VAR18, VAR30;
reg [VAR11-1:0] VAR39, VAR14;
reg VAR2;
wire VAR6;
assign VAR33 = 1;
assign VAR29 = VAR18;
assign VAR37 = VAR10;
assign VAR26 = VAR4;
assign VAR5 = ~VAR23;
assign VAR8 = VAR16;
assign VAR19 = VAR39;
assign VAR12 = VAR24;
assign VAR13 = VAR4;
assign VAR9 = ~VAR23;
assign VAR1 = VAR15;
assign VAR34 = {(VAR11){1'b0}};
assign VAR43 = 1'b0;
always@(posedge VAR4) begin
if (VAR35) VAR2 <= 0;
end
else VAR2 <= VAR22;
end
assign VAR6 = (VAR22 == 1) && (VAR2 == 0) ? 1 : 0;
always @(posedge VAR4)
begin
if(~VAR23 || VAR35)
begin
VAR16 <= {(VAR28){1'b0}};
VAR15 <= {(VAR28){1'b0}};
VAR20 <= 3'd0;
VAR10 <= {(VAR42){1'b0}};
VAR24 <= 1'b0;
VAR39 <= {(VAR11){1'b0}};
VAR18 <= 1'b0;
end
else
begin
VAR16 <= VAR21;
VAR15 <= VAR31;
VAR20 <= VAR27;
VAR24 <= VAR7;
VAR10 <= VAR36;
VAR39 <= VAR14;
VAR18 <= VAR30;
end
end
always @*
begin
VAR21 = VAR16;
VAR31 = VAR15;
VAR27 = VAR20;
VAR7 = VAR24;
VAR36 = VAR10;
VAR14 = VAR39;
VAR30 = VAR18;
case(VAR20)
0: begin
VAR21 = {(VAR28){1'b0}};
VAR31 = {(VAR28){1'b0}};
VAR36 = {(VAR42){1'b0}};
VAR27 = 3'd1;
VAR7 = 1'b1;
VAR30 = 1'b0;
VAR14 = {(VAR11){1'b0}};
end
1: begin
VAR21 = VAR16 + 1'b1;
if(VAR16 == VAR38-1) begin
VAR27 = 3'd2;
VAR7 = 1'b0;
end
end
2: begin
VAR21 = -2;
VAR31 = 0;
VAR7 = 1'b0;
if(VAR6)
begin
VAR36 = VAR10 + 1;
if (VAR10 == VAR3)
VAR27 = 3'd4;
end
else
VAR27 = 3'd3;
end
end
3: begin
if(VAR41)
begin
VAR21 = VAR16 + 1;
VAR31 = VAR15 + 1;
VAR14 = VAR40 + VAR17;
VAR7 = 1'b1;
if (VAR16 == VAR38-2)
VAR27 = 3'd2;
end
else
VAR7 = 1'b0;
end
4: begin
VAR30 = 1;
end
endcase
end
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/altera_project/fifo_301x128/fifo_301x128_bb.v
| 6,096 |
module MODULE1 (
VAR4,
VAR8,
VAR10,
VAR5,
VAR6,
VAR3,
VAR1,
VAR9,
VAR2,
VAR7);
input [300:0] VAR4;
input VAR8;
input VAR10;
input VAR5;
input VAR6;
output [300:0] VAR3;
output VAR1;
output VAR9;
output VAR2;
output [6:0] VAR7;
endmodule
|
gpl-3.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_rst_ps7_0_100M_0/ip_design_rst_ps7_0_100M_0_stub.v
| 1,866 |
module MODULE1(VAR3, VAR2, VAR8,
VAR1, VAR9, VAR10, VAR4, VAR6,
VAR5, VAR7)
;
input VAR3;
input VAR2;
input VAR8;
input VAR1;
input VAR9;
output VAR10;
output [0:0]VAR4;
output [0:0]VAR6;
output [0:0]VAR5;
output [0:0]VAR7;
endmodule
|
mit
|
hewittc/proxmark3lcd
|
fpga/fpga_hf.v
| 6,723 |
module MODULE1(
input VAR32, output VAR37, input VAR7, input VAR108,
input VAR102, input VAR33, input VAR98,
output VAR45, output VAR74,
output VAR58, output VAR19, output VAR28, output VAR93,
input [7:0] VAR72, output VAR92, output VAR65,
output VAR64, output VAR24, input VAR55, output VAR49,
input VAR38, input VAR4,
output VAR21, output VAR104,
output VAR1
);
reg [15:0] VAR87;
reg [7:0] VAR13;
always @(posedge VAR108)
begin
case(VAR87[15:12])
4'b0001: VAR13 <= VAR87[7:0]; endcase
end
always @(posedge VAR32)
begin
if(~VAR108)
begin
VAR87[15:1] <= VAR87[14:0];
VAR87[0] <= VAR7;
end
end
wire [2:0] VAR51;
assign VAR51 = VAR13[7:5];
wire VAR84 = VAR13[0];
wire VAR96 = VAR13[0];
wire VAR80 = VAR13[1];
wire VAR77 = VAR13[2];
wire [2:0] VAR107 = VAR13[2:0];
VAR94 VAR105(
VAR102, VAR33, VAR98,
VAR109, VAR71, VAR47, VAR95, VAR34, VAR99,
VAR72, VAR42,
VAR31, VAR39, VAR55, VAR73,
VAR38, VAR4,
VAR82,
VAR84
);
VAR6 VAR66(
VAR102, VAR33, VAR98,
VAR14, VAR90, VAR106, VAR20, VAR85, VAR54,
VAR72, VAR46,
VAR75, VAR48, VAR55, VAR26,
VAR38, VAR4,
VAR10,
VAR96, VAR80, VAR77
);
VAR29 VAR16(
VAR102, VAR33, VAR98,
VAR103, VAR83, VAR89, VAR53, VAR27, VAR97,
VAR72, VAR67,
VAR101, VAR86, VAR55, VAR41,
VAR38, VAR4,
VAR43,
VAR107
);
VAR2 VAR59(
VAR102, VAR33, VAR98,
VAR18, VAR91, VAR60, VAR12, VAR57, VAR40,
VAR72, VAR44,
VAR63, VAR69, VAR55, VAR56,
VAR38, VAR4,
VAR35,
VAR107
);
VAR5 he(
VAR102, VAR33, VAR98,
VAR11, VAR36, VAR17, VAR52, VAR70, VAR78,
VAR72, VAR23,
VAR25, VAR22, VAR55, VAR88,
VAR38, VAR4,
VAR76,
VAR96, VAR80, VAR77
);
VAR50 VAR79 (VAR51, VAR49, VAR73, VAR26, VAR41, VAR56, VAR88, 1'b0, 1'b0, 1'b0);
VAR50 VAR30 (VAR51, VAR24, VAR39, VAR48, VAR86, VAR69, VAR22, 1'b0, 1'b0, 1'b0);
VAR50 VAR9 (VAR51, VAR64, VAR31, VAR75, VAR101, VAR63, VAR25, 1'b0, 1'b0, 1'b0);
VAR50 VAR81 (VAR51, VAR58, VAR47, VAR106, VAR89, VAR60, VAR17, 1'b0, 1'b0, 1'b0);
VAR50 VAR100 (VAR51, VAR19, VAR95, VAR20, VAR53, VAR12, VAR52, 1'b0, 1'b0, 1'b0);
VAR50 VAR61 (VAR51, VAR28, VAR34, VAR85, VAR27, VAR57, VAR70, 1'b0, 1'b0, 1'b0);
VAR50 VAR8 (VAR51, VAR93, VAR99, VAR54, VAR97, VAR40, VAR78, 1'b0, 1'b0, 1'b0);
VAR50 VAR62 (VAR51, VAR45, VAR109, VAR14, VAR103, VAR18, VAR11, 1'b0, 1'b0, 1'b0);
VAR50 VAR15 (VAR51, VAR74, VAR71, VAR90, VAR83, VAR91, VAR36, 1'b0, 1'b0, 1'b0);
VAR50 VAR3 (VAR51, VAR92, VAR42, VAR46, VAR67, VAR44, VAR23, 1'b0, 1'b0, 1'b0);
VAR50 VAR68 (VAR51, VAR1, VAR82, VAR10, VAR43, VAR35, VAR76, 1'b0, 1'b0, 1'b0);
assign VAR65 = 1'b0;
assign VAR104 = (!VAR51[2] && VAR51[1]) || (VAR51[2] && !VAR51[1]);
assign VAR21 = ~VAR104;
endmodule
|
gpl-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v
| 1,591 |
module MODULE1 #(parameter [31:0] VAR10=1
,parameter VAR9=0
,parameter VAR8=0
,parameter VAR15=0
,parameter VAR7=0
)
( input [4:0][2:0] VAR3
, input VAR20
, input [VAR10-1:0][3:0][1:0] VAR2
, output VAR5
, output [VAR10-1:0] VAR17
, output [VAR10-1:0] VAR1
);
wire [VAR10:0] VAR13;
genvar VAR21;
for (VAR21 = 0; VAR21 < VAR10; VAR21=VAR21+1)
begin: VAR18
localparam VAR6 = (VAR9 >> (VAR21 << 2)) & 4'hf;
localparam VAR16 = (VAR8 >> (VAR21 << 2)) & 4'hf;
localparam VAR14 = (VAR15 >> (VAR21 << 2)) & 4'hf;
localparam VAR4 = (VAR7 >> (VAR21 << 2)) & 4'hf;
VAR19 #(
.VAR9(VAR6)
,.VAR8(VAR16)
,.VAR15(VAR14)
,.VAR7(VAR4)
)
VAR12 (.VAR3(VAR3), .VAR11 (VAR2[VAR21])
, .VAR20(VAR13[VAR21]), .VAR5(VAR13[VAR21+1]), .VAR17 (VAR17[VAR21]), .VAR1 (VAR1[VAR21]));
end
assign VAR13[0] = VAR20;
assign VAR5 = VAR13[VAR10];
endmodule
|
bsd-3-clause
|
scalable-networks/ext
|
uhd/fpga/usrp2/fifo/packet_padder36.v
| 5,114 |
module MODULE1
parameter VAR26 = 0,
parameter VAR35 = 4096,
parameter VAR24 = 65536
)
(
input clk, input reset,
input VAR22, input [7:0] VAR36, input [31:0] VAR6,
input [35:0] VAR9,
input VAR1,
output VAR5,
output [35:0] VAR7,
output VAR23,
input VAR14,
input VAR10
);
wire VAR25;
wire [15:0] VAR37;
VAR31 #(.VAR20(VAR26+0),.VAR16(16),.VAR15(VAR35)) VAR2(
.clk(clk),.rst(reset),.VAR27(VAR22),.addr(VAR36),.in(VAR6),
.out(VAR37),.VAR33(VAR25));
wire VAR21;
wire [17:0] VAR13;
VAR31 #(.VAR20(VAR26+1),.VAR16(18),.VAR15(VAR24)) VAR32(
.clk(clk),.rst(reset),.VAR27(VAR22),.addr(VAR36),.in(VAR6),
.out(VAR13),.VAR33(VAR21));
localparam VAR8 = 0;
localparam VAR3 = 1;
localparam VAR19 = 2;
localparam VAR12 = 3;
reg [1:0] state;
reg [15:0] VAR18;
wire VAR11 = VAR18 == 1;
wire VAR34 = VAR18 == VAR37;
always @(posedge clk) begin
if (reset || VAR25) begin
VAR18 <= VAR37;
end
else if (VAR23 && VAR14) begin
VAR18 <= (VAR11)? VAR37 : VAR18 - 1;
end
end
reg [17:0] VAR29;
wire VAR30 = (VAR29 == VAR13);
always @(posedge clk) begin
if(reset || state != VAR8 || VAR21) begin
VAR29 <= 0;
end
else if (~VAR30) begin
VAR29 <= VAR29 + 1;
end
end
wire VAR17 = ~VAR34 && (VAR30 || VAR10);
reg [31:0] VAR28;
reg VAR4;
always @(posedge clk) begin
if (reset) begin
state <= VAR8;
end
else case(state)
VAR8: begin
if (VAR1 && VAR5 && VAR9[32]) begin
VAR28 <= VAR9[31:0];
VAR4 <= 1;
state <= (VAR9[15:0] > VAR18)? VAR12 : VAR3;
end
else if (VAR17) begin
VAR4 <= 0;
state <= VAR12;
end
end
VAR3: begin
if (VAR23 && VAR14) begin
state <= VAR19;
end
end
VAR19: begin
if (VAR1 && VAR5 && VAR9[33]) begin
state <= VAR8;
end
end
VAR12: begin
if (VAR23 && VAR14 && VAR11) begin
state <= (VAR4)? VAR3 : VAR8;
end
end
endcase end
assign VAR5 = (state == VAR8)? 1 : ((state == VAR19)? VAR14 : 0);
assign VAR23 = (state == VAR3 || state == VAR12)? 1 : ((state == VAR19 )? VAR1 : 0);
assign VAR7 = (state == VAR3)? {4'b0001, VAR28} : ((state == VAR19)? VAR9 : 0);
endmodule
|
gpl-2.0
|
DreamSourceLab/DSLogic-hdl
|
src/sdramc/sdram_init.v
| 2,282 |
module MODULE1 (
input VAR2,
input VAR3,
output reg VAR1 = 0,
output reg VAR8
);
parameter
VAR6 = 16'h4000,
VAR5 = VAR6 >> 1;
reg [15:0] VAR7;
wire VAR4;
assign VAR4 = (VAR7 == VAR6);
always @(posedge VAR2) begin
if (!VAR3)
VAR7 <= 'b0;
end
else if (!VAR4)
VAR7 <= VAR7 + 1'b1;
end
always @(posedge VAR2) begin
VAR1 <= VAR4;
end
always @(posedge VAR2) begin
VAR8 <= 1'b1;
end
endmodule
|
gpl-2.0
|
atti92/heterogenhomework
|
project1/solution1/syn/verilog/fir_hw_mul_18s_15s_33_3.v
| 1,415 |
module MODULE2(clk, VAR10, VAR13, VAR9, VAR5);
input clk;
input VAR10;
input[18 - 1 : 0] VAR13; input[15 - 1 : 0] VAR9; output[33 - 1 : 0] VAR5;
reg signed [18 - 1 : 0] VAR2;
reg signed [15 - 1 : 0] VAR8;
wire signed [33 - 1 : 0] VAR1;
reg signed [33 - 1 : 0] VAR11;
assign VAR5 = VAR11;
assign VAR1 = VAR2 * VAR8;
always @ (posedge clk) begin
if (VAR10) begin
VAR2 <= VAR13;
VAR8 <= VAR9;
VAR11 <= VAR1;
end
end
endmodule
module MODULE1(
clk,
reset,
VAR10,
VAR16,
VAR14,
dout);
parameter VAR12 = 32'd1;
parameter VAR7 = 32'd1;
parameter VAR4 = 32'd1;
parameter VAR6 = 32'd1;
parameter VAR3 = 32'd1;
input clk;
input reset;
input VAR10;
input[VAR4 - 1:0] VAR16;
input[VAR6 - 1:0] VAR14;
output[VAR3 - 1:0] dout;
MODULE2 VAR15(
.clk( clk ),
.VAR10( VAR10 ),
.VAR13( VAR16 ),
.VAR9( VAR14 ),
.VAR5( dout ));
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdfxbp/sky130_fd_sc_ms__sdfxbp.blackbox.v
| 1,377 |
module MODULE1 (
VAR7 ,
VAR8,
VAR2,
VAR1 ,
VAR4,
VAR9
);
output VAR7 ;
output VAR8;
input VAR2;
input VAR1 ;
input VAR4;
input VAR9;
supply1 VAR6;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR10 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a31oi/sky130_fd_sc_hdll__a31oi.functional.pp.v
| 2,058 |
module MODULE1 (
VAR16 ,
VAR5 ,
VAR9 ,
VAR14 ,
VAR8 ,
VAR15,
VAR6,
VAR13 ,
VAR1
);
output VAR16 ;
input VAR5 ;
input VAR9 ;
input VAR14 ;
input VAR8 ;
input VAR15;
input VAR6;
input VAR13 ;
input VAR1 ;
wire VAR4 ;
wire VAR2 ;
wire VAR3;
and VAR12 (VAR4 , VAR14, VAR5, VAR9 );
nor VAR11 (VAR2 , VAR8, VAR4 );
VAR17 VAR7 (VAR3, VAR2, VAR15, VAR6);
buf VAR10 (VAR16 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/einvp/sky130_fd_sc_lp__einvp_2.v
| 2,130 |
module MODULE1 (
VAR5 ,
VAR4 ,
VAR6 ,
VAR1,
VAR7,
VAR3 ,
VAR2
);
output VAR5 ;
input VAR4 ;
input VAR6 ;
input VAR1;
input VAR7;
input VAR3 ;
input VAR2 ;
VAR8 VAR9 (
.VAR5(VAR5),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR5 ,
VAR4 ,
VAR6
);
output VAR5 ;
input VAR4 ;
input VAR6;
supply1 VAR1;
supply0 VAR7;
supply1 VAR3 ;
supply0 VAR2 ;
VAR8 VAR9 (
.VAR5(VAR5),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/bbcpu
|
alu.v
| 1,504 |
module MODULE1(
input [VAR24-1 : 0] VAR16,
input [VAR24-1 : 0] VAR5,
input VAR3,
input VAR17,
input VAR2,
input [2 : 0] VAR14,
output [VAR24-1 : 0] VAR21,
output VAR20);
parameter VAR24 = 8;
wire [VAR24-1 : 0] VAR13;
wire VAR1;
wire [7 : 0] VAR4;
wire VAR22;
wire [7: 0] VAR8;
assign VAR21 = (VAR3) ? VAR8 :
(VAR2) ? VAR4 :
(VAR13);
assign VAR20 = (VAR2) ? VAR22 :
VAR1;
VAR11 #(.VAR24(VAR24)) VAR18(
.VAR16(VAR16),
.VAR5(VAR5),
.VAR17(VAR17),
.VAR9(VAR17),
.VAR7(VAR13),
.VAR20(VAR1));
VAR10 VAR23(
.VAR16(VAR16),
.VAR15(VAR14),
.VAR7(VAR4),
.VAR19(VAR22));
VAR6 VAR12(VAR16[3:0], VAR16[7:4], VAR8);
endmodule
|
apache-2.0
|
gbraad/minimig-de1
|
rtl/or1200/or1200_dmmu_top.v
| 8,196 |
module MODULE1(
clk, rst,
VAR7, VAR9, VAR18, VAR5, VAR6, VAR13,
VAR12, VAR22,
VAR38, VAR53, VAR35, VAR39, VAR30,
VAR34, VAR48, VAR20,
VAR29, VAR1, VAR21, VAR50, VAR23
);
parameter VAR4 = VAR52;
parameter VAR28 = VAR52;
input clk;
input rst;
input VAR7;
input VAR9;
input VAR18;
input [VAR28-1:0] VAR5;
input VAR6;
input VAR13;
output [3:0] VAR12;
output VAR22;
input VAR38;
input VAR53;
input [VAR28-1:0] VAR35;
input [31:0] VAR39;
output [31:0] VAR30;
input VAR34;
input [VAR26 - 1:0] VAR20;
output VAR48;
input VAR29;
input [3:0] VAR1;
output [VAR28-1:0] VAR21;
output VAR50;
output VAR23;
wire VAR40;
wire [31:VAR37] VAR36;
wire VAR49;
wire VAR31;
wire VAR11;
wire VAR14;
wire VAR41;
wire [31:0] VAR33;
wire VAR8;
wire VAR25;
wire VAR24;
wire VAR43;
reg VAR2;
reg [31:VAR37] VAR27;
assign VAR30 = 32'h00000000;
assign VAR21 = VAR5;
assign VAR12 = VAR1;
assign VAR50 = VAR6;
assign VAR22 = VAR29;
assign VAR23 = VAR15;
assign VAR48 = VAR34;
assign VAR40 = VAR38;
assign VAR12 = VAR43 ? VAR16 : VAR24 ? VAR19 : VAR1;
assign VAR22 = VAR43 | VAR24 | VAR29;
always @(posedge clk or posedge rst)
if (rst)
VAR2 <= 1'b0;
else if (VAR8)
VAR2 <= VAR6;
else
VAR2 <= 1'b0;
assign VAR50 = (!VAR7 & VAR9) ? ~(VAR43 | VAR24) & VAR2 & VAR6 : ~(VAR43 | VAR24) & VAR6;
assign VAR23 = VAR9 ? VAR2 & VAR25 : VAR15;
always @(posedge clk or posedge rst)
if (rst)
VAR27 <= {31-VAR37{1'b0}};
else
VAR27 <= VAR5[31:VAR37];
assign VAR21 = VAR9 ? {VAR36, VAR5[VAR37-1:0]} : VAR5;
assign VAR30 = VAR40 ? VAR33 : 32'h00000000;
assign VAR24 = VAR2 &
( (!VAR13 & !VAR18 & !VAR11) || (!VAR13 & VAR18 & !VAR41) || (VAR13 & !VAR18 & !VAR31) || (VAR13 & VAR18 & !VAR14) );
assign VAR43 = VAR2 & !VAR49;
assign VAR8 = VAR9 & VAR6;
VAR42 VAR42(
.clk(clk),
.rst(rst),
.VAR51(VAR8),
.VAR17(VAR5),
.VAR46(VAR49),
.VAR45(VAR36),
.VAR44(VAR31),
.VAR3(VAR11),
.VAR10(VAR14),
.VAR32(VAR41),
.VAR47(VAR25),
.VAR34(VAR34),
.VAR48(VAR48),
.VAR20(VAR20),
.VAR38(VAR40),
.VAR53(VAR53),
.VAR35(VAR35),
.VAR39(VAR39),
.VAR30(VAR33)
);
endmodule
|
gpl-3.0
|
hitomi2500/wasca
|
fpga_firmware/wasca/synthesis/submodules/altera_onchip_flash.v
| 11,386 |
module MODULE1 (
VAR33,
VAR34,
VAR98,
VAR58,
VAR57,
VAR96,
VAR81,
VAR120,
VAR65,
VAR94,
VAR68,
VAR47,
VAR49,
VAR37,
VAR117
);
parameter VAR45 = "VAR107 10";
parameter VAR88 = "VAR85";
parameter VAR111 = "VAR54";
parameter VAR17 = "VAR54";
parameter VAR122 = "VAR54";
parameter VAR35 = "";
parameter VAR14 = "08";
parameter VAR23 = "";
parameter VAR73 = 0;
parameter VAR40 = 0;
parameter VAR112 = 0;
parameter VAR123 = 32;
parameter VAR51 = 32;
parameter VAR13 = 20;
parameter VAR99 = 13;
parameter VAR28 = 32;
parameter VAR62 = 23;
parameter VAR72 = 2; parameter VAR90 = 3; parameter VAR75 = 1; parameter VAR105 = 28; parameter VAR1 = 112; parameter VAR59 = 40603248; parameter VAR78 = 35382; parameter VAR52 = 1;
parameter VAR3 = 1;
parameter VAR119 = 1;
parameter VAR64 = 1;
parameter VAR50 = 1;
parameter VAR106 = 1;
parameter VAR56 = 1;
parameter VAR110 = 1;
parameter VAR42 = 1;
parameter VAR4 = 1;
parameter VAR39 = 1;
parameter VAR67 = 1;
parameter VAR30 = 1;
parameter VAR93 = 1;
parameter VAR69 = 5'b11111;
parameter VAR108 = 1;
parameter VAR27 = 1;
parameter VAR95 = 1;
parameter VAR48 = 1;
parameter VAR9 = 1;
parameter VAR82 = 1;
parameter VAR5 = 1;
parameter VAR121 = 1;
parameter VAR60 = 1;
parameter VAR87 = 1;
input VAR33;
input VAR34;
input VAR98;
input VAR58;
input [VAR13-1:0] VAR57;
input [VAR51-1:0] VAR96;
input [VAR99-1:0] VAR81;
output VAR120;
output VAR65;
output [VAR51-1:0] VAR94;
input VAR68;
input VAR47;
input VAR49;
input [VAR123-1:0] VAR37;
output [VAR123-1:0] VAR117;
wire [VAR51-1:0] VAR83;
wire [VAR123-1:0] VAR114;
wire [31:0] VAR8;
wire [9:0] VAR36;
wire [VAR62-1:0] VAR101;
wire [VAR28-1:0] VAR66;
wire VAR63;
wire VAR71;
wire VAR89;
wire VAR12;
wire VAR103;
wire VAR19;
wire VAR7;
wire VAR10;
wire VAR116;
wire VAR29;
wire VAR70;
wire VAR41;
wire VAR104;
wire VAR46;
wire VAR21;
wire VAR77;
assign VAR94 = VAR83;
generate
if (VAR40 == 0) begin
assign VAR117 = 32'hffffffff;
assign VAR8 = 32'h3fffffff;
end
else begin
assign VAR117 = VAR114;
end
endgenerate
generate
if (VAR14 == "02" || VAR14 == "01") begin
assign VAR46 = 1'b1;
assign VAR103 = 1'b1;
assign VAR19 = 1'b1;
end
else begin
assign VAR46 = VAR73[0];
assign VAR103 = VAR21;
assign VAR19 = VAR77;
end
endgenerate
generate
if (VAR40) begin
VAR43 VAR113 (
.VAR33(VAR33),
.VAR34(VAR34),
.VAR80(VAR68),
.VAR102(VAR47),
.VAR38(VAR49),
.VAR6(VAR37),
.VAR55(VAR114),
.VAR20(VAR8),
.VAR44(VAR36)
);
end
endgenerate
VAR31 # (
.VAR40 (VAR40),
.VAR112 (VAR112),
.VAR13 (VAR13),
.VAR99 (VAR99),
.VAR72 (VAR72),
.VAR90 (VAR90),
.VAR75 (VAR75),
.VAR105 (VAR105),
.VAR1 (VAR1),
.VAR59 (VAR59),
.VAR78 (VAR78),
.VAR52 (VAR52),
.VAR3 (VAR3),
.VAR50 (VAR50),
.VAR106 (VAR106),
.VAR56 (VAR56),
.VAR110 (VAR110),
.VAR42 (VAR42),
.VAR4 (VAR4),
.VAR39 (VAR39),
.VAR67 (VAR67),
.VAR30 (VAR30),
.VAR93 (VAR93),
.VAR69 (VAR69),
.VAR108 (VAR108),
.VAR27 (VAR27),
.VAR95 (VAR95),
.VAR48 (VAR48),
.VAR9 (VAR9),
.VAR82 (VAR82),
.VAR5 (VAR5),
.VAR121 (VAR121),
.VAR60 (VAR60),
.VAR87 (VAR87)
) VAR18 (
.VAR33(VAR33),
.VAR34(VAR34),
.VAR63(VAR63),
.VAR71(VAR71),
.VAR89(VAR89),
.VAR12(VAR12),
.VAR11(VAR66),
.VAR103(VAR21),
.VAR19(VAR77),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR116(VAR116),
.VAR29(VAR29),
.VAR70(VAR70),
.VAR41(VAR41),
.VAR104(VAR104),
.VAR74(VAR101),
.VAR80(VAR98),
.VAR102(VAR58),
.VAR38(VAR57),
.VAR6(VAR96),
.VAR15(VAR81),
.VAR91(VAR120),
.VAR92(VAR65),
.VAR55(VAR83),
.VAR20(VAR8),
.VAR44(VAR36)
);
VAR16 # (
.VAR45 (VAR45),
.VAR88 (VAR88),
.VAR111 (VAR111),
.VAR17 (VAR17),
.VAR122 (VAR122),
.VAR35 (VAR35),
.VAR52 (VAR52),
.VAR3 (VAR3),
.VAR119 (VAR119),
.VAR64 (VAR64),
.VAR82 (VAR82),
.VAR5 (VAR5),
.VAR121 (VAR121),
.VAR60 (VAR60),
.VAR87 (VAR87),
.VAR14 (VAR14),
.VAR23 (VAR23)
) VAR16 (
.VAR86(VAR103),
.VAR22(VAR19),
.VAR2(VAR7),
.VAR115(VAR10),
.VAR109(VAR101),
.VAR84(VAR116),
.VAR79(VAR29),
.VAR118(VAR70),
.VAR97(VAR41),
.VAR32(VAR104),
.VAR24(1'b0),
.VAR61(VAR46),
.VAR76(VAR66),
.VAR53(VAR63),
.VAR100(VAR71),
.VAR26(VAR89),
.VAR25(VAR12)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/tapvpwrvgnd/sky130_fd_sc_hd__tapvpwrvgnd.symbol.v
| 1,239 |
module MODULE1 ();
supply1 VAR4;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_0/synth/design_1_auto_ds_0.v
| 16,099 |
module MODULE1 (
VAR13,
VAR58,
VAR74,
VAR22,
VAR23,
VAR68,
VAR93,
VAR57,
VAR61,
VAR96,
VAR29,
VAR48,
VAR71,
VAR82,
VAR10,
VAR69,
VAR63,
VAR38,
VAR78,
VAR60,
VAR91,
VAR30,
VAR45,
VAR95,
VAR77,
VAR98,
VAR49,
VAR12,
VAR39,
VAR40,
VAR59,
VAR85,
VAR31,
VAR87,
VAR88,
VAR32,
VAR89,
VAR41,
VAR47,
VAR84,
VAR73,
VAR97,
VAR21,
VAR27,
VAR8,
VAR65,
VAR83,
VAR92,
VAR36,
VAR46,
VAR51,
VAR2,
VAR44,
VAR18,
VAR25,
VAR7,
VAR28,
VAR62,
VAR81,
VAR66,
VAR86,
VAR55,
VAR70,
VAR90,
VAR54,
VAR33,
VAR75,
VAR24,
VAR11,
VAR79,
VAR1,
VAR67
);
input wire VAR13;
input wire VAR58;
input wire [12 : 0] VAR74;
input wire [7 : 0] VAR22;
input wire [2 : 0] VAR23;
input wire [1 : 0] VAR68;
input wire [0 : 0] VAR93;
input wire [3 : 0] VAR57;
input wire [2 : 0] VAR61;
input wire [3 : 0] VAR96;
input wire [3 : 0] VAR29;
input wire VAR48;
output wire VAR71;
input wire [63 : 0] VAR82;
input wire [7 : 0] VAR10;
input wire VAR69;
input wire VAR63;
output wire VAR38;
output wire [1 : 0] VAR78;
output wire VAR60;
input wire VAR91;
input wire [12 : 0] VAR30;
input wire [7 : 0] VAR45;
input wire [2 : 0] VAR95;
input wire [1 : 0] VAR77;
input wire [0 : 0] VAR98;
input wire [3 : 0] VAR49;
input wire [2 : 0] VAR12;
input wire [3 : 0] VAR39;
input wire [3 : 0] VAR40;
input wire VAR59;
output wire VAR85;
output wire [63 : 0] VAR31;
output wire [1 : 0] VAR87;
output wire VAR88;
output wire VAR32;
input wire VAR89;
output wire [12 : 0] VAR41;
output wire [7 : 0] VAR47;
output wire [2 : 0] VAR84;
output wire [1 : 0] VAR73;
output wire [0 : 0] VAR97;
output wire [3 : 0] VAR21;
output wire [2 : 0] VAR27;
output wire [3 : 0] VAR8;
output wire [3 : 0] VAR65;
output wire VAR83;
input wire VAR92;
output wire [31 : 0] VAR36;
output wire [3 : 0] VAR46;
output wire VAR51;
output wire VAR2;
input wire VAR44;
input wire [1 : 0] VAR18;
input wire VAR25;
output wire VAR7;
output wire [12 : 0] VAR28;
output wire [7 : 0] VAR62;
output wire [2 : 0] VAR81;
output wire [1 : 0] VAR66;
output wire [0 : 0] VAR86;
output wire [3 : 0] VAR55;
output wire [2 : 0] VAR70;
output wire [3 : 0] VAR90;
output wire [3 : 0] VAR54;
output wire VAR33;
input wire VAR75;
input wire [31 : 0] VAR24;
input wire [1 : 0] VAR11;
input wire VAR79;
input wire VAR1;
output wire VAR67;
VAR15 #(
.VAR5("VAR72"),
.VAR20(0),
.VAR14(1),
.VAR6(0),
.VAR52(13),
.VAR26(64),
.VAR17(32),
.VAR35(1),
.VAR42(1),
.VAR37(0),
.VAR9(1),
.VAR94(2),
.VAR19(0),
.VAR76(256),
.VAR53(1),
.VAR80(3)
) VAR3 (
.VAR13(VAR13),
.VAR58(VAR58),
.VAR56(1'VAR64),
.VAR74(VAR74),
.VAR22(VAR22),
.VAR23(VAR23),
.VAR68(VAR68),
.VAR93(VAR93),
.VAR57(VAR57),
.VAR61(VAR61),
.VAR96(VAR96),
.VAR29(VAR29),
.VAR48(VAR48),
.VAR71(VAR71),
.VAR82(VAR82),
.VAR10(VAR10),
.VAR69(VAR69),
.VAR63(VAR63),
.VAR38(VAR38),
.VAR50(),
.VAR78(VAR78),
.VAR60(VAR60),
.VAR91(VAR91),
.VAR43(1'VAR64),
.VAR30(VAR30),
.VAR45(VAR45),
.VAR95(VAR95),
.VAR77(VAR77),
.VAR98(VAR98),
.VAR49(VAR49),
.VAR12(VAR12),
.VAR39(VAR39),
.VAR40(VAR40),
.VAR59(VAR59),
.VAR85(VAR85),
.VAR4(),
.VAR31(VAR31),
.VAR87(VAR87),
.VAR88(VAR88),
.VAR32(VAR32),
.VAR89(VAR89),
.VAR34(1'VAR64),
.VAR16(1'VAR64),
.VAR41(VAR41),
.VAR47(VAR47),
.VAR84(VAR84),
.VAR73(VAR73),
.VAR97(VAR97),
.VAR21(VAR21),
.VAR27(VAR27),
.VAR8(VAR8),
.VAR65(VAR65),
.VAR83(VAR83),
.VAR92(VAR92),
.VAR36(VAR36),
.VAR46(VAR46),
.VAR51(VAR51),
.VAR2(VAR2),
.VAR44(VAR44),
.VAR18(VAR18),
.VAR25(VAR25),
.VAR7(VAR7),
.VAR28(VAR28),
.VAR62(VAR62),
.VAR81(VAR81),
.VAR66(VAR66),
.VAR86(VAR86),
.VAR55(VAR55),
.VAR70(VAR70),
.VAR90(VAR90),
.VAR54(VAR54),
.VAR33(VAR33),
.VAR75(VAR75),
.VAR24(VAR24),
.VAR11(VAR11),
.VAR79(VAR79),
.VAR1(VAR1),
.VAR67(VAR67)
);
endmodule
|
mit
|
ElegantLin/My-CPU
|
Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/mem.v
| 12,438 |
module MODULE1(
input wire rst,
input wire[VAR33] VAR16,
input wire VAR51,
input wire[VAR6] VAR24,
input wire[VAR6] VAR39,
input wire[VAR6] VAR53,
input wire VAR25,
input wire[VAR59] VAR58,
input wire[VAR6] VAR22,
input wire[VAR6] VAR13,
input wire[VAR6] VAR48,
input wire VAR2,
input wire VAR37,
input wire VAR21,
input wire VAR57,
input wire[4:0] VAR55,
input wire[VAR6] VAR38,
input wire[31:0] VAR43,
input wire VAR60,
input wire[VAR6] VAR49,
input wire[VAR6] VAR42,
input wire[VAR6] VAR56,
input wire[VAR6] VAR52,
input wire VAR27,
input wire[4:0] VAR36,
input wire[VAR6] VAR40,
output reg[VAR33] VAR54,
output reg VAR4,
output reg[VAR6] VAR14,
output reg[VAR6] VAR10,
output reg[VAR6] VAR44,
output reg VAR41,
output reg VAR50,
output reg VAR1,
output reg VAR18,
output reg[4:0] VAR12,
output reg[VAR6] VAR28,
output reg[VAR6] VAR30,
output wire VAR9,
output reg[3:0] VAR31,
output reg[VAR6] VAR19,
output reg VAR3,
output reg[31:0] VAR11,
output wire[VAR6] VAR17,
output wire VAR61,
output wire[VAR6] VAR46
);
reg VAR35;
wire[VAR6] VAR23;
reg[VAR6] VAR32;
reg[VAR6] VAR15;
reg[VAR6] VAR29;
reg VAR45;
assign VAR9 = VAR45 & (~(|VAR11));
assign VAR23 = VAR20;
assign VAR61 = VAR60;
assign VAR46 = VAR49;
assign VAR17 = VAR29;
always @ begin
if(rst == VAR5) begin
VAR54 <= VAR7;
VAR4 <= VAR26;
VAR14 <= VAR20;
VAR10 <= VAR20;
VAR44 <= VAR20;
VAR41 <= VAR26;
VAR30 <= VAR20;
VAR45 <= VAR26;
VAR31 <= 4'b0000;
VAR19 <= VAR20;
VAR3 <= VAR47;
VAR50 <= 1'b0;
VAR1 <= 1'b0;
VAR18 <= VAR26;
VAR12 <= 5'b00000;
VAR28 <= VAR20;
end else begin
VAR54 <= VAR16;
VAR4 <= VAR51;
VAR14 <= VAR24;
VAR10 <= VAR39;
VAR44 <= VAR53;
VAR41 <= VAR25;
VAR45 <= VAR26;
VAR30 <= VAR20;
VAR31 <= 4'b1111;
VAR3 <= VAR47;
VAR50 <= 1'b0;
VAR1 <= 1'b0;
VAR18 <= VAR57;
VAR12 <= VAR55;
VAR28 <= VAR38;
case (VAR58)
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= {{24{VAR48[31]}},VAR48[31:24]};
VAR31 <= 4'b1000;
end
2'b01: begin
VAR14 <= {{24{VAR48[23]}},VAR48[23:16]};
VAR31 <= 4'b0100;
end
2'b10: begin
VAR14 <= {{24{VAR48[15]}},VAR48[15:8]};
VAR31 <= 4'b0010;
end
2'b11: begin
VAR14 <= {{24{VAR48[7]}},VAR48[7:0]};
VAR31 <= 4'b0001;
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= {{24{1'b0}},VAR48[31:24]};
VAR31 <= 4'b1000;
end
2'b01: begin
VAR14 <= {{24{1'b0}},VAR48[23:16]};
VAR31 <= 4'b0100;
end
2'b10: begin
VAR14 <= {{24{1'b0}},VAR48[15:8]};
VAR31 <= 4'b0010;
end
2'b11: begin
VAR14 <= {{24{1'b0}},VAR48[7:0]};
VAR31 <= 4'b0001;
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= {{16{VAR48[31]}},VAR48[31:16]};
VAR31 <= 4'b1100;
end
2'b10: begin
VAR14 <= {{16{VAR48[15]}},VAR48[15:0]};
VAR31 <= 4'b0011;
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= {{16{1'b0}},VAR48[31:16]};
VAR31 <= 4'b1100;
end
2'b10: begin
VAR14 <= {{16{1'b0}},VAR48[15:0]};
VAR31 <= 4'b0011;
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR14 <= VAR48;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
end
VAR30 <= {VAR22[31:2], 2'b00};
VAR45 <= VAR26;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= VAR48[31:0];
end
2'b01: begin
VAR14 <= {VAR48[23:0],VAR13[7:0]};
end
2'b10: begin
VAR14 <= {VAR48[15:0],VAR13[15:0]};
end
2'b11: begin
VAR14 <= {VAR48[7:0],VAR13[23:0]};
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= {VAR22[31:2], 2'b00};
VAR45 <= VAR26;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR14 <= {VAR13[31:8],VAR48[31:24]};
end
2'b01: begin
VAR14 <= {VAR13[31:16],VAR48[31:16]};
end
2'b10: begin
VAR14 <= {VAR13[31:24],VAR48[31:8]};
end
2'b11: begin
VAR14 <= VAR48;
end
default: begin
VAR14 <= VAR20;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR26;
VAR14 <= VAR48;
VAR50 <= 1'b1;
VAR1 <= 1'b1;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
end
VAR30 <= VAR22;
VAR45 <= VAR8;
VAR19 <= {VAR13[7:0],VAR13[7:0],VAR13[7:0],VAR13[7:0]};
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR31 <= 4'b1000;
end
2'b01: begin
VAR31 <= 4'b0100;
end
2'b10: begin
VAR31 <= 4'b0010;
end
2'b11: begin
VAR31 <= 4'b0001;
end
default: begin
VAR31 <= 4'b0000;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR8;
VAR19 <= {VAR13[15:0],VAR13[15:0]};
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR31 <= 4'b1100;
end
2'b10: begin
VAR31 <= 4'b0011;
end
default: begin
VAR31 <= 4'b0000;
end
endcase
end
VAR30 <= VAR22;
VAR45 <= VAR8;
VAR19 <= VAR13;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
end
VAR30 <= {VAR22[31:2], 2'b00};
VAR45 <= VAR8;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR31 <= 4'b1111;
VAR19 <= VAR13;
end
2'b01: begin
VAR31 <= 4'b0111;
VAR19 <= {VAR23[7:0],VAR13[31:8]};
end
2'b10: begin
VAR31 <= 4'b0011;
VAR19 <= {VAR23[15:0],VAR13[31:16]};
end
2'b11: begin
VAR31 <= 4'b0001;
VAR19 <= {VAR23[23:0],VAR13[31:24]};
end
default: begin
VAR31 <= 4'b0000;
end
endcase
end
VAR30 <= {VAR22[31:2], 2'b00};
VAR45 <= VAR8;
VAR3 <= VAR62;
case (VAR22[1:0])
2'b00: begin
VAR31 <= 4'b1000;
VAR19 <= {VAR13[7:0],VAR23[23:0]};
end
2'b01: begin
VAR31 <= 4'b1100;
VAR19 <= {VAR13[15:0],VAR23[15:0]};
end
2'b10: begin
VAR31 <= 4'b1110;
VAR19 <= {VAR13[23:0],VAR23[7:0]};
end
2'b11: begin
VAR31 <= 4'b1111;
VAR19 <= VAR13[31:0];
end
default: begin
VAR31 <= 4'b0000;
end
endcase
end
if(VAR35 == 1'b1) begin
VAR50 <= 1'b1;
VAR1 <= 1'b0;
VAR30 <= VAR22;
VAR45 <= VAR8;
VAR19 <= VAR13;
VAR14 <= 32'b1;
VAR31 <= 4'b1111;
VAR3 <= VAR62;
end else begin
VAR14 <= 32'b0;
end
end
default: begin
end
endcase
end end
always @ begin
if(rst == VAR5) begin
VAR29 <= VAR20;
end else if((VAR27 == VAR8) &&
(VAR36 == VAR34 ))begin
VAR29 <= VAR40;
end else begin
VAR29 <= VAR52;
end
end
always @ begin
if(rst == VAR5) begin
VAR11 <= VAR20;
end else begin
VAR11 <= VAR20;
if(VAR49 != VAR20) begin
if(((VAR15[15:8] & (VAR32[15:8])) != 8'h00) && (VAR32[1] == 1'b0) &&
(VAR32[0] == 1'b1)) begin
VAR11 <= 32'h00000001; end else if(VAR43[8] == 1'b1) begin
VAR11 <= 32'h00000008; end else if(VAR43[9] == 1'b1) begin
VAR11 <= 32'h0000000a; end else if(VAR43[10] ==1'b1) begin
VAR11 <= 32'h0000000d; end else if(VAR43[11] == 1'b1) begin VAR11 <= 32'h0000000c;
end else if(VAR43[12] == 1'b1) begin VAR11 <= 32'h0000000e;
end
end
end
end
endmodule
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.