repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkinvlp/sky130_fd_sc_hdll__clkinvlp.pp.blackbox.v
| 1,281 |
module MODULE1 (
VAR3 ,
VAR2 ,
VAR6,
VAR4,
VAR1 ,
VAR5
);
output VAR3 ;
input VAR2 ;
input VAR6;
input VAR4;
input VAR1 ;
input VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdlclkp/sky130_fd_sc_ls__sdlclkp_1.v
| 2,262 |
module MODULE2 (
VAR8,
VAR4 ,
VAR6,
VAR7 ,
VAR1,
VAR3,
VAR2 ,
VAR9
);
output VAR8;
input VAR4 ;
input VAR6;
input VAR7 ;
input VAR1;
input VAR3;
input VAR2 ;
input VAR9 ;
VAR5 VAR10 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR8,
VAR4 ,
VAR6,
VAR7
);
output VAR8;
input VAR4 ;
input VAR6;
input VAR7 ;
supply1 VAR1;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR9 ;
VAR5 VAR10 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/common/ad_mem_asym.v
| 5,032 |
module MODULE1 (
VAR4,
VAR7,
VAR11,
VAR15,
VAR8,
VAR3,
VAR2);
parameter VAR6 = 10;
parameter VAR9 = 256;
parameter VAR1 = 8;
parameter VAR12 = 64;
localparam VAR10 = 2**VAR6;
localparam VAR14 = 2**VAR1;
localparam VAR16 = (VAR10 > VAR14) ? VAR10 : VAR14;
localparam VAR5 = VAR9/VAR12;
input VAR4;
input VAR7;
input [VAR6-1:0] VAR11;
input [VAR9-1:0] VAR15;
input VAR8;
input [VAR1-1:0] VAR3;
output [VAR12-1:0] VAR2;
reg [VAR12-1:0] VAR13[0:VAR16-1];
reg [VAR12-1:0] VAR2;
generate
if (VAR5 == 2) begin
always @(posedge VAR4) begin
if (VAR7 == 1'b1) begin
VAR13[{VAR11, 1'd0}] <= VAR15[((1*VAR12)-1):(VAR12*0)];
VAR13[{VAR11, 1'd1}] <= VAR15[((2*VAR12)-1):(VAR12*1)];
end
end
end
if (VAR5 == 4) begin
always @(posedge VAR4) begin
if (VAR7 == 1'b1) begin
VAR13[{VAR11, 2'd0}] <= VAR15[((1*VAR12)-1):(VAR12*0)];
VAR13[{VAR11, 2'd1}] <= VAR15[((2*VAR12)-1):(VAR12*1)];
VAR13[{VAR11, 2'd2}] <= VAR15[((3*VAR12)-1):(VAR12*2)];
VAR13[{VAR11, 2'd3}] <= VAR15[((4*VAR12)-1):(VAR12*3)];
end
end
end
if (VAR5 == 8) begin
always @(posedge VAR4) begin
if (VAR7 == 1'b1) begin
VAR13[{VAR11, 3'd0}] <= VAR15[((1*VAR12)-1):(VAR12*0)];
VAR13[{VAR11, 3'd1}] <= VAR15[((2*VAR12)-1):(VAR12*1)];
VAR13[{VAR11, 3'd2}] <= VAR15[((3*VAR12)-1):(VAR12*2)];
VAR13[{VAR11, 3'd3}] <= VAR15[((4*VAR12)-1):(VAR12*3)];
VAR13[{VAR11, 3'd4}] <= VAR15[((5*VAR12)-1):(VAR12*4)];
VAR13[{VAR11, 3'd5}] <= VAR15[((6*VAR12)-1):(VAR12*5)];
VAR13[{VAR11, 3'd6}] <= VAR15[((7*VAR12)-1):(VAR12*6)];
VAR13[{VAR11, 3'd7}] <= VAR15[((8*VAR12)-1):(VAR12*7)];
end
end
end
endgenerate
always @(posedge VAR8) begin
VAR2 <= VAR13[VAR3];
end
endmodule
|
gpl-3.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/fifo/fifo_2clock.v
| 5,188 |
module MODULE1
(input VAR20, input [VAR13-1:0] VAR21, input VAR6, output VAR3, output [15:0] VAR1,
input VAR23, output [VAR13-1:0] VAR14, output VAR12, input VAR15, output [15:0] VAR17,
input VAR9);
wire [VAR8:0] VAR29, VAR5; wire VAR7, VAR4, write, read;
assign VAR3 = ~VAR7;
assign VAR12 = ~VAR4;
assign write = VAR6 & VAR3;
assign read = VAR12 & VAR15;
generate
if((VAR13 <= 36) && (VAR13 > 19)) begin
wire [35:0] VAR10, VAR25;
assign VAR10[VAR13-1:0] = VAR21;
assign VAR14 = VAR25[VAR13-1:0];
if(VAR8==9)
VAR2 VAR2
(.rst(VAR9),
.VAR24(VAR20),.din(VAR10),.VAR7(VAR7),.VAR18(write),.VAR27(VAR5),
.VAR28(VAR23),.dout(VAR25),.VAR4(VAR4),.VAR11(read),.VAR16(VAR29) );
end
else if(VAR8==11)
VAR22 VAR22
(.rst(VAR9),
.VAR24(VAR20),.din(VAR10),.VAR7(VAR7),.VAR18(write),.VAR27(VAR5),
.VAR28(VAR23),.dout(VAR25),.VAR4(VAR4),.VAR11(read),.VAR16(VAR29) );
else if(VAR8==6)
VAR19 VAR19
(.rst(VAR9),
.VAR24(VAR20),.din(VAR10),.VAR7(VAR7),.VAR18(write),.VAR27(VAR5),
.VAR28(VAR23),.dout(VAR25),.VAR4(VAR4),.VAR11(read),.VAR16(VAR29) );
else
VAR2 VAR2
(.rst(VAR9),
.VAR24(VAR20),.din(VAR10),.VAR7(VAR7),.VAR18(write),.VAR27(VAR5),
.VAR28(VAR23),.dout(VAR25),.VAR4(VAR4),.VAR11(read),.VAR16(VAR29) );
end
else if((VAR13 <= 19) && (VAR8 <= 4)) begin
wire [18:0] VAR10, VAR25;
assign VAR10[VAR13-1:0] = VAR21;
assign VAR14 = VAR25[VAR13-1:0];
VAR26 VAR26
(.rst(VAR9),
.VAR24(VAR20),.din(VAR10),.VAR7(VAR7),.VAR18(write),.VAR27(VAR5),
.VAR28(VAR23),.dout(VAR25),.VAR4(VAR4),.VAR11(read),.VAR16(VAR29) );
end
endgenerate
assign VAR17 = {{(16-VAR8-1){1'b0}},VAR29};
assign VAR1 = ((1<<VAR8)+1)-VAR5;
endmodule
|
gpl-2.0
|
schelleg/pynq_tutorial
|
Pynq-Z1/vivado/pynq_tutorial/ip/arduino_io_switch_1.0/src/arduino_switch.v
| 7,222 |
module MODULE1(
input [31:0] VAR15, input [15:0] VAR84, input [15:0] VAR97, input [15:0] VAR123,
input [5:0] VAR120,
output [5:0] VAR47,
output [5:0] VAR28,
input [1:0] VAR102,
output [1:0] VAR98,
output [1:0] VAR67,
input [11:0] VAR49,
output [11:0] VAR40,
output [11:0] VAR116,
input VAR50,
output VAR103,
output VAR70,
input VAR27,
output VAR41,
output VAR14,
input VAR73,
output VAR111,
output VAR77,
input VAR44,
output VAR6,
output VAR36,
input VAR10,
output VAR93,
output VAR4,
input VAR1,
output VAR96,
output VAR101,
output [5:0] VAR5,
input [5:0] VAR83,
input [5:0] VAR22,
output VAR53,
input VAR66,
input VAR19,
output VAR24,
input VAR95,
input VAR29,
output [1:0] VAR25, input [1:0] VAR99, input [1:0] VAR75, output VAR100, input VAR104, input VAR12, output [11:0] VAR82,
input [11:0] VAR89,
input [11:0] VAR117,
output VAR86,
input VAR79,
input VAR108,
output VAR20,
input VAR11,
input VAR72,
output VAR2,
input VAR7,
input VAR58,
output VAR91,
input VAR87,
input VAR78,
output [11:0] VAR32,
output [1:0] VAR57,
output [5:0] VAR64,
output VAR13,
input VAR26,
input VAR68,
output VAR65,
input VAR125,
input VAR21,
output VAR71,
input VAR31,
input VAR18,
output VAR39,
input VAR62,
input VAR85,
output VAR37,
input VAR34,
input VAR43,
output VAR118,
input VAR105,
input VAR76,
input [5:0] VAR124,
input [5:0] VAR8,
output [7:0] VAR94, input [7:0] VAR38, input [7:0] VAR107
);
assign VAR13=VAR50;
assign VAR103=VAR26;
assign VAR70=VAR68;
assign VAR65=VAR27;
assign VAR41=VAR125;
assign VAR14=VAR21;
assign VAR71=VAR73; assign VAR111=VAR31;
assign VAR77=VAR18;
assign VAR39=VAR10;
assign VAR93=VAR62;
assign VAR4=VAR85;
assign VAR37=VAR44;
assign VAR6=VAR34;
assign VAR36=VAR43;
assign VAR118=VAR1;
assign VAR96=VAR105;
assign VAR101=VAR76;
VAR42 VAR51(
.VAR55(VAR15[11:0]),
.VAR59(VAR120), .VAR113(VAR47), .VAR112(VAR28), .VAR122(VAR5), .VAR48(VAR83), .VAR61(VAR22), .VAR90(VAR64),
.VAR45(VAR53), .VAR119(VAR66), .VAR115(VAR19), .VAR110(VAR24), .VAR109(VAR95), .VAR60(VAR29) );
VAR52 VAR121(
.VAR55(VAR15[31]), .VAR59(VAR102), .VAR113(VAR98), .VAR112(VAR67),
.VAR122(VAR25), .VAR48(VAR99), .VAR61(VAR75), .VAR90(VAR57),
.VAR88(VAR100), .VAR54(VAR104), .VAR56(VAR12) );
VAR16 VAR69(
.VAR92(VAR84), .VAR30(VAR97), .VAR46(VAR123),
.VAR59(VAR49), .VAR113(VAR40), .VAR112(VAR116), .VAR122(VAR82), .VAR48(VAR89), .VAR61(VAR117),
.VAR106(VAR86), .VAR3(VAR79), .VAR9(VAR108),
.VAR74(VAR20), .VAR17(VAR11), .VAR33(VAR72),
.VAR114(VAR2), .VAR63(VAR7), .VAR35(VAR58),
.VAR80(VAR91), .VAR23(VAR87), .VAR81(VAR78),
.VAR90(VAR32),
.VAR124(VAR124), .VAR8(VAR8),
.VAR94(VAR94), .VAR38(VAR38), .VAR107(VAR107)
);
endmodule
|
bsd-3-clause
|
dawsonjon/FPGA-TX
|
synthesis/nexys_4/tx/main_0.v
| 379,619 |
module MODULE1(VAR17,VAR24,VAR102,VAR68,VAR91,VAR57,VAR65,VAR87,VAR109,VAR108,VAR31,VAR11,clk,rst,VAR44,VAR40,VAR81,VAR36,VAR67,VAR5,VAR100,VAR4,VAR64,VAR56,VAR82,VAR46,VAR95,VAR34,VAR30,VAR54);
integer VAR32;
parameter VAR96 = 4'd0,
VAR104 = 4'd1,
VAR2 = 4'd2,
VAR10 = 4'd3,
VAR78 = 4'd4,
VAR49 = 4'd5,
read = 4'd6,
write = 4'd7,
VAR61 = 4'd8,
VAR26 = 4'd9,
VAR73 = 4'd10;
input [31:0] VAR17;
input [31:0] VAR24;
input [31:0] VAR102;
input VAR68;
input VAR91;
input VAR57;
input VAR65;
input VAR87;
input VAR109;
input VAR108;
input VAR31;
input VAR11;
input clk;
input rst;
output [31:0] VAR44;
output [31:0] VAR40;
output [31:0] VAR81;
output [31:0] VAR36;
output [31:0] VAR67;
output [31:0] VAR5;
output VAR100;
output VAR4;
output VAR64;
output VAR56;
output VAR82;
output VAR46;
output VAR95;
output VAR34;
output VAR30;
reg [31:0] VAR74;
reg [63:0] VAR6;
reg [15:0] VAR8;
reg [15:0] VAR27;
reg [15:0] VAR105;
reg [44:0] VAR77;
reg [4:0] VAR1;
reg [3:0] VAR52;
reg [3:0] VAR43;
reg [3:0] VAR90;
reg VAR37;
reg [3:0] VAR92;
reg [3:0] VAR51;
reg [3:0] VAR28;
reg [3:0] VAR18;
reg [31:0] VAR21;
reg [31:0] VAR19;
reg [31:0] VAR66;
reg [31:0] VAR70;
reg [15:0] VAR15;
reg [31:0] VAR84;
reg [31:0] VAR50;
reg [31:0] VAR93;
reg [31:0] VAR72;
reg [63:0] VAR7;
reg [31:0] VAR14;
reg [15:0] address;
reg [31:0] VAR47;
reg [31:0] VAR45;
reg [31:0] VAR48;
reg [31:0] VAR16;
reg [31:0] VAR106;
reg [31:0] VAR83;
reg [31:0] VAR101;
reg [31:0] VAR12;
reg [31:0] VAR60;
reg [31:0] VAR89;
reg [31:0] VAR63;
reg [31:0] VAR13;
reg [31:0] VAR39;
reg [31:0] VAR9;
reg [31:0] VAR59;
reg [31:0] VAR33;
reg [31:0] VAR99;
reg [31:0] VAR79;
reg [10:0] state;
output reg VAR54;
reg [28:0] VAR3 [1485:0];
reg [31:0] memory [4096:0];
reg [31:0] VAR107 [15:0];
wire [31:0] VAR71;
wire [31:0] VAR29;
wire [31:0] VAR103;
wire [31:0] VAR20;
wire [15:0] VAR98;
wire [4:0] VAR38;
wire [3:0] VAR75;
wire [3:0] VAR55;
wire [3:0] VAR42;
wire [15:0] VAR41;
wire [15:0] VAR62;
wire [31:0] VAR86;
wire VAR88;
reg [31:0] VAR97;
reg [32:0] VAR76;
reg [31:0] VAR94;
reg [31:0] VAR58;
reg [31:0] VAR85;
reg [31:0] VAR25;
reg VAR80;
reg VAR22;
reg [31:0] VAR69;
reg [31:0] VAR35;
reg [31:0] VAR23;
reg [31:0] VAR53;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlxtn/sky130_fd_sc_lp__dlxtn_1.v
| 2,204 |
module MODULE2 (
VAR5 ,
VAR7 ,
VAR2,
VAR3 ,
VAR8 ,
VAR9 ,
VAR1
);
output VAR5 ;
input VAR7 ;
input VAR2;
input VAR3 ;
input VAR8 ;
input VAR9 ;
input VAR1 ;
VAR6 VAR4 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR5 ,
VAR7 ,
VAR2
);
output VAR5 ;
input VAR7 ;
input VAR2;
supply1 VAR3;
supply0 VAR8;
supply1 VAR9 ;
supply0 VAR1 ;
VAR6 VAR4 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_common/rtl/bw_io_dtl_flps.v
| 2,093 |
module MODULE1 (
VAR9, VAR12,
VAR13, clk, VAR10, VAR6
);
output [2:0] VAR9;
input [2:0] VAR13;
output VAR12;
input clk;
input VAR10;
input VAR6;
VAR3 VAR8 (
.VAR9 (VAR9[0]),
.VAR12 (VAR1),
.VAR4 (clk),
.VAR13 (VAR13[0]),
.VAR6 (VAR6),
.VAR2 (VAR10)
);
VAR3 VAR5 (
.VAR9 (VAR9[1]),
.VAR12 (VAR11),
.VAR4 (clk),
.VAR13 (VAR13[1]),
.VAR6 (VAR6),
.VAR2 (VAR1)
);
VAR3 VAR7 (
.VAR9 (VAR9[2]),
.VAR12 (VAR12),
.VAR4 (clk),
.VAR13 (VAR13[2]),
.VAR6 (VAR6),
.VAR2 (VAR11)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfrtn/sky130_fd_sc_hs__sdfrtn.functional.pp.v
| 2,194 |
module MODULE1 (
VAR9 ,
VAR14 ,
VAR3 ,
VAR13 ,
VAR7 ,
VAR16 ,
VAR5 ,
VAR8
);
input VAR9 ;
input VAR14 ;
output VAR3 ;
input VAR13 ;
input VAR7 ;
input VAR16 ;
input VAR5 ;
input VAR8;
wire VAR15 ;
wire VAR2 ;
wire VAR20 ;
wire VAR12;
not VAR18 (VAR2 , VAR8 );
not VAR17 (VAR20 , VAR13 );
VAR6 VAR4 (VAR12, VAR7, VAR16, VAR5 );
VAR11 VAR10 VAR19 (VAR15 , VAR12, VAR20, VAR2, VAR9, VAR14);
buf VAR1 (VAR3 , VAR15 );
endmodule
|
apache-2.0
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_hps_0_hps_io.v
| 1,928 |
module MODULE1 (
output wire [12:0] VAR4, output wire [2:0] VAR8, output wire VAR13, output wire VAR6, output wire VAR5, output wire VAR2, output wire VAR3, output wire VAR7, output wire VAR9, output wire VAR18, inout wire [7:0] VAR14, inout wire VAR1, inout wire VAR10, output wire VAR11, output wire VAR15, input wire VAR17 );
VAR16 VAR12 (
.VAR4 (VAR4), .VAR8 (VAR8), .VAR13 (VAR13), .VAR6 (VAR6), .VAR5 (VAR5), .VAR2 (VAR2), .VAR3 (VAR3), .VAR7 (VAR7), .VAR9 (VAR9), .VAR18 (VAR18), .VAR14 (VAR14), .VAR1 (VAR1), .VAR10 (VAR10), .VAR11 (VAR11), .VAR15 (VAR15), .VAR17 (VAR17) );
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/nor4/sky130_fd_sc_ms__nor4.blackbox.v
| 1,308 |
module MODULE1 (
VAR3,
VAR4,
VAR9,
VAR7,
VAR2
);
output VAR3;
input VAR4;
input VAR9;
input VAR7;
input VAR2;
supply1 VAR8;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dfxtp/sky130_fd_sc_hd__dfxtp_1.v
| 2,128 |
module MODULE2 (
VAR2 ,
VAR6 ,
VAR5 ,
VAR7,
VAR8,
VAR9 ,
VAR1
);
output VAR2 ;
input VAR6 ;
input VAR5 ;
input VAR7;
input VAR8;
input VAR9 ;
input VAR1 ;
VAR4 VAR3 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR2 ,
VAR6,
VAR5
);
output VAR2 ;
input VAR6;
input VAR5 ;
supply1 VAR7;
supply0 VAR8;
supply1 VAR9 ;
supply0 VAR1 ;
VAR4 VAR3 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_dmaster.v
| 21,669 |
module MODULE1 #(
parameter VAR20 = 0,
parameter VAR21 = 50000,
parameter VAR26 = 2
) (
input wire VAR30, input wire VAR19, output wire [31:0] VAR32, input wire [31:0] VAR14, output wire VAR2, output wire VAR25, output wire [31:0] VAR47, input wire VAR35, input wire VAR46, output wire [3:0] VAR34, output wire VAR24 );
wire VAR15; wire [7:0] VAR27; wire VAR12; wire [7:0] VAR10; wire VAR31; wire VAR38; wire [7:0] VAR4; wire VAR45; wire VAR33; wire [7:0] VAR5; wire VAR28; wire [7:0] VAR11; wire VAR6; wire VAR40; wire VAR22; wire [7:0] VAR39; wire VAR41; wire VAR37; wire VAR3; wire VAR13; wire [7:0] VAR8; wire VAR18; wire VAR7; wire VAR36; wire VAR16; wire [7:0] VAR48; wire VAR43; wire [7:0] VAR44; wire VAR23; wire VAR42; wire VAR17; wire [7:0] VAR1; wire VAR9; wire VAR29;
generate
if (VAR20 != 0)
begin
begin
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/iso1p/sky130_fd_sc_lp__iso1p.behavioral.pp.v
| 1,872 |
module MODULE1 (
VAR13 ,
VAR7 ,
VAR2,
VAR12,
VAR6 ,
VAR3 ,
VAR1
);
output VAR13 ;
input VAR7 ;
input VAR2;
input VAR12;
input VAR6 ;
input VAR3 ;
input VAR1 ;
wire VAR11 ;
wire VAR9;
VAR10 VAR5 (VAR11 , VAR7, VAR12, VAR6 );
VAR10 VAR8 (VAR9, VAR2, VAR12, VAR6 );
or VAR4 (VAR13 , VAR11, VAR9);
endmodule
|
apache-2.0
|
DProvinciani/Arquitectura_TPF
|
Codigo_fuente/3-execution/third_step.v
| 2,411 |
module MODULE1(
input wire VAR24,
input wire [5:0] VAR33,
input wire VAR1,
input wire [1:0] VAR18,
input wire [1:0] VAR21,
input wire [31:0] VAR7,
input wire [31:0] VAR19,
input wire [31:0] VAR37,
input wire [4:0] VAR14,
input wire [4:0] VAR36,
input wire [31:0] VAR27,
input wire [31:0] VAR25,
output wire [31:0] VAR32,
output wire [31:0] VAR4,
output wire [4:0] VAR5
);
mux #(5) VAR35 (
.select(VAR1),
.VAR10(VAR14),
.VAR26(VAR36),
.VAR34(VAR5)
);
wire [31:0] VAR11;
VAR3 VAR6
(
.sel(VAR18),
.VAR10(VAR7),
.VAR26(VAR27),
.VAR23(VAR25),
.VAR13(),
.VAR34(VAR11)
);
wire [31:0] VAR8;
VAR3 VAR9
(
.sel(VAR21),
.VAR10(VAR19),
.VAR26(VAR27),
.VAR23(VAR25),
.VAR13(),
.VAR34(VAR8)
);
wire [31:0] VAR16;
mux VAR22 (
.select(VAR24),
.VAR10(VAR8),
.VAR26(VAR37),
.VAR34(VAR16)
);
wire [3:0] VAR31;
VAR15 VAR38 (
.VAR33(VAR33), .VAR17(VAR37[5:0]),
.VAR31(VAR31)
);
alu VAR12 (
.VAR2(VAR11),
.VAR28(VAR16),
.VAR15(VAR31),
.VAR29(VAR32),
.VAR30()
);
wire [31:0] VAR20;
assign VAR4 = VAR8;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdfsbp/sky130_fd_sc_ms__sdfsbp.functional.pp.v
| 2,306 |
module MODULE1 (
VAR4 ,
VAR8 ,
VAR16 ,
VAR3 ,
VAR9 ,
VAR18 ,
VAR7,
VAR10 ,
VAR21 ,
VAR14 ,
VAR1
);
output VAR4 ;
output VAR8 ;
input VAR16 ;
input VAR3 ;
input VAR9 ;
input VAR18 ;
input VAR7;
input VAR10 ;
input VAR21 ;
input VAR14 ;
input VAR1 ;
wire VAR20 ;
wire VAR13 ;
wire VAR17;
not VAR5 (VAR13 , VAR7 );
VAR11 VAR6 (VAR17, VAR3, VAR9, VAR18 );
VAR2 VAR15 VAR12 (VAR20 , VAR17, VAR16, VAR13, , VAR10, VAR21);
buf VAR19 (VAR4 , VAR20 );
not VAR22 (VAR8 , VAR20 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21bai/sky130_fd_sc_hdll__o21bai.behavioral.v
| 1,662 |
module MODULE1 (
VAR7 ,
VAR9 ,
VAR10 ,
VAR14
);
output VAR7 ;
input VAR9 ;
input VAR10 ;
input VAR14;
supply1 VAR15;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR13 ;
wire VAR4 ;
wire VAR2 ;
wire VAR11;
not VAR12 (VAR4 , VAR14 );
or VAR8 (VAR2 , VAR10, VAR9 );
nand VAR1 (VAR11, VAR4, VAR2 );
buf VAR3 (VAR7 , VAR11 );
endmodule
|
apache-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pipe_rate.v
| 46,086 |
module MODULE1 #
(
parameter VAR79 = "VAR38", parameter VAR82 = "VAR53", parameter VAR67 = "3.0", parameter VAR130 = "VAR20", parameter VAR24 = "VAR106", parameter VAR131 = "VAR38", parameter VAR85 = "VAR38", parameter VAR39 = "VAR106", parameter VAR89 = 4'd15
)
(
input VAR56,
input VAR133,
input VAR9,
input VAR21,
input [ 1:0] VAR29,
input VAR66,
input VAR118,
input VAR91,
input VAR44,
input VAR77,
input VAR3,
input VAR110,
input VAR33,
input VAR75,
input VAR129,
input VAR80,
input VAR49,
input VAR1,
output VAR43,
output VAR60,
output VAR116,
output VAR114,
output VAR64,
output VAR52,
output VAR100,
output [ 1:0] VAR51,
output VAR2,
output VAR101,
output VAR86,
output VAR98,
output [ 2:0] VAR55,
output VAR35,
output VAR108,
output VAR124,
output VAR47,
output VAR50,
output VAR45,
output [4:0] VAR63
);
reg VAR28;
reg [ 1:0] VAR36;
reg VAR71;
reg VAR125;
reg VAR46;
reg VAR83;
reg VAR68;
reg VAR87;
reg VAR54;
reg VAR126;
reg VAR19;
reg VAR30;
reg VAR62;
reg VAR12;
reg VAR4;
reg VAR22;
reg [ 1:0] VAR72;
reg VAR92;
reg VAR61;
reg VAR102;
reg VAR120;
reg VAR11;
reg VAR7;
reg VAR25;
reg VAR103;
reg VAR105;
reg VAR78;
reg VAR95;
reg VAR34;
reg VAR127;
wire VAR97;
wire [ 2:0] VAR112;
reg [ 3:0] VAR111 = 4'd0;
reg VAR73 = 1'd0;
reg VAR58 = 1'd0;
reg VAR65 = 1'd0;
reg VAR26 = 1'd0;
reg VAR16 = 1'd0;
reg VAR10 = 1'd0;
reg VAR31 = 1'd0;
reg VAR99 = 1'd0;
reg VAR18 = 1'd0;
reg VAR135 = 1'd0;
reg VAR32 = 1'd0;
reg [ 1:0] VAR113 = (VAR130 == "VAR115") ? 2'd1 : 2'd0;
reg VAR96 = 1'd0;
reg VAR121 = 1'd0;
reg [ 2:0] VAR69 = 3'd0;
reg VAR123 = 1'd0;
reg VAR17 = 1'd0;
reg VAR37 = 1'd0;
reg [4:0] fsm = 0;
localparam VAR48 = 0;
localparam VAR40 = 1; localparam VAR122 = 2; localparam VAR23 = 3; localparam VAR88 = 4;
localparam VAR70 = 5;
localparam VAR134 = 6; localparam VAR90 = 7; localparam VAR128 = 8; localparam VAR104 = 9; localparam VAR107 = 10; localparam VAR8 = 11; localparam VAR41 = 12; localparam VAR42 = 13;
localparam VAR27 = 14;
localparam VAR93 = 15;
localparam VAR84 = 16;
localparam VAR5 = 17;
localparam VAR59 = 18;
localparam VAR81 = 19;
localparam VAR94 = 20;
localparam VAR76 = 21;
localparam VAR13 = 22; localparam VAR74 = 23; localparam VAR6 = 24;
localparam VAR15 = 25;
localparam VAR109 = 26;
localparam VAR57 = 27;
localparam VAR132 = 28; localparam VAR119 = 29; localparam VAR14 = 30;
always @ (posedge VAR56)
begin
if (!VAR133)
begin
VAR28 <= 1'd0;
VAR36 <= 2'd0;
VAR71 <= 1'd0;
VAR125 <= 1'd0;
VAR46 <= 1'd0;
VAR83 <= 1'd0;
VAR68 <= 1'd0;
VAR87 <= 1'd0;
VAR54 <= 1'd0;
VAR126 <= 1'd0;
VAR19 <= 1'd0;
VAR30 <= 1'd0;
VAR62 <= 1'd0;
VAR12 <= 1'd0;
VAR4 <= 1'd0;
VAR22 <= 1'd0;
VAR72 <= 2'd0;
VAR92 <= 1'd0;
VAR61 <= 1'd0;
VAR102 <= 1'd0;
VAR120 <= 1'd0;
VAR11 <= 1'd0;
VAR7 <= 1'd0;
VAR25 <= 1'd0;
VAR103 <= 1'd0;
VAR105 <= 1'd0;
VAR78 <= 1'd0;
VAR95 <= 1'd0;
VAR34 <= 1'd0;
VAR127 <= 1'd0;
end
else
begin
VAR28 <= VAR9;
VAR36 <= VAR29;
VAR71 <= VAR66;
VAR125 <= VAR118;
VAR46 <= VAR91;
VAR83 <= VAR44;
VAR68 <= VAR77;
VAR87 <= VAR3;
VAR54 <= VAR110;
VAR126 <= VAR33;
VAR19 <= VAR75;
VAR30 <= VAR129;
VAR62 <= VAR80;
VAR12 <= VAR49;
VAR4 <= VAR1;
VAR22 <= VAR28;
VAR72 <= VAR36;
VAR92 <= VAR71;
VAR61 <= VAR125;
VAR102 <= VAR46;
VAR120 <= VAR83;
VAR11 <= VAR68;
VAR7 <= VAR87;
VAR25 <= VAR54;
VAR103 <= VAR126;
VAR105 <= VAR19;
VAR78 <= VAR30;
VAR95 <= VAR62;
VAR34 <= VAR12;
VAR127 <= VAR4;
end
end
assign VAR97 = (VAR72 == 2'd2) || (VAR130 == "VAR115") ? VAR61 : VAR92;
assign VAR112 = (VAR72 == 2'd1) && (VAR130 == "VAR115") ? 3'd2 :
(VAR72 == 2'd1) && (VAR130 == "VAR20") ? 3'd1 : 3'd0;
always @ (posedge VAR56)
begin
if (!VAR133)
VAR111 <= 4'd0;
end
else
if ((fsm == VAR42) && (VAR111 < VAR89))
VAR111 <= VAR111 + 4'd1;
else if ((fsm == VAR42) && (VAR111 == VAR89))
VAR111 <= VAR111;
else
VAR111 <= 4'd0;
end
always @ (posedge VAR56)
begin
if (!VAR133)
begin
VAR73 <= 1'd0;
VAR58 <= 1'd0;
VAR65 <= 1'd0;
VAR26 <= 1'd0;
end
else
begin
if (fsm == VAR76)
begin
if (VAR103)
VAR73 <= 1'd1;
end
else
VAR73 <= VAR73;
if (VAR105)
VAR58 <= 1'd1;
end
else
VAR58 <= VAR58;
if (VAR78)
VAR65 <= 1'd1;
end
else
VAR65 <= VAR65;
if (VAR58 && VAR73 && VAR65)
VAR26 <= 1'd1;
else
VAR26 <= VAR26;
end
else
begin
VAR73 <= 1'd0;
VAR58 <= 1'd0;
VAR65 <= 1'd0;
VAR26 <= 1'd0;
end
end
end
always @ (posedge VAR56)
begin
if (!VAR133)
begin
fsm <= VAR23;
VAR16 <= 1'd0;
VAR10 <= 1'd0;
VAR31 <= 1'd0;
VAR99 <= 1'd0;
VAR18 <= 1'd0;
VAR135 <= 1'd0;
VAR32 <= 1'd0;
VAR113 <= (VAR130 == "VAR115") ? 2'd1 : 2'd0;
VAR121 <= 1'd0;
VAR96 <= 1'd0;
VAR69 <= 3'd0;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
else
begin
case (fsm)
VAR48 :
begin
if (VAR72 != VAR36)
begin
fsm <= ((VAR72 == 2'd2) || (VAR36 == 2'd2)) ? VAR40 : VAR42;
VAR16 <= (VAR72 == 2'd2);
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
else
begin
fsm <= VAR48;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
end
VAR40 :
begin
fsm <= VAR122;
VAR16 <= VAR16;
VAR10 <= (VAR130 == "VAR115");
VAR31 <= 1'd0;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR122 :
begin
fsm <= VAR23;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= (VAR130 == "VAR115");
VAR18 <= 1'd0;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR23 :
begin
fsm <= (VAR97 ? ((!VAR22 || (VAR72 == 2'd1)) ? VAR134 : VAR88) : VAR23);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR88 :
begin
fsm <= (!VAR120) ? VAR70 : VAR88;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd1;
VAR17 <= 1'd1;
VAR37 <= 1'd1;
end
VAR70 :
begin
fsm <= VAR120 ? VAR134 : VAR70;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd1;
VAR37 <= 1'd1;
end
VAR134 :
begin
fsm <= VAR90;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= ((VAR72 == 2'd2) || VAR16);
VAR32 <= ((VAR72 == 2'd2) || VAR16);
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR90 :
begin
fsm <= VAR128;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= ((VAR72 == 2'd2) || (VAR130 == "VAR115")) ? 2'd1 : 2'd0;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR128 :
begin
fsm <= (VAR102 && !VAR11 ? VAR104 : VAR128);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR104:
begin
fsm <= (!VAR120 ? VAR107 : VAR104);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= ((VAR72 == 2'd1) || (VAR72 == 2'd2));
VAR96 <= (VAR72 == 2'd2);
VAR69 <= (((VAR72 == 2'd2) || VAR16) ? VAR112 : VAR69);
VAR123 <= 1'd1;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR107 :
begin
fsm <= ((VAR120 && VAR97) ? (VAR22 ? VAR8 : VAR48): VAR107);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR8 :
begin
fsm <= VAR41;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= 1'd0;
VAR32 <= 1'd0;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR41 :
begin
fsm <= (((VAR25 && VAR7 && !VAR78) || !VAR21) ? VAR42 : VAR41);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR42 :
begin
fsm <= (VAR111 == VAR89) ? VAR27 : VAR42;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR27 :
begin
fsm <= ((VAR82 == "VAR117") && ((VAR72 == 2'd1) || ((!VAR16) && (VAR72 == 2'd0)))) ? VAR93 : VAR5;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= ((VAR72 == 2'd1) || (VAR72 == 2'd2));
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR93 :
begin
fsm <= (!VAR120) ? VAR84 : VAR93;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd1;
VAR17 <= 1'd1;
VAR37 <= 1'd1;
end
VAR84 :
begin
fsm <= VAR120 ? VAR5 : VAR84;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd1;
VAR37 <= 1'd1;
end
VAR5 :
begin
fsm <= ((VAR82 == "VAR117") && ((VAR72 == 2'd1) || ((!VAR16) && (VAR72 == 2'd0)))) ? VAR59 : VAR76;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR112; VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR59 :
begin
fsm <= (!VAR11) ? VAR81 : VAR59;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR81 :
begin
fsm <= (!VAR120) ? VAR94 : VAR81;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd1;
VAR17 <= 1'd1;
VAR37 <= 1'd0;
end
VAR94 :
begin
fsm <= VAR120 ? VAR76 : VAR94;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd1;
VAR37 <= 1'd0;
end
VAR76 :
begin
if (VAR26 || (VAR72 == 2'd2) || (VAR16) || !VAR21)
if ((VAR67 == "1.0") && (VAR72 != 2'd2) && (!VAR16))
fsm <= VAR13;
end
else
fsm <= VAR6;
end
else
fsm <= VAR76;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR13:
begin
fsm <= (!VAR95 ? VAR74 : VAR13);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR74 :
begin
fsm <= (VAR95 ? VAR6 : VAR74);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR6 :
begin
fsm <= VAR15;
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= (VAR130 == "VAR115") ? 1'd1 : (VAR72 == 2'd2);
VAR18 <= (VAR130 == "VAR115") ? 1'd0 : (VAR72 != 2'd2);
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR15 :
begin
fsm <= (((VAR72 == 2'd2) || (VAR85 == "VAR38")) ? VAR109 : VAR132);
VAR16 <= VAR16;
VAR10 <= (VAR130 == "VAR115") ? 1'd1 : (VAR72 == 2'd2);
VAR31 <= (VAR130 == "VAR115") ? 1'd0 : (VAR72 != 2'd2);
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR109:
begin
fsm <= (!VAR34 ? VAR57 : VAR109);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR57:
begin
fsm <= (VAR34 ? VAR132 : VAR57);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR132 :
begin
fsm <= (((VAR72 == 2'd2) && (VAR39 == "VAR38") && (VAR131 == "VAR106")) ? VAR119 : VAR48);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR119:
begin
fsm <= (!VAR127 ? VAR14 : VAR119);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
VAR14:
begin
fsm <= (VAR127 ? VAR48 : VAR14);
VAR16 <= VAR16;
VAR10 <= VAR10;
VAR31 <= VAR31;
VAR99 <= VAR99;
VAR18 <= VAR18;
VAR135 <= VAR135;
VAR32 <= VAR32;
VAR113 <= VAR113;
VAR121 <= VAR121;
VAR96 <= VAR96;
VAR69 <= VAR69;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
default :
begin
fsm <= VAR48;
VAR16 <= 1'd0;
VAR10 <= 1'd0;
VAR31 <= 1'd0;
VAR99 <= 1'd0;
VAR18 <= 1'd0;
VAR135 <= 1'd0;
VAR32 <= 1'd0;
VAR113 <= (VAR130 == "VAR115") ? 2'd1 : 2'd0;
VAR121 <= 1'd0;
VAR96 <= 1'd0;
VAR69 <= 3'd0;
VAR123 <= 1'd0;
VAR17 <= 1'd0;
VAR37 <= 1'd0;
end
endcase
end
end
assign VAR43 = ((VAR24 == "VAR38") ? 1'd0 : VAR10);
assign VAR60 = ((VAR24 == "VAR38") ? 1'd0 : VAR31);
assign VAR116 = ((VAR24 == "VAR38") ? 1'd0 : VAR99);
assign VAR114 = ((VAR24 == "VAR38") ? 1'd0 : VAR18);
assign VAR64 = VAR135;
assign VAR52 = VAR32;
assign VAR51 = VAR113;
assign VAR100 = VAR123;
assign VAR86 = VAR17;
assign VAR98 = VAR37;
assign VAR2 = VAR121;
assign VAR101 = VAR96;
assign VAR55 = VAR69;
assign VAR35 = (fsm == VAR13);
assign VAR108 = (fsm == VAR109);
assign VAR124 = (fsm == VAR132);
assign VAR47 = (fsm == VAR119);
assign VAR50 = ((fsm == VAR119) || (fsm == VAR14));
assign VAR45 = (fsm == VAR48);
assign VAR63 = fsm;
endmodule
|
gpl-3.0
|
bigeagle/riffa
|
fpga/xilinx/vc709/riffa_wrapper_vc709.v
| 34,640 |
module MODULE1
parameter VAR125 = 128,
parameter VAR81 = 256,
parameter VAR53 = 5
)
(
input VAR309,
input VAR299,
input [VAR125-1:0] VAR229,
input [(VAR125/32)-1:0] VAR264,
input [VAR164-1:0] VAR228,
output VAR168,
input VAR199,
input VAR126,
input [VAR125-1:0] VAR133,
input [(VAR125/32)-1:0] VAR17,
input [VAR89-1:0] VAR283,
output VAR163,
input VAR15,
output VAR237,
output VAR226,
output [VAR125-1:0] VAR108,
output [(VAR125/32)-1:0] VAR259,
output [VAR308-1:0] VAR202,
input VAR73,
output VAR68,
output VAR251,
output [VAR125-1:0] VAR23,
output [(VAR125/32)-1:0] VAR267,
output [VAR25-1:0] VAR243,
input VAR66,
input VAR93,
output [3:0] VAR296,
output [1:0] VAR185,
input [1:0] VAR172,
input VAR284,
input [31:0] VAR258,
output [3:0] VAR181,
output [31:0] VAR107,
output [63:0] VAR83,
input VAR9,
input VAR282,
output [2:0] VAR90,
output VAR139,
output [1:0] VAR166,
output [8:0] VAR268,
output [2:0] VAR317,
input [7:0] VAR316,
input [11:0] VAR44,
output [2:0] VAR173,
input [3:0] VAR213, input [2:0] VAR57, input [2:0] VAR214, input [2:0] VAR169, input [7:0] VAR159, input [1:0] VAR5,
output VAR56,
output VAR121,
input [VAR207-1:0] VAR260, output [VAR207-1:0] VAR134, input [VAR207-1:0] VAR105, output [VAR207-1:0] VAR88, output [(VAR207*VAR292)-1:0] VAR116, output [(VAR207*VAR273)-1:0] VAR221, output [(VAR207*VAR125)-1:0] VAR59, output [VAR207-1:0] VAR311, input [VAR207-1:0] VAR26,
input [VAR207-1:0] VAR274, input [VAR207-1:0] VAR60, output [VAR207-1:0] VAR183, input [VAR207-1:0] VAR247, input [(VAR207*VAR292)-1:0] VAR252, input [(VAR207*VAR273)-1:0] VAR280, input [(VAR207*VAR125)-1:0] VAR261, input [VAR207-1:0] VAR76, output [VAR207-1:0] VAR152
);
localparam VAR210 = "VAR211"; localparam VAR312 = VAR81 * 2;
localparam VAR196 = "VAR160";
localparam VAR222 = VAR125 / 32;
localparam VAR314 = 1;
localparam VAR302 = 1;
wire clk;
wire VAR115;
wire [VAR125-1:0] VAR319;
wire VAR293;
wire VAR197;
wire [(VAR125/32)-1:0] VAR86;
wire [VAR170(VAR125/32)-1:0] VAR240;
wire [VAR208-1:0] VAR118;
wire VAR262;
wire [VAR170(VAR125/32)-1:0] VAR176;
wire [VAR131-1:0] VAR72;
wire [VAR137-1:0] VAR146;
wire [VAR61-1:0] VAR300;
wire [VAR52-1:0] VAR204;
wire [VAR224-1:0] VAR275;
wire [VAR43-1:0] VAR75;
wire [VAR37-1:0] VAR80;
wire VAR29;
wire [VAR125-1:0] VAR112;
wire VAR315;
wire [(VAR125/32)-1:0] VAR235;
wire VAR13;
wire [VAR170(VAR125/32)-1:0] VAR10;
wire [VAR208-1:0] VAR153;
wire VAR205;
wire [VAR170(VAR125/32)-1:0] VAR48;
wire [VAR131-1:0] VAR158;
wire [VAR19-1:0] VAR106;
wire [VAR254-1:0] VAR130;
wire [VAR137-1:0] VAR272;
wire [VAR52-1:0] VAR253;
wire [VAR295-1:0] VAR71;
wire [VAR194-1:0] VAR35;
wire [VAR11-1:0] VAR285;
wire [VAR224-1:0] VAR78;
wire VAR100;
wire VAR27;
wire [VAR125-1:0] VAR58;
wire VAR203;
wire [VAR170(VAR125/32)-1:0] VAR65;
wire VAR85;
wire [VAR170(VAR125/32)-1:0] VAR156;
wire VAR45;
wire VAR91;
wire [VAR208-1:0] VAR290;
wire [VAR131-1:0] VAR256;
wire [VAR61-1:0] VAR95;
wire [VAR52-1:0] VAR277;
wire [VAR224-1:0] VAR102;
wire [VAR43-1:0] VAR218;
wire [VAR137-1:0] VAR263;
wire [VAR11-1:0] VAR144;
wire [VAR19-1:0] VAR14;
wire [VAR254-1:0] VAR219;
wire VAR7;
wire VAR271;
wire VAR234;
wire VAR3;
wire [VAR125-1:0] VAR40;
wire VAR79;
wire [VAR170(VAR125/32)-1:0] VAR195;
wire VAR212;
wire [VAR170(VAR125/32)-1:0] VAR291;
wire VAR236;
wire VAR220;
wire [VAR208-1:0] VAR82;
wire [VAR131-1:0] VAR200;
wire [VAR295-1:0] VAR67;
wire [VAR224-1:0] VAR74;
wire [VAR137-1:0] VAR18;
wire [VAR19-1:0] VAR206;
wire [VAR254-1:0] VAR201;
wire [VAR52-1:0] VAR128;
wire VAR184;
wire VAR281;
wire VAR30;
wire VAR28;
wire [VAR125-1:0] VAR255 = 0;
wire VAR135 = 0;
wire [VAR250-1:0] VAR165 = 0;
wire VAR122 = 0;
wire [VAR250-1:0] VAR286 = 0;
wire VAR120 = 0;
wire [VAR194-1:0] VAR64 = 0;
wire VAR69 = 0;
wire [VAR125-1:0] VAR8;
wire VAR96;
wire [VAR250-1:0] VAR294;
wire VAR157;
wire [VAR250-1:0] VAR143;
wire VAR114;
wire VAR209;
wire [VAR37-1:0] VAR231;
wire VAR42;
wire VAR123;
wire [VAR161-1:0] VAR320;
wire [VAR16-1:0] VAR70;
wire [VAR216-1:0] VAR138;
wire [VAR104-1:0] VAR62;
wire [VAR265-1:0] VAR94;
wire [VAR179-1:0] VAR110;
wire VAR297;
wire VAR167;
genvar VAR98;
assign clk = VAR66;
assign VAR115 = VAR93;
assign VAR231 = 0; assign VAR209 = VAR159[2];
assign VAR70 = {2'b00,VAR213}; assign VAR320 = VAR57[2]? 2'b11 : VAR57[2] ? 2'b10 : 2'b01;
assign VAR138 = VAR214; assign VAR62 = VAR169; assign VAR42 = VAR5[0];
assign VAR123 = VAR172[0];
assign VAR94 = VAR44;
assign VAR110 = VAR316;
assign VAR173 = 3'b001; assign VAR107 = {31'b0,VAR297};
assign VAR181 = 0;
assign VAR296 = 0;
assign VAR185 = 0;
assign VAR181 = 0;
assign VAR83 = {63'b0,VAR297};
assign VAR90 = 0;
assign VAR139 = 0;
assign VAR268 = 0;
assign VAR166 = 0;
assign VAR317 = 0;
assign VAR167 = VAR9 & ~VAR282;
assign VAR56 = 1;
VAR227
.VAR53 (VAR53),
.VAR302 (VAR302),
.VAR314 (VAR314),
.VAR257 (VAR81/4),
.VAR196 (VAR196))
VAR21
( .VAR249 (VAR319[VAR125-1:0]),
.VAR288 (VAR86[(VAR125/32)-1:0]),
.VAR270 (VAR293),
.VAR149 (VAR197),
.VAR119 (VAR240[VAR170(VAR125/32)-1:0]),
.VAR127 (VAR118[VAR208-1:0]),
.VAR279 (VAR262),
.VAR223 (VAR176[VAR170(VAR125/32)-1:0]),
.VAR305 (VAR72[VAR131-1:0]),
.VAR54 (VAR146[VAR137-1:0]),
.VAR34 (VAR300[VAR61-1:0]),
.VAR266 (VAR204[VAR52-1:0]),
.VAR187 (VAR275[VAR224-1:0]),
.VAR225 (VAR75[VAR43-1:0]),
.VAR150 (VAR80[VAR37-1:0]),
.VAR2 (VAR29),
.VAR132 (VAR112[VAR125-1:0]),
.VAR22 (VAR235[(VAR125/32)-1:0]),
.VAR193 (VAR315),
.VAR136 (VAR13),
.VAR287 (VAR10[VAR170(VAR125/32)-1:0]),
.VAR191 (VAR205),
.VAR248 (VAR48[VAR170(VAR125/32)-1:0]),
.VAR215 (VAR153[VAR208-1:0]),
.VAR175 (VAR158[VAR131-1:0]),
.VAR171 (VAR106[VAR19-1:0]),
.VAR246 (VAR130[VAR254-1:0]),
.VAR148 (VAR272[VAR137-1:0]),
.VAR306 (VAR253[VAR52-1:0]),
.VAR97 (VAR71[VAR295-1:0]),
.VAR303 (VAR35[VAR194-1:0]),
.VAR140 (VAR285[VAR11-1:0]),
.VAR276 (VAR78[VAR224-1:0]),
.VAR162 (VAR100),
.VAR289 (VAR45),
.VAR232 (VAR271),
.VAR238 (VAR234),
.VAR103 (VAR236),
.VAR129 (VAR281),
.VAR32 (VAR30),
.VAR301 (VAR8),
.VAR84 (VAR114),
.VAR304 (VAR157),
.VAR31 (VAR143),
.VAR117 (VAR96),
.VAR192 (VAR294),
.VAR101 (VAR28),
.VAR63 (clk),
.VAR217 (VAR115),
.VAR92 (VAR231[VAR37-1:0]),
.VAR307 (VAR27),
.VAR87 (VAR58[VAR125-1:0]),
.VAR39 (VAR203),
.VAR113 (VAR65[VAR170(VAR125/32)-1:0]),
.VAR186 (VAR85),
.VAR180 (VAR156[VAR170(VAR125/32)-1:0]),
.VAR38 (VAR91),
.VAR230 (VAR290[VAR208-1:0]),
.VAR47 (VAR256[VAR131-1:0]),
.VAR313 (VAR95[VAR61-1:0]),
.VAR242 (VAR277[VAR52-1:0]),
.VAR174 (VAR102[VAR224-1:0]),
.VAR151 (VAR218[VAR43-1:0]),
.VAR1 (VAR263[VAR137-1:0]),
.VAR198 (VAR144[VAR11-1:0]),
.VAR4 (VAR14[VAR19-1:0]),
.VAR147 (VAR219[VAR254-1:0]),
.VAR20 (VAR7),
.VAR36 (VAR3),
.VAR77 (VAR40[VAR125-1:0]),
.VAR298 (VAR79),
.VAR111 (VAR195[VAR170(VAR125/32)-1:0]),
.VAR41 (VAR212),
.VAR278 (VAR291[VAR170(VAR125/32)-1:0]),
.VAR245 (VAR220),
.VAR12 (VAR82[VAR208-1:0]),
.VAR141 (VAR200[VAR131-1:0]),
.VAR244 (VAR67[VAR295-1:0]),
.VAR177 (VAR74[VAR224-1:0]),
.VAR142 (VAR18[VAR137-1:0]),
.VAR49 (VAR206[VAR19-1:0]),
.VAR109 (VAR201[VAR254-1:0]),
.VAR99 (VAR128[VAR52-1:0]),
.VAR233 (VAR184),
.VAR6 (VAR255),
.VAR190 (VAR120),
.VAR178 (VAR122),
.VAR189 (VAR286),
.VAR241 (VAR135),
.VAR50 (VAR165),
.VAR155 (VAR64),
.VAR239 (VAR69),
.VAR168 (VAR168),
.VAR163 (VAR163),
.VAR237 (VAR237),
.VAR226 (VAR226),
.VAR108 (VAR108[VAR125-1:0]),
.VAR259 (VAR259[(VAR125/32)-1:0]),
.VAR202 (VAR202[VAR308-1:0]),
.VAR68 (VAR68),
.VAR251 (VAR251),
.VAR23 (VAR23[VAR125-1:0]),
.VAR267 (VAR267[(VAR125/32)-1:0]),
.VAR243 (VAR243[VAR25-1:0]),
.VAR309 (VAR309),
.VAR299 (VAR299),
.VAR229 (VAR229[VAR125-1:0]),
.VAR264 (VAR264[(VAR125/32)-1:0]),
.VAR228 (VAR228[VAR164-1:0]),
.VAR199 (VAR199),
.VAR126 (VAR126),
.VAR133 (VAR133[VAR125-1:0]),
.VAR17 (VAR17[(VAR125/32)-1:0]),
.VAR283 (VAR283[VAR89-1:0]),
.VAR15 (VAR15),
.VAR73 (VAR73));
VAR188
.VAR125 (VAR125),
.VAR207 (VAR207),
.VAR312 (VAR312),
.VAR196 (VAR196),
.VAR210 (VAR210))
VAR182
( .VAR87 (VAR58[VAR125-1:0]),
.VAR307 (VAR27),
.VAR39 (VAR203),
.VAR113 (VAR65[VAR170(VAR125/32)-1:0]),
.VAR186 (VAR85),
.VAR180 (VAR156[VAR170(VAR125/32)-1:0]),
.VAR38 (VAR91),
.VAR230 (VAR290[VAR208-1:0]),
.VAR47 (VAR256[VAR131-1:0]),
.VAR313 (VAR95[VAR61-1:0]),
.VAR242 (VAR277[VAR52-1:0]),
.VAR174 (VAR102[VAR224-1:0]),
.VAR151 (VAR218[VAR43-1:0]),
.VAR1 (VAR263[VAR137-1:0]),
.VAR198 (VAR144[VAR11-1:0]),
.VAR4 (VAR14[VAR19-1:0]),
.VAR147 (VAR219[VAR254-1:0]),
.VAR20 (VAR7),
.VAR36 (VAR3),
.VAR77 (VAR40[VAR125-1:0]),
.VAR298 (VAR79),
.VAR111 (VAR195[VAR170(VAR125/32)-1:0]),
.VAR41 (VAR212),
.VAR278 (VAR291[VAR170(VAR125/32)-1:0]),
.VAR245 (VAR220),
.VAR12 (VAR82[VAR208-1:0]),
.VAR141 (VAR200[VAR131-1:0]),
.VAR244 (VAR67[VAR295-1:0]),
.VAR177 (VAR74[VAR224-1:0]),
.VAR142 (VAR18[VAR137-1:0]),
.VAR49 (VAR206[VAR19-1:0]),
.VAR109 (VAR201[VAR254-1:0]),
.VAR99 (VAR128[VAR52-1:0]),
.VAR233 (VAR184),
.VAR51 (VAR297),
.VAR63 (clk),
.VAR217 (VAR115),
.VAR132 (VAR112[VAR125-1:0]),
.VAR193 (VAR315),
.VAR136 (VAR13),
.VAR287 (VAR10[VAR170(VAR125/32)-1:0]),
.VAR22 (VAR235[(VAR125/32)-1:0]),
.VAR191 (VAR205),
.VAR248 (VAR48[VAR170(VAR125/32)-1:0]),
.VAR215 (VAR153[VAR208-1:0]),
.VAR175 (VAR158[VAR131-1:0]),
.VAR171 (VAR106[VAR19-1:0]),
.VAR246 (VAR130[VAR254-1:0]),
.VAR148 (VAR272[VAR137-1:0]),
.VAR306 (VAR253[VAR52-1:0]),
.VAR97 (VAR71[VAR295-1:0]),
.VAR303 (VAR35[VAR194-1:0]),
.VAR140 (VAR285[VAR11-1:0]),
.VAR276 (VAR78[VAR224-1:0]),
.VAR162 (VAR100),
.VAR270 (VAR293),
.VAR249 (VAR319[VAR125-1:0]),
.VAR149 (VAR197),
.VAR119 (VAR240[VAR170(VAR125/32)-1:0]),
.VAR288 (VAR86[(VAR125/32)-1:0]),
.VAR279 (VAR262),
.VAR223 (VAR176[VAR170(VAR125/32)-1:0]),
.VAR127 (VAR118[VAR208-1:0]),
.VAR305 (VAR72[VAR131-1:0]),
.VAR54 (VAR146[VAR137-1:0]),
.VAR34 (VAR300[VAR61-1:0]),
.VAR266 (VAR204[VAR52-1:0]),
.VAR187 (VAR275[VAR224-1:0]),
.VAR225 (VAR75[VAR43-1:0]),
.VAR150 (VAR80[VAR37-1:0]),
.VAR2 (VAR29),
.VAR289 (VAR45),
.VAR232 (VAR271),
.VAR238 (VAR234),
.VAR103 (VAR236),
.VAR129 (VAR281),
.VAR32 (VAR30),
.VAR92 (VAR231[VAR37-1:0]),
.VAR124 (VAR209),
.VAR46 (VAR70[VAR16-1:0]),
.VAR310 (VAR320[VAR161-1:0]),
.VAR55 (VAR62[VAR104-1:0]),
.VAR33 (VAR138[VAR216-1:0]),
.VAR269 (VAR123),
.VAR145 (VAR42),
.VAR154 (VAR94[VAR265-1:0]),
.VAR24 (VAR110[VAR179-1:0]),
.VAR318 (VAR167),
.VAR121 (VAR121),
.VAR134 (VAR134[VAR207-1:0]),
.VAR88 (VAR88[VAR207-1:0]),
.VAR116 (VAR116[(VAR207*32)-1:0]),
.VAR221 (VAR221[(VAR207*31)-1:0]),
.VAR59 (VAR59[(VAR207*VAR125)-1:0]),
.VAR311 (VAR311[VAR207-1:0]),
.VAR183 (VAR183[VAR207-1:0]),
.VAR152 (VAR152[VAR207-1:0]),
.VAR260 (VAR260[VAR207-1:0]),
.VAR105 (VAR105[VAR207-1:0]),
.VAR26 (VAR26[VAR207-1:0]),
.VAR274 (VAR274[VAR207-1:0]),
.VAR60 (VAR60[VAR207-1:0]),
.VAR247 (VAR247[VAR207-1:0]),
.VAR252 (VAR252[(VAR207*32)-1:0]),
.VAR280 (VAR280[(VAR207*31)-1:0]),
.VAR261 (VAR261[(VAR207*VAR125)-1:0]),
.VAR76 (VAR76[VAR207-1:0]));
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlymetal6s2s/sky130_fd_sc_hs__dlymetal6s2s.blackbox.v
| 1,285 |
module MODULE1 (
VAR4,
VAR3
);
output VAR4;
input VAR3;
supply1 VAR2;
supply0 VAR1;
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/rw_manager_ram_csr.v
| 2,354 |
module MODULE1 #(
parameter VAR13 = 32,
parameter VAR8 = 2,
parameter VAR20 = 4
) (
input VAR21,
input VAR17,
input VAR6,
input VAR7,
input VAR23,
input [(VAR13-1):0] VAR1,
input [(VAR8-1):0] VAR18,
input [(VAR8-1):0] VAR11,
output reg [(VAR13-1):0] VAR22,
output reg VAR15
);
localparam integer VAR19 = VAR13*VAR20;
reg [VAR19-1:0] VAR5;
wire [VAR19-1:0] VAR9;
wire [VAR13-1:0] VAR4 [VAR20-1:0];
wire VAR12;
assign VAR12 = (~VAR17)? VAR21 : VAR7;
always @(posedge VAR12)
begin
if (~VAR17)
VAR5 <= {VAR5[VAR19-2:0], VAR6};
end
else if (VAR23)
VAR5 <= VAR9;
else
VAR5 <= VAR5;
VAR22 <= VAR4[VAR11];
end
always @(negedge VAR21)
begin
VAR15 <= VAR5[VAR19-1];
end
generate
genvar VAR10;
for (VAR10 = 0; VAR10 < (VAR20); VAR10 = VAR10 + 1)
begin: VAR14
assign VAR4[VAR10] = VAR5[(VAR13*(VAR10+1)-1) : (VAR13*VAR10)];
end
endgenerate
generate
genvar VAR16,VAR24;
for (VAR16 = 0; VAR16 < (VAR20); VAR16 = VAR16 + 1)
begin: VAR3
for (VAR24 = 0; VAR24 < (VAR13); VAR24 = VAR24 + 1)
begin: VAR2
assign VAR9[(VAR13*VAR16)+VAR24] = (VAR18 == VAR16)? VAR1[VAR24] : VAR5[(VAR13*VAR16)+VAR24];
end
end
endgenerate
endmodule
|
lgpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/dffrnq/gf180mcu_fd_sc_mcu7t5v0__dffrnq_4.behavioral.v
| 3,634 |
module MODULE1( VAR11, VAR4, VAR29, VAR10 );
input VAR11, VAR4, VAR29;
output VAR10;
reg VAR3;
VAR14 VAR20(.VAR11(VAR11),.VAR4(VAR4),.VAR29(VAR29),.VAR10(VAR10),.VAR3(VAR3));
VAR14 VAR2(.VAR11(VAR11),.VAR4(VAR4),.VAR29(VAR29),.VAR10(VAR10),.VAR3(VAR3));
not VAR26(VAR27,VAR4);
and VAR30(VAR24,VAR29,VAR27);
and VAR13(VAR25,VAR29,VAR4);
buf VAR1(VAR31,VAR29);
not VAR21(VAR23,VAR11);
not VAR16(VAR22,VAR4);
and VAR18(VAR19,VAR22,VAR23);
not VAR12(VAR32,VAR11);
and VAR9(VAR15,VAR4,VAR32);
not VAR6(VAR28,VAR4);
and VAR7(VAR17,VAR28,VAR11);
and VAR8(VAR5,VAR4,VAR11);
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a31o/sky130_fd_sc_lp__a31o.blackbox.v
| 1,354 |
module MODULE1 (
VAR1 ,
VAR8,
VAR9,
VAR7,
VAR5
);
output VAR1 ;
input VAR8;
input VAR9;
input VAR7;
input VAR5;
supply1 VAR3;
supply0 VAR6;
supply1 VAR2 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
545/Atari7800
|
core/ag_6502/trunk/digger/ag_main.v
| 4,887 |
module MODULE1(input VAR4, input[10:0] VAR1, input VAR5, input VAR9, output[7:0] VAR8, input[7:0] VAR3);
reg[7:0] VAR2[0:2047];
reg[7:0] VAR6;
assign VAR8 = VAR5? VAR6: 8'VAR7;
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/icgtn/gf180mcu_fd_sc_mcu9t5v0__icgtn_4.functional.pp.v
| 1,081 |
module MODULE1( VAR6, VAR4, VAR2, VAR14, VAR1, VAR5, VAR9 );
input VAR2, VAR4, VAR6, VAR1, VAR5, VAR9;
output VAR14;
or VAR7( VAR13, VAR4, VAR6 );
VAR10( VAR3, 1'b0, 1'b0, VAR2, VAR13, VAR9 );
wire VAR11;
not VAR8( VAR11, VAR3 );
or VAR12( VAR14, VAR2, VAR11 );
endmodule
|
apache-2.0
|
hydai/Verilog-Practice
|
DigitalDesign/101062124_hw4/fifo.v
| 1,538 |
module MODULE1 (
input clk,
input VAR10,
input VAR23,
input VAR8,
input [7:0] VAR4,
output VAR6,
output VAR24,
output VAR16,
output VAR11,
output VAR15,
output [7:0] VAR20
);
wire [4:0] addr;
wire VAR3;
wire VAR22;
wire VAR25;
wire [7:0] VAR9;
VAR19 VAR2 (
.clk(clk),
.VAR10(VAR10),
.VAR23(VAR23),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR24(VAR24),
.VAR16(VAR16),
.VAR11(VAR11),
.VAR15(VAR15),
.VAR3(VAR3),
.VAR25(VAR25),
.VAR22(VAR22),
.addr(addr)
);
VAR7 VAR1 (
.VAR13(VAR20),
.VAR14(clk),
.VAR12(VAR3),
.VAR5(VAR25),
.VAR18(addr),
.VAR21(VAR4),
.VAR17(VAR22)
);
endmodule
|
mit
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/tx_port_buffer_128.v
| 8,453 |
module MODULE1 #(
parameter VAR26 = 9'd128,
parameter VAR38 = 512,
parameter VAR23 = VAR37((2**VAR37(VAR38))+1),
parameter VAR13 = 2,
parameter VAR35 = 2,
parameter VAR48 = 3,
parameter VAR14 = 3,
parameter VAR12 = 1
)
(
input VAR7,
input VAR16,
input VAR20, input [1:0] VAR45, input VAR33,
input [VAR26-1:0] VAR46, input VAR15, output [VAR23-1:0] VAR28,
output [VAR26-1:0] VAR41, input VAR34 );
reg [1:0] VAR43=0, VAR43=0;
reg [1:0] VAR11=0, VAR11=0;
reg [3:0] VAR40=0, VAR40=0;
reg [3:0] VAR25=0, VAR25=0;
reg [3:0] VAR31=0, VAR31=0;
reg VAR30=0, VAR30=0;
reg VAR47=0, VAR47=0;
reg [2:0] VAR36=0, VAR36=0;
reg [(VAR14*3)-1:0] VAR18={VAR14{3'd0}}, VAR18={VAR14{3'd0}};
reg [VAR12-1:0] VAR6={VAR12{1'd0}}, VAR6={VAR12{1'd0}};
reg [VAR13-1:0] VAR8={VAR13{1'd0}}, VAR8={VAR13{1'd0}};
reg VAR2=0, VAR2=0;
reg [VAR35-1:0] VAR22={VAR35{1'd0}}, VAR22={VAR35{1'd0}};
reg [(VAR48*3)-1:0] VAR42={VAR48{3'd0}}, VAR42={VAR48{3'd0}};
reg [VAR26-1:0] VAR4={VAR26{1'd0}}, VAR4={VAR26{1'd0}};
reg [223:0] VAR19=224'd0, VAR19=224'd0;
wire [VAR26-1:0] VAR32;
assign VAR41 = VAR19[0 +:VAR26];
always @ (posedge VAR16) begin
VAR30 <= (VAR7 ? 1'd0 : VAR30);
VAR47 <= (VAR7 ? 1'd0 : VAR47);
end
always @
VAR27 #(.VAR1(VAR26), .VAR44(VAR38), .VAR10(1)) VAR21 (
.VAR16(VAR16),
.VAR7(VAR7),
.VAR15(VAR15),
.VAR46(VAR46),
.VAR24(),
.VAR3(VAR28),
.VAR34(VAR2),
.VAR41(VAR32),
.VAR29()
);
wire [1:0] VAR17 = {VAR25[VAR43], VAR40[VAR43]};
wire VAR9 = VAR31[VAR43];
wire VAR39 = (!VAR47 & VAR8[0]);
wire [2:0] VAR5 = ({(VAR8[0] | (!VAR8[0] & VAR8[1] & VAR6[0])),2'd0}) - ({2{VAR39}} & VAR17);
always @ (posedge VAR16) begin
VAR36 <= (VAR7 ? 2'd0 : VAR36);
VAR18 <= VAR18;
VAR8 <= (VAR7 ? {VAR13{1'd0}} : VAR8);
VAR2 <= (VAR7 ? 1'd0 : VAR2);
VAR22 <= (VAR7 ? {VAR35{1'd0}} : VAR22);
VAR42 <= VAR42;
VAR6 <= (VAR7 ? {VAR12{1'd0}} : VAR6);
VAR4 <= VAR4;
VAR19 <= VAR19;
end
always @ begin
VAR43 = (VAR39 ? VAR43 + 1'd1 : VAR43);
VAR11 = (VAR30 ? VAR11 + 1'd1 : VAR11);
VAR40 = VAR40;
VAR25 = VAR25;
{VAR25[VAR11], VAR40[VAR11]} = (VAR30 ? (~VAR45 + 1'd1) : {VAR25[VAR11], VAR40[VAR11]}); VAR31 = VAR31;
VAR31[VAR11] = (VAR30 ? VAR33 : VAR31[VAR11]);
end
endmodule
|
gpl-3.0
|
chcbaram/Altera_DE0_nano_Exam
|
prj_niosii_abot/db/ip/niosii/submodules/niosii_timer_us.v
| 6,291 |
module MODULE1 (
address,
VAR30,
clk,
VAR8,
VAR10,
VAR7,
irq,
VAR9
)
;
output irq;
output [ 15: 0] VAR9;
input [ 2: 0] address;
input VAR30;
input clk;
input VAR8;
input VAR10;
input [ 15: 0] VAR7;
wire VAR27;
wire VAR20;
reg VAR5;
wire VAR26;
reg VAR4;
wire VAR18;
wire [ 31: 0] VAR28;
reg [ 31: 0] VAR24;
reg VAR21;
wire VAR13;
wire VAR12;
reg VAR17;
reg [ 31: 0] VAR2;
wire irq;
reg [ 15: 0] VAR23;
wire VAR29;
reg [ 15: 0] VAR16;
wire VAR14;
wire [ 15: 0] VAR22;
reg [ 15: 0] VAR9;
wire VAR6;
wire VAR3;
wire [ 31: 0] VAR1;
wire VAR11;
wire VAR15;
wire VAR25;
reg VAR19;
assign VAR27 = 1;
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR2 <= 32'hFFFFFFFE;
end
else if (VAR4 || VAR17)
if (VAR18 || VAR17)
VAR2 <= VAR28;
else
VAR2 <= VAR2 - 1;
end
assign VAR18 = VAR2 == 0;
assign VAR28 = {VAR23,
VAR16};
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR17 <= 0;
end
else if (VAR27)
VAR17 <= VAR29 || VAR14;
end
assign VAR13 = 1;
assign VAR12 = 0;
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR4 <= 1'b0;
end
else if (VAR27)
if (VAR13)
VAR4 <= -1;
else if (VAR12)
VAR4 <= 0;
end
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR21 <= 0;
end
else if (VAR27)
VAR21 <= VAR18;
end
assign VAR25 = (VAR18) & ~(VAR21);
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR19 <= 0;
end
else if (VAR27)
if (VAR15)
VAR19 <= 0;
else if (VAR25)
VAR19 <= -1;
end
assign irq = VAR19 && VAR20;
assign VAR22 = ({16 {(address == 2)}} & VAR16) |
({16 {(address == 3)}} & VAR23) |
({16 {(address == 4)}} & VAR1[15 : 0]) |
({16 {(address == 5)}} & VAR1[31 : 16]) |
({16 {(address == 1)}} & VAR5) |
({16 {(address == 0)}} & {VAR4,
VAR19});
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR9 <= 0;
end
else if (VAR27)
VAR9 <= VAR22;
end
assign VAR14 = VAR30 && ~VAR10 && (address == 2);
assign VAR29 = VAR30 && ~VAR10 && (address == 3);
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR16 <= 65534;
end
else if (VAR14)
VAR16 <= VAR7;
end
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR23 <= 65535;
end
else if (VAR29)
VAR23 <= VAR7;
end
assign VAR3 = VAR30 && ~VAR10 && (address == 4);
assign VAR6 = VAR30 && ~VAR10 && (address == 5);
assign VAR11 = VAR3 || VAR6;
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR24 <= 0;
end
else if (VAR11)
VAR24 <= VAR2;
end
assign VAR1 = VAR24;
assign VAR26 = VAR30 && ~VAR10 && (address == 1);
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR5 <= 0;
end
else if (VAR26)
VAR5 <= VAR7[0];
end
assign VAR20 = VAR5;
assign VAR15 = VAR30 && ~VAR10 && (address == 0);
endmodule
|
mit
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/video/video_addrgen.v
| 4,473 |
module MODULE1(
input wire clk,
output reg [20:0] VAR35, input wire VAR34,
input wire VAR17, input wire VAR32, input wire VAR28,
input wire VAR6,
input wire VAR5, input wire VAR21, input wire VAR30, input wire VAR1, input wire VAR7, input wire VAR24, input wire VAR31, input wire VAR13,
output wire [ 2:0] VAR18 );
wire VAR8;
assign VAR8 = VAR24 | VAR7;
wire VAR36, VAR23;
wire VAR11,VAR2,VAR9;
reg VAR14;
reg VAR33;
reg VAR16;
always @(posedge clk)
VAR14 <= VAR17;
assign VAR36 = VAR14 & VAR28;
assign VAR23 = VAR32;
reg [13:0] VAR27;
reg [7:0] VAR12; reg [6:0] VAR15;
reg VAR20;
always @(posedge clk)
VAR33 <= VAR23;
always @(posedge clk)
VAR16 <= VAR36;
assign VAR11 = VAR34 | VAR33;
assign VAR2 = VAR34 | VAR16;
assign VAR9 = VAR31 ? VAR2 : VAR11;
VAR22 VAR27 <= 0;
always @(posedge clk)
if( VAR23 )
VAR27 <= 0;
else if( VAR11 )
VAR27 <= VAR27 + 1;
always @(posedge clk)
if( VAR23 )
VAR12 <= 8'b00110111;
else if( VAR36 )
VAR12 <= VAR12 + 1;
always @(posedge clk)
if( VAR36 )
VAR15 <= 7'b0000000;
else if( VAR2 )
VAR15 <= VAR15 + 1;
assign VAR18 = VAR12[2:0];
wire [20:0] VAR26; wire [20:0] VAR10; wire [20:0] VAR4;
wire [20:0] VAR3;
wire [20:0] VAR37;
wire [11:0] VAR29;
wire [11:0] VAR25;
wire [11:0] VAR19;
assign VAR29 = { VAR27[12:11], VAR27[7:5], VAR27[10:8], VAR27[4:1] };
assign VAR25 = { 3'b110, VAR27[12:8], VAR27[4:1] };
assign VAR19 = { VAR27[13:12], VAR27[8:6], VAR27[11:9], VAR27[5:2] };
assign VAR26 = { 6'b000001, VAR6, 2'b10, ( VAR27[0] ? VAR25 : VAR29 ) };
assign VAR10 = { 6'b000001, VAR6, 1'b1, VAR27[0], VAR29 };
assign VAR4 = { 6'b000001, VAR6, ~VAR27[0], VAR27[1], VAR19 };
assign VAR3 = { 5'b00000, ~VAR27[0], VAR6, 1'b1, VAR27[1], VAR27[13:2] };
assign VAR37 = { 4'b0000,
VAR13, VAR13 ? 1'b0 : ~VAR15[0], VAR6, ~VAR13, VAR13 ? VAR15[0] : VAR15[1], VAR13 ? VAR15[1] : 1'b0, 1'b0,
VAR12[7:3],
VAR15[6:2]
};
VAR22 VAR35 <= 0;
always @(posedge clk) if( VAR9 )
begin
{ VAR35[20:15], VAR20, VAR35[13:0] } <=
( {21{VAR21 }} & VAR26 ) |
( {21{VAR30 }} & VAR4) |
( {21{VAR1}} & VAR10 ) |
( {21{VAR8 }} & VAR3 ) |
( {21{VAR31 }} & VAR37 ) ;
end
always @(posedge clk)
VAR35[14] <= VAR6;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlybuf4s15kapwr/sky130_fd_sc_lp__dlybuf4s15kapwr.functional.v
| 1,374 |
module MODULE1 (
VAR3,
VAR5
);
output VAR3;
input VAR5;
wire VAR2;
buf VAR4 (VAR2, VAR5 );
buf VAR1 (VAR3 , VAR2 );
endmodule
|
apache-2.0
|
ptracton/Picoblaze
|
projects/uart_echo_pb/rtl/cpu.v
| 2,791 |
module MODULE1 (
VAR2, VAR13, VAR11, VAR14, VAR15,
clk, VAR17, interrupt, VAR9, VAR20
) ;
input clk;
input [7:0] VAR17;
output [7:0] VAR2;
output [7:0] VAR13;
output VAR11;
output VAR14;
input interrupt; output VAR15;
input VAR9;
input VAR20;
wire [11:0] address;
wire [17:0] VAR21;
wire [7:0] VAR13;
wire [7:0] VAR2;
wire VAR6;
wire VAR3;
wire VAR18;
wire VAR15;
wire VAR14;
wire VAR11;
wire VAR4;
VAR19 #(
.VAR16 (12'h3FF),
.VAR5(64),
.VAR10 (8'h00))
VAR12 (
.address (address),
.VAR21 (VAR21),
.VAR6 (VAR6),
.VAR2 (VAR2),
.VAR11 (VAR11),
.VAR3 (VAR3),
.VAR13 (VAR13),
.VAR14 (VAR14),
.VAR17 (VAR17),
.interrupt (interrupt),
.VAR15 (VAR15),
.reset (VAR18),
.VAR8 (VAR9),
.clk (clk));
VAR1
VAR7 ( .enable (VAR6),
.address (address),
.VAR21 (VAR21),
.clk (clk));
assign VAR18 = VAR20;
endmodule
|
mit
|
onchipuis/mriscv_vivado
|
mriscv_vivado.srcs/sources_1/imports/uart/uart_rx.v
| 4,765 |
module MODULE1 #
(
parameter VAR7 = 8
)
(
input wire clk,
input wire rst,
output wire [VAR7-1:0] VAR17,
output wire VAR13,
input wire VAR16,
input wire VAR10,
output wire VAR4,
output wire VAR3,
output wire VAR22,
input wire [3:0] VAR18,
input wire [1:0] VAR5,
input wire [1:0] VAR19,
input wire [15:0] VAR6
);
reg [VAR7-1:0] VAR21 = 0;
reg VAR11 = 0;
reg VAR1 = 1;
reg VAR12 = 0;
reg VAR14 = 0;
reg VAR8 = 0;
reg [VAR7-1:0] VAR15 = 0;
reg [18:0] VAR9 = 0;
reg [3:0] VAR2 = 0;
reg VAR20;
assign VAR17 = VAR21;
assign VAR13 = VAR11;
assign VAR4 = VAR12;
assign VAR3 = VAR14;
assign VAR22 = VAR8;
always @(posedge clk) begin
if (rst) begin
VAR21 <= 0;
VAR11 <= 0;
VAR1 <= 1;
VAR9 <= 0;
VAR2 <= 0;
VAR12 <= 0;
VAR14 <= 0;
VAR8 <= 0;
VAR20 <= 1'b0;
end else begin
VAR1 <= VAR10;
VAR14 <= 0;
VAR8 <= 0;
if (VAR13 & VAR16) begin
VAR11 <= 0;
end
if (VAR9 > 0) begin
VAR9 <= VAR9 - 1;
end else if (VAR2 > 0) begin
if (VAR2 > VAR18+(VAR19?2:1)) begin
if (~VAR1) begin
VAR2 <= VAR2 - 1;
VAR9 <= (VAR6 << 3)-1;
end else begin
VAR2 <= 0;
VAR9 <= 0;
end
end else if (VAR2 > (VAR19?2:1)) begin
VAR2 <= VAR2 - 1;
if (VAR2 == (VAR19?3:2) && VAR19[1] == 1'b1) VAR9 <= (VAR6 << 2)-1;
end
else VAR9 <= (VAR6 << 3)-1;
if (VAR2 == (VAR19?3:2) && VAR5 == 2'b01) begin
VAR20 <= ~(VAR20 ^ VAR1); end else if (VAR2 == (VAR19?3:2) && VAR5 == 2'b10) begin
VAR20 <= VAR20 ^ VAR1; end else begin
VAR20 <= VAR20 ^ VAR1;
VAR15 <= {VAR1, VAR15[VAR7-1:1]};
end
end else if (VAR2 == 1) begin
VAR2 <= VAR2 - 1;
if (VAR1 == 1'b1 && ((VAR20 == 1'b1 && VAR5 != 2'b00) || VAR5 == 2'b00)) begin
VAR21 <= VAR15;
VAR11 <= 1;
VAR14 <= VAR11;
end else begin
VAR8 <= 1;
end
end
end else begin
VAR12 <= 0;
if (~VAR1) begin
VAR9 <= (VAR6 << 2)-2;
VAR2 <= VAR18+(VAR19?3:2);
VAR15 <= 0;
VAR12 <= 1;
VAR20 <= 1'b0;
end
end
end
end
endmodule
|
mit
|
cheehieu/qm-fir-digital-filter-core
|
ISAAC/qmfir_documentation/jasons_v/QMFIR_uart_if.v
| 5,170 |
module MODULE1 (
VAR2, VAR32, VAR1, VAR12, VAR25, VAR29,
VAR21, VAR11, clk, VAR37, VAR30
);
output [31:0] VAR2;
output [13:0] VAR32;
output VAR1;
output VAR12;
output VAR25;
output VAR29;
input [23:0] VAR21;
input [23:0] VAR11;
input clk;
input VAR37;
input VAR30;
reg [15:0] VAR5;
reg [31:0] VAR2;
parameter VAR27 = 0;
parameter VAR7 = 1;
parameter VAR24 = 2;
parameter VAR23 = 3;
parameter VAR39 = 4;
parameter VAR38 = 5;
parameter VAR3 = 6;
parameter VAR14 = 7;
parameter VAR8 = 8;
reg [3:0] state;
reg [7:0] VAR18;
wire [7:0] VAR19; wire VAR9; wire VAR33; wire VAR36; wire VAR20;
wire VAR34;
wire VAR4;
reg VAR6;
reg VAR31;
VAR15 VAR10 ( .VAR22 (VAR29),
.VAR13 (),
.VAR26 (VAR30),
.VAR17 (1'b0),
.VAR28 (VAR37),
.VAR19 (VAR19[7:0]),
.VAR33 (VAR33),
.VAR9 (VAR9),
.clk (clk),
.VAR36 (VAR36),
.VAR20 (VAR20),
.VAR18 (VAR18[7:0]),
.VAR6 (VAR6),
.VAR31 (VAR31));
VAR35 VAR16 (
.VAR36 (VAR36),
.VAR20 (VAR20),
.clk (clk),
.VAR37 (VAR37));
always @ (posedge clk or negedge VAR37)
if (~VAR37)
state <= VAR27;
else
case (state)
VAR27 : if (~VAR9) state <= VAR7;
VAR7 : if (~VAR9) state <= VAR24;
VAR24 : if (VAR34) state <= VAR8; else if (~VAR9) state <= VAR23; VAR23: if (VAR34) state <= VAR39; else if (~VAR9) state <= VAR39; VAR39: if (VAR34) state <= VAR38; else if (~VAR9) state <= VAR38; VAR38: if (VAR34) state <= VAR3; else if (~VAR9) state <= VAR3; VAR3: if (VAR34) state <= VAR27;
else state <= VAR14; VAR14: state <= VAR27;
VAR8: state <= VAR23;
endcase
always @ (posedge clk or negedge VAR37)
if (~VAR37) VAR5 <= 0;
else
begin
if (state==VAR27) VAR5[15:8] <= VAR19[7:0];
if (state==VAR7) VAR5[7:0] <= VAR19[7:0];
end
assign VAR34 = ~VAR5[15];
assign VAR4 = VAR5[14];
assign VAR32 = VAR5[13:0];
always @ (posedge clk or negedge VAR37)
if (~VAR37)
VAR2 <= 0;
else
begin
if (state==VAR24 & ~VAR34) VAR2[31:24] <= VAR19[7:0];
if (state==VAR23 & ~VAR34) VAR2[23:16] <= VAR19[7:0];
if (state==VAR39 & ~VAR34) VAR2[15:8] <= VAR19[7:0];
if (state==VAR38 & ~VAR34) VAR2[7:0] <= VAR19[7:0];
end
always @ (VAR34 or VAR9 or state)
case (state)
VAR27 : VAR6 = ~VAR9;
VAR7 : VAR6 = ~VAR9;
VAR24 : VAR6 = ~VAR9 & ~VAR34;
VAR23: VAR6 = ~VAR9 & ~VAR34;
VAR39: VAR6 = ~VAR9 & ~VAR34;
VAR38: VAR6 = ~VAR9 & ~VAR34;
default: VAR6 = 0;
endcase
assign VAR1 = (state==VAR14) & VAR4;
assign VAR25 = (state==VAR14) & ~VAR4;
always @ (VAR4 or state or VAR21 or VAR11)
case (state)
VAR39: VAR18[7:0] = VAR4 ? VAR21[23:16] : VAR11[23:16];
VAR38: VAR18[7:0] = VAR4 ? VAR21[15:8] : VAR11[15:8];
VAR3: VAR18[7:0] = VAR4 ? VAR21[7:0] : VAR11[7:0];
default: VAR18[7:0] = VAR4 ? 0 : 0;
endcase
always @ (VAR34 or state)
case (state)
VAR23: VAR31 = VAR34;
VAR39: VAR31 = VAR34;
VAR38: VAR31 = VAR34;
VAR3: VAR31 = VAR34;
default: VAR31 = 0;
endcase
assign VAR12 = (state==VAR8);
endmodule
|
gpl-2.0
|
deepakcu/maestro
|
fpga/DE4_Ethernet_0/src/sram.v
| 9,330 |
module MODULE1 (
VAR60,
VAR36,
VAR3,
VAR20,
VAR48,
VAR57,
VAR31);
input VAR60;
input [71:0] VAR36;
input [9:0] VAR3;
input VAR20;
input [9:0] VAR48;
input VAR57;
output [71:0] VAR31;
tri1 VAR60;
tri1 VAR20;
tri0 VAR57;
wire [71:0] VAR21;
wire [71:0] VAR31 = VAR21[71:0];
VAR37 VAR33 (
.VAR27 (VAR48),
.VAR11 (VAR60),
.VAR53 (VAR36),
.VAR40 (VAR20),
.VAR38 (VAR57),
.VAR61 (VAR3),
.VAR22 (VAR21),
.VAR54 (1'b0),
.VAR23 (1'b0),
.VAR13 (1'b0),
.VAR14 (1'b0),
.VAR34 (1'b1),
.VAR26 (1'b1),
.VAR8 (1'b1),
.VAR29 (1'b1),
.VAR12 (1'b1),
.VAR17 (1'b1),
.VAR15 (1'b1),
.VAR52 ({72{1'b1}}),
.VAR49 (),
.VAR24 (),
.VAR9 (1'b1),
.VAR28 (1'b0));
VAR33.VAR16 = "VAR41",
VAR33.VAR39 = "VAR5",
VAR33.VAR56 = "VAR42",
VAR33.VAR32 = "VAR42",
VAR33.VAR6 = "VAR42",
VAR33.VAR35 = "VAR44 VAR19",
VAR33.VAR51 = "VAR37",
VAR33.VAR10 = 1024,
VAR33.VAR2 = 1024,
VAR33.VAR55 = "VAR45",
VAR33.VAR43 = "VAR41",
VAR33.VAR1 = "VAR5",
VAR33.VAR47 = "VAR25",
VAR33.VAR59 = "VAR5",
VAR33.VAR30 = "VAR18",
VAR33.VAR46 = 10,
VAR33.VAR58 = 10,
VAR33.VAR7 = 72,
VAR33.VAR4 = 72,
VAR33.VAR50 = 1;
endmodule
|
apache-2.0
|
kernelpanics/Grad
|
Expanded-Hyperbolic-CORDIC/Verilog/UART/Transmisor.v
| 2,473 |
module MODULE1
parameter VAR3 = 8 , VAR17 = 16 )
(
input wire clk, reset,
input wire VAR6, VAR11,
input wire [7:0] din,
output reg VAR12=0,
output wire VAR4
);
localparam [1:0]
VAR9 = 2'b00,
VAR18 = 2'b01,
VAR13 = 2'b10,
VAR10 = 2'b11;
reg [1:0] VAR16=0, VAR19=0;
reg [3:0] VAR15=0, VAR7=0;
reg [2:0] VAR14=0, VAR2=0;
reg [7:0] VAR1=0, VAR20=0;
reg VAR5=1, VAR8=1;
always @(posedge clk, posedge reset)
if (reset)
begin
VAR16 <= VAR9;
VAR15 <= 0;
VAR14 <= 0;
VAR1 <= 0 ;
VAR5 <= 1'b1;
end
else
begin
VAR16 <= VAR19;
VAR15 <= VAR7;
VAR14 <= VAR2;
VAR1 <= VAR20;
VAR5 <= VAR8;
end
always @*
begin
VAR19 = VAR16;
VAR12 = 1'b0;
VAR7 = VAR15;
VAR2 = VAR14;
VAR20 = VAR1;
VAR8 = VAR5;
case (VAR16)
VAR9:
begin
VAR8 = 1'b1;
if (VAR6)
begin
VAR19 = VAR18;
VAR7 =0;
VAR20 = din;
end
end
VAR18:
begin
VAR8 =1'b0;
if (VAR11)
if (VAR15 ==15)
begin
VAR19 = VAR13;
VAR7 = 0;
VAR2 = 0;
end
else
VAR7 = VAR15 + 1;
end
VAR13:
begin
VAR8 =VAR1[0];
if (VAR11)
if (VAR15 == 15)
begin
VAR7 =0;
VAR20 = VAR1>>1;
if (VAR14==(VAR3-1))
VAR19 = VAR10;
end
else
VAR2 = VAR14 + 1;
end
else
VAR7 = VAR15 + 1;
end
VAR10:
begin
VAR8 =1'b1;
if (VAR11)
if (VAR15==(VAR17-1 ))
begin
VAR19 = VAR9;
VAR12 = 1'b1;
end
else
VAR7 = VAR15+ 1;
end
endcase
end
assign VAR4 = VAR5;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/bufbuf/sky130_fd_sc_hdll__bufbuf.functional.v
| 1,253 |
module MODULE1 (
VAR4,
VAR3
);
output VAR4;
input VAR3;
wire VAR2;
buf VAR5 (VAR2, VAR3 );
buf VAR1 (VAR4 , VAR2 );
endmodule
|
apache-2.0
|
osrf/wandrr
|
firmware/motor_controller/fpga/pwm.v
| 1,910 |
module MODULE1
input [47:0] VAR33,
input [15:0] VAR39, output [2:0] VAR19,
output [2:0] VAR20);
wire [15:0] VAR2, VAR5;
wire VAR10 = VAR2 == 16'h0;
wire [15:0] VAR40; VAR7 #(16) VAR27(.VAR4(VAR4), .rst(1'b0), .en(VAR10), .VAR37(VAR17), .VAR24(VAR40));
VAR7 #(16) wr(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37(VAR5), .VAR24(VAR2));
wire VAR35, VAR13;
VAR7 VAR3(.VAR4(VAR4), .rst(1'b0), .en(VAR13), .VAR37(~VAR35), .VAR24(VAR35));
assign VAR5 = VAR35 == 1'b0 ? VAR2 + 1'b1 : VAR2 - 1'b1;
assign VAR13 = VAR5 == 16'h0 | VAR5 == VAR40;
wire [47:0] VAR1;
wire [15:0] VAR12 = VAR1[15:0];
wire [15:0] VAR30 = VAR1[31:16];
wire [15:0] VAR29 = VAR1[47:32];
VAR7 #(48) VAR22(.VAR4(VAR4), .rst(1'b0), .en(VAR10), .VAR37(VAR33), .VAR24(VAR1));
wire [15:0] VAR6;
VAR7 #(16) VAR8(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR24(VAR6),
.VAR37(VAR39 > VAR21 ? VAR39 : VAR21));
wire VAR16, VAR14, VAR15, VAR36, VAR34, VAR9;
VAR7 VAR23(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37((VAR12>VAR6) & (VAR12-VAR6)>VAR2), .VAR24(VAR16));
VAR7 VAR32(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37((VAR30>VAR6) & (VAR30-VAR6)>VAR2), .VAR24(VAR15));
VAR7 VAR31(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37((VAR29>VAR6) & (VAR29-VAR6)>VAR2), .VAR24(VAR34));
VAR7 VAR28(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37(VAR12<=VAR2), .VAR24(VAR14));
VAR7 VAR25(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37(VAR30<=VAR2), .VAR24(VAR36));
VAR7 VAR18(.VAR4(VAR4), .rst(1'b0), .en(1'b1), .VAR37(VAR29<=VAR2), .VAR24(VAR9));
assign VAR19 = { VAR16 & ~VAR14, VAR15 & ~VAR36, VAR34 & ~VAR9 };
assign VAR20 = { VAR14, VAR36, VAR9 };
endmodule
module MODULE2();
wire VAR4;
VAR26 #(125) VAR11(VAR4);
wire [2:0] VAR19, VAR20;
MODULE1 #(.VAR21(16'd1)) VAR38
(.VAR4(VAR4), .VAR17(16'd16), .VAR33({ 16'd5, 16'd4, 16'd3 }),
.VAR39(16'd1), .VAR19(VAR19), .VAR20(VAR20));
|
apache-2.0
|
FAST-Switch/fast
|
lib/hardware/platform/NetMagic08/cdp/asyn_64_1_bb.v
| 5,901 |
module MODULE1 (
VAR8,
VAR3,
VAR7,
VAR5,
VAR6,
VAR2,
VAR1,
VAR4);
input VAR8;
input [0:0] VAR3;
input VAR7;
input VAR5;
input VAR6;
input VAR2;
output [0:0] VAR1;
output VAR4;
tri0 VAR8;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/o21ai/sky130_fd_sc_hvl__o21ai.pp.blackbox.v
| 1,363 |
module MODULE1 (
VAR2 ,
VAR7 ,
VAR5 ,
VAR3 ,
VAR4,
VAR1,
VAR6 ,
VAR8
);
output VAR2 ;
input VAR7 ;
input VAR5 ;
input VAR3 ;
input VAR4;
input VAR1;
input VAR6 ;
input VAR8 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/nand2/sky130_fd_sc_hvl__nand2.functional.pp.v
| 1,801 |
module MODULE1 (
VAR8 ,
VAR12 ,
VAR10 ,
VAR9,
VAR5,
VAR3 ,
VAR13
);
output VAR8 ;
input VAR12 ;
input VAR10 ;
input VAR9;
input VAR5;
input VAR3 ;
input VAR13 ;
wire VAR6 ;
wire VAR2;
nand VAR4 (VAR6 , VAR10, VAR12 );
VAR1 VAR11 (VAR2, VAR6, VAR9, VAR5);
buf VAR7 (VAR8 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or4b/sky130_fd_sc_lp__or4b.functional.pp.v
| 1,978 |
module MODULE1 (
VAR13 ,
VAR17 ,
VAR4 ,
VAR10 ,
VAR5 ,
VAR9,
VAR8,
VAR7 ,
VAR12
);
output VAR13 ;
input VAR17 ;
input VAR4 ;
input VAR10 ;
input VAR5 ;
input VAR9;
input VAR8;
input VAR7 ;
input VAR12 ;
wire VAR15 ;
wire VAR11 ;
wire VAR16;
not VAR14 (VAR15 , VAR5 );
or VAR2 (VAR11 , VAR15, VAR10, VAR4, VAR17 );
VAR6 VAR3 (VAR16, VAR11, VAR9, VAR8);
buf VAR1 (VAR13 , VAR16 );
endmodule
|
apache-2.0
|
praveendath92/securePUF
|
ipcore_dir/emac_single/example_design/client/address_swap_module_8.v
| 14,697 |
module MODULE1 (
VAR5, VAR6, VAR12, VAR3, VAR7, VAR21, VAR13, VAR17, VAR30, VAR22, VAR19 );
input VAR5;
input VAR6;
input [7:0] VAR12;
input VAR3;
input VAR7;
input VAR21;
output [7:0] VAR13;
reg [7:0] VAR13;
output VAR17;
output VAR30;
output VAR22;
input VAR19;
reg VAR16; reg VAR28; wire [7:0] VAR9; reg [7:0] VAR25;
wire VAR26;
reg [2:0] VAR18;
reg [7:0] VAR29[0:5];
reg VAR14[0:6]; reg VAR1[0:6]; reg VAR2[0:6];
integer VAR11;
always @(posedge VAR5)
begin
if (VAR28 == 1'b1 && VAR26 == 1'b1)
begin
for(VAR11=5; VAR11 >0; VAR11=VAR11-1)
VAR29[VAR11] <= VAR29 [VAR11-1];
VAR29[0] <= VAR12;
end
end assign VAR9 = VAR29[5];
always @(VAR12, VAR9, VAR16)
begin
if (VAR16 == 1'b1)
VAR25 = VAR12;
end
else
VAR25 = VAR9;
end
always @(posedge VAR5)
begin
if (VAR26 == 1'b1)
VAR13 <= VAR25;
end
assign VAR26 = ~(VAR19);
always @(posedge VAR5)
begin
if (VAR26 == 1'b1)
begin
for(VAR11=6; VAR11>0; VAR11=VAR11-1)
VAR1[VAR11] <= VAR1[VAR11-1];
VAR1[0] <= !VAR3;
end
end assign VAR17 = !VAR1[6];
always @(posedge VAR5)
begin
if (VAR26 == 1'b1)
begin
for(VAR11=6; VAR11>0; VAR11=VAR11-1)
VAR14[VAR11] <= VAR14[VAR11-1];
VAR14[0] <= !VAR7;
end
end assign VAR30 = !VAR14[6];
always @(posedge VAR5)
begin
if (VAR26 == 1'b1)
begin
for(VAR11=6; VAR11>0; VAR11=VAR11-1)
VAR2[VAR11] <= VAR2[VAR11-1];
VAR2[0] <= !VAR21;
end
end assign VAR22 = !VAR2[6];
always @(posedge VAR5)
begin
if (VAR6 == 1)
VAR18 <= VAR23;
end
else
if (VAR26 == 1'b1)
begin
case(VAR18)
end
VAR18 <= VAR15; else
VAR18 <= VAR23;
VAR18 <= VAR8; else
VAR18 <= VAR23;
VAR18 <= VAR24; else
VAR18 <= VAR23;
VAR18 <= VAR4; else
VAR18 <= VAR23;
VAR18 <= VAR27; else
VAR18 <= VAR23;
VAR18 <= VAR20; else
VAR18 <= VAR23;
VAR18 <= VAR10; else
VAR18 <= VAR23;
VAR18 <= VAR10; else
VAR18 <= VAR23; default : VAR18 <= VAR23;
endcase
end
end
always @(VAR18)
begin
case (VAR18)
VAR16 = 1'b0; VAR28 = 1'b1; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b1; VAR28 = 1'b0; end
VAR16 = 1'b0; VAR28 = 1'b1; end
default : begin
VAR16 = 1'b0;
VAR28 = 1'b1;
end
endcase
end
endmodule
|
gpl-2.0
|
asicguy/gplgpu
|
hdl/de_temp/dex_alu.v
| 15,838 |
module MODULE1
(
input VAR73,
input VAR108,
input [4:0] VAR2,
input [15:0] VAR56,
input [15:0] VAR13,
input [15:0] VAR93,
input [15:0] VAR28,
input [4:0] VAR59,
input VAR106,
input VAR75,
input VAR65,
input VAR58,
input VAR19,
input VAR109,
input VAR52,
input VAR100,
input VAR119,
output [15:0] VAR103,
output [15:0] VAR23,
output VAR46,
output VAR61,
output [1:0] VAR68,
output [3:0] VAR30,
output VAR107,
output VAR57,
output reg VAR120
);
reg [15:0] VAR86;
wire [15:0] VAR9;
reg [15:0] VAR83,
VAR55,
VAR82,
VAR96,
VAR6,
VAR50;
reg VAR87, VAR122;
reg VAR71, VAR117;
reg [15:0] VAR29, VAR104, VAR25, VAR48;
reg VAR89, VAR99, VAR115;
reg VAR27;
reg VAR101;
reg VAR70;
reg VAR114;
reg VAR10;
reg VAR102;
reg VAR88;
wire [1:0] VAR40;
wire [1:0] VAR33;
wire [1:0] VAR34;
wire [2:0] VAR53;
wire [3:0] VAR80;
wire [4:0] VAR112;
reg [2:0] VAR42;
reg VAR110;
wire VAR31;
assign VAR68 = {VAR114,VAR10};
parameter VAR11 = 5'h0,
VAR17 = 5'h1,
VAR66 = 5'h2,
VAR3 = 5'h3,
VAR21 = 5'h4,
VAR105 = 5'hc,
VAR67 = 5'h5,
VAR76 = 5'h6,
VAR41 = 5'h7,
VAR37 = 5'h8,
VAR62 = 5'hb,
VAR97 = 5'hd, VAR85 = 5'he, VAR72 = 5'h10,
VAR38 = 5'h11,
VAR45 = 5'h12,
VAR49 = 5'h13,
VAR4 = 5'h14,
VAR79 = 5'h15,
VAR91 = 5'h16,
VAR39 = 5'h17,
VAR43 = 5'h9,
VAR7 = 5'ha,
VAR54 = 5'h0, VAR74 = 5'h1, VAR77 = 5'h2, VAR111 = 5'h3, VAR84 = 5'h4, VAR8 = 5'h5, VAR16 = 5'h6, VAR94 = 5'h7, VAR60 = 5'h8, VAR20 = 5'h9, VAR35 = 5'ha, VAR14 = 5'hc, VAR118 = 5'hd, VAR92 = 5'he, VAR44 = 5'hf, VAR26 = 5'h10, VAR113 = 5'h11, VAR32 = 5'h12, VAR78 = 5'h13, VAR81 = 5'h14, VAR24 = 5'h15, VAR63 = 5'h16, VAR1 = 5'h17, VAR95 = 5'h18, VAR47 = 5'h19, VAR51 = 5'h1b, VAR121 = 5'h1c, VAR22 = 5'h1d, VAR116 = 5'h1e, VAR90 = 5'h1f;
assign VAR30 = (VAR2==VAR118) ? VAR13[3:0] :
(VAR2==VAR44) ? VAR28[3:0] :
(VAR2==VAR92) ? VAR9[3:0] : VAR103[3:0];
always @(posedge VAR73)VAR102 <= VAR109;
always @(posedge VAR73)VAR88 <= VAR19;
always @(posedge VAR73)VAR110 <= VAR119;
assign VAR31 = VAR110;
always @(posedge VAR73)
begin
case(VAR59) VAR11: VAR86 <= 16'h0;
VAR17: VAR86 <= 16'h1;
VAR66: VAR86 <= 16'h2;
VAR3: VAR86 <= 16'h3;
VAR21: VAR86 <= 16'h4;
VAR105: VAR86 <= 16'h5;
VAR67: VAR86 <= 16'h7;
VAR76: VAR86 <= 16'h8;
VAR41: VAR86 <= 16'h100;
VAR37: VAR86 <= 16'h10;
VAR62: VAR86 <= 16'hfff0;
VAR97: VAR86 <= 16'h18;
VAR85: VAR86 <= 16'h30;
VAR72: VAR86 <= 16'h5F;
VAR38: VAR86 <= 16'h40;
VAR45: VAR86 <= 16'h5E;
VAR49: VAR86 <= 16'h60;
VAR4: VAR86 <= 16'h70;
VAR79: VAR86 <= 16'h80;
VAR91: VAR86 <= 16'h380;
VAR39: VAR86 <= 16'h200;
VAR43: VAR86 <= 16'h400;
VAR7: VAR86 <= 16'h800;
endcase
end
assign VAR9 = VAR86;
always @(posedge VAR73) begin
VAR27 <= VAR89;
VAR101 <= VAR99;
VAR70 <= VAR115;
VAR82 <= VAR29;
VAR6 <= VAR104;
VAR96 <= VAR25;
VAR50 <= VAR48;
VAR87 <= VAR122;
VAR71 <= VAR117;
end
always @* begin
VAR89 = 1'b0;
VAR99 = 1'b0;
VAR115 = 1'b0;
VAR29 = 16'b0;
VAR104 = 16'b0;
VAR25 = 16'b0;
VAR48 = 16'b0;
VAR122 = 1'b0;
VAR117 = 1'b0;
case(VAR2) VAR54: begin
if(VAR13[15]) begin
VAR25 = ~VAR13;
VAR122 = 1'b1;
end else VAR25 = VAR13;
if(VAR28[15]) begin
VAR48 = ~VAR28;
VAR117 = 1'b1;
end else VAR48 = VAR28;
end
VAR74: begin
VAR29 = VAR56;
VAR25 = VAR13;
VAR104 = VAR93;
VAR48 = VAR28;
VAR122=VAR31;
VAR117=VAR31;
end
VAR51: begin
VAR29 = VAR56[9:0];
VAR25 = {VAR28[12:3],3'b000};
end
VAR121: begin
if(VAR100)VAR29[6:0] = VAR56[6:0];
end
else VAR29[9:0] = VAR56[9:0];
begin
if(VAR75)VAR25 = {14'h3fff,~VAR13[3:2]};
end
else if(VAR65)VAR25 = {13'h1fff,~VAR13[3:1]};
end
else VAR25 = {12'hfff,~VAR13[3:0]};
end
VAR122=1'b1;
VAR99=1'b1;
end
VAR77: begin
VAR25 = VAR13;
VAR29 = VAR56;
VAR48 = VAR13;
VAR104 = VAR56;
VAR122=VAR31;
VAR117=VAR31;
end
VAR111: begin
VAR29 = VAR56;
VAR25 = VAR28;
VAR104 = VAR93;
VAR48 = VAR13;
end
VAR84: begin
VAR29 = VAR56;
VAR25 = ~VAR9;
VAR104 = VAR93;
VAR48 = ~VAR9;
VAR122=1'b1;
VAR117=1'b1;
end
VAR8: begin
if(VAR19)VAR104 = VAR93[2:0];
if(VAR109)VAR104 = VAR93[4:0];
end
VAR16: begin
VAR29 = VAR56;
VAR25 = VAR9;
VAR104 = VAR93;
VAR48 = VAR9;
end
VAR94: begin
VAR25 = ~VAR13;
VAR29 = VAR93;
VAR122=1;
end
VAR60: begin VAR29 = VAR56;
VAR25 = VAR9;
VAR104 = VAR56;
VAR48 = VAR9;
end
VAR20: begin
if(VAR52)VAR104 = {VAR56[15:3],3'h0};
end
else VAR104 = {VAR56[15:5],5'h0};
if(VAR52)VAR48 = {12'h0,|VAR56[2:0],3'h0};
else VAR48 = {10'h0,|VAR56[4:0],5'h0};
end
VAR35: begin
VAR25 = (VAR13>>4);
VAR29 = {14'h0,VAR40};
VAR115=1'b1;
end
VAR63: begin
VAR25 = (VAR13>>7);
VAR29 = {14'h0,VAR33};
VAR115=1'b1;
end
VAR22: begin
VAR25 = (VAR13>>2'd2);
VAR29 = {15'h0,VAR53[2]};
end
VAR116: begin
VAR25 = (VAR13>>2'd3);
VAR29 = {15'h0,VAR80[3]};
end
VAR90: begin
VAR25 = (VAR13>>3'd4);
VAR29 = {15'h0,VAR112[4]};
end
VAR14: begin
VAR29 = (VAR56 << 1'b1);
VAR25 = ~(VAR93 << 1'b1);
VAR104 = VAR93 << 1'b1;
VAR122=1;
end
default: begin
VAR25 = VAR13;
VAR48 = VAR28;
end
VAR92: begin
VAR25 = VAR9;
VAR48 = VAR9;
end
VAR44: begin
VAR25 = VAR28;
VAR104 = VAR56;
end
VAR26: begin
VAR25 = ~VAR13[3:0];
VAR29 = VAR9;
VAR122=1;
end
VAR113: begin
VAR29 = {12'b0,VAR56[3:0]};
VAR25 = ~{12'b0,VAR13[3:0]};
VAR122=1'b1;
VAR89=1'b1;
end
VAR32: begin
VAR29 = VAR56;
VAR25 = ~VAR13;
VAR104 = VAR93;
VAR48 = ~VAR28;
VAR122=1'b1;
VAR117=1'b1;
end
VAR78: begin
VAR29 = VAR56;
VAR25 = ~VAR28;
VAR122=1'b1;
end
VAR81: begin
VAR29 = VAR56;
VAR25 = ~VAR9;
VAR104 = VAR56;
VAR48 = ~VAR9;
VAR122=1'b1;
VAR117=1'b1;
end
VAR1: begin
VAR29 = VAR56;
VAR25 = ~VAR13;
VAR104 = VAR56;
VAR48 = ~VAR13;
VAR122=1'b1;
VAR117=1'b1;
end
VAR24: VAR29 = (VAR56 << 2);
VAR95: VAR29 = (VAR56 << 3);
VAR47: begin
VAR29 = (VAR56 << 4);
if(VAR58) begin
VAR25 = ~({12'h0,VAR13[3:0]});
VAR122=1'b1;
end
end
endcase
end
always @*
begin
VAR83=VAR82 + VAR96 + VAR87;
VAR55=VAR6 + VAR50 + VAR71;
end
assign VAR103 = VAR83;
assign VAR23 = (VAR102) ? {VAR6[15:5],VAR55[4:0]} :
(VAR88) ? {VAR6[15:3],VAR55[2:0]} : VAR55;
wire VAR64;
wire VAR18;
wire VAR15;
assign VAR64 =VAR27;
assign VAR18 =VAR101;
assign VAR15 =VAR70;
always @(posedge VAR73 or negedge VAR108) begin
if (!VAR108) VAR120 <= 1'b0;
end
else if (VAR64) VAR120 <= (VAR83[4] | (~|VAR83[3:0]));
else if (!VAR106) VAR120 <= 1'b0;
end
always @(posedge VAR73 or negedge VAR108) begin
if (!VAR108) VAR114 <= 1'b0;
end
else if (VAR64) VAR114 <= VAR83[4];
else if (VAR18) VAR114 <= VAR83[5];
else if (!VAR106) VAR114 <= 1'b0;
end
always @(posedge VAR73 or negedge VAR108) begin
if (!VAR108) VAR10 <= 1'b0;
end
else if (VAR15) VAR10 <= ~|VAR83[11:1];
else if (!VAR106) VAR10 <= 1'b0;
end
assign VAR46 = (VAR83 == 16'h0);
assign VAR61 = (VAR55 == 16'h0);
assign VAR107 = VAR83[15];
assign VAR57 = VAR55[15];
wire [4:0] sum;
wire [3:0] VAR98;
wire VAR69;
assign VAR98 = VAR56[3:0];
assign sum = VAR98[3:0] + VAR13[3:0];
assign VAR69 = | sum[3:0];
assign VAR40[1] = (sum[4] && VAR69);
assign VAR40[0] = (sum[4] ^ VAR69);
wire [7:0] VAR36;
wire [6:0] VAR5;
wire VAR12;
assign VAR5 = VAR56[6:0];
assign VAR36 = VAR5[6:0] + VAR13[6:0];
assign VAR12 = | VAR36[6:0];
assign VAR33[1] = (VAR36[7] && VAR12);
assign VAR33[0] = (VAR36[7] ^ VAR12);
assign VAR112 = VAR56[3:0] + VAR13[3:0];
assign VAR80 = VAR56[2:0] + VAR13[2:0];
assign VAR53 = VAR56[1:0] + VAR13[1:0];
endmodule
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/old/hdl/elink-gold/debouncer.v
| 1,640 |
module MODULE1 (
VAR2,
clk, VAR3
);
parameter VAR5 = 20;
input clk; input VAR3; output VAR2;
wire VAR6;
wire VAR4;
reg [VAR5-1:0] counter;
wire VAR7;
VAR1 #(1) VAR1(.out (VAR4),
.in (VAR3),
.clk (clk),
.reset (1'b0));
always @ (posedge clk)
if(VAR4)
counter[VAR5-1:0]={(VAR5){1'b1}};
else if(VAR7)
counter[VAR5-1:0]=counter[VAR5-1:0]-1'b1;
assign VAR7 =|counter[VAR5-1:0];
assign VAR2 = VAR7 | VAR4;
endmodule
|
gpl-3.0
|
tmolteno/TART
|
hardware/FPGA/tart_spi/verilog/mfsr/mfsr32.v
| 2,194 |
module MODULE1
(
input [31:0] VAR1,
output [31:0] VAR3
);
wire #VAR2 VAR5 = VAR1[0]^VAR1[1];
wire #VAR2 VAR4 = VAR1[1]^VAR1[28];
assign VAR3 = {VAR1[30:2], VAR4, VAR5, VAR1[31]};
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/or2/sky130_fd_sc_hdll__or2.behavioral.v
| 1,348 |
module MODULE1 (
VAR3,
VAR8,
VAR2
);
output VAR3;
input VAR8;
input VAR2;
supply1 VAR7;
supply0 VAR4;
supply1 VAR10 ;
supply0 VAR1 ;
wire VAR6;
or VAR9 (VAR6, VAR2, VAR8 );
buf VAR5 (VAR3 , VAR6 );
endmodule
|
apache-2.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/pcie_if/pcie_7x_v1_8_qpll_drp.v
| 19,387 |
module MODULE1 #
(
parameter VAR62 = "VAR70", parameter VAR29 = "3.0", parameter VAR13 = "VAR80", parameter VAR55 = 0, parameter VAR11 = 3'd6
)
(
input VAR34,
input VAR16,
input VAR37,
input VAR78,
input VAR31,
input VAR44,
input [15:0] VAR7,
input VAR39,
output [ 7:0] VAR75,
output VAR15,
output [15:0] VAR64,
output VAR76,
output VAR32,
output VAR45,
output [ 5:0] VAR2,
output [ 8:0] VAR25
);
reg VAR19;
reg VAR77;
reg VAR5;
reg VAR69;
reg [15:0] VAR47;
reg VAR26;
reg VAR40;
reg VAR18;
reg VAR74;
reg VAR9;
reg [15:0] VAR28;
reg VAR50;
reg [ 2:0] VAR72 = 3'd0;
reg VAR54 = 1'd0;
reg [ 5:0] VAR23 = 6'd0;
reg [ 7:0] addr = 8'd0;
reg [15:0] VAR56 = 16'd0;
reg VAR17 = 1'd0;
reg [ 8:0] fsm = 7'd1;
localparam VAR48 = 8'h36;
localparam VAR42 = 8'h32;
localparam VAR49 = 8'h31;
localparam VAR58 = 8'h88;
localparam VAR8 = 8'h35;
localparam VAR36 = 8'h36;
localparam VAR63 = 8'h34;
localparam VAR12 = 16'b1111110000000000; localparam VAR79 = 16'b1111111110111111; localparam VAR6 = 16'b1000011111111111; localparam VAR43 = 16'b0000001111111111; localparam VAR61 = 16'b1111011111111111; localparam VAR22 = 16'b1110011111111111;
localparam VAR24 = 16'b0000000000000000; localparam VAR4 = 16'b0000000000000000; localparam VAR68 = 16'b0000000000000000;
localparam VAR71 = 16'b0000000000000000; localparam VAR73 = 16'b0000100000000000; localparam VAR1 = 16'b0000000000000000;
localparam VAR60 = (VAR55 == 2) && (VAR13 == "VAR33") ? 16'b0000000010000000 :
(VAR55 == 1) && (VAR13 == "VAR33") ? 16'b0000000100100000 :
(VAR55 == 0) && (VAR13 == "VAR33") ? 16'b0000000101110000 :
(VAR55 == 2) && (VAR13 == "VAR80") ? 16'b0000000001100000 :
(VAR55 == 1) && (VAR13 == "VAR80") ? 16'b0000000011100000 : 16'b0000000100100000;
localparam VAR59 = (VAR55 == 2) ? 16'b0000000010000000 :
(VAR55 == 1) ? 16'b0000000100100000 : 16'b0000000101110000;
localparam VAR20 = (VAR55 == 2) ? 16'b0000000001100000 :
(VAR55 == 1) ? 16'b0000000011100000 : 16'b0000000100100000;
localparam VAR67 = (VAR13 == "VAR33") ? 16'b0000000000000000 : 16'b0000000001000000;
localparam VAR82 = 16'b0000000001000000;
localparam VAR53 = (VAR13 == "VAR33") ? 16'b0010000000000000 : 16'b0110100000000000;
localparam VAR10 = 16'b0110100000000000;
wire [15:0] VAR66;
wire [15:0] VAR46;
wire [15:0] VAR35;
wire [15:0] VAR41;
wire [15:0] VAR3;
wire [15:0] VAR51;
localparam VAR14 = 9'b000000001;
localparam VAR21 = 9'b000000010;
localparam VAR52 = 9'b000000100;
localparam VAR38 = 9'b000001000;
localparam VAR65 = 9'b000010000;
localparam VAR81 = 9'b000100000;
localparam VAR27 = 9'b001000000;
localparam VAR57 = 9'b010000000;
localparam VAR30 = 9'b100000000;
always @ (posedge VAR34)
begin
if (!VAR16)
begin
VAR19 <= 1'd0;
VAR77 <= 1'd0;
VAR5 <= 1'd0;
VAR69 <= 1'd0;
VAR47 <= 16'd0;
VAR26 <= 1'd0;
VAR40 <= 1'd0;
VAR18 <= 1'd0;
VAR74 <= 1'd0;
VAR9 <= 1'd0;
VAR28 <= 16'd0;
VAR50 <= 1'd0;
end
else
begin
VAR19 <= VAR37;
VAR77 <= VAR78;
VAR5 <= VAR31;
VAR69 <= VAR44;
VAR47 <= VAR7;
VAR26 <= VAR39;
VAR40 <= VAR19;
VAR18 <= VAR77;
VAR74 <= VAR5;
VAR9 <= VAR69;
VAR28 <= VAR47;
VAR50 <= VAR26;
end
end
assign VAR66 = (VAR18) ? VAR20 : VAR59;
assign VAR46 = (VAR18) ? VAR82 : VAR67;
assign VAR35 = (VAR18) ? VAR10 : VAR53;
assign VAR41 = VAR24;
assign VAR3 = (VAR40) ? VAR73 : VAR4;
assign VAR51 = (VAR40) ? VAR1 : VAR68;
always @ (posedge VAR34)
begin
if (!VAR16)
begin
addr <= 8'd0;
VAR56 <= 16'd0;
VAR23 <= 6'd0;
end
else
begin
case (VAR72)
3'd0 :
begin
addr <= VAR48;
VAR56 <= (VAR28 & VAR12) | (VAR54 ? VAR66 : VAR60);
VAR23 <= VAR23;
end
3'd1 :
begin
addr <= VAR42;
if (VAR62 == "VAR70")
VAR56 <= (VAR28 & VAR79) | VAR46;
end
else
VAR56 <= (VAR28 & 16'hFFFF) | VAR46;
VAR23 <= VAR23;
end
3'd2 :
begin
addr <= VAR49;
if (VAR62 == "VAR70")
VAR56 <= (VAR28 & VAR6) | VAR35;
end
else
VAR56 <= (VAR28 & 16'hFFFF) | VAR35;
VAR23 <= VAR23;
end
3'd3 :
begin
addr <= VAR58;
VAR56 <= VAR28;
if (VAR40)
VAR23 <= VAR28[6:1];
end
else
VAR23 <= VAR23;
end
3'd4 :
begin
addr <= VAR8;
VAR56 <= (VAR28 & VAR43) | {(VAR23 - 6'd1), VAR41[9:0]};
VAR23 <= VAR23;
end
3'd5 :
begin
addr <= VAR36;
VAR56 <= (VAR28 & VAR61) | VAR3;
VAR23 <= VAR23;
end
3'd6 :
begin
addr <= VAR63;
VAR56 <= (VAR28 & VAR22) | VAR51;
VAR23 <= VAR23;
end
default :
begin
addr <= 8'd0;
VAR56 <= 16'd0;
VAR23 <= 6'd0;
end
endcase
end
end
always @ (posedge VAR34)
begin
if (!VAR16)
begin
fsm <= VAR14;
VAR72 <= 3'd0;
VAR54 <= 1'd0;
VAR17 <= 1'd0;
end
else
begin
case (fsm)
VAR14 :
begin
if (VAR9)
begin
fsm <= VAR21;
VAR72 <= 3'd0;
VAR54 <= 1'd0;
VAR17 <= 1'd0;
end
else if ((VAR18 != VAR77) && (VAR13 == "VAR33"))
begin
fsm <= VAR21;
VAR72 <= 3'd0;
VAR54 <= 1'd1;
VAR17 <= 1'd0;
end
else
begin
fsm <= VAR14;
VAR72 <= 3'd0;
VAR54 <= 1'd0;
VAR17 <= 1'd1;
end
end
VAR21 :
begin
fsm <= VAR52;
VAR72 <= VAR72;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR52 :
begin
fsm <= VAR38;
VAR72 <= VAR72;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR38 :
begin
fsm <= (VAR50 ? VAR65 : VAR38);
VAR72 <= VAR72;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR65 :
begin
fsm <= VAR81;
VAR72 <= VAR72;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR81 :
begin
fsm <= (VAR50 ? VAR27 : VAR81);
VAR72 <= VAR72;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR27 :
begin
if ((VAR72 == VAR11) || (VAR54 && (VAR72 == 3'd2)))
begin
fsm <= VAR54 ? VAR57 : VAR14;
VAR72 <= 3'd0;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
else
begin
fsm <= VAR21;
VAR72 <= VAR72 + 3'd1;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
end
VAR57 :
begin
fsm <= !VAR74 ? VAR30 : VAR57;
VAR72 <= 3'd0;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
VAR30 :
begin
fsm <= VAR74 ? VAR14 : VAR30;
VAR72 <= 3'd0;
VAR54 <= VAR54;
VAR17 <= 1'd0;
end
default :
begin
fsm <= VAR14;
VAR72 <= 3'd0;
VAR54 <= 1'd0;
VAR17 <= 1'd0;
end
endcase
end
end
assign VAR75 = addr;
assign VAR15 = (fsm == VAR52) || (fsm == VAR65);
assign VAR64 = VAR56;
assign VAR76 = (fsm == VAR65) || (fsm == VAR81);
assign VAR32 = VAR17;
assign VAR45 = (fsm == VAR57);
assign VAR2 = VAR23;
assign VAR25 = fsm;
endmodule
|
mit
|
alexforencich/verilog-ethernet
|
example/HTG9200/fpga_10g/rtl/i2c_master.v
| 30,561 |
module MODULE1 (
input wire clk,
input wire rst,
input wire [6:0] VAR112,
input wire VAR17,
input wire VAR88,
input wire VAR92,
input wire VAR91,
input wire VAR27,
input wire VAR104,
output wire VAR102,
input wire [7:0] VAR43,
input wire VAR7,
output wire VAR36,
input wire VAR114,
output wire [7:0] VAR78,
output wire VAR72,
input wire VAR15,
output wire VAR4,
input wire VAR117,
output wire VAR2,
output wire VAR100,
input wire VAR5,
output wire VAR77,
output wire VAR32,
output wire VAR39,
output wire VAR45,
output wire VAR41,
output wire VAR95,
input wire [15:0] VAR69,
input wire VAR57
);
localparam [4:0]
VAR13 = 4'd0,
VAR61 = 4'd1,
VAR85 = 4'd2,
VAR25 = 4'd3,
VAR14 = 4'd4,
VAR84 = 4'd5,
VAR68 = 4'd6,
VAR35 = 4'd7,
VAR118 = 4'd8,
VAR37 = 4'd9,
VAR113 = 4'd10,
VAR93 = 4'd11;
reg [4:0] VAR48 = VAR13, VAR110;
localparam [4:0]
VAR94 = 5'd0,
VAR79 = 5'd1,
VAR75 = 5'd2,
VAR28 = 5'd3,
VAR101 = 5'd4,
VAR106 = 5'd5,
VAR63 = 5'd6,
VAR76 = 5'd7,
VAR89 = 5'd8,
VAR96 = 5'd9,
VAR38 = 5'd10,
VAR74 = 5'd11,
VAR55 = 5'd12,
VAR70 = 5'd13,
VAR80 = 5'd14,
VAR33 = 5'd15;
reg [4:0] VAR46 = VAR13, VAR20;
reg VAR22;
reg VAR67;
reg VAR11;
reg VAR21;
reg VAR19;
reg VAR108;
reg VAR42 = 1'b0, VAR9;
reg [6:0] VAR99 = 7'd0, VAR8;
reg [7:0] VAR30 = 8'd0, VAR6;
reg VAR29 = 1'b0, VAR62;
reg VAR98 = 1'b0, VAR82;
reg VAR59 = 1'b0, VAR58;
reg VAR64 = 1'b0, VAR10;
reg [16:0] VAR65 = 16'd0, VAR18;
reg VAR116 = 1'b0, VAR86;
reg VAR23 = 1'b0, VAR115;
reg [3:0] VAR3 = 4'd0, VAR47;
reg VAR109 = 1'b0, VAR1;
reg VAR31 = 1'b0, VAR97;
reg [7:0] VAR56 = 8'd0, VAR44;
reg VAR26 = 1'b0, VAR60;
reg VAR83 = 1'b0, VAR66;
reg VAR54 = 1'b1;
reg VAR71 = 1'b1;
reg VAR51 = 1'b1, VAR49;
reg VAR12 = 1'b1, VAR24;
reg VAR73 = 1'b1;
reg VAR50 = 1'b1;
reg VAR105 = 1'b0;
reg VAR53 = 1'b0;
reg VAR90 = 1'b0, VAR87;
reg VAR40 = 1'b0, VAR16;
assign VAR102 = VAR109;
assign VAR36 = VAR31;
assign VAR78 = VAR56;
assign VAR72 = VAR26;
assign VAR4 = VAR83;
assign VAR2 = VAR51;
assign VAR100 = VAR51;
assign VAR77 = VAR12;
assign VAR32 = VAR12;
assign VAR39 = VAR105;
assign VAR41 = VAR53;
assign VAR45 = VAR90;
assign VAR95 = VAR40;
wire VAR34 = VAR54 & ~VAR73;
wire VAR107 = ~VAR54 & VAR73;
wire VAR103 = VAR71 & ~VAR50;
wire VAR81 = ~VAR71 & VAR50;
wire VAR52 = VAR81 & VAR54;
wire VAR111 = VAR103 & VAR54;
always @* begin
VAR110 = VAR13;
VAR22 = 1'b0;
VAR67 = 1'b0;
VAR11 = 1'b0;
VAR21 = 1'b0;
VAR108 = 1'b0;
VAR19 = 1'b0;
VAR8 = VAR99;
VAR6 = VAR30;
VAR62 = VAR29;
VAR82 = VAR98;
VAR58 = VAR59;
VAR10 = VAR64;
VAR47 = VAR3;
VAR1 = 1'b0;
VAR97 = 1'b0;
VAR44 = VAR56;
VAR60 = VAR26 & ~VAR15;
VAR66 = VAR83;
VAR16 = 1'b0;
if (VAR46 != VAR94 && VAR46 != VAR79) begin
VAR110 = VAR48;
end else begin
case (VAR48)
VAR13: begin
VAR1 = 1'b1;
if (VAR102 & VAR104) begin
if (VAR88 ^ (VAR92 | VAR91)) begin
VAR8 = VAR112;
VAR82 = VAR88;
VAR58 = VAR91;
VAR10 = VAR27;
VAR1 = 1'b0;
if (VAR41) begin
VAR110 = VAR25;
end else begin
VAR22 = 1'b1;
VAR47 = 4'd8;
VAR110 = VAR84;
end
end else begin
VAR110 = VAR13;
end
end else begin
VAR110 = VAR13;
end
end
VAR61: begin
VAR1 = 1'b1;
if (VAR102 & VAR104) begin
if (VAR88 ^ (VAR92 | VAR91)) begin
VAR8 = VAR112;
VAR82 = VAR88;
VAR58 = VAR91;
VAR10 = VAR27;
VAR1 = 1'b0;
if (VAR17 || VAR112 != VAR99 || VAR88) begin
VAR22 = 1'b1;
VAR47 = 4'd8;
VAR110 = VAR84;
end else begin
VAR97 = 1'b1;
VAR110 = VAR35;
end
end else if (VAR27 && !(VAR88 || VAR92 || VAR91)) begin
VAR67 = 1'b1;
VAR110 = VAR13;
end else begin
VAR110 = VAR61;
end
end else begin
if (VAR57 & VAR102 & ~VAR104) begin
VAR67 = 1'b1;
VAR110 = VAR13;
end else begin
VAR110 = VAR61;
end
end
end
VAR85: begin
VAR1 = ~VAR72;
if (VAR102 & VAR104) begin
if (VAR88 ^ (VAR92 | VAR91)) begin
VAR8 = VAR112;
VAR82 = VAR88;
VAR58 = VAR91;
VAR10 = VAR27;
VAR1 = 1'b0;
if (VAR17 || VAR112 != VAR99 || VAR92) begin
VAR11 = 1'b1;
VAR108 = 1'b1;
VAR110 = VAR14;
end else begin
VAR11 = 1'b1;
VAR108 = 1'b0;
VAR47 = 4'd8;
VAR6 = 8'd0;
VAR110 = VAR113;
end
end else if (VAR27 && !(VAR88 || VAR92 || VAR91)) begin
VAR11 = 1'b1;
VAR108 = 1'b1;
VAR110 = VAR93;
end else begin
VAR110 = VAR85;
end
end else begin
if (VAR57 & VAR102 & ~VAR104) begin
VAR11 = 1'b1;
VAR108 = 1'b1;
VAR110 = VAR93;
end else begin
VAR110 = VAR85;
end
end
end
VAR25: begin
if (VAR41) begin
VAR110 = VAR25;
end else begin
VAR22 = 1'b1;
VAR47 = 4'd8;
VAR110 = VAR84;
end
end
VAR14: begin
VAR22 = 1'b1;
VAR47 = 4'd8;
VAR110 = VAR84;
end
VAR84: begin
VAR47 = VAR3 - 1;
if (VAR3 > 1) begin
VAR11 = 1'b1;
VAR108 = VAR99[VAR3-2];
VAR110 = VAR84;
end else if (VAR3 > 0) begin
VAR11 = 1'b1;
VAR108 = VAR98;
VAR110 = VAR84;
end else begin
VAR21 = 1'b1;
VAR110 = VAR68;
end
end
VAR68: begin
VAR16 = VAR42;
if (VAR98) begin
VAR47 = 4'd8;
VAR6 = 1'b0;
VAR110 = VAR113;
end else begin
VAR97 = 1'b1;
VAR110 = VAR35;
end
end
VAR35: begin
VAR97 = 1'b1;
if (VAR36 & VAR7) begin
VAR6 = VAR43;
VAR62 = VAR114;
VAR47 = 4'd8;
VAR97 = 1'b0;
VAR110 = VAR118;
end else begin
VAR110 = VAR35;
end
end
VAR118: begin
VAR47 = VAR3 - 1;
if (VAR3 > 0) begin
VAR11 = 1'b1;
VAR108 = VAR30[VAR3-1];
VAR110 = VAR118;
end else begin
VAR21 = 1'b1;
VAR110 = VAR37;
end
end
VAR37: begin
VAR16 = VAR42;
if (VAR59 && !VAR29) begin
VAR110 = VAR35;
end else if (VAR64) begin
VAR67 = 1'b1;
VAR110 = VAR13;
end else begin
VAR110 = VAR61;
end
end
VAR113: begin
VAR47 = VAR3 - 1;
VAR6 = {VAR30[6:0], VAR42};
if (VAR3 > 0) begin
VAR21 = 1'b1;
VAR110 = VAR113;
end else begin
VAR44 = VAR6;
VAR60 = 1'b1;
VAR66 = 1'b0;
if (VAR64) begin
VAR66 = 1'b1;
VAR11 = 1'b1;
VAR108 = 1'b1;
VAR110 = VAR93;
end else begin
VAR110 = VAR85;
end
end
end
VAR93: begin
VAR67 = 1'b1;
VAR110 = VAR13;
end
endcase
end
end
always @* begin
VAR20 = VAR94;
VAR9 = VAR42;
VAR18 = VAR65;
VAR86 = VAR116;
VAR115 = VAR23;
VAR49 = VAR51;
VAR24 = VAR12;
VAR87 = VAR90;
if (VAR19) begin
VAR24 = 1'b1;
VAR49 = 1'b1;
VAR86 = 1'b0;
VAR115 = 1'b0;
VAR18 = 1'b0;
VAR20 = VAR94;
end else if (VAR116) begin
VAR86 = VAR51 & ~VAR54;
VAR20 = VAR46;
end else if (VAR23) begin
VAR115 = VAR12 & ~VAR71;
VAR20 = VAR46;
end else if (VAR65 > 0) begin
VAR18 = VAR65 - 1;
VAR20 = VAR46;
end else begin
case (VAR46)
VAR94: begin
VAR24 = 1'b1;
VAR49 = 1'b1;
if (VAR22) begin
VAR24 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR101;
end else begin
VAR20 = VAR94;
end
end
VAR79: begin
if (VAR22) begin
VAR24 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR75;
end else if (VAR11) begin
VAR24 = VAR108;
VAR18 = VAR69;
VAR20 = VAR63;
end else if (VAR21) begin
VAR24 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR96;
end else if (VAR67) begin
VAR24 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR70;
end else begin
VAR20 = VAR79;
end
end
VAR75: begin
VAR49 = 1'b1;
VAR86 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR28;
end
VAR28: begin
VAR24 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR101;
end
VAR101: begin
VAR49 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR106;
end
VAR106: begin
VAR87 = 1'b1;
VAR20 = VAR79;
end
VAR63: begin
VAR49 = 1'b1;
VAR86 = 1'b1;
VAR18 = VAR69 << 1;
VAR20 = VAR76;
end
VAR76: begin
VAR49 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR89;
end
VAR89: begin
VAR20 = VAR79;
end
VAR96: begin
VAR49 = 1'b1;
VAR86 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR38;
end
VAR38: begin
VAR9 = VAR71;
VAR18 = VAR69;
VAR20 = VAR74;
end
VAR74: begin
VAR49 = 1'b0;
VAR18 = VAR69;
VAR20 = VAR55;
end
VAR55: begin
VAR20 = VAR79;
end
VAR70: begin
VAR49 = 1'b1;
VAR86 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR80;
end
VAR80: begin
VAR24 = 1'b1;
VAR18 = VAR69;
VAR20 = VAR33;
end
VAR33: begin
VAR87 = 1'b0;
VAR20 = VAR94;
end
endcase
end
end
always @(posedge clk) begin
VAR48 <= VAR110;
VAR46 <= VAR20;
VAR42 <= VAR9;
VAR99 <= VAR8;
VAR30 <= VAR6;
VAR29 <= VAR62;
VAR98 <= VAR82;
VAR59 <= VAR58;
VAR64 <= VAR10;
VAR65 <= VAR18;
VAR116 <= VAR86;
VAR23 <= VAR115;
VAR3 <= VAR47;
VAR109 <= VAR1;
VAR31 <= VAR97;
VAR56 <= VAR44;
VAR83 <= VAR66;
VAR26 <= VAR60;
VAR54 <= VAR117;
VAR71 <= VAR5;
VAR51 <= VAR49;
VAR12 <= VAR24;
VAR73 <= VAR54;
VAR50 <= VAR71;
VAR105 <= !(VAR48 == VAR13 || VAR48 == VAR61 || VAR48 == VAR85) || !(VAR46 == VAR94 || VAR46 == VAR79);
if (VAR52) begin
VAR53 <= 1'b1;
end else if (VAR111) begin
VAR53 <= 1'b0;
end else begin
VAR53 <= VAR53;
end
VAR90 <= VAR87;
VAR40 <= VAR16;
if (rst) begin
VAR48 <= VAR13;
VAR46 <= VAR94;
VAR65 <= 16'd0;
VAR116 <= 1'b0;
VAR23 <= 1'b0;
VAR109 <= 1'b0;
VAR31 <= 1'b0;
VAR26 <= 1'b0;
VAR51 <= 1'b1;
VAR12 <= 1'b1;
VAR105 <= 1'b0;
VAR53 <= 1'b0;
VAR90 <= 1'b0;
VAR40 <= 1'b0;
end
end
endmodule
|
mit
|
P3Stor/P3Stor
|
pcie/app/BAR0_WRAPPER.v
| 8,108 |
module MODULE1(
clk,
VAR36,
en,
VAR41,
VAR25,
VAR10,
VAR24,
VAR18,
VAR56,
VAR62,
VAR60,
VAR37,
VAR5,
VAR54,
VAR53,
VAR40,
VAR57,
VAR8,
VAR34,
VAR63,
VAR47,
VAR35,
VAR14,
VAR21,
VAR39,
VAR22,
VAR38,
VAR30,
VAR26,
VAR42,
VAR45,
VAR46,
VAR19,
VAR6,
VAR51,
VAR61,
VAR44,
VAR1,
VAR31,
VAR13,
VAR23,
VAR17,
VAR32,
VAR33,
VAR28,
VAR48,
VAR15,
VAR58,
VAR29,
VAR55,
VAR11
);
parameter VAR49 = 4'b0001;
parameter VAR4 = 4'b0010;
parameter VAR2 = 4'b0100;
parameter VAR9 = 4'b1000;
input [5:0] VAR11;
output [31:0] VAR32;
output [31:0] VAR33;
output [31:0] VAR28;
output [31:0] VAR48;
input VAR15;
output VAR58;
output [31:0] VAR29;
output [10:0] VAR55;
input clk , VAR36;
output en;
input [6:0] VAR41;
input [3:0] VAR56;
output [31:0] VAR18;
input VAR25;
input [7:0] VAR10;
input [31:0] VAR62;
output VAR24;
output VAR60;
output [6:0] VAR37;
output [3:0] VAR5;
output [31:0] VAR54;
input VAR53;
input VAR40;
input VAR57;
input [31:0] VAR8;
input VAR34;
output VAR63;
input VAR47;
input VAR35;
input VAR14;
input VAR21;
output VAR39;
input [9:0] VAR22;
output [15:0] VAR38;
input [31:0] VAR30;
input [31:0] VAR26;
input VAR42;
input VAR45;
input VAR46;
input VAR19;
input VAR6;
input VAR51;
output VAR61;
output VAR44;
output VAR1;
output VAR31;
wire [31:0] VAR3;
reg [6:0] VAR59;
reg [3:0] VAR12;
reg [31:0] VAR50;
reg VAR24;
output VAR13;
output [63:0] VAR23;
input VAR17;
reg VAR43;
reg [31:0] VAR7;
reg [31:0] VAR52;
reg [3:0] VAR16;
always @ ( posedge clk ) begin
if( !VAR36 ) begin
VAR43 <= 1'b0;
VAR24 <= 1'b0;
VAR59 <= 7'b0;
VAR12 <= 4'b0;
VAR50 <= 32'b0;
VAR7 <= 32'b0;
VAR52 <= 32'b0;
VAR16 <= VAR49;
end
else begin
case ( VAR16 )
VAR49: begin
VAR43 <= 1'b0;
VAR24 <= 1'b0;
VAR59 <= VAR41;
if( VAR25 ) begin
VAR12 <= VAR10[3:0];
VAR50 <= VAR62;
VAR24 <= 1'b1;
VAR16 <= VAR4;
end
end
VAR4: begin
VAR16 <= VAR2;
end
VAR2: begin
VAR7 <= VAR3;
VAR16 <= VAR9;
end
VAR9: begin
VAR43 <= 1'b1;
VAR52 <= { { VAR12[3] ? VAR50[31:24] : VAR7[31:24] } ,
{ VAR12[2] ? VAR50[23:16] : VAR7[23:16] } ,
{ VAR12[1] ? VAR50[15:8] : VAR7[15:8] } ,
{ VAR12[0] ? VAR50[7:0] : VAR7[7:0] }
};
VAR24 <= 1'b0;
VAR16 <= VAR49;
end
default: VAR16 <= VAR49;
endcase
end
end
assign VAR18 = {{VAR56[0] ? VAR3[07:00] : 8'h0},
{VAR56[1] ? VAR3[15:08] : 8'h0},
{VAR56[2] ? VAR3[23:16] : 8'h0},
{VAR56[3] ? VAR3[31:24] : 8'h0}};
VAR20 VAR27 (
.clk(clk),
.VAR36(VAR36),
.en(en),
.VAR41(VAR59),
.VAR25(VAR43),
.VAR18(VAR3),
.VAR62(VAR52),
.VAR60(VAR60),
.VAR37(VAR37),
.VAR5(VAR5),
.VAR54(VAR54),
.VAR53(VAR53),
.VAR40(VAR40),
.VAR57(VAR57),
.VAR8(VAR8),
.VAR34(VAR34),
.VAR63(VAR63),
.VAR47(VAR47),
.VAR35(VAR35),
.VAR14(VAR14),
.VAR21(VAR21),
.VAR39(VAR39),
.VAR22(VAR22),
.VAR38(VAR38),
.VAR30(VAR30),
.VAR26(VAR26),
.VAR42(VAR42),
.VAR45(VAR45),
.VAR46(VAR46),
.VAR19(VAR19),
.VAR6(VAR6),
.VAR51(VAR51),
.VAR61(VAR61),
.VAR44(VAR44),
.VAR1(VAR1),
.VAR31(VAR31),
.VAR13(VAR13),
.VAR23(VAR23),
.VAR17(VAR17),
.VAR32(VAR32),
.VAR33(VAR33),
.VAR28(VAR28),
.VAR48(VAR48),
.VAR15(VAR15),
.VAR58(VAR58),
.VAR29(VAR29),
.VAR55(VAR55),
.VAR11(VAR11)
);
endmodule
|
gpl-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/mux_41.v
| 2,777 |
module MODULE1(
input [1:0] sel,
input [3:0] VAR24,
input VAR10,
input VAR15,
input VAR8,
input VAR12,
input [3:0] VAR30,
input VAR5,
input VAR6,
input VAR7,
input VAR3,
input [3:0] VAR17,
input VAR29,
input VAR14,
input VAR28,
input VAR20,
input [3:0] VAR23,
input VAR2,
input VAR21,
input VAR22,
input VAR26,
output [3:0] VAR4,
output VAR13,
output VAR11,
output VAR19,
output VAR18
);
reg [3:0] VAR1;
reg VAR27;
reg VAR9;
reg VAR16;
reg VAR25;
always @(*) begin
case (sel)
2'b00: begin
VAR1 = VAR24;
VAR27 = VAR10;
VAR9 = VAR15;
VAR16 = VAR8;
VAR25 = VAR12;
end
2'b01: begin
VAR1 = VAR30;
VAR27 = VAR5;
VAR9 = VAR6;
VAR16 = VAR7;
VAR25 = VAR3;
end
2'b10:begin
VAR1 = VAR17;
VAR27 = VAR29;
VAR9 = VAR14;
VAR16 = VAR28;
VAR25 = VAR20;
end
2'b11:begin
VAR1 = VAR23;
VAR27 = VAR2;
VAR9 = VAR21;
VAR16 = VAR22;
VAR25 = VAR26;
end
endcase
end
assign VAR4 = VAR1;
assign VAR13 = VAR27;
assign VAR11 = VAR9;
assign VAR19 = VAR16;
assign VAR18 = VAR25;
endmodule
|
mit
|
aap/pdp6
|
verilog/membus_1_connect.v
| 1,038 |
module MODULE1(
input wire clk,
input wire reset,
input wire VAR21,
input wire VAR20,
input wire VAR9,
input wire VAR6,
input wire [21:35] VAR3,
input wire [18:21] VAR17,
input wire VAR14,
input wire [0:35] VAR11,
output wire VAR1,
output wire VAR19,
output wire [0:35] VAR16,
output wire VAR8,
output wire VAR13,
output wire VAR15,
output wire VAR22,
output wire [21:35] VAR4,
output wire [18:21] VAR12,
output wire VAR18,
output wire [0:35] VAR2,
input wire VAR5,
input wire VAR23,
input wire [0:35] VAR10
);
wire [0:35] VAR7 = VAR11 | VAR10;
assign VAR1 = VAR5;
assign VAR19 = VAR23;
assign VAR16 = VAR7;
assign VAR8 = VAR21;
assign VAR13 = VAR20;
assign VAR15 = VAR9;
assign VAR22 = VAR6;
assign VAR4 = VAR3;
assign VAR12 = VAR17;
assign VAR18 = VAR14;
assign VAR2 = VAR7;
endmodule
|
mit
|
RushangKaria/Xilinx_Spartan6_vModTFT_Nexys3
|
Verilog/VideoTimingCtl.v
| 7,969 |
module MODULE1
(
input wire VAR2,
input wire VAR23,
input wire [VAR1-1:0] VAR12,
output wire VAR16,
output wire VAR10,
output wire VAR8,
output wire [31:0] VAR7,
output wire [31:0] VAR5
);
reg [31:0] VAR11;
reg [31:0] VAR22;
reg [31:0] VAR3;
reg [31:0] VAR24;
reg [31:0] VAR25;
reg [31:0] VAR14;
reg [31:0] VAR4;
reg [31:0] VAR6;
reg [31:0] VAR13;
reg [31:0] VAR15;
reg VAR20;
reg VAR18;
reg VAR21;
reg VAR9;
reg VAR19;
wire VAR17;
begin
begin
begin
end
begin
begin
end
begin
end
|
gpl-3.0
|
olajep/oh
|
src/adi/hdl/library/xilinx/common/ad_data_in.v
| 6,150 |
module MODULE1 #(
parameter VAR8 = 0,
parameter VAR22 = 0,
parameter VAR54 = 1,
parameter VAR42 = 0,
parameter VAR27 = "VAR64") (
input VAR60,
input VAR52,
input VAR32,
output VAR37,
output VAR82,
input VAR23,
input VAR80,
input [ 4:0] VAR11,
output [ 4:0] VAR17,
input VAR49,
input VAR19,
output VAR78);
localparam VAR83 = -1;
localparam VAR2 = 0;
localparam VAR40 = 2;
localparam VAR67 = 3;
localparam VAR36 = (VAR54 == 1) ? VAR42 : 0;
localparam VAR12 = (VAR22 == VAR40) ? "VAR67" :
(VAR22 == VAR67) ? "VAR67" : "7SERIES";
localparam VAR43 = (VAR54 == 1) ? VAR22 : VAR83;
localparam VAR73 = (VAR22 == VAR40) ? "VAR40" :
(VAR22 == VAR67) ? "VAR67" : "7SERIES";
wire VAR20;
wire VAR16;
wire [ 8:0] VAR21;
generate
if (VAR36 == 0) begin
assign VAR78 = 1'b1;
end else begin
VAR76 #(.VAR62 (VAR12)) VAR48 (
.VAR4 (VAR19),
.VAR13 (VAR49),
.VAR53 (VAR78));
end
endgenerate
generate
if (VAR8 == 1) begin
VAR66 VAR63 (
.VAR57 (VAR52),
.VAR25 (VAR20));
end else begin
VAR51 VAR63 (
.VAR57 (VAR52),
.VAR45 (VAR32),
.VAR25 (VAR20));
end
endgenerate
generate
if (VAR43 == VAR2) begin
VAR29 #(
.VAR15 ("VAR55"),
.VAR65 ("VAR75"),
.VAR41 ("VAR55"),
.VAR28 ("VAR5"),
.VAR38 (0),
.VAR1 (200.0),
.VAR84 ("VAR55"),
.VAR44 ("VAR87"))
VAR77 (
.VAR47 (1'b0),
.VAR46 (1'b0),
.VAR9 (1'b0),
.VAR69 (1'b0),
.VAR56 (1'b0),
.VAR68 (1'b0),
.VAR24 (VAR23),
.VAR75 (VAR20),
.VAR70 (VAR16),
.VAR86 (VAR80),
.VAR72 (VAR11),
.VAR35 (VAR17));
end
endgenerate
generate
if ((VAR43 == VAR67) || (VAR43 == VAR40)) begin
assign VAR17 = VAR21[8:4];
VAR33 #(
.VAR62 (VAR73),
.VAR65 ("VAR75"),
.VAR3 ("VAR5"),
.VAR1 (200.0),
.VAR61 ("VAR39"))
VAR77 (
.VAR34 (1'b0),
.VAR6 (1'b0),
.VAR74 (),
.VAR47 (1'b0),
.VAR71 (VAR23),
.VAR46 (1'b0),
.VAR10 (VAR80),
.VAR72 ({VAR11, 4'd0}),
.VAR35 (VAR21),
.VAR9 (1'b0),
.VAR75 (VAR20),
.VAR70 (VAR16),
.VAR4 (1'b0),
.VAR59 (~VAR80));
end
endgenerate
generate
if (VAR43 == VAR83) begin
assign VAR16 = VAR20;
assign VAR17 = 5'd0;
end
endgenerate
generate
if ((VAR22 == VAR67) || (VAR22 == VAR40)) begin
VAR26 #(.VAR85 ("VAR7")) VAR58 (
.VAR14 (1'b0),
.VAR24 (VAR60),
.VAR31 (~VAR60),
.VAR18 (VAR16),
.VAR79 (VAR37),
.VAR30 (VAR82));
end
endgenerate
generate
if (VAR22 == VAR2) begin
VAR81 #(.VAR85 ("VAR7")) VAR58 (
.VAR47 (1'b1),
.VAR14 (1'b0),
.VAR50 (1'b0),
.VAR24 (VAR60),
.VAR18 (VAR16),
.VAR79 (VAR37),
.VAR30 (VAR82));
end
endgenerate
endmodule
|
mit
|
CospanDesign/nysa-verilog
|
verilog/axi/slave/axi_nes/rtl/cpu/apu/apu_envelope_generator.v
| 4,351 |
module MODULE1
(
input VAR8, input VAR20, input VAR1, input [5:0] VAR14, input VAR7, input VAR11, output [3:0] VAR22 );
reg [5:0] VAR10;
wire [5:0] VAR18;
reg [3:0] VAR17, VAR13;
reg VAR5, VAR15;
always @(posedge VAR8)
begin
if (VAR20)
begin
VAR10 <= 6'h00;
VAR17 <= 4'h0;
VAR5 <= 1'b0;
end
else
begin
VAR10 <= VAR18;
VAR17 <= VAR13;
VAR5 <= VAR15;
end
end
reg VAR3;
reg VAR19;
wire VAR23;
VAR21 #(.VAR4(4)) VAR2(
.VAR8(VAR8),
.VAR20(VAR20),
.VAR16(VAR3),
.VAR12(VAR19),
.VAR6(VAR10[3:0]),
.VAR9(VAR23)
);
always @*
begin
VAR13 = VAR17;
VAR15 = VAR5;
VAR3 = 1'b0;
VAR19 = 1'b0;
if (VAR23)
begin
VAR19 = 1'b1;
if (VAR17 != 4'h0)
VAR13 = VAR17 - 4'h1;
end
else if (VAR10[5])
VAR13 = 4'hF;
end
if (VAR1)
begin
if (VAR5 == 1'b0)
begin
VAR3 = 1'b1;
end
else
begin
VAR15 = 1'b0;
VAR13 = 4'hF;
end
end
if (VAR11)
VAR15 = 1'b1;
end
assign VAR18 = (VAR7) ? VAR14 : VAR10;
assign VAR22 = (VAR10[4]) ? VAR10[3:0] : VAR17;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o221ai/sky130_fd_sc_lp__o221ai.pp.symbol.v
| 1,409 |
module MODULE1 (
input VAR5 ,
input VAR9 ,
input VAR2 ,
input VAR7 ,
input VAR1 ,
output VAR8 ,
input VAR3 ,
input VAR6,
input VAR10,
input VAR4
);
endmodule
|
apache-2.0
|
chasingegg/Computer_Systems
|
CS334_computer organization lab/source/lab4_data_memory/data_memory.v
| 1,122 |
module MODULE1( VAR4,address,VAR5,VAR3,VAR7,VAR1);
input VAR4;
input [31:0] address;
input [31:0] VAR5;
input VAR3;
input VAR7;
output [31:0] VAR1;
reg [31:0] VAR2[0:127];
reg [31:0] VAR1;
integer VAR6;
begin
|
mit
|
Koheron/zynq-sdk
|
fpga/cores/axis_lfsr_v1_0/axis_lfsr.v
| 1,663 |
module MODULE1 #
(
parameter integer VAR4 = 64,
parameter VAR5 = "VAR7"
)
(
input wire VAR2,
input wire VAR10,
input wire VAR11,
output wire [VAR4-1:0] VAR6,
output wire VAR1
);
reg [VAR4-1:0] VAR3, VAR14;
reg VAR13, VAR8;
always @(posedge VAR2)
begin
if(~VAR10)
begin
VAR3 <= 64'h5555555555555555;
VAR13 <= 1'b0;
end
else
begin
VAR3 <= VAR14;
VAR13 <= VAR8;
end
end
generate
if(VAR5 == "VAR12")
begin : VAR5
always @*
begin
VAR14 = VAR3;
VAR8 = VAR13;
if(~VAR13)
begin
VAR8 = 1'b1;
end
if(VAR13 & VAR11)
begin
VAR14 = {VAR3[62:0], VAR3[62] ~^ VAR3[61]};
end
end
end
else
begin : VAR9
always @*
begin
VAR14 = VAR3;
VAR8 = VAR13;
if(~VAR13)
begin
VAR8 = 1'b1;
end
if(VAR13)
begin
VAR14 = {VAR3[62:0], VAR3[62] ~^ VAR3[61]};
end
end
end
endgenerate
assign VAR6 = VAR3;
assign VAR1 = VAR13;
endmodule
|
mit
|
ultraembedded/altor32
|
rtl/soc/dmem_mux3.v
| 5,901 |
module MODULE1
(
output reg [31:0] VAR1,
output reg [31:0] VAR24,
input [31:0] VAR15,
output reg [3:0] VAR22,
output reg VAR34,
output reg VAR30,
output reg VAR11,
output reg [2:0] VAR10,
input VAR8,
input VAR27,
output reg [31:0] VAR20,
output reg [31:0] VAR16,
input [31:0] VAR12,
output reg [3:0] VAR40,
output reg VAR2,
output reg VAR13,
output reg VAR32,
output reg [2:0] VAR17,
input VAR33,
input VAR28,
output reg [31:0] VAR5,
output reg [31:0] VAR23,
input [31:0] VAR31,
output reg [3:0] VAR37,
output reg VAR38,
output reg VAR26,
output reg VAR4,
output reg [2:0] VAR9,
input VAR29,
input VAR25,
input [31:0] VAR3,
input [31:0] VAR35,
output reg[31:0] VAR21,
input [3:0] VAR14,
input VAR41,
input VAR19,
input VAR36,
input [2:0] VAR39,
output reg VAR6,
output reg VAR7
);
parameter VAR18 = 28;
always @ *
begin
VAR1 = 32'h00000000;
VAR24 = 32'h00000000;
VAR22 = 4'b0000;
VAR34 = 1'b0;
VAR30 = 1'b0;
VAR11 = 1'b0;
VAR10 = 3'b0;
VAR20 = 32'h00000000;
VAR16 = 32'h00000000;
VAR40 = 4'b0000;
VAR2 = 1'b0;
VAR13 = 1'b0;
VAR32 = 1'b0;
VAR17 = 3'b0;
VAR5 = 32'h00000000;
VAR23 = 32'h00000000;
VAR37 = 4'b0000;
VAR38 = 1'b0;
VAR26 = 1'b0;
VAR4 = 1'b0;
VAR9 = 3'b0;
case (VAR3[VAR18+2-1:VAR18])
2'd0:
begin
VAR1 = VAR3;
VAR24 = VAR35;
VAR22 = VAR14;
VAR34 = VAR41;
VAR30 = VAR19;
VAR11 = VAR36;
VAR10 = VAR39;
end
2'd1:
begin
VAR20 = VAR3;
VAR16 = VAR35;
VAR40 = VAR14;
VAR2 = VAR41;
VAR13 = VAR19;
VAR32 = VAR36;
VAR17 = VAR39;
end
2'd2:
begin
VAR5 = VAR3;
VAR23 = VAR35;
VAR37 = VAR14;
VAR38 = VAR41;
VAR26 = VAR19;
VAR4 = VAR36;
VAR9 = VAR39;
end
default :
;
endcase
end
always @ *
begin
case (VAR3[VAR18+2-1:VAR18])
2'd0:
begin
VAR21 = VAR15;
VAR7 = VAR27;
VAR6 = VAR8;
end
2'd1:
begin
VAR21 = VAR12;
VAR7 = VAR28;
VAR6 = VAR33;
end
2'd2:
begin
VAR21 = VAR31;
VAR7 = VAR25;
VAR6 = VAR29;
end
default :
begin
VAR21 = 32'h00000000;
VAR7 = 1'b0;
VAR6 = 1'b0;
end
endcase
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o21ai/sky130_fd_sc_ls__o21ai.functional.v
| 1,434 |
module MODULE1 (
VAR6 ,
VAR5,
VAR3,
VAR7
);
output VAR6 ;
input VAR5;
input VAR3;
input VAR7;
wire VAR4 ;
wire VAR1;
or VAR9 (VAR4 , VAR3, VAR5 );
nand VAR2 (VAR1, VAR7, VAR4 );
buf VAR8 (VAR6 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o32ai/sky130_fd_sc_hdll__o32ai.pp.symbol.v
| 1,406 |
module MODULE1 (
input VAR4 ,
input VAR1 ,
input VAR5 ,
input VAR6 ,
input VAR7 ,
output VAR2 ,
input VAR8 ,
input VAR9,
input VAR3,
input VAR10
);
endmodule
|
apache-2.0
|
f3zz3h/Embedded-Co-Design
|
ts7300_top_restored/ethernet/eth_txstatem.v
| 10,478 |
module MODULE1 (VAR23, VAR26, VAR44, VAR9, VAR48, VAR19, VAR6,
VAR13, VAR1, VAR29, VAR31, VAR40, VAR41, VAR30,
VAR18, VAR4, VAR33, VAR34, VAR8, VAR46, VAR12,
VAR35, VAR39, VAR38, VAR45, VAR36, VAR47,
VAR2, VAR14, VAR42, VAR37, VAR17, VAR24,
VAR3, VAR27, VAR25, VAR5, VAR32, VAR10,
VAR21, VAR28, VAR11, VAR49, VAR43, VAR15
);
parameter VAR16 = 1;
input VAR23;
input VAR26;
input VAR44;
input VAR9;
input [6:0] VAR48;
input [6:0] VAR19;
input [6:0] VAR6;
input [6:0] VAR13;
input VAR1;
input VAR29;
input VAR31;
input VAR40;
input VAR41;
input VAR30;
input VAR18;
input VAR4;
input VAR33;
input VAR34;
input VAR8;
input VAR46;
input VAR12;
input VAR35;
input VAR39;
input VAR38;
input VAR45;
input VAR36;
input VAR47;
output VAR2; output VAR14; output VAR42; output [1:0] VAR37; output VAR17; output VAR24; output VAR3; output VAR27; output VAR25; output VAR5;
output VAR32; output VAR10; output VAR21; output VAR28; output VAR11;
output VAR49; output [1:0] VAR43; output VAR15;
wire VAR20; wire VAR22;
reg VAR2;
reg VAR14;
reg VAR42;
reg [1:0] VAR37;
reg VAR17;
reg VAR24;
reg VAR3;
reg VAR27;
reg VAR25;
reg VAR5;
reg VAR7;
assign VAR15 = VAR5 & ~VAR44 & ~VAR9;
assign VAR20 = VAR14 & (VAR7 & VAR48[6:0] >= VAR19 | ~VAR7 & VAR48[6:0] >= VAR13);
assign VAR49 = VAR2 & VAR29 & ~VAR9;
assign VAR43[0] = ~VAR41 & (VAR42 & VAR34 | VAR37[1] & ~VAR31);
assign VAR43[1] = ~VAR41 & VAR37[0] & ~VAR40 & ~VAR8;
assign VAR22 = ~VAR41 & VAR37[1] & VAR31 & VAR46 & ~VAR35;
assign VAR32 = ~VAR41 & VAR37[1] & VAR31 & (~VAR46 | VAR46 & VAR35) & VAR12
| ~VAR41 & VAR17 & VAR35 & VAR12;
assign VAR10 = (VAR41 | VAR30) & ((VAR42 & VAR34) | (|VAR37[1:0]) | VAR17 | VAR24);
assign VAR21 = VAR3 & ~VAR39 & VAR38 & ~VAR45 & VAR33 & ~VAR36;
assign VAR28 = VAR14 & ~VAR7 & VAR9 & VAR48[6:0] <= VAR6 & VAR48[6:0] != VAR13
| VAR2 & VAR9
| VAR3 & VAR33 & (VAR36 | VAR39 | ~VAR38 | VAR45)
| VAR25 & (VAR40 | VAR47)
| VAR18 | VAR4;
assign VAR11 = VAR2 & VAR9;
always @ (posedge VAR23 or posedge VAR26)
begin
if(VAR26)
begin
VAR14 <= #VAR16 1'b0;
VAR2 <= #VAR16 1'b0;
VAR42 <= #VAR16 1'b0;
VAR37[1:0] <= #VAR16 2'b0;
VAR17 <= #VAR16 1'b0;
VAR24 <= #VAR16 1'b0;
VAR3 <= #VAR16 1'b0;
VAR27 <= #VAR16 1'b0;
VAR25 <= #VAR16 1'b0;
VAR5 <= #VAR16 1'b1;
end
else
begin
VAR37[1:0] <= #VAR16 VAR43[1:0];
VAR27 <= #VAR16 VAR3;
if(VAR28 | VAR20)
VAR14 <= #VAR16 1'b0;
end
else
if(VAR15)
VAR14 <= #VAR16 1'b1;
if(VAR28 | VAR49)
VAR2 <= #VAR16 1'b0;
end
else
if(VAR20)
VAR2 <= #VAR16 1'b1;
if(VAR43[0] | VAR10)
VAR42 <= #VAR16 1'b0;
else
if(VAR49)
VAR42 <= #VAR16 1'b1;
if(VAR32 | VAR10)
VAR17 <= #VAR16 1'b0;
else
if(VAR22)
VAR17 <= #VAR16 1'b1;
if(VAR10 | VAR28)
VAR24 <= #VAR16 1'b0;
else
if(VAR32)
VAR24 <= #VAR16 1'b1;
if(VAR21 | VAR28)
VAR3 <= #VAR16 1'b0;
else
if(VAR10)
VAR3 <= #VAR16 1'b1;
if(VAR28)
VAR25 <= #VAR16 1'b0;
else
if(VAR21)
VAR25 <= #VAR16 1'b1;
if(VAR15)
VAR5 <= #VAR16 1'b0;
else
if(VAR28)
VAR5 <= #VAR16 1'b1;
end
end
always @ (posedge VAR23 or posedge VAR26)
begin
if(VAR26)
VAR7 <= #VAR16 1'b0;
end
else
begin
if(VAR2 | VAR25)
VAR7 <= #VAR16 1'b0;
end
else
if(VAR42 | VAR1)
VAR7 <= #VAR16 1'b1;
end
end
endmodule
|
gpl-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Convert_Data_Type1.v
| 1,114 |
module MODULE1
(
VAR2,
VAR3
);
input signed [35:0] VAR2; output signed [35:0] VAR3;
wire signed [35:0] VAR1;
assign VAR1 = {VAR2[35], VAR2[35:1]};
assign VAR3 = VAR1;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a311o/sky130_fd_sc_ls__a311o.pp.symbol.v
| 1,394 |
module MODULE1 (
input VAR4 ,
input VAR9 ,
input VAR10 ,
input VAR5 ,
input VAR7 ,
output VAR1 ,
input VAR8 ,
input VAR2,
input VAR3,
input VAR6
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/mux4/sky130_fd_sc_hs__mux4_4.v
| 2,317 |
module MODULE2 (
VAR4 ,
VAR5 ,
VAR11 ,
VAR1 ,
VAR8 ,
VAR2 ,
VAR3 ,
VAR9,
VAR10
);
output VAR4 ;
input VAR5 ;
input VAR11 ;
input VAR1 ;
input VAR8 ;
input VAR2 ;
input VAR3 ;
input VAR9;
input VAR10;
VAR6 VAR7 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR4 ,
VAR5,
VAR11,
VAR1,
VAR8,
VAR2,
VAR3
);
output VAR4 ;
input VAR5;
input VAR11;
input VAR1;
input VAR8;
input VAR2;
input VAR3;
supply1 VAR9;
supply0 VAR10;
VAR6 VAR7 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
alexforencich/verilog-ethernet
|
example/VCU118/fpga_10g/rtl/mdio_master.v
| 6,510 |
module MODULE1 (
input wire clk,
input wire rst,
input wire [4:0] VAR41,
input wire [4:0] VAR9,
input wire [15:0] VAR26,
input wire [1:0] VAR7,
input wire VAR6,
output wire VAR12,
output wire [15:0] VAR44,
output wire VAR34,
input wire VAR39,
output wire VAR13,
input wire VAR11,
output wire VAR38,
output wire VAR22,
output wire VAR24,
input wire [7:0] VAR31
);
localparam [1:0]
VAR21 = 2'd0,
VAR18 = 2'd1,
VAR8 = 2'd2;
reg [1:0] VAR29 = VAR21, VAR32;
reg [16:0] VAR25 = 16'd0, VAR23;
reg [6:0] VAR19 = 6'd0, VAR36;
reg VAR3 = 1'b0, VAR14;
reg [31:0] VAR16 = 32'd0, VAR28;
reg [1:0] VAR35 = 2'b00, VAR5;
reg VAR40 = 1'b0, VAR42;
reg [15:0] VAR30 = 15'd0, VAR33;
reg VAR17 = 1'b0, VAR10;
reg VAR1 = 1'b1;
reg VAR15 = 1'b0, VAR2;
reg VAR37 = 1'b0, VAR4;
reg VAR27 = 1'b1, VAR43;
reg VAR20 = 1'b0;
assign VAR12 = VAR40;
assign VAR44 = VAR30;
assign VAR34 = VAR17;
assign VAR13 = VAR15;
assign VAR38 = VAR37;
assign VAR22 = VAR27;
assign VAR24 = VAR20;
always @* begin
VAR32 = VAR21;
VAR23 = VAR25;
VAR36 = VAR19;
VAR14 = VAR3;
VAR28 = VAR16;
VAR5 = VAR35;
VAR42 = 1'b0;
VAR33 = VAR30;
VAR10 = VAR17 & ~VAR39;
VAR2 = VAR15;
VAR4 = VAR37;
VAR43 = VAR27;
if (VAR25 > 16'd0) begin
VAR23 = VAR25 - 16'd1;
VAR32 = VAR29;
end else if (VAR3) begin
VAR14 = 1'b0;
VAR2 = 1'b1;
VAR23 = VAR31;
VAR32 = VAR29;
end else begin
VAR2 = 1'b0;
case (VAR29)
VAR21: begin
VAR42 = ~VAR34;
if (VAR12 & VAR6) begin
VAR42 = 1'b0;
VAR28 = {2'b01, VAR7, VAR41, VAR9, 2'b10, VAR26};
VAR5 = VAR7;
VAR43 = 1'b0;
VAR4 = 1'b1;
VAR36 = 6'd32;
VAR14 = 1'b1;
VAR23 = VAR31;
VAR32 = VAR18;
end else begin
VAR32 = VAR21;
end
end
VAR18: begin
VAR14 = 1'b1;
VAR23 = VAR31;
if (VAR19 > 6'd1) begin
VAR36 = VAR19 - 6'd1;
VAR32 = VAR18;
end else begin
VAR36 = 6'd32;
{VAR4, VAR28} = {VAR16, VAR1};
VAR32 = VAR8;
end
end
VAR8: begin
VAR14 = 1'b1;
VAR23 = VAR31;
if ((VAR35 == 2'b10 || VAR35 == 2'b11) && VAR19 == 6'd19) begin
VAR43 = 1'b1;
end
if (VAR19 > 6'd1) begin
VAR36 = VAR19 - 6'd1;
{VAR4, VAR28} = {VAR16, VAR1};
VAR32 = VAR8;
end else begin
if (VAR35 == 2'b10 || VAR35 == 2'b11) begin
VAR33 = VAR16[15:0];
VAR10 = 1'b1;
end
VAR43 = 1'b1;
VAR32 = VAR21;
end
end
endcase
end
end
always @(posedge clk) begin
if (rst) begin
VAR29 <= VAR21;
VAR25 <= 16'd0;
VAR19 <= 6'd0;
VAR3 <= 1'b0;
VAR40 <= 1'b0;
VAR17 <= 1'b0;
VAR15 <= 1'b0;
VAR37 <= 1'b0;
VAR27 <= 1'b1;
VAR20 <= 1'b0;
end else begin
VAR29 <= VAR32;
VAR25 <= VAR23;
VAR19 <= VAR36;
VAR3 <= VAR14;
VAR40 <= VAR42;
VAR17 <= VAR10;
VAR15 <= VAR2;
VAR37 <= VAR4;
VAR27 <= VAR43;
VAR20 <= (VAR32 != VAR21 || VAR25 != 0 || VAR3 || VAR13);
end
VAR16 <= VAR28;
VAR35 <= VAR5;
VAR30 <= VAR33;
VAR1 <= VAR11;
end
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_amphy/alt_mem_ddrx_ecc_decoder_64_syn.v
| 57,799 |
module MODULE1
(
VAR39,
VAR212) ;
input [6:0] VAR39;
output [127:0] VAR212;
tri0 [6:0] VAR39;
wire [5:0] VAR140;
wire VAR86;
wire VAR120;
wire [127:0] VAR161;
wire [63:0] VAR192;
wire [63:0] VAR224;
wire [3:0] VAR15;
wire [3:0] VAR63;
wire [3:0] VAR117;
wire [3:0] VAR54;
wire [3:0] VAR24;
wire [3:0] VAR156;
wire [3:0] VAR105;
wire [3:0] VAR14;
wire [3:0] VAR73;
wire [3:0] VAR84;
wire [3:0] VAR96;
wire [3:0] VAR93;
wire [3:0] VAR231;
wire [3:0] VAR124;
wire [3:0] VAR45;
wire [3:0] VAR8;
wire [3:0] VAR230;
wire [3:0] VAR245;
wire [3:0] VAR102;
wire [3:0] VAR49;
wire [3:0] VAR250;
wire [3:0] VAR112;
wire [3:0] VAR187;
wire [3:0] VAR107;
wire [3:0] VAR151;
wire [3:0] VAR150;
wire [3:0] VAR214;
wire [3:0] VAR194;
wire [3:0] VAR228;
wire [3:0] VAR136;
wire [3:0] VAR123;
wire [3:0] VAR1;
wire [3:0] VAR240;
wire [3:0] VAR144;
wire [3:0] VAR200;
wire [3:0] VAR125;
wire [3:0] VAR233;
wire [3:0] VAR25;
wire [3:0] VAR33;
wire [3:0] VAR61;
wire [3:0] VAR57;
wire [3:0] VAR94;
wire [3:0] VAR248;
wire [3:0] VAR181;
wire [3:0] VAR217;
wire [3:0] VAR101;
wire [3:0] VAR68;
wire [3:0] VAR209;
wire [3:0] VAR109;
wire [3:0] VAR211;
wire [3:0] VAR66;
wire [3:0] VAR44;
wire [3:0] VAR178;
wire [3:0] VAR135;
wire [3:0] VAR183;
wire [3:0] VAR239;
wire [3:0] VAR7;
wire [3:0] VAR237;
wire [3:0] VAR103;
wire [3:0] VAR145;
wire [3:0] VAR157;
wire [3:0] VAR204;
wire [3:0] VAR121;
wire [3:0] VAR30;
wire [3:0] VAR41;
wire [3:0] VAR191;
wire [3:0] VAR220;
wire [3:0] VAR176;
wire [3:0] VAR43;
wire [3:0] VAR197;
wire [3:0] VAR164;
wire [3:0] VAR152;
wire [3:0] VAR114;
wire [3:0] VAR4;
wire [3:0] VAR70;
wire [3:0] VAR225;
wire [3:0] VAR180;
wire [3:0] VAR148;
wire [3:0] VAR72;
wire [3:0] VAR104;
wire [3:0] VAR177;
wire [3:0] VAR210;
wire [3:0] VAR58;
wire [3:0] VAR6;
wire [3:0] VAR162;
wire [3:0] VAR98;
wire [3:0] VAR10;
wire [3:0] VAR159;
wire [3:0] VAR167;
wire [3:0] VAR11;
wire [3:0] VAR71;
wire [3:0] VAR227;
wire [3:0] VAR195;
wire [3:0] VAR32;
wire [3:0] VAR34;
wire [3:0] VAR74;
wire [3:0] VAR85;
wire [3:0] VAR130;
wire [3:0] VAR47;
wire [3:0] VAR246;
wire [3:0] VAR90;
wire [3:0] VAR160;
wire [3:0] VAR199;
wire [3:0] VAR79;
wire [3:0] VAR97;
wire [3:0] VAR17;
wire [3:0] VAR35;
wire [3:0] VAR119;
wire [3:0] VAR247;
wire [3:0] VAR173;
wire [3:0] VAR67;
wire [3:0] VAR232;
wire [3:0] VAR202;
wire [3:0] VAR19;
wire [3:0] VAR129;
wire [3:0] VAR118;
wire [3:0] VAR95;
wire [3:0] VAR18;
wire [3:0] VAR179;
wire [3:0] VAR207;
wire [3:0] VAR27;
wire [3:0] VAR138;
wire [3:0] VAR2;
wire [3:0] VAR91;
wire [3:0] VAR165;
wire [3:0] VAR106;
wire [3:0] VAR175;
wire [3:0] VAR169;
wire [3:0] VAR234;
wire [3:0] VAR219;
wire [3:0] VAR128;
wire [3:0] VAR218;
wire [3:0] VAR189;
wire [3:0] VAR163;
wire [3:0] VAR42;
wire [3:0] VAR166;
wire [3:0] VAR188;
wire [3:0] VAR242;
wire [3:0] VAR38;
wire [3:0] VAR186;
wire [3:0] VAR229;
wire [3:0] VAR69;
wire [3:0] VAR196;
wire [3:0] VAR89;
wire [2:0] VAR235;
wire [2:0] VAR59;
assign
VAR140 = VAR39[5:0],
VAR86 = (~ VAR39[6]),
VAR120 = VAR39[6],
VAR212 = VAR161,
VAR161 = {VAR224[63:0], VAR192},
VAR192 = {{VAR30[3], VAR121[3], VAR204[3], VAR157[3], VAR145[3], VAR103[3], VAR237[3], VAR7[3]}, {VAR183[3], VAR135[3], VAR178[3], VAR44[3], VAR66[3], VAR211[3], VAR109[3], VAR209[3]}, {VAR101[3], VAR217[3], VAR181[3], VAR248[3], VAR94[3], VAR57[3], VAR61[3], VAR33[3]}, {VAR233[3], VAR125[3], VAR200[3], VAR144[3], VAR240[3], VAR1[3], VAR123[3], VAR136[3]}, {VAR194[3], VAR214[3], VAR150[3], VAR151[3], VAR107[3], VAR187[3], VAR112[3], VAR250[3]}, {VAR102[3], VAR245[3], VAR230[3], VAR8[3], VAR45[3], VAR124[3], VAR231[3], VAR93[3]}, {VAR84[3], VAR73[3], VAR14[3], VAR105[3], VAR156[3], VAR24[3], VAR54[3], VAR117[3]}, {VAR15[3], VAR89[3], VAR196[3], VAR69[3], VAR229[3], VAR186[3], VAR38[3], VAR242[3]}},
VAR224 = {{VAR166[3], VAR42[3], VAR163[3], VAR189[3], VAR218[3], VAR128[3], VAR219[3], VAR234[3]}, {VAR175[3], VAR106[3], VAR165[3], VAR91[3], VAR2[3], VAR138[3], VAR27[3], VAR207[3]}, {VAR18[3], VAR95[3], VAR118[3], VAR129[3], VAR19[3], VAR202[3], VAR232[3], VAR67[3]}, {VAR247[3], VAR119[3], VAR35[3], VAR17[3], VAR97[3], VAR79[3], VAR199[3], VAR160[3]}, {VAR246[3], VAR47[3], VAR130[3], VAR85[3], VAR74[3], VAR34[3], VAR32[3], VAR195[3]}, {VAR71[3], VAR11[3], VAR167[3], VAR159[3], VAR10[3], VAR98[3], VAR162[3], VAR6[3]}, {VAR210[3], VAR177[3], VAR104[3], VAR72[3], VAR148[3], VAR180[3], VAR225[3], VAR70[3]}, {VAR114[3], VAR152[3], VAR164[3], VAR197[3], VAR43[3], VAR176[3], VAR220[3], VAR191[3]}},
VAR15 = {(VAR15[2] & VAR59[2]), (VAR15[1] & VAR59[1]), (VAR15[0] & VAR59[0]), VAR188[3]},
VAR63 = {(VAR63[2] & (~ VAR140[5])), (VAR63[1] & (~ VAR140[4])), (VAR63[0] & VAR140[3]), VAR86},
VAR117 = {(VAR117[2] & (~ VAR59[2])), (VAR117[1] & (~ VAR59[1])), (VAR117[0] & (~ VAR59[0])), VAR63[3]},
VAR54 = {(VAR54[2] & (~ VAR59[2])), (VAR54[1] & (~ VAR59[1])), (VAR54[0] & VAR59[0]), VAR63[3]},
VAR24 = {(VAR24[2] & (~ VAR59[2])), (VAR24[1] & VAR59[1]), (VAR24[0] & (~ VAR59[0])), VAR63[3]},
VAR156 = {(VAR156[2] & (~ VAR59[2])), (VAR156[1] & VAR59[1]), (VAR156[0] & VAR59[0]), VAR63[3]},
VAR105 = {(VAR105[2] & VAR59[2]), (VAR105[1] & (~ VAR59[1])), (VAR105[0] & (~ VAR59[0])), VAR63[3]},
VAR14 = {(VAR14[2] & VAR59[2]), (VAR14[1] & (~ VAR59[1])), (VAR14[0] & VAR59[0]), VAR63[3]},
VAR73 = {(VAR73[2] & VAR59[2]), (VAR73[1] & VAR59[1]), (VAR73[0] & (~ VAR59[0])), VAR63[3]},
VAR84 = {(VAR84[2] & VAR59[2]), (VAR84[1] & VAR59[1]), (VAR84[0] & VAR59[0]), VAR63[3]},
VAR96 = {(VAR96[2] & (~ VAR140[5])), (VAR96[1] & VAR140[4]), (VAR96[0] & (~ VAR140[3])), VAR86},
VAR93 = {(VAR93[2] & (~ VAR59[2])), (VAR93[1] & (~ VAR59[1])), (VAR93[0] & (~ VAR59[0])), VAR96[3]},
VAR231 = {(VAR231[2] & (~ VAR59[2])), (VAR231[1] & (~ VAR59[1])), (VAR231[0] & VAR59[0]), VAR96[3]},
VAR124 = {(VAR124[2] & (~ VAR59[2])), (VAR124[1] & VAR59[1]), (VAR124[0] & (~ VAR59[0])), VAR96[3]},
VAR45 = {(VAR45[2] & (~ VAR59[2])), (VAR45[1] & VAR59[1]), (VAR45[0] & VAR59[0]), VAR96[3]},
VAR8 = {(VAR8[2] & VAR59[2]), (VAR8[1] & (~ VAR59[1])), (VAR8[0] & (~ VAR59[0])), VAR96[3]},
VAR230 = {(VAR230[2] & VAR59[2]), (VAR230[1] & (~ VAR59[1])), (VAR230[0] & VAR59[0]), VAR96[3]},
VAR245 = {(VAR245[2] & VAR59[2]), (VAR245[1] & VAR59[1]), (VAR245[0] & (~ VAR59[0])), VAR96[3]},
VAR102 = {(VAR102[2] & VAR59[2]), (VAR102[1] & VAR59[1]), (VAR102[0] & VAR59[0]), VAR96[3]},
VAR49 = {(VAR49[2] & (~ VAR140[5])), (VAR49[1] & VAR140[4]), (VAR49[0] & VAR140[3]), VAR86},
VAR250 = {(VAR250[2] & (~ VAR59[2])), (VAR250[1] & (~ VAR59[1])), (VAR250[0] & (~ VAR59[0])), VAR49[3]},
VAR112 = {(VAR112[2] & (~ VAR59[2])), (VAR112[1] & (~ VAR59[1])), (VAR112[0] & VAR59[0]), VAR49[3]},
VAR187 = {(VAR187[2] & (~ VAR59[2])), (VAR187[1] & VAR59[1]), (VAR187[0] & (~ VAR59[0])), VAR49[3]},
VAR107 = {(VAR107[2] & (~ VAR59[2])), (VAR107[1] & VAR59[1]), (VAR107[0] & VAR59[0]), VAR49[3]},
VAR151 = {(VAR151[2] & VAR59[2]), (VAR151[1] & (~ VAR59[1])), (VAR151[0] & (~ VAR59[0])), VAR49[3]},
VAR150 = {(VAR150[2] & VAR59[2]), (VAR150[1] & (~ VAR59[1])), (VAR150[0] & VAR59[0]), VAR49[3]},
VAR214 = {(VAR214[2] & VAR59[2]), (VAR214[1] & VAR59[1]), (VAR214[0] & (~ VAR59[0])), VAR49[3]},
VAR194 = {(VAR194[2] & VAR59[2]), (VAR194[1] & VAR59[1]), (VAR194[0] & VAR59[0]), VAR49[3]},
VAR228 = {(VAR228[2] & VAR140[5]), (VAR228[1] & (~ VAR140[4])), (VAR228[0] & (~ VAR140[3])), VAR86},
VAR136 = {(VAR136[2] & (~ VAR59[2])), (VAR136[1] & (~ VAR59[1])), (VAR136[0] & (~ VAR59[0])), VAR228[3]},
VAR123 = {(VAR123[2] & (~ VAR59[2])), (VAR123[1] & (~ VAR59[1])), (VAR123[0] & VAR59[0]), VAR228[3]},
VAR1 = {(VAR1[2] & (~ VAR59[2])), (VAR1[1] & VAR59[1]), (VAR1[0] & (~ VAR59[0])), VAR228[3]},
VAR240 = {(VAR240[2] & (~ VAR59[2])), (VAR240[1] & VAR59[1]), (VAR240[0] & VAR59[0]), VAR228[3]},
VAR144 = {(VAR144[2] & VAR59[2]), (VAR144[1] & (~ VAR59[1])), (VAR144[0] & (~ VAR59[0])), VAR228[3]},
VAR200 = {(VAR200[2] & VAR59[2]), (VAR200[1] & (~ VAR59[1])), (VAR200[0] & VAR59[0]), VAR228[3]},
VAR125 = {(VAR125[2] & VAR59[2]), (VAR125[1] & VAR59[1]), (VAR125[0] & (~ VAR59[0])), VAR228[3]},
VAR233 = {(VAR233[2] & VAR59[2]), (VAR233[1] & VAR59[1]), (VAR233[0] & VAR59[0]), VAR228[3]},
VAR25 = {(VAR25[2] & VAR140[5]), (VAR25[1] & (~ VAR140[4])), (VAR25[0] & VAR140[3]), VAR86},
VAR33 = {(VAR33[2] & (~ VAR59[2])), (VAR33[1] & (~ VAR59[1])), (VAR33[0] & (~ VAR59[0])), VAR25[3]},
VAR61 = {(VAR61[2] & (~ VAR59[2])), (VAR61[1] & (~ VAR59[1])), (VAR61[0] & VAR59[0]), VAR25[3]},
VAR57 = {(VAR57[2] & (~ VAR59[2])), (VAR57[1] & VAR59[1]), (VAR57[0] & (~ VAR59[0])), VAR25[3]},
VAR94 = {(VAR94[2] & (~ VAR59[2])), (VAR94[1] & VAR59[1]), (VAR94[0] & VAR59[0]), VAR25[3]},
VAR248 = {(VAR248[2] & VAR59[2]), (VAR248[1] & (~ VAR59[1])), (VAR248[0] & (~ VAR59[0])), VAR25[3]},
VAR181 = {(VAR181[2] & VAR59[2]), (VAR181[1] & (~ VAR59[1])), (VAR181[0] & VAR59[0]), VAR25[3]},
VAR217 = {(VAR217[2] & VAR59[2]), (VAR217[1] & VAR59[1]), (VAR217[0] & (~ VAR59[0])), VAR25[3]},
VAR101 = {(VAR101[2] & VAR59[2]), (VAR101[1] & VAR59[1]), (VAR101[0] & VAR59[0]), VAR25[3]},
VAR68 = {(VAR68[2] & VAR140[5]), (VAR68[1] & VAR140[4]), (VAR68[0] & (~ VAR140[3])), VAR86},
VAR209 = {(VAR209[2] & (~ VAR59[2])), (VAR209[1] & (~ VAR59[1])), (VAR209[0] & (~ VAR59[0])), VAR68[3]},
VAR109 = {(VAR109[2] & (~ VAR59[2])), (VAR109[1] & (~ VAR59[1])), (VAR109[0] & VAR59[0]), VAR68[3]},
VAR211 = {(VAR211[2] & (~ VAR59[2])), (VAR211[1] & VAR59[1]), (VAR211[0] & (~ VAR59[0])), VAR68[3]},
VAR66 = {(VAR66[2] & (~ VAR59[2])), (VAR66[1] & VAR59[1]), (VAR66[0] & VAR59[0]), VAR68[3]},
VAR44 = {(VAR44[2] & VAR59[2]), (VAR44[1] & (~ VAR59[1])), (VAR44[0] & (~ VAR59[0])), VAR68[3]},
VAR178 = {(VAR178[2] & VAR59[2]), (VAR178[1] & (~ VAR59[1])), (VAR178[0] & VAR59[0]), VAR68[3]},
VAR135 = {(VAR135[2] & VAR59[2]), (VAR135[1] & VAR59[1]), (VAR135[0] & (~ VAR59[0])), VAR68[3]},
VAR183 = {(VAR183[2] & VAR59[2]), (VAR183[1] & VAR59[1]), (VAR183[0] & VAR59[0]), VAR68[3]},
VAR239 = {(VAR239[2] & VAR140[5]), (VAR239[1] & VAR140[4]), (VAR239[0] & VAR140[3]), VAR86},
VAR7 = {(VAR7[2] & (~ VAR59[2])), (VAR7[1] & (~ VAR59[1])), (VAR7[0] & (~ VAR59[0])), VAR239[3]},
VAR237 = {(VAR237[2] & (~ VAR59[2])), (VAR237[1] & (~ VAR59[1])), (VAR237[0] & VAR59[0]), VAR239[3]},
VAR103 = {(VAR103[2] & (~ VAR59[2])), (VAR103[1] & VAR59[1]), (VAR103[0] & (~ VAR59[0])), VAR239[3]},
VAR145 = {(VAR145[2] & (~ VAR59[2])), (VAR145[1] & VAR59[1]), (VAR145[0] & VAR59[0]), VAR239[3]},
VAR157 = {(VAR157[2] & VAR59[2]), (VAR157[1] & (~ VAR59[1])), (VAR157[0] & (~ VAR59[0])), VAR239[3]},
VAR204 = {(VAR204[2] & VAR59[2]), (VAR204[1] & (~ VAR59[1])), (VAR204[0] & VAR59[0]), VAR239[3]},
VAR121 = {(VAR121[2] & VAR59[2]), (VAR121[1] & VAR59[1]), (VAR121[0] & (~ VAR59[0])), VAR239[3]},
VAR30 = {(VAR30[2] & VAR59[2]), (VAR30[1] & VAR59[1]), (VAR30[0] & VAR59[0]), VAR239[3]},
VAR41 = {(VAR41[2] & (~ VAR140[5])), (VAR41[1] & (~ VAR140[4])), (VAR41[0] & (~ VAR140[3])), VAR120},
VAR191 = {(VAR191[2] & (~ VAR235[2])), (VAR191[1] & (~ VAR235[1])), (VAR191[0] & (~ VAR235[0])), VAR41[3]},
VAR220 = {(VAR220[2] & (~ VAR235[2])), (VAR220[1] & (~ VAR235[1])), (VAR220[0] & VAR235[0]), VAR41[3]},
VAR176 = {(VAR176[2] & (~ VAR235[2])), (VAR176[1] & VAR235[1]), (VAR176[0] & (~ VAR235[0])), VAR41[3]},
VAR43 = {(VAR43[2] & (~ VAR235[2])), (VAR43[1] & VAR235[1]), (VAR43[0] & VAR235[0]), VAR41[3]},
VAR197 = {(VAR197[2] & VAR235[2]), (VAR197[1] & (~ VAR235[1])), (VAR197[0] & (~ VAR235[0])), VAR41[3]},
VAR164 = {(VAR164[2] & VAR235[2]), (VAR164[1] & (~ VAR235[1])), (VAR164[0] & VAR235[0]), VAR41[3]},
VAR152 = {(VAR152[2] & VAR235[2]), (VAR152[1] & VAR235[1]), (VAR152[0] & (~ VAR235[0])), VAR41[3]},
VAR114 = {(VAR114[2] & VAR235[2]), (VAR114[1] & VAR235[1]), (VAR114[0] & VAR235[0]), VAR41[3]},
VAR4 = {(VAR4[2] & (~ VAR140[5])), (VAR4[1] & (~ VAR140[4])), (VAR4[0] & VAR140[3]), VAR120},
VAR70 = {(VAR70[2] & (~ VAR235[2])), (VAR70[1] & (~ VAR235[1])), (VAR70[0] & (~ VAR235[0])), VAR4[3]},
VAR225 = {(VAR225[2] & (~ VAR235[2])), (VAR225[1] & (~ VAR235[1])), (VAR225[0] & VAR235[0]), VAR4[3]},
VAR180 = {(VAR180[2] & (~ VAR235[2])), (VAR180[1] & VAR235[1]), (VAR180[0] & (~ VAR235[0])), VAR4[3]},
VAR148 = {(VAR148[2] & (~ VAR235[2])), (VAR148[1] & VAR235[1]), (VAR148[0] & VAR235[0]), VAR4[3]},
VAR72 = {(VAR72[2] & VAR235[2]), (VAR72[1] & (~ VAR235[1])), (VAR72[0] & (~ VAR235[0])), VAR4[3]},
VAR104 = {(VAR104[2] & VAR235[2]), (VAR104[1] & (~ VAR235[1])), (VAR104[0] & VAR235[0]), VAR4[3]},
VAR177 = {(VAR177[2] & VAR235[2]), (VAR177[1] & VAR235[1]), (VAR177[0] & (~ VAR235[0])), VAR4[3]},
VAR210 = {(VAR210[2] & VAR235[2]), (VAR210[1] & VAR235[1]), (VAR210[0] & VAR235[0]), VAR4[3]},
VAR58 = {(VAR58[2] & (~ VAR140[5])), (VAR58[1] & VAR140[4]), (VAR58[0] & (~ VAR140[3])), VAR120},
VAR6 = {(VAR6[2] & (~ VAR235[2])), (VAR6[1] & (~ VAR235[1])), (VAR6[0] & (~ VAR235[0])), VAR58[3]},
VAR162 = {(VAR162[2] & (~ VAR235[2])), (VAR162[1] & (~ VAR235[1])), (VAR162[0] & VAR235[0]), VAR58[3]},
VAR98 = {(VAR98[2] & (~ VAR235[2])), (VAR98[1] & VAR235[1]), (VAR98[0] & (~ VAR235[0])), VAR58[3]},
VAR10 = {(VAR10[2] & (~ VAR235[2])), (VAR10[1] & VAR235[1]), (VAR10[0] & VAR235[0]), VAR58[3]},
VAR159 = {(VAR159[2] & VAR235[2]), (VAR159[1] & (~ VAR235[1])), (VAR159[0] & (~ VAR235[0])), VAR58[3]},
VAR167 = {(VAR167[2] & VAR235[2]), (VAR167[1] & (~ VAR235[1])), (VAR167[0] & VAR235[0]), VAR58[3]},
VAR11 = {(VAR11[2] & VAR235[2]), (VAR11[1] & VAR235[1]), (VAR11[0] & (~ VAR235[0])), VAR58[3]},
VAR71 = {(VAR71[2] & VAR235[2]), (VAR71[1] & VAR235[1]), (VAR71[0] & VAR235[0]), VAR58[3]},
VAR227 = {(VAR227[2] & (~ VAR140[5])), (VAR227[1] & VAR140[4]), (VAR227[0] & VAR140[3]), VAR120},
VAR195 = {(VAR195[2] & (~ VAR235[2])), (VAR195[1] & (~ VAR235[1])), (VAR195[0] & (~ VAR235[0])), VAR227[3]},
VAR32 = {(VAR32[2] & (~ VAR235[2])), (VAR32[1] & (~ VAR235[1])), (VAR32[0] & VAR235[0]), VAR227[3]},
VAR34 = {(VAR34[2] & (~ VAR235[2])), (VAR34[1] & VAR235[1]), (VAR34[0] & (~ VAR235[0])), VAR227[3]},
VAR74 = {(VAR74[2] & (~ VAR235[2])), (VAR74[1] & VAR235[1]), (VAR74[0] & VAR235[0]), VAR227[3]},
VAR85 = {(VAR85[2] & VAR235[2]), (VAR85[1] & (~ VAR235[1])), (VAR85[0] & (~ VAR235[0])), VAR227[3]},
VAR130 = {(VAR130[2] & VAR235[2]), (VAR130[1] & (~ VAR235[1])), (VAR130[0] & VAR235[0]), VAR227[3]},
VAR47 = {(VAR47[2] & VAR235[2]), (VAR47[1] & VAR235[1]), (VAR47[0] & (~ VAR235[0])), VAR227[3]},
VAR246 = {(VAR246[2] & VAR235[2]), (VAR246[1] & VAR235[1]), (VAR246[0] & VAR235[0]), VAR227[3]},
VAR90 = {(VAR90[2] & VAR140[5]), (VAR90[1] & (~ VAR140[4])), (VAR90[0] & (~ VAR140[3])), VAR120},
VAR160 = {(VAR160[2] & (~ VAR235[2])), (VAR160[1] & (~ VAR235[1])), (VAR160[0] & (~ VAR235[0])), VAR90[3]},
VAR199 = {(VAR199[2] & (~ VAR235[2])), (VAR199[1] & (~ VAR235[1])), (VAR199[0] & VAR235[0]), VAR90[3]},
VAR79 = {(VAR79[2] & (~ VAR235[2])), (VAR79[1] & VAR235[1]), (VAR79[0] & (~ VAR235[0])), VAR90[3]},
VAR97 = {(VAR97[2] & (~ VAR235[2])), (VAR97[1] & VAR235[1]), (VAR97[0] & VAR235[0]), VAR90[3]},
VAR17 = {(VAR17[2] & VAR235[2]), (VAR17[1] & (~ VAR235[1])), (VAR17[0] & (~ VAR235[0])), VAR90[3]},
VAR35 = {(VAR35[2] & VAR235[2]), (VAR35[1] & (~ VAR235[1])), (VAR35[0] & VAR235[0]), VAR90[3]},
VAR119 = {(VAR119[2] & VAR235[2]), (VAR119[1] & VAR235[1]), (VAR119[0] & (~ VAR235[0])), VAR90[3]},
VAR247 = {(VAR247[2] & VAR235[2]), (VAR247[1] & VAR235[1]), (VAR247[0] & VAR235[0]), VAR90[3]},
VAR173 = {(VAR173[2] & VAR140[5]), (VAR173[1] & (~ VAR140[4])), (VAR173[0] & VAR140[3]), VAR120},
VAR67 = {(VAR67[2] & (~ VAR235[2])), (VAR67[1] & (~ VAR235[1])), (VAR67[0] & (~ VAR235[0])), VAR173[3]},
VAR232 = {(VAR232[2] & (~ VAR235[2])), (VAR232[1] & (~ VAR235[1])), (VAR232[0] & VAR235[0]), VAR173[3]},
VAR202 = {(VAR202[2] & (~ VAR235[2])), (VAR202[1] & VAR235[1]), (VAR202[0] & (~ VAR235[0])), VAR173[3]},
VAR19 = {(VAR19[2] & (~ VAR235[2])), (VAR19[1] & VAR235[1]), (VAR19[0] & VAR235[0]), VAR173[3]},
VAR129 = {(VAR129[2] & VAR235[2]), (VAR129[1] & (~ VAR235[1])), (VAR129[0] & (~ VAR235[0])), VAR173[3]},
VAR118 = {(VAR118[2] & VAR235[2]), (VAR118[1] & (~ VAR235[1])), (VAR118[0] & VAR235[0]), VAR173[3]},
VAR95 = {(VAR95[2] & VAR235[2]), (VAR95[1] & VAR235[1]), (VAR95[0] & (~ VAR235[0])), VAR173[3]},
VAR18 = {(VAR18[2] & VAR235[2]), (VAR18[1] & VAR235[1]), (VAR18[0] & VAR235[0]), VAR173[3]},
VAR179 = {(VAR179[2] & VAR140[5]), (VAR179[1] & VAR140[4]), (VAR179[0] & (~ VAR140[3])), VAR120},
VAR207 = {(VAR207[2] & (~ VAR235[2])), (VAR207[1] & (~ VAR235[1])), (VAR207[0] & (~ VAR235[0])), VAR179[3]},
VAR27 = {(VAR27[2] & (~ VAR235[2])), (VAR27[1] & (~ VAR235[1])), (VAR27[0] & VAR235[0]), VAR179[3]},
VAR138 = {(VAR138[2] & (~ VAR235[2])), (VAR138[1] & VAR235[1]), (VAR138[0] & (~ VAR235[0])), VAR179[3]},
VAR2 = {(VAR2[2] & (~ VAR235[2])), (VAR2[1] & VAR235[1]), (VAR2[0] & VAR235[0]), VAR179[3]},
VAR91 = {(VAR91[2] & VAR235[2]), (VAR91[1] & (~ VAR235[1])), (VAR91[0] & (~ VAR235[0])), VAR179[3]},
VAR165 = {(VAR165[2] & VAR235[2]), (VAR165[1] & (~ VAR235[1])), (VAR165[0] & VAR235[0]), VAR179[3]},
VAR106 = {(VAR106[2] & VAR235[2]), (VAR106[1] & VAR235[1]), (VAR106[0] & (~ VAR235[0])), VAR179[3]},
VAR175 = {(VAR175[2] & VAR235[2]), (VAR175[1] & VAR235[1]), (VAR175[0] & VAR235[0]), VAR179[3]},
VAR169 = {(VAR169[2] & VAR140[5]), (VAR169[1] & VAR140[4]), (VAR169[0] & VAR140[3]), VAR120},
VAR234 = {(VAR234[2] & (~ VAR235[2])), (VAR234[1] & (~ VAR235[1])), (VAR234[0] & (~ VAR235[0])), VAR169[3]},
VAR219 = {(VAR219[2] & (~ VAR235[2])), (VAR219[1] & (~ VAR235[1])), (VAR219[0] & VAR235[0]), VAR169[3]},
VAR128 = {(VAR128[2] & (~ VAR235[2])), (VAR128[1] & VAR235[1]), (VAR128[0] & (~ VAR235[0])), VAR169[3]},
VAR218 = {(VAR218[2] & (~ VAR235[2])), (VAR218[1] & VAR235[1]), (VAR218[0] & VAR235[0]), VAR169[3]},
VAR189 = {(VAR189[2] & VAR235[2]), (VAR189[1] & (~ VAR235[1])), (VAR189[0] & (~ VAR235[0])), VAR169[3]},
VAR163 = {(VAR163[2] & VAR235[2]), (VAR163[1] & (~ VAR235[1])), (VAR163[0] & VAR235[0]), VAR169[3]},
VAR42 = {(VAR42[2] & VAR235[2]), (VAR42[1] & VAR235[1]), (VAR42[0] & (~ VAR235[0])), VAR169[3]},
VAR166 = {(VAR166[2] & VAR235[2]), (VAR166[1] & VAR235[1]), (VAR166[0] & VAR235[0]), VAR169[3]},
VAR188 = {(VAR188[2] & (~ VAR140[5])), (VAR188[1] & (~ VAR140[4])), (VAR188[0] & (~ VAR140[3])), VAR86},
VAR242 = {(VAR242[2] & (~ VAR59[2])), (VAR242[1] & (~ VAR59[1])), (VAR242[0] & (~ VAR59[0])), VAR188[3]},
VAR38 = {(VAR38[2] & (~ VAR59[2])), (VAR38[1] & (~ VAR59[1])), (VAR38[0] & VAR59[0]), VAR188[3]},
VAR186 = {(VAR186[2] & (~ VAR59[2])), (VAR186[1] & VAR59[1]), (VAR186[0] & (~ VAR59[0])), VAR188[3]},
VAR229 = {(VAR229[2] & (~ VAR59[2])), (VAR229[1] & VAR59[1]), (VAR229[0] & VAR59[0]), VAR188[3]},
VAR69 = {(VAR69[2] & VAR59[2]), (VAR69[1] & (~ VAR59[1])), (VAR69[0] & (~ VAR59[0])), VAR188[3]},
VAR196 = {(VAR196[2] & VAR59[2]), (VAR196[1] & (~ VAR59[1])), (VAR196[0] & VAR59[0]), VAR188[3]},
VAR89 = {(VAR89[2] & VAR59[2]), (VAR89[1] & VAR59[1]), (VAR89[0] & (~ VAR59[0])), VAR188[3]},
VAR235 = VAR140[2:0],
VAR59 = VAR140[2:0];
endmodule
module MODULE3
(
VAR39,
VAR141,
VAR174,
VAR190,
VAR137) ;
input [71:0] VAR39;
output VAR141;
output VAR174;
output VAR190;
output [63:0] VAR137;
wire [127:0] VAR13;
wire VAR158;
wire VAR153;
wire VAR56;
wire VAR64;
wire VAR131;
wire VAR82;
wire VAR16;
wire VAR65;
wire VAR143;
wire VAR184;
wire VAR76;
wire VAR12;
wire VAR78;
wire VAR193;
wire VAR226;
wire VAR208;
wire VAR236;
wire VAR83;
wire VAR116;
wire VAR40;
wire VAR171;
wire VAR48;
wire VAR216;
wire VAR146;
wire VAR203;
wire VAR201;
wire VAR75;
wire VAR88;
wire VAR249;
wire VAR50;
wire VAR22;
wire VAR51;
wire VAR37;
wire VAR221;
wire VAR87;
wire VAR238;
wire VAR53;
wire VAR126;
wire VAR3;
wire VAR110;
wire VAR213;
wire VAR185;
wire VAR168;
wire VAR92;
wire VAR222;
wire VAR155;
wire VAR99;
wire VAR115;
wire VAR139;
wire VAR182;
wire VAR244;
wire VAR62;
wire VAR154;
wire VAR149;
wire VAR206;
wire VAR127;
wire VAR77;
wire VAR111;
wire VAR243;
wire VAR142;
wire VAR28;
wire VAR23;
wire VAR29;
wire VAR108;
wire VAR132;
wire [63:0] VAR134;
wire [71:0] VAR140;
wire [127:0] VAR113;
wire VAR46;
wire VAR215;
wire VAR52;
wire [35:0] VAR21;
wire [17:0] VAR5;
wire [8:0] VAR20;
wire [3:0] VAR36;
wire [1:0] VAR241;
wire [30:0] VAR60;
wire [6:0] VAR26;
wire VAR31;
wire [70:0] VAR205;
wire [6:0] VAR55;
wire [63:0] VAR172;
wire VAR198;
wire VAR223;
wire [5:0] VAR147;
wire [7:0] VAR170;
MODULE1 MODULE2
(
.VAR39(VAR170[6:0]),
.VAR212(VAR13));
assign VAR158 = (VAR170[7] == 1'b1) ? (VAR113[3] ^ VAR140[0]) : VAR140[0];
assign VAR153 = (VAR170[7] == 1'b1) ? (VAR113[5] ^ VAR140[1]) : VAR140[1];
assign VAR56 = (VAR170[7] == 1'b1) ? (VAR113[15] ^ VAR140[10]) : VAR140[10];
assign VAR64 = (VAR170[7] == 1'b1) ? (VAR113[17] ^ VAR140[11]) : VAR140[11];
assign VAR131 = (VAR170[7] == 1'b1) ? (VAR113[18] ^ VAR140[12]) : VAR140[12];
assign VAR82 = (VAR170[7] == 1'b1) ? (VAR113[19] ^ VAR140[13]) : VAR140[13];
assign VAR16 = (VAR170[7] == 1'b1) ? (VAR113[20] ^ VAR140[14]) : VAR140[14];
assign VAR65 = (VAR170[7] == 1'b1) ? (VAR113[21] ^ VAR140[15]) : VAR140[15];
assign VAR143 = (VAR170[7] == 1'b1) ? (VAR113[22] ^ VAR140[16]) : VAR140[16];
assign VAR184 = (VAR170[7] == 1'b1) ? (VAR113[23] ^ VAR140[17]) : VAR140[17];
assign VAR76 = (VAR170[7] == 1'b1) ? (VAR113[24] ^ VAR140[18]) : VAR140[18];
assign VAR12 = (VAR170[7] == 1'b1) ? (VAR113[25] ^ VAR140[19]) : VAR140[19];
assign VAR78 = (VAR170[7] == 1'b1) ? (VAR113[6] ^ VAR140[2]) : VAR140[2];
assign VAR193 = (VAR170[7] == 1'b1) ? (VAR113[26] ^ VAR140[20]) : VAR140[20];
assign VAR226 = (VAR170[7] == 1'b1) ? (VAR113[27] ^ VAR140[21]) : VAR140[21];
assign VAR208 = (VAR170[7] == 1'b1) ? (VAR113[28] ^ VAR140[22]) : VAR140[22];
assign VAR236 = (VAR170[7] == 1'b1) ? (VAR113[29] ^ VAR140[23]) : VAR140[23];
assign VAR83 = (VAR170[7] == 1'b1) ? (VAR113[30] ^ VAR140[24]) : VAR140[24];
assign VAR116 = (VAR170[7] == 1'b1) ? (VAR113[31] ^ VAR140[25]) : VAR140[25];
assign VAR40 = (VAR170[7] == 1'b1) ? (VAR113[33] ^ VAR140[26]) : VAR140[26];
assign VAR171 = (VAR170[7] == 1'b1) ? (VAR113[34] ^ VAR140[27]) : VAR140[27];
assign VAR48 = (VAR170[7] == 1'b1) ? (VAR113[35] ^ VAR140[28]) : VAR140[28];
assign VAR216 = (VAR170[7] == 1'b1) ? (VAR113[36] ^ VAR140[29]) : VAR140[29];
assign VAR146 = (VAR170[7] == 1'b1) ? (VAR113[7] ^ VAR140[3]) : VAR140[3];
assign VAR203 = (VAR170[7] == 1'b1) ? (VAR113[37] ^ VAR140[30]) : VAR140[30];
assign VAR201 = (VAR170[7] == 1'b1) ? (VAR113[38] ^ VAR140[31]) : VAR140[31];
assign VAR75 = (VAR170[7] == 1'b1) ? (VAR113[39] ^ VAR140[32]) : VAR140[32];
assign VAR88 = (VAR170[7] == 1'b1) ? (VAR113[40] ^ VAR140[33]) : VAR140[33];
assign VAR249 = (VAR170[7] == 1'b1) ? (VAR113[41] ^ VAR140[34]) : VAR140[34];
assign VAR50 = (VAR170[7] == 1'b1) ? (VAR113[42] ^ VAR140[35]) : VAR140[35];
assign VAR22 = (VAR170[7] == 1'b1) ? (VAR113[43] ^ VAR140[36]) : VAR140[36];
assign VAR51 = (VAR170[7] == 1'b1) ? (VAR113[44] ^ VAR140[37]) : VAR140[37];
assign VAR37 = (VAR170[7] == 1'b1) ? (VAR113[45] ^ VAR140[38]) : VAR140[38];
assign VAR221 = (VAR170[7] == 1'b1) ? (VAR113[46] ^ VAR140[39]) : VAR140[39];
assign VAR87 = (VAR170[7] == 1'b1) ? (VAR113[9] ^ VAR140[4]) : VAR140[4];
assign VAR238 = (VAR170[7] == 1'b1) ? (VAR113[47] ^ VAR140[40]) : VAR140[40];
assign VAR53 = (VAR170[7] == 1'b1) ? (VAR113[48] ^ VAR140[41]) : VAR140[41];
assign VAR126 = (VAR170[7] == 1'b1) ? (VAR113[49] ^ VAR140[42]) : VAR140[42];
assign VAR3 = (VAR170[7] == 1'b1) ? (VAR113[50] ^ VAR140[43]) : VAR140[43];
assign VAR110 = (VAR170[7] == 1'b1) ? (VAR113[51] ^ VAR140[44]) : VAR140[44];
assign VAR213 = (VAR170[7] == 1'b1) ? (VAR113[52] ^ VAR140[45]) : VAR140[45];
assign VAR185 = (VAR170[7] == 1'b1) ? (VAR113[53] ^ VAR140[46]) : VAR140[46];
assign VAR168 = (VAR170[7] == 1'b1) ? (VAR113[54] ^ VAR140[47]) : VAR140[47];
assign VAR92 = (VAR170[7] == 1'b1) ? (VAR113[55] ^ VAR140[48]) : VAR140[48];
assign VAR222 = (VAR170[7] == 1'b1) ? (VAR113[56] ^ VAR140[49]) : VAR140[49];
assign VAR155 = (VAR170[7] == 1'b1) ? (VAR113[10] ^ VAR140[5]) : VAR140[5];
assign VAR99 = (VAR170[7] == 1'b1) ? (VAR113[57] ^ VAR140[50]) : VAR140[50];
assign VAR115 = (VAR170[7] == 1'b1) ? (VAR113[58] ^ VAR140[51]) : VAR140[51];
assign VAR139 = (VAR170[7] == 1'b1) ? (VAR113[59] ^ VAR140[52]) : VAR140[52];
assign VAR182 = (VAR170[7] == 1'b1) ? (VAR113[60] ^ VAR140[53]) : VAR140[53];
assign VAR244 = (VAR170[7] == 1'b1) ? (VAR113[61] ^ VAR140[54]) : VAR140[54];
assign VAR62 = (VAR170[7] == 1'b1) ? (VAR113[62] ^ VAR140[55]) : VAR140[55];
assign VAR154 = (VAR170[7] == 1'b1) ? (VAR113[63] ^ VAR140[56]) : VAR140[56];
assign VAR149 = (VAR170[7] == 1'b1) ? (VAR113[65] ^ VAR140[57]) : VAR140[57];
assign VAR206 = (VAR170[7] == 1'b1) ? (VAR113[66] ^ VAR140[58]) : VAR140[58];
assign VAR127 = (VAR170[7] == 1'b1) ? (VAR113[67] ^ VAR140[59]) : VAR140[59];
assign VAR77 = (VAR170[7] == 1'b1) ? (VAR113[11] ^ VAR140[6]) : VAR140[6];
assign VAR111 = (VAR170[7] == 1'b1) ? (VAR113[68] ^ VAR140[60]) : VAR140[60];
assign VAR243 = (VAR170[7] == 1'b1) ? (VAR113[69] ^ VAR140[61]) : VAR140[61];
assign VAR142 = (VAR170[7] == 1'b1) ? (VAR113[70] ^ VAR140[62]) : VAR140[62];
assign VAR28 = (VAR170[7] == 1'b1) ? (VAR113[71] ^ VAR140[63]) : VAR140[63];
assign VAR23 = (VAR170[7] == 1'b1) ? (VAR113[12] ^ VAR140[7]) : VAR140[7];
assign VAR29 = (VAR170[7] == 1'b1) ? (VAR113[13] ^ VAR140[8]) : VAR140[8];
assign VAR108 = (VAR170[7] == 1'b1) ? (VAR113[14] ^ VAR140[9]) : VAR140[9];
assign
VAR132 = VAR134[63],
VAR134 = {(VAR134[62] | VAR113[71]), (VAR134[61] | VAR113[70]), (VAR134[60] | VAR113[69]), (VAR134[59] | VAR113[68]), (VAR134[58] | VAR113[67]), (VAR134[57] | VAR113[66]), (VAR134[56] | VAR113[65]), (VAR134[55] | VAR113[63]), (VAR134[54] | VAR113[62]), (VAR134[53] | VAR113[61]), (VAR134[52] | VAR113[60]), (VAR134[51] | VAR113[59]), (VAR134[50] | VAR113[58]), (VAR134[49] | VAR113[57]), (VAR134[48] | VAR113[56]), (VAR134[47] | VAR113[55]), (VAR134[46] | VAR113[54]), (VAR134[45] | VAR113[53]), (VAR134[44] | VAR113[52]), (VAR134[43] | VAR113[51]), (VAR134[42] | VAR113[50]), (VAR134[41] | VAR113[49]), (VAR134[40] | VAR113[48]), (VAR134[39] | VAR113[47]), (VAR134[38] | VAR113[46]), (VAR134[37] | VAR113[45]), (VAR134[36] | VAR113[44]), (VAR134[35] | VAR113[43]), (VAR134[34] | VAR113[42]), (VAR134[33] | VAR113[41]), (VAR134[32] | VAR113[40]), (VAR134[31] | VAR113[39]), (VAR134[30] | VAR113[38]), (VAR134[29] | VAR113[37]), (VAR134[28] | VAR113[36]), (VAR134[27] | VAR113[35]), (VAR134[26] | VAR113[34]), (VAR134[25] | VAR113[33]), (VAR134[24] | VAR113[31]), (VAR134[23] | VAR113[30]), (VAR134[22] | VAR113[29]), (VAR134[21] | VAR113[28]), (VAR134[20] | VAR113[27]), (VAR134[19] | VAR113[26]), (VAR134[18] | VAR113[25]), (VAR134[17] | VAR113[24]), (VAR134[16] | VAR113[23]), (VAR134[15] | VAR113[22]), (VAR134[14] | VAR113[21]), (VAR134[13] | VAR113[20]), (VAR134[12] | VAR113[19]), (VAR134[11] | VAR113[18]), (VAR134[10] | VAR113[17]), (VAR134[9] | VAR113[15]), (VAR134[8] | VAR113[14]), (VAR134[7] | VAR113[13]), (VAR134[6] | VAR113[12]), (VAR134[5] | VAR113[11]), (VAR134[4] | VAR113[10]), (VAR134[3] | VAR113[9]), (VAR134[2]
| VAR113[7]), (VAR134[1] | VAR113[6]), (VAR134[0] | VAR113[5]), VAR113[3]},
VAR140 = VAR39,
VAR113 = VAR13,
VAR141 = VAR46,
VAR46 = ((VAR198 & VAR223) & VAR132),
VAR174 = VAR215,
VAR215 = (VAR198 & (~ (VAR223 & VAR31))),
VAR190 = VAR52,
VAR52 = (VAR215 & (~ VAR46)),
VAR21 = {(VAR140[63] ^ VAR21[34]), (VAR140[61] ^ VAR21[33]), (VAR140[59] ^ VAR21[32]), (VAR140[57] ^ VAR21[31]), (VAR140[56] ^ VAR21[30]), (VAR140[54] ^ VAR21[29]), (VAR140[52] ^ VAR21[28]), (VAR140[50] ^ VAR21[27]), (VAR140[48] ^ VAR21[26]), (VAR140[46] ^ VAR21[25]), (VAR140[44] ^ VAR21[24]), (VAR140[42] ^ VAR21[23]), (VAR140[40] ^ VAR21[22]), (VAR140[38] ^ VAR21[21]), (VAR140[36] ^ VAR21[20]), (VAR140[34] ^ VAR21[19]), (VAR140[32] ^ VAR21[18]), (VAR140[30] ^ VAR21[17]), (VAR140[28] ^ VAR21[16]), (VAR140[26] ^ VAR21[15]), (VAR140[25] ^ VAR21[14]), (VAR140[23] ^ VAR21[13]), (VAR140[21] ^ VAR21[12]), (VAR140[19] ^ VAR21[11]), (VAR140[17] ^ VAR21[10]), (VAR140[15] ^ VAR21[9]), (VAR140[13] ^ VAR21[8]), (VAR140[11] ^ VAR21[7]), (VAR140[10] ^ VAR21[6]), (VAR140[8] ^ VAR21[5]), (VAR140[6] ^ VAR21[4]), (VAR140[4] ^ VAR21[3]), (VAR140[3] ^ VAR21[2]), (VAR140[1] ^ VAR21[1]), (VAR140[0] ^ VAR21[0]), VAR140[64]},
VAR5 = {((VAR140[62] ^ VAR140[63]) ^ VAR5[16]), ((VAR140[58] ^ VAR140[59]) ^ VAR5[15]), ((VAR140[55] ^ VAR140[56]) ^ VAR5[14]), ((VAR140[51] ^ VAR140[52]) ^ VAR5[13]), ((VAR140[47] ^ VAR140[48]) ^ VAR5[12]), ((VAR140[43] ^ VAR140[44]) ^ VAR5[11]), ((VAR140[39] ^ VAR140[40]) ^ VAR5[10]), ((VAR140[35] ^ VAR140[36]) ^ VAR5[9]), ((VAR140[31] ^ VAR140[32]) ^ VAR5[8]), ((VAR140[27] ^ VAR140[28]) ^ VAR5[7]), ((VAR140[24] ^ VAR140[25]) ^ VAR5[6]), ((VAR140[20] ^ VAR140[21]) ^ VAR5[5]), ((VAR140[16] ^ VAR140[17]) ^ VAR5[4]), ((VAR140[12] ^ VAR140[13]) ^ VAR5[3]), ((VAR140[9] ^ VAR140[10]) ^ VAR5[2]), ((VAR140[5] ^ VAR140[6]) ^ VAR5[1]), ((VAR140[2] ^ VAR140[3]) ^ VAR5[0]), (VAR140[65] ^ VAR140[0])},
VAR20 = {((((VAR140[60] ^ VAR140[61]) ^ VAR140[62]) ^ VAR140[63]) ^ VAR20[7]), ((((VAR140[53] ^ VAR140[54]) ^ VAR140[55]) ^ VAR140[56]) ^ VAR20[6]), ((((VAR140[45] ^ VAR140[46]) ^ VAR140[47]) ^ VAR140[48]) ^ VAR20[5]), ((((VAR140[37] ^ VAR140[38]) ^ VAR140[39]) ^ VAR140[40]) ^ VAR20[4]), ((((VAR140[29] ^ VAR140[30]) ^ VAR140[31]) ^ VAR140[32]) ^ VAR20[3]), ((((VAR140[22] ^ VAR140[23]) ^ VAR140[24]) ^ VAR140[25]) ^ VAR20[2]), ((((VAR140[14] ^ VAR140[15]) ^ VAR140[16]) ^ VAR140[17]) ^ VAR20[1]), ((((VAR140[7] ^ VAR140[8]) ^ VAR140[9]) ^ VAR140[10]) ^ VAR20[0]), (((VAR140[66] ^ VAR140[1]) ^ VAR140[2]) ^ VAR140[3])},
VAR36 = {((((((((VAR140[49] ^ VAR140[50]) ^ VAR140[51]) ^ VAR140[52]) ^ VAR140[53]) ^ VAR140[54]) ^ VAR140[55]) ^ VAR140[56]) ^ VAR36[2]), ((((((((VAR140[33] ^ VAR140[34]) ^ VAR140[35]) ^ VAR140[36]) ^ VAR140[37]) ^ VAR140[38]) ^ VAR140[39]) ^ VAR140[40]) ^ VAR36[1]), ((((((((VAR140[18] ^ VAR140[19]) ^ VAR140[20]) ^ VAR140[21]) ^ VAR140[22]) ^ VAR140[23]) ^ VAR140[24]) ^ VAR140[25]) ^ VAR36[0]), (((((((VAR140[67] ^ VAR140[4]) ^ VAR140[5]) ^ VAR140[6]) ^ VAR140[7]) ^ VAR140[8]) ^ VAR140[9]) ^ VAR140[10])},
VAR241 = {((((((((((((((((VAR140[41] ^ VAR140[42]) ^ VAR140[43]) ^ VAR140[44]) ^ VAR140[45]) ^ VAR140[46]) ^ VAR140[47]) ^ VAR140[48]) ^ VAR140[49]) ^ VAR140[50]) ^ VAR140[51]) ^ VAR140[52]) ^ VAR140[53]) ^ VAR140[54]) ^ VAR140[55]) ^ VAR140[56]) ^ VAR241[0]), (((((((((((((((VAR140[68] ^ VAR140[11]) ^ VAR140[12]) ^ VAR140[13]) ^ VAR140[14]) ^ VAR140[15]) ^ VAR140[16]) ^ VAR140[17]) ^ VAR140[18]) ^ VAR140[19]) ^ VAR140[20]) ^ VAR140[21]) ^ VAR140[22]) ^ VAR140[23]) ^ VAR140[24]) ^ VAR140[25])},
VAR60 = {(VAR140[56] ^ VAR60[29]), (VAR140[55] ^ VAR60[28]), (VAR140[54] ^ VAR60[27]), (VAR140[53] ^ VAR60[26]), (VAR140[52] ^ VAR60[25]), (VAR140[51] ^ VAR60[24]), (VAR140[50] ^ VAR60[23]), (VAR140[49] ^ VAR60[22]), (VAR140[48] ^ VAR60[21]), (VAR140[47] ^ VAR60[20]), (VAR140[46] ^ VAR60[19]), (VAR140[45] ^ VAR60[18]), (VAR140[44] ^ VAR60[17]), (VAR140[43] ^ VAR60[16]), (VAR140[42] ^ VAR60[15]), (VAR140[41] ^ VAR60[14]), (VAR140[40] ^ VAR60[13]), (VAR140[39] ^ VAR60[12]), (VAR140[38] ^ VAR60[11]), (VAR140[37] ^ VAR60[10]), (VAR140[36] ^ VAR60[9]), (VAR140[35] ^ VAR60[8]), (VAR140[34] ^ VAR60[7]), (VAR140[33] ^ VAR60[6]), (VAR140[32] ^ VAR60[5]), (VAR140[31] ^ VAR60[4]), (VAR140[30] ^ VAR60[3]), (VAR140[29] ^ VAR60[2]), (VAR140[28] ^ VAR60[1]), (VAR140[27] ^ VAR60[0]), (VAR140[69] ^ VAR140[26])},
VAR26 = {(VAR140[63] ^ VAR26[5]), (VAR140[62] ^ VAR26[4]), (VAR140[61] ^ VAR26[3]), (VAR140[60] ^ VAR26[2]), (VAR140[59] ^ VAR26[1]), (VAR140[58] ^ VAR26[0]), (VAR140[70] ^ VAR140[57])},
VAR31 = VAR55[6],
VAR205 = {(VAR140[70] ^ VAR205[69]), (VAR140[69] ^ VAR205[68]), (VAR140[68] ^ VAR205[67]), (VAR140[67] ^ VAR205[66]), (VAR140[66] ^ VAR205[65]), (VAR140[65] ^ VAR205[64]), (VAR140[64] ^ VAR205[63]), (VAR140[63] ^ VAR205[62]), (VAR140[62] ^ VAR205[61]), (VAR140[61] ^ VAR205[60]), (VAR140[60] ^ VAR205[59]), (VAR140[59] ^ VAR205[58]), (VAR140[58] ^ VAR205[57]), (VAR140[57] ^ VAR205[56]), (VAR140[56] ^ VAR205[55]), (VAR140[55] ^ VAR205[54]), (VAR140[54] ^ VAR205[53]), (VAR140[53] ^ VAR205[52]), (VAR140[52] ^ VAR205[51]), (VAR140[51] ^ VAR205[50]), (VAR140[50] ^ VAR205[49]), (VAR140[49] ^ VAR205[48]), (VAR140[48] ^ VAR205[47]), (VAR140[47] ^ VAR205[46]), (VAR140[46] ^ VAR205[45]), (VAR140[45] ^ VAR205[44]), (VAR140[44] ^ VAR205[43]), (VAR140[43] ^ VAR205[42]), (VAR140[42] ^ VAR205[41]), (VAR140[41] ^ VAR205[40]), (VAR140[40] ^ VAR205[39]), (VAR140[39] ^ VAR205[38]), (VAR140[38] ^ VAR205[37]), (VAR140[37] ^ VAR205[36]), (VAR140[36] ^ VAR205[35]), (VAR140[35] ^ VAR205[34]), (VAR140[34] ^ VAR205[33]), (VAR140[33] ^ VAR205[32]), (VAR140[32] ^ VAR205[31]), (VAR140[31] ^ VAR205[30]), (VAR140[30] ^ VAR205[29]), (VAR140[29] ^ VAR205[28]), (VAR140[28] ^ VAR205[27]), (VAR140[27] ^ VAR205[26]), (VAR140[26] ^ VAR205[25]), (VAR140[25] ^ VAR205[24]), (VAR140[24] ^ VAR205[23]), (VAR140[23] ^ VAR205[22]), (VAR140[22] ^ VAR205[21]), (VAR140[21] ^
VAR205[20]), (VAR140[20] ^ VAR205[19]), (VAR140[19] ^ VAR205[18]), (VAR140[18] ^ VAR205[17]), (VAR140[17] ^ VAR205[16]), (VAR140[16] ^ VAR205[15]), (VAR140[15] ^ VAR205[14]), (VAR140[14] ^ VAR205[13]), (VAR140[13] ^ VAR205[12]), (VAR140[12] ^ VAR205[11]), (VAR140[11] ^ VAR205[10]), (VAR140[10] ^ VAR205[9]), (VAR140[9] ^ VAR205[8]), (VAR140[8] ^ VAR205[7]), (VAR140[7] ^ VAR205[6]), (VAR140[6] ^ VAR205[5]), (VAR140[5] ^ VAR205[4]), (VAR140[4] ^ VAR205[3]), (VAR140[3] ^ VAR205[2]), (VAR140[2] ^ VAR205[1]), (VAR140[1] ^ VAR205[0]), (VAR140[71] ^ VAR140[0])},
VAR55 = {(VAR55[5] | VAR113[64]), (VAR55[4] | VAR113[32]), (VAR55[3] | VAR113[16]), (VAR55[2] | VAR113[8]), (VAR55[1] | VAR113[4]), (VAR55[0] | VAR113[2]), VAR113[1]},
VAR137 = VAR172,
VAR172 = {VAR28, VAR142, VAR243, VAR111, VAR127, VAR206, VAR149, VAR154, VAR62, VAR244, VAR182, VAR139, VAR115, VAR99, VAR222, VAR92, VAR168, VAR185, VAR213, VAR110, VAR3, VAR126, VAR53, VAR238, VAR221, VAR37, VAR51, VAR22, VAR50, VAR249, VAR88, VAR75, VAR201, VAR203, VAR216, VAR48, VAR171, VAR40, VAR116, VAR83, VAR236, VAR208, VAR226, VAR193, VAR12, VAR76, VAR184, VAR143, VAR65, VAR16, VAR82, VAR131, VAR64, VAR56, VAR108, VAR29, VAR23, VAR77, VAR155, VAR87, VAR146, VAR78, VAR153, VAR158},
VAR198 = VAR147[5],
VAR223 = VAR170[7],
VAR147 = {(VAR147[4] | VAR170[6]), (VAR147[3] | VAR170[5]), (VAR147[2] | VAR170[4]), (VAR147[1] | VAR170[3]), (VAR147[0] | VAR170[2]), (VAR170[0] | VAR170[1])},
VAR170 = {VAR205[70], VAR26[6], VAR60[30], VAR241[1], VAR36[3], VAR20[8], VAR5[17], VAR21[35]};
endmodule
module MODULE2 (
VAR39,
VAR141,
VAR174,
VAR190,
VAR137);
input [71:0] VAR39;
output VAR141;
output VAR174;
output VAR190;
output [63:0] VAR137;
wire VAR133;
wire VAR80;
wire VAR100;
wire [63:0] VAR122;
wire VAR174 = VAR133;
wire VAR190 = VAR80;
wire VAR141 = VAR100;
wire [63:0] VAR137 = VAR122[63:0];
MODULE3 MODULE1 (
.VAR39 (VAR39),
.VAR174 (VAR133),
.VAR190 (VAR80),
.VAR141 (VAR100),
.VAR137 (VAR122));
endmodule
|
lgpl-3.0
|
csturton/wirepatch
|
system/hardware/cores/fabric/ovl_ported/redundant/ovl_increment.v
| 1,451 |
module MODULE1 (VAR11, reset, enable, VAR18, VAR23);
parameter VAR14 = VAR21;
parameter VAR19 = 1;
parameter VAR16 = 1;
parameter VAR1 = VAR5;
parameter VAR4 = VAR7;
parameter VAR15 = VAR22;
parameter VAR6 = VAR20;
parameter VAR2 = VAR8;
parameter VAR17 = VAR13;
input VAR11, reset, enable;
input [VAR19-1:0] VAR18;
output [VAR9-1:0] VAR23;
parameter VAR12 = "VAR10";
assign VAR23 = {VAR9{1'b0}}; VAR3
assign VAR23 = {VAR9{1'b0}}; VAR3
endmodule VAR3
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
models/udp_mux_4to2/sky130_fd_sc_hdll__udp_mux_4to2.symbol.v
| 1,335 |
module MODULE1 (
input VAR2,
input VAR3,
input VAR5,
input VAR4,
output VAR1 ,
input VAR6,
input VAR7
);
endmodule
|
apache-2.0
|
bluespec/Flute
|
src_bsc_lib_RTL/SyncFIFO.v
| 11,866 |
module MODULE1(
VAR30,
VAR27,
VAR8,
VAR1,
VAR39,
VAR33,
VAR18,
VAR20,
VAR2
) ;
parameter VAR3 = 1 ;
parameter VAR35 = 2 ; parameter VAR6 = 1 ;
input VAR30 ;
input VAR27 ;
input VAR1 ;
input [VAR3 -1 : 0] VAR39 ;
output VAR33 ;
input VAR8 ;
input VAR18 ;
output VAR2 ;
output [VAR3 -1 : 0] VAR20 ;
wire [VAR6 : 0] VAR26 = ~({(VAR6 + 1){1'b1}} >> 1) ;
wire [VAR6 - 1 : 0] VAR25 = ~({(VAR6 + 0){1'b1}} >> 1) ;
wire [VAR6 : 0] VAR29 = VAR26 | {1'b0, VAR25} ;
reg [VAR3 -1 : 0] VAR7 [0: VAR35 -1 ] ;
reg [VAR3 -1 : 0] VAR13 ;
reg [VAR6 +1 : 0] VAR22, VAR16 ; reg VAR17 ;
wire VAR32, VAR34 ;
reg [VAR6+1 : 0] VAR37, VAR23 ; reg VAR12 ;
wire VAR38;
wire VAR28 ;
reg [VAR6 : 0] VAR9, VAR36 ;
reg [VAR6 : 0] VAR10, VAR24 ;
wire [VAR6 - 1 :0] VAR4, VAR5 ;
assign VAR28 = VAR27 ;
assign VAR20 = VAR13 ;
assign VAR2 = VAR12 ;
assign VAR33 = VAR17 ;
assign VAR4 = VAR22[VAR6-1:0];
assign VAR5 = VAR37[VAR6-1:0];
always @(posedge VAR30)
begin
if ( VAR1 )
VAR7[VAR4] <= VAR21 VAR39 ;
end
assign VAR32 = (VAR22 [VAR6+1:1] ^ VAR29) != VAR24 ;
assign VAR34 = (VAR16[VAR6+1:1] ^ VAR29) != VAR24 ;
always @(posedge VAR30 or VAR11 VAR27)
begin
if (VAR27 == VAR14)
begin
VAR22 <= VAR21 {(VAR6 +2 ) {1'b0}} ;
VAR16 <= VAR21 { {VAR6 {1'b0}}, 2'b11} ;
VAR17 <= VAR21 1'b0 ; end else
begin
if ( VAR1 )
begin
VAR16 <= VAR21 VAR19( VAR16 ) ;
VAR22 <= VAR21 VAR16 ;
VAR17 <= VAR21 VAR34 ;
end else
begin
VAR17 <= VAR21 VAR32 ;
end end end
always @(posedge VAR8 or VAR11 VAR28)
begin
if (VAR28 == VAR14)
begin
VAR9 <= VAR21 {(VAR6 + 1) {1'b0}} ;
VAR36 <= VAR21 {(VAR6 + 1) {1'b0}} ;
end else
begin
VAR9 <= VAR21 VAR22[VAR6+1:1] ; VAR36 <= VAR21 VAR9 ;
end end
assign VAR38 = VAR37[VAR6+1:1] != VAR36 ;
always @(posedge VAR8 or VAR11 VAR28)
begin
if (VAR28 == VAR14)
begin
VAR37 <= VAR21 {(VAR6 + 2) {1'b0}} ;
VAR23 <= VAR21 {{VAR6 {1'b0}}, 2'b11 } ;
VAR12 <= VAR21 1'b0 ;
end else
begin
if ((!VAR12 || VAR18) && VAR38) begin
VAR37 <= VAR21 VAR23 ;
VAR23 <= VAR21 VAR19( VAR23 );
VAR12 <= VAR21 1'b1;
end
else if (VAR18 && !VAR38) begin
VAR12 <= VAR21 1'b0;
end
end end
always @(posedge VAR8 VAR15)
begin
if (VAR28 == VAR14)
begin
VAR13 <= VAR21 {VAR3 {1'b0}} ;
end else
begin
if ((!VAR12 || VAR18) && VAR38) begin
VAR13 <= VAR21 VAR7[VAR5] ;
end
end
end
always @(posedge VAR30 or VAR11 VAR27)
begin
if (VAR27 == VAR14)
begin
VAR10 <= VAR21 {(VAR6 + 1) {1'b0}} ;
VAR24 <= VAR21 {(VAR6 + 1) {1'b0}} ; end else
begin
VAR10 <= VAR21 VAR37[VAR6+1:1] ; VAR24 <= VAR21 VAR10 ;
end end
begin : VAR40
integer VAR31 ;
for (VAR31 = 0; VAR31 < VAR35; VAR31 = VAR31 + 1)
begin
VAR7[VAR31] = {((VAR3 + 1)/2){2'b10}} ;
end
VAR13 = {((VAR3 + 1)/2){2'b10}} ;
VAR22 = {((VAR6 + 2)/2){2'b10}} ;
VAR16 = VAR22 ;
VAR17 = 1'b0 ;
VAR37 = VAR22 ;
VAR23 = VAR22 ;
VAR12 = 1'b0;
VAR10 = VAR22 ;
VAR24 = VAR22 ;
VAR9 = VAR22 ;
VAR36 = VAR22 ;
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
apache-2.0
|
tmatsuya/milkymist-ml401
|
cores/csrbrg/rtl/csrbrg.v
| 1,944 |
module MODULE1(
input VAR19,
input VAR8,
input [31:0] VAR4,
input [31:0] VAR10,
output reg [31:0] VAR17,
input VAR11,
input VAR14,
input VAR9,
output reg VAR18,
output reg [13:0] VAR12,
output reg VAR6,
output reg [31:0] VAR13,
input [31:0] VAR2
);
always @(posedge VAR19) begin
VAR17 <= VAR2;
end
reg VAR15;
always @(posedge VAR19) begin
VAR12 <= VAR4[15:2];
VAR6 <= VAR15;
VAR13 <= VAR10;
end
reg [1:0] state;
reg [1:0] VAR5;
parameter VAR7 = 2'd0;
parameter VAR3 = 2'd1;
parameter VAR1 = 2'd2;
parameter VAR16 = 2'd3;
always @(posedge VAR19) begin
if(VAR8)
state <= VAR7;
end
else
state <= VAR5;
end
always @(*) begin
VAR5 = state;
VAR18 = 1'b0;
VAR15 = 1'b0;
case(state)
VAR7: begin
if(VAR11 & VAR14) begin
VAR15 = VAR9;
if(VAR9)
VAR5 = VAR16;
end
else
VAR5 = VAR3;
end
end
VAR3: VAR5 = VAR1;
VAR1: VAR5 = VAR16;
VAR16: begin
VAR18 = 1'b1;
VAR5 = VAR7;
end
endcase
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o211a/sky130_fd_sc_hs__o211a.functional.pp.v
| 1,937 |
module MODULE1 (
VAR5,
VAR10,
VAR4 ,
VAR15 ,
VAR3 ,
VAR2 ,
VAR6
);
input VAR5;
input VAR10;
output VAR4 ;
input VAR15 ;
input VAR3 ;
input VAR2 ;
input VAR6 ;
wire VAR6 VAR7 ;
wire VAR9 ;
wire VAR12;
or VAR13 (VAR7 , VAR3, VAR15 );
and VAR8 (VAR9 , VAR7, VAR2, VAR6 );
VAR14 VAR1 (VAR12, VAR9, VAR5, VAR10);
buf VAR11 (VAR4 , VAR12 );
endmodule
|
apache-2.0
|
eda-globetrotter/MarcheProcessor
|
processor/alu.v
| 169,027 |
module MODULE1 (VAR5,VAR7,VAR4,VAR3,VAR1,VAR2,VAR8);
output [0:127] VAR2;
input [0:127] VAR5;
input [0:127] VAR7;
input [0:2] VAR4;
input [0:1] VAR3;
input [0:4] VAR1;
input [15:0] VAR8;
parameter VAR6 = 128'hffffffffffffffffffffffffffffffff;
reg [0:127] VAR2;
always @(VAR5 or VAR7 or VAR4 or VAR3 or VAR1 or VAR8)
begin
case(VAR1)
begin
case(VAR3)
VAR2[0:7]<=VAR5[0:7]<<VAR7[5:7];
VAR2[8:15]<=VAR5[8:15]<<VAR7[13:15];
VAR2[16:23]<=VAR5[16:23]<<VAR7[21:23];
VAR2[24:31]<=VAR5[24:31]<<VAR7[29:31];
VAR2[32:39]<=VAR5[32:39]<<VAR7[37:39];
VAR2[40:47]<=VAR5[40:47]<<VAR7[45:47];
VAR2[48:55]<=VAR5[48:55]<<VAR7[53:55];
VAR2[56:63]<=VAR5[56:63]<<VAR7[61:63];
VAR2[64:71]<=VAR5[64:71]<<VAR7[69:71];
VAR2[72:79]<=VAR5[72:79]<<VAR7[77:79];
VAR2[80:87]<=VAR5[80:87]<<VAR7[85:87];
VAR2[88:95]<=VAR5[88:95]<<VAR7[93:95];
VAR2[96:103]<=VAR5[96:103]<<VAR7[101:103];
VAR2[104:111]<=VAR5[104:111]<<VAR7[109:111];
VAR2[112:119]<=VAR5[112:119]<<VAR7[117:119];
VAR2[120:127]<=VAR5[120:127]<<VAR7[125:127];
end
VAR2[0:15]<=VAR5[0:15]<<VAR7[12:15];
VAR2[16:31]<=VAR5[16:31]<<VAR7[28:31];
VAR2[32:47]<=VAR5[32:47]<<VAR7[44:47];
VAR2[48:63]<=VAR5[48:63]<<VAR7[60:63];
VAR2[64:79]<=VAR5[64:79]<<VAR7[76:79];
VAR2[80:95]<=VAR5[80:95]<<VAR7[92:95];
VAR2[96:111]<=VAR5[96:111]<<VAR7[108:111];
VAR2[112:127]<=VAR5[112:127]<<VAR7[124:127];
end
VAR2[0:31]<=VAR5[0:31]<<VAR7[27:31];
VAR2[32:63]<=VAR5[32:63]<<VAR7[59:63];
VAR2[64:95]<=VAR5[64:95]<<VAR7[91:95];
VAR2[96:127]<=VAR5[96:127]<<VAR7[123:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]>>VAR7[5:7];
VAR2[8:15]<=VAR5[8:15]>>VAR7[13:15];
VAR2[16:23]<=VAR5[16:23]>>VAR7[21:23];
VAR2[24:31]<=VAR5[24:31]>>VAR7[29:31];
VAR2[32:39]<=VAR5[32:39]>>VAR7[37:39];
VAR2[40:47]<=VAR5[40:47]>>VAR7[45:47];
VAR2[48:55]<=VAR5[48:55]>>VAR7[53:55];
VAR2[56:63]<=VAR5[56:63]>>VAR7[61:63];
VAR2[64:71]<=VAR5[64:71]>>VAR7[69:71];
VAR2[72:79]<=VAR5[72:79]>>VAR7[77:79];
VAR2[80:87]<=VAR5[80:87]>>VAR7[85:87];
VAR2[88:95]<=VAR5[88:95]>>VAR7[93:95];
VAR2[96:103]<=VAR5[96:103]>>VAR7[101:103];
VAR2[104:111]<=VAR5[104:111]>>VAR7[109:111];
VAR2[112:119]<=VAR5[112:119]>>VAR7[117:119];
VAR2[120:127]<=VAR5[120:127]>>VAR7[125:127];
end
VAR2[0:15]<=VAR5[0:15]>>VAR7[12:15];
VAR2[16:31]<=VAR5[16:31]>>VAR7[28:31];
VAR2[32:47]<=VAR5[32:47]>>VAR7[44:47];
VAR2[48:63]<=VAR5[48:63]>>VAR7[60:63];
VAR2[64:79]<=VAR5[64:79]>>VAR7[76:79];
VAR2[80:95]<=VAR5[80:95]>>VAR7[92:95];
VAR2[96:111]<=VAR5[96:111]>>VAR7[108:111];
VAR2[112:127]<=VAR5[112:127]>>VAR7[124:127];
end
VAR2[0:31]<=VAR5[0:31]>>VAR7[27:31];
VAR2[32:63]<=VAR5[32:63]>>VAR7[59:63];
VAR2[64:95]<=VAR5[64:95]>>VAR7[91:95];
VAR2[96:127]<=VAR5[96:127]>>VAR7[123:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]>>VAR7[5:7];
VAR2[8:15]<=VAR5[8:15]>>VAR7[13:15];
VAR2[16:23]<=VAR5[16:23]>>VAR7[21:23];
VAR2[24:31]<=VAR5[24:31]>>VAR7[29:31];
VAR2[32:39]<=VAR5[32:39]>>VAR7[37:39];
VAR2[40:47]<=VAR5[40:47]>>VAR7[45:47];
VAR2[48:55]<=VAR5[48:55]>>VAR7[53:55];
VAR2[56:63]<=VAR5[56:63]>>VAR7[61:63];
end
VAR2[0:15]<=VAR5[0:15]>>VAR7[12:15];
VAR2[16:31]<=VAR5[16:31]>>VAR7[28:31];
VAR2[32:47]<=VAR5[32:47]>>VAR7[44:47];
VAR2[48:63]<=VAR5[48:63]>>VAR7[60:63];
end
VAR2[0:31]<=VAR5[0:31]>>VAR7[27:31];
VAR2[32:63]<=VAR5[32:63]>>VAR7[59:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]>>VAR7[69:71];
VAR2[72:79]<=VAR5[72:79]>>VAR7[77:79];
VAR2[80:87]<=VAR5[80:87]>>VAR7[85:87];
VAR2[88:95]<=VAR5[88:95]>>VAR7[93:95];
VAR2[96:103]<=VAR5[96:103]>>VAR7[101:103];
VAR2[104:111]<=VAR5[104:111]>>VAR7[109:111];
VAR2[112:119]<=VAR5[112:119]>>VAR7[117:119];
VAR2[120:127]<=VAR5[120:127]>>VAR7[125:127];
end
VAR2[64:79]<=VAR5[64:79]>>VAR7[76:79];
VAR2[80:95]<=VAR5[80:95]>>VAR7[92:95];
VAR2[96:111]<=VAR5[96:111]>>VAR7[108:111];
VAR2[112:127]<=VAR5[112:127]>>VAR7[124:127];
end
VAR2[64:95]<=VAR5[64:95]>>VAR7[91:95];
VAR2[96:127]<=VAR5[96:127]>>VAR7[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]>>VAR7[5:7];
VAR2[16:23]<=VAR5[16:23]>>VAR7[21:23];
VAR2[32:39]<=VAR5[32:39]>>VAR7[37:39];
VAR2[48:55]<=VAR5[48:55]>>VAR7[53:55];
VAR2[64:71]<=VAR5[64:71]>>VAR7[69:71];
VAR2[80:87]<=VAR5[80:87]>>VAR7[85:87];
VAR2[96:103]<=VAR5[96:103]>>VAR7[101:103];
VAR2[112:119]<=VAR5[112:119]>>VAR7[117:119];
end
VAR2[0:15]<=VAR5[0:15]>>VAR7[12:15];
VAR2[32:47]<=VAR5[32:47]>>VAR7[44:47];
VAR2[64:79]<=VAR5[64:79]>>VAR7[76:79];
VAR2[96:111]<=VAR5[96:111]>>VAR7[108:111];
end
VAR2[0:31]<=VAR5[0:31]>>VAR7[27:31];
VAR2[64:95]<=VAR5[64:95]>>VAR7[91:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]>>VAR7[13:15];
VAR2[24:31]<=VAR5[24:31]>>VAR7[29:31];
VAR2[40:47]<=VAR5[40:47]>>VAR7[45:47];
VAR2[56:63]<=VAR5[56:63]>>VAR7[61:63];
VAR2[72:79]<=VAR5[72:79]>>VAR7[77:79];
VAR2[88:95]<=VAR5[88:95]>>VAR7[93:95];
VAR2[104:111]<=VAR5[104:111]>>VAR7[109:111];
VAR2[120:127]<=VAR5[120:127]>>VAR7[125:127];
end
VAR2[16:31]<=VAR5[16:31]>>VAR7[28:31];
VAR2[48:63]<=VAR5[48:63]>>VAR7[60:63];
VAR2[80:95]<=VAR5[80:95]>>VAR7[92:95];
VAR2[112:127]<=VAR5[112:127]>>VAR7[124:127];
end
VAR2[32:63]<=VAR5[32:63]>>VAR7[59:63];
VAR2[96:127]<=VAR5[96:127]>>VAR7[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]>>VAR7[5:7];
end
VAR2[0:15]<=VAR5[0:15]>>VAR7[12:15];
end
VAR2[0:31]<=VAR5[0:31]>>VAR7[27:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]>>VAR7[125:127];
end
VAR2[112:127]<=VAR5[112:127]>>VAR7[124:127];
end
VAR2[96:127]<=VAR5[96:127]>>VAR7[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]+VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]+VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]+VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]+VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]+VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]+VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]+VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]+VAR7[56:63];
VAR2[64:71]<=VAR5[64:71]+VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]+VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]+VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]+VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]+VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]+VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]+VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]+VAR7[120:127];
end
VAR2[0:15]<=VAR5[0:15]+VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]+VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]+VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]+VAR7[48:63];
VAR2[64:79]<=VAR5[64:79]+VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]+VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]+VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]+VAR7[112:127];
end
VAR2[0:31]<=VAR5[0:31]+VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]+VAR7[32:63];
VAR2[64:95]<=VAR5[64:95]+VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]+VAR7[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]+VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]+VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]+VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]+VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]+VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]+VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]+VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]+VAR7[56:63];
end
VAR2[0:15]<=VAR5[0:15]+VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]+VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]+VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]+VAR7[48:63];
end
VAR2[0:31]<=VAR5[0:31]+VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]+VAR7[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]+VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]+VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]+VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]+VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]+VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]+VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]+VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]+VAR7[120:127];
end
VAR2[64:79]<=VAR5[64:79]+VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]+VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]+VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]+VAR7[112:127];
end
VAR2[64:95]<=VAR5[64:95]+VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]+VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]+VAR7[0:7];
VAR2[16:23]<=VAR5[16:23]+VAR7[16:23];
VAR2[32:39]<=VAR5[32:39]+VAR7[32:39];
VAR2[48:55]<=VAR5[48:55]+VAR7[48:55];
VAR2[64:71]<=VAR5[64:71]+VAR7[64:71];
VAR2[80:87]<=VAR5[80:87]+VAR7[80:87];
VAR2[96:103]<=VAR5[96:103]+VAR7[96:103];
VAR2[112:119]<=VAR5[112:119]+VAR7[112:119];
end
VAR2[0:15]<=VAR5[0:15]+VAR7[0:15];
VAR2[32:47]<=VAR5[32:47]+VAR7[32:47];
VAR2[64:79]<=VAR5[64:79]+VAR7[64:79];
VAR2[96:111]<=VAR5[96:111]+VAR7[96:111];
end
VAR2[0:31]<=VAR5[0:31]+VAR7[0:31];
VAR2[64:95]<=VAR5[64:95]+VAR7[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]+VAR7[8:15];
VAR2[24:31]<=VAR5[24:31]+VAR7[24:31];
VAR2[40:47]<=VAR5[40:47]+VAR7[40:47];
VAR2[56:63]<=VAR5[56:63]+VAR7[56:63];
VAR2[72:79]<=VAR5[72:79]+VAR7[72:79];
VAR2[88:95]<=VAR5[88:95]+VAR7[88:95];
VAR2[104:111]<=VAR5[104:111]+VAR7[104:111];
VAR2[120:127]<=VAR5[120:127]+VAR7[120:127];
end
VAR2[16:31]<=VAR5[16:31]+VAR7[16:31];
VAR2[48:63]<=VAR5[48:63]+VAR7[48:63];
VAR2[80:95]<=VAR5[80:95]+VAR7[80:95];
VAR2[112:127]<=VAR5[112:127]+VAR7[112:127];
end
VAR2[32:63]<=VAR5[32:63]+VAR7[32:63];
VAR2[96:127]<=VAR5[96:127]+VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]+VAR7[0:7];
end
VAR2[0:15]<=VAR5[0:15]+VAR7[0:15];
end
VAR2[0:31]<=VAR5[0:31]+VAR7[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]+VAR7[120:127];
end
VAR2[112:127]<=VAR5[112:127]+VAR7[112:127];
end
VAR2[96:127]<=VAR5[96:127]+VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]&VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]&VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]&VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]&VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]&VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]&VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]&VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]&VAR7[56:63];
VAR2[64:71]<=VAR5[64:71]&VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]&VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]&VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]&VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]&VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]&VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]&VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]&VAR7[120:127];
end
VAR2[0:15]<=VAR5[0:15]&VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]&VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]&VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]&VAR7[48:63];
VAR2[64:79]<=VAR5[64:79]&VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]&VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]&VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]&VAR7[112:127];
end
VAR2[0:31]<=VAR5[0:31]&VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]&VAR7[32:63];
VAR2[64:95]<=VAR5[64:95]&VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]&VAR7[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]&VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]&VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]&VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]&VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]&VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]&VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]&VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]&VAR7[56:63];
end
VAR2[0:15]<=VAR5[0:15]&VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]&VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]&VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]&VAR7[48:63];
end
VAR2[0:31]<=VAR5[0:31]&VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]&VAR7[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]&VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]&VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]&VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]&VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]&VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]&VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]&VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]&VAR7[120:127];
end
VAR2[64:79]<=VAR5[64:79]&VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]&VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]&VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]&VAR7[112:127];
end
VAR2[64:95]<=VAR5[64:95]&VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]&VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]&VAR7[0:7];
VAR2[16:23]<=VAR5[16:23]&VAR7[16:23];
VAR2[32:39]<=VAR5[32:39]&VAR7[32:39];
VAR2[48:55]<=VAR5[48:55]&VAR7[48:55];
VAR2[64:71]<=VAR5[64:71]&VAR7[64:71];
VAR2[80:87]<=VAR5[80:87]&VAR7[80:87];
VAR2[96:103]<=VAR5[96:103]&VAR7[96:103];
VAR2[112:119]<=VAR5[112:119]&VAR7[112:119];
end
VAR2[0:15]<=VAR5[0:15]&VAR7[0:15];
VAR2[32:47]<=VAR5[32:47]&VAR7[32:47];
VAR2[64:79]<=VAR5[64:79]&VAR7[64:79];
VAR2[96:111]<=VAR5[96:111]&VAR7[96:111];
end
VAR2[0:31]<=VAR5[0:31]&VAR7[0:31];
VAR2[64:95]<=VAR5[64:95]&VAR7[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]&VAR7[8:15];
VAR2[24:31]<=VAR5[24:31]&VAR7[24:31];
VAR2[40:47]<=VAR5[40:47]&VAR7[40:47];
VAR2[56:63]<=VAR5[56:63]&VAR7[56:63];
VAR2[72:79]<=VAR5[72:79]&VAR7[72:79];
VAR2[88:95]<=VAR5[88:95]&VAR7[88:95];
VAR2[104:111]<=VAR5[104:111]&VAR7[104:111];
VAR2[120:127]<=VAR5[120:127]&VAR7[120:127];
end
VAR2[16:31]<=VAR5[16:31]&VAR7[16:31];
VAR2[48:63]<=VAR5[48:63]&VAR7[48:63];
VAR2[80:95]<=VAR5[80:95]&VAR7[80:95];
VAR2[112:127]<=VAR5[112:127]&VAR7[112:127];
end
VAR2[32:63]<=VAR5[32:63]&VAR7[32:63];
VAR2[96:127]<=VAR5[96:127]&VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]&VAR7[0:7];
end
VAR2[0:15]<=VAR5[0:15]&VAR7[0:15];
end
VAR2[0:31]<=VAR5[0:31]&VAR7[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]&VAR7[120:127];
end
VAR2[112:127]<=VAR5[112:127]&VAR7[112:127];
end
VAR2[96:127]<=VAR5[96:127]&VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=~VAR5[0:7];
VAR2[8:15]<=~VAR5[8:15];
VAR2[16:23]<=~VAR5[16:23];
VAR2[24:31]<=~VAR5[24:31];
VAR2[32:39]<=~VAR5[32:39];
VAR2[40:47]<=~VAR5[40:47];
VAR2[48:55]<=~VAR5[48:55];
VAR2[56:63]<=~VAR5[56:63];
VAR2[64:71]<=~VAR5[64:71];
VAR2[72:79]<=~VAR5[72:79];
VAR2[80:87]<=~VAR5[80:87];
VAR2[88:95]<=~VAR5[88:95];
VAR2[96:103]<=~VAR5[96:103];
VAR2[104:111]<=~VAR5[104:111];
VAR2[112:119]<=~VAR5[112:119];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[16:31]<=~VAR5[16:31];
VAR2[32:47]<=~VAR5[32:47];
VAR2[48:63]<=~VAR5[48:63];
VAR2[64:79]<=~VAR5[64:79];
VAR2[80:95]<=~VAR5[80:95];
VAR2[96:111]<=~VAR5[96:111];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[32:63]<=~VAR5[32:63];
VAR2[64:95]<=~VAR5[64:95];
VAR2[96:127]<=~VAR5[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=~VAR5[0:7];
VAR2[8:15]<=~VAR5[8:15];
VAR2[16:23]<=~VAR5[16:23];
VAR2[24:31]<=~VAR5[24:31];
VAR2[32:39]<=~VAR5[32:39];
VAR2[40:47]<=~VAR5[40:47];
VAR2[48:55]<=~VAR5[48:55];
VAR2[56:63]<=~VAR5[56:63];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[16:31]<=~VAR5[16:31];
VAR2[32:47]<=~VAR5[32:47];
VAR2[48:63]<=~VAR5[48:63];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[32:63]<=~VAR5[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=~VAR5[64:71];
VAR2[72:79]<=~VAR5[72:79];
VAR2[80:87]<=~VAR5[80:87];
VAR2[88:95]<=~VAR5[88:95];
VAR2[96:103]<=~VAR5[96:103];
VAR2[104:111]<=~VAR5[104:111];
VAR2[112:119]<=~VAR5[112:119];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[64:79]<=~VAR5[64:79];
VAR2[80:95]<=~VAR5[80:95];
VAR2[96:111]<=~VAR5[96:111];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[64:95]<=~VAR5[64:95];
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=~VAR5[0:7];
VAR2[16:23]<=~VAR5[16:23];
VAR2[32:39]<=~VAR5[32:39];
VAR2[48:55]<=~VAR5[48:55];
VAR2[64:71]<=~VAR5[64:71];
VAR2[80:87]<=~VAR5[80:87];
VAR2[96:103]<=~VAR5[96:103];
VAR2[112:119]<=~VAR5[112:119];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[32:47]<=~VAR5[32:47];
VAR2[64:79]<=~VAR5[64:79];
VAR2[96:111]<=~VAR5[96:111];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[64:95]<=~VAR5[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=~VAR5[8:15];
VAR2[24:31]<=~VAR5[24:31];
VAR2[40:47]<=~VAR5[40:47];
VAR2[56:63]<=~VAR5[56:63];
VAR2[72:79]<=~VAR5[72:79];
VAR2[88:95]<=~VAR5[88:95];
VAR2[104:111]<=~VAR5[104:111];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[16:31]<=~VAR5[16:31];
VAR2[48:63]<=~VAR5[48:63];
VAR2[80:95]<=~VAR5[80:95];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[32:63]<=~VAR5[32:63];
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=~VAR5[0:7];
end
VAR2[0:15]<=~VAR5[0:15];
end
VAR2[0:31]<=~VAR5[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]|VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]|VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]|VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]|VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]|VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]|VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]|VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]|VAR7[56:63];
VAR2[64:71]<=VAR5[64:71]|VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]|VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]|VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]|VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]|VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]|VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]|VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]|VAR7[120:127];
end
VAR2[0:15]<=VAR5[0:15]|VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]|VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]|VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]|VAR7[48:63];
VAR2[64:79]<=VAR5[64:79]|VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]|VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]|VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]|VAR7[112:127];
end
VAR2[0:31]<=VAR5[0:31]|VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]|VAR7[32:63];
VAR2[64:95]<=VAR5[64:95]|VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]|VAR7[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]|VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]|VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]|VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]|VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]|VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]|VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]|VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]|VAR7[56:63];
end
VAR2[0:15]<=VAR5[0:15]|VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]|VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]|VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]|VAR7[48:63];
end
VAR2[0:31]<=VAR5[0:31]|VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]|VAR7[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]|VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]|VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]|VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]|VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]|VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]|VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]|VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]|VAR7[120:127];
end
VAR2[64:79]<=VAR5[64:79]|VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]|VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]|VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]|VAR7[112:127];
end
VAR2[64:95]<=VAR5[64:95]|VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]|VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]|VAR7[0:7];
VAR2[16:23]<=VAR5[16:23]|VAR7[16:23];
VAR2[32:39]<=VAR5[32:39]|VAR7[32:39];
VAR2[48:55]<=VAR5[48:55]|VAR7[48:55];
VAR2[64:71]<=VAR5[64:71]|VAR7[64:71];
VAR2[80:87]<=VAR5[80:87]|VAR7[80:87];
VAR2[96:103]<=VAR5[96:103]|VAR7[96:103];
VAR2[112:119]<=VAR5[112:119]|VAR7[112:119];
end
VAR2[0:15]<=VAR5[0:15]|VAR7[0:15];
VAR2[32:47]<=VAR5[32:47]|VAR7[32:47];
VAR2[64:79]<=VAR5[64:79]|VAR7[64:79];
VAR2[96:111]<=VAR5[96:111]|VAR7[96:111];
end
VAR2[0:31]<=VAR5[0:31]|VAR7[0:31];
VAR2[64:95]<=VAR5[64:95]|VAR7[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]|VAR7[8:15];
VAR2[24:31]<=VAR5[24:31]|VAR7[24:31];
VAR2[40:47]<=VAR5[40:47]|VAR7[40:47];
VAR2[56:63]<=VAR5[56:63]|VAR7[56:63];
VAR2[72:79]<=VAR5[72:79]|VAR7[72:79];
VAR2[88:95]<=VAR5[88:95]|VAR7[88:95];
VAR2[104:111]<=VAR5[104:111]|VAR7[104:111];
VAR2[120:127]<=VAR5[120:127]|VAR7[120:127];
end
VAR2[16:31]<=VAR5[16:31]|VAR7[16:31];
VAR2[48:63]<=VAR5[48:63]|VAR7[48:63];
VAR2[80:95]<=VAR5[80:95]|VAR7[80:95];
VAR2[112:127]<=VAR5[112:127]|VAR7[112:127];
end
VAR2[32:63]<=VAR5[32:63]|VAR7[32:63];
VAR2[96:127]<=VAR5[96:127]|VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]|VAR7[0:7];
end
VAR2[0:15]<=VAR5[0:15]|VAR7[0:15];
end
VAR2[0:31]<=VAR5[0:31]|VAR7[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]|VAR7[120:127];
end
VAR2[112:127]<=VAR5[112:127]|VAR7[112:127];
end
VAR2[96:127]<=VAR5[96:127]|VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]^VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]^VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]^VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]^VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]^VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]^VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]^VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]^VAR7[56:63];
VAR2[64:71]<=VAR5[64:71]^VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]^VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]^VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]^VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]^VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]^VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]^VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]^VAR7[120:127];
end
VAR2[0:15]<=VAR5[0:15]^VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]^VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]^VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]^VAR7[48:63];
VAR2[64:79]<=VAR5[64:79]^VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]^VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]^VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]^VAR7[112:127];
end
VAR2[0:31]<=VAR5[0:31]^VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]^VAR7[32:63];
VAR2[64:95]<=VAR5[64:95]^VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]^VAR7[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]^VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]^VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]^VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]^VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]^VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]^VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]^VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]^VAR7[56:63];
end
VAR2[0:15]<=VAR5[0:15]^VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]^VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]^VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]^VAR7[48:63];
end
VAR2[0:31]<=VAR5[0:31]^VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]^VAR7[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]^VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]^VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]^VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]^VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]^VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]^VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]^VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]^VAR7[120:127];
end
VAR2[64:79]<=VAR5[64:79]^VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]^VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]^VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]^VAR7[112:127];
end
VAR2[64:95]<=VAR5[64:95]^VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]^VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]^VAR7[0:7];
VAR2[16:23]<=VAR5[16:23]^VAR7[16:23];
VAR2[32:39]<=VAR5[32:39]^VAR7[32:39];
VAR2[48:55]<=VAR5[48:55]^VAR7[48:55];
VAR2[64:71]<=VAR5[64:71]^VAR7[64:71];
VAR2[80:87]<=VAR5[80:87]^VAR7[80:87];
VAR2[96:103]<=VAR5[96:103]^VAR7[96:103];
VAR2[112:119]<=VAR5[112:119]^VAR7[112:119];
end
VAR2[0:15]<=VAR5[0:15]^VAR7[0:15];
VAR2[32:47]<=VAR5[32:47]^VAR7[32:47];
VAR2[64:79]<=VAR5[64:79]^VAR7[64:79];
VAR2[96:111]<=VAR5[96:111]^VAR7[96:111];
end
VAR2[0:31]<=VAR5[0:31]^VAR7[0:31];
VAR2[64:95]<=VAR5[64:95]^VAR7[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]^VAR7[8:15];
VAR2[24:31]<=VAR5[24:31]^VAR7[24:31];
VAR2[40:47]<=VAR5[40:47]^VAR7[40:47];
VAR2[56:63]<=VAR5[56:63]^VAR7[56:63];
VAR2[72:79]<=VAR5[72:79]^VAR7[72:79];
VAR2[88:95]<=VAR5[88:95]^VAR7[88:95];
VAR2[104:111]<=VAR5[104:111]^VAR7[104:111];
VAR2[120:127]<=VAR5[120:127]^VAR7[120:127];
end
VAR2[16:31]<=VAR5[16:31]^VAR7[16:31];
VAR2[48:63]<=VAR5[48:63]^VAR7[48:63];
VAR2[80:95]<=VAR5[80:95]^VAR7[80:95];
VAR2[112:127]<=VAR5[112:127]^VAR7[112:127];
end
VAR2[32:63]<=VAR5[32:63]^VAR7[32:63];
VAR2[96:127]<=VAR5[96:127]^VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]^VAR7[0:7];
end
VAR2[0:15]<=VAR5[0:15]^VAR7[0:15];
end
VAR2[0:31]<=VAR5[0:31]^VAR7[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]^VAR7[120:127];
end
VAR2[112:127]<=VAR5[112:127]^VAR7[112:127];
end
VAR2[96:127]<=VAR5[96:127]^VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3)
VAR2[0:7]<=VAR5[0:7]-VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]-VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]-VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]-VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]-VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]-VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]-VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]-VAR7[56:63];
VAR2[64:71]<=VAR5[64:71]-VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]-VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]-VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]-VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]-VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]-VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]-VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]-VAR7[120:127];
end
VAR2[0:15]<=VAR5[0:15]-VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]-VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]-VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]-VAR7[48:63];
VAR2[64:79]<=VAR5[64:79]-VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]-VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]-VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]-VAR7[112:127];
end
VAR2[0:31]<=VAR5[0:31]-VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]-VAR7[32:63];
VAR2[64:95]<=VAR5[64:95]-VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]-VAR7[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]-VAR7[0:7];
VAR2[8:15]<=VAR5[8:15]-VAR7[8:15];
VAR2[16:23]<=VAR5[16:23]-VAR7[16:23];
VAR2[24:31]<=VAR5[24:31]-VAR7[24:31];
VAR2[32:39]<=VAR5[32:39]-VAR7[32:39];
VAR2[40:47]<=VAR5[40:47]-VAR7[40:47];
VAR2[48:55]<=VAR5[48:55]-VAR7[48:55];
VAR2[56:63]<=VAR5[56:63]-VAR7[56:63];
end
VAR2[0:15]<=VAR5[0:15]-VAR7[0:15];
VAR2[16:31]<=VAR5[16:31]-VAR7[16:31];
VAR2[32:47]<=VAR5[32:47]-VAR7[32:47];
VAR2[48:63]<=VAR5[48:63]-VAR7[48:63];
end
VAR2[0:31]<=VAR5[0:31]-VAR7[0:31];
VAR2[32:63]<=VAR5[32:63]-VAR7[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[64:71]<=VAR5[64:71]-VAR7[64:71];
VAR2[72:79]<=VAR5[72:79]-VAR7[72:79];
VAR2[80:87]<=VAR5[80:87]-VAR7[80:87];
VAR2[88:95]<=VAR5[88:95]-VAR7[88:95];
VAR2[96:103]<=VAR5[96:103]-VAR7[96:103];
VAR2[104:111]<=VAR5[104:111]-VAR7[104:111];
VAR2[112:119]<=VAR5[112:119]-VAR7[112:119];
VAR2[120:127]<=VAR5[120:127]-VAR7[120:127];
end
VAR2[64:79]<=VAR5[64:79]-VAR7[64:79];
VAR2[80:95]<=VAR5[80:95]-VAR7[80:95];
VAR2[96:111]<=VAR5[96:111]-VAR7[96:111];
VAR2[112:127]<=VAR5[112:127]-VAR7[112:127];
end
VAR2[64:95]<=VAR5[64:95]-VAR7[64:95];
VAR2[96:127]<=VAR5[96:127]-VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]-VAR7[0:7];
VAR2[16:23]<=VAR5[16:23]-VAR7[16:23];
VAR2[32:39]<=VAR5[32:39]-VAR7[32:39];
VAR2[48:55]<=VAR5[48:55]-VAR7[48:55];
VAR2[64:71]<=VAR5[64:71]-VAR7[64:71];
VAR2[80:87]<=VAR5[80:87]-VAR7[80:87];
VAR2[96:103]<=VAR5[96:103]-VAR7[96:103];
VAR2[112:119]<=VAR5[112:119]-VAR7[112:119];
end
VAR2[0:15]<=VAR5[0:15]-VAR7[0:15];
VAR2[32:47]<=VAR5[32:47]-VAR7[32:47];
VAR2[64:79]<=VAR5[64:79]-VAR7[64:79];
VAR2[96:111]<=VAR5[96:111]-VAR7[96:111];
end
VAR2[0:31]<=VAR5[0:31]-VAR7[0:31];
VAR2[64:95]<=VAR5[64:95]-VAR7[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[8:15]<=VAR5[8:15]-VAR7[8:15];
VAR2[24:31]<=VAR5[24:31]-VAR7[24:31];
VAR2[40:47]<=VAR5[40:47]-VAR7[40:47];
VAR2[56:63]<=VAR5[56:63]-VAR7[56:63];
VAR2[72:79]<=VAR5[72:79]-VAR7[72:79];
VAR2[88:95]<=VAR5[88:95]-VAR7[88:95];
VAR2[104:111]<=VAR5[104:111]-VAR7[104:111];
VAR2[120:127]<=VAR5[120:127]-VAR7[120:127];
end
VAR2[16:31]<=VAR5[16:31]-VAR7[16:31];
VAR2[48:63]<=VAR5[48:63]-VAR7[48:63];
VAR2[80:95]<=VAR5[80:95]-VAR7[80:95];
VAR2[112:127]<=VAR5[112:127]-VAR7[112:127];
end
VAR2[32:63]<=VAR5[32:63]-VAR7[32:63];
VAR2[96:127]<=VAR5[96:127]-VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[0:7]<=VAR5[0:7]-VAR7[0:7];
end
VAR2[0:15]<=VAR5[0:15]-VAR7[0:15];
end
VAR2[0:31]<=VAR5[0:31]-VAR7[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR3)
VAR2[120:127]<=VAR5[120:127]-VAR7[120:127];
end
VAR2[112:127]<=VAR5[112:127]-VAR7[112:127];
end
VAR2[96:127]<=VAR5[96:127]-VAR7[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR7[4:7]) 4'd0:
VAR2[0:7]<=VAR5[0:7];
4'd1:
VAR2[0:7]<=VAR5[8:15];
4'd2:
VAR2[0:7]<=VAR5[16:23];
4'd3:
VAR2[0:7]<=VAR5[24:31];
4'd4:
VAR2[0:7]<=VAR5[32:39];
4'd5:
VAR2[0:7]<=VAR5[40:47];
4'd6:
VAR2[0:7]<=VAR5[48:55];
4'd7:
VAR2[0:7]<=VAR5[56:63];
4'd8:
VAR2[0:7]<=VAR5[64:71];
4'd9:
VAR2[0:7]<=VAR5[72:79];
4'd10:
VAR2[0:7]<=VAR5[80:87];
4'd11:
VAR2[0:7]<=VAR5[88:95];
4'd12:
VAR2[0:7]<=VAR5[96:103];
4'd13:
VAR2[0:7]<=VAR5[104:111];
4'd14:
VAR2[0:7]<=VAR5[112:119];
4'd15:
VAR2[0:7]<=VAR5[120:127];
endcase
case(VAR7[12:15]) 4'd0:
VAR2[8:15]<=VAR5[0:7];
4'd1:
VAR2[8:15]<=VAR5[8:15];
4'd2:
VAR2[8:15]<=VAR5[16:23];
4'd3:
VAR2[8:15]<=VAR5[24:31];
4'd4:
VAR2[8:15]<=VAR5[32:39];
4'd5:
VAR2[8:15]<=VAR5[40:47];
4'd6:
VAR2[8:15]<=VAR5[48:55];
4'd7:
VAR2[8:15]<=VAR5[56:63];
4'd8:
VAR2[8:15]<=VAR5[64:71];
4'd9:
VAR2[8:15]<=VAR5[72:79];
4'd10:
VAR2[8:15]<=VAR5[80:87];
4'd11:
VAR2[8:15]<=VAR5[88:95];
4'd12:
VAR2[8:15]<=VAR5[96:103];
4'd13:
VAR2[8:15]<=VAR5[104:111];
4'd14:
VAR2[8:15]<=VAR5[112:119];
4'd15:
VAR2[8:15]<=VAR5[120:127];
endcase
case(VAR7[20:23]) 4'd0:
VAR2[16:23]<=VAR5[0:7];
4'd1:
VAR2[16:23]<=VAR5[8:15];
4'd2:
VAR2[16:23]<=VAR5[16:23];
4'd3:
VAR2[16:23]<=VAR5[24:31];
4'd4:
VAR2[16:23]<=VAR5[32:39];
4'd5:
VAR2[16:23]<=VAR5[40:47];
4'd6:
VAR2[16:23]<=VAR5[48:55];
4'd7:
VAR2[16:23]<=VAR5[56:63];
4'd8:
VAR2[16:23]<=VAR5[64:71];
4'd9:
VAR2[16:23]<=VAR5[72:79];
4'd10:
VAR2[16:23]<=VAR5[80:87];
4'd11:
VAR2[16:23]<=VAR5[88:95];
4'd12:
VAR2[16:23]<=VAR5[96:103];
4'd13:
VAR2[16:23]<=VAR5[104:111];
4'd14:
VAR2[16:23]<=VAR5[112:119];
4'd15:
VAR2[16:23]<=VAR5[120:127];
endcase
case(VAR7[28:31]) 4'd0:
VAR2[24:31]<=VAR5[0:7];
4'd1:
VAR2[24:31]<=VAR5[8:15];
4'd2:
VAR2[24:31]<=VAR5[16:23];
4'd3:
VAR2[24:31]<=VAR5[24:31];
4'd4:
VAR2[24:31]<=VAR5[32:39];
4'd5:
VAR2[24:31]<=VAR5[40:47];
4'd6:
VAR2[24:31]<=VAR5[48:55];
4'd7:
VAR2[24:31]<=VAR5[56:63];
4'd8:
VAR2[24:31]<=VAR5[64:71];
4'd9:
VAR2[24:31]<=VAR5[72:79];
4'd10:
VAR2[24:31]<=VAR5[80:87];
4'd11:
VAR2[24:31]<=VAR5[88:95];
4'd12:
VAR2[24:31]<=VAR5[96:103];
4'd13:
VAR2[24:31]<=VAR5[104:111];
4'd14:
VAR2[24:31]<=VAR5[112:119];
4'd15:
VAR2[24:31]<=VAR5[120:127];
endcase
case(VAR7[36:39]) 4'd0:
VAR2[32:39]<=VAR5[0:7];
4'd1:
VAR2[32:39]<=VAR5[8:15];
4'd2:
VAR2[32:39]<=VAR5[16:23];
4'd3:
VAR2[32:39]<=VAR5[24:31];
4'd4:
VAR2[32:39]<=VAR5[32:39];
4'd5:
VAR2[32:39]<=VAR5[40:47];
4'd6:
VAR2[32:39]<=VAR5[48:55];
4'd7:
VAR2[32:39]<=VAR5[56:63];
4'd8:
VAR2[32:39]<=VAR5[64:71];
4'd9:
VAR2[32:39]<=VAR5[72:79];
4'd10:
VAR2[32:39]<=VAR5[80:87];
4'd11:
VAR2[32:39]<=VAR5[88:95];
4'd12:
VAR2[32:39]<=VAR5[96:103];
4'd13:
VAR2[32:39]<=VAR5[104:111];
4'd14:
VAR2[32:39]<=VAR5[112:119];
4'd15:
VAR2[32:39]<=VAR5[120:127];
endcase
case(VAR7[44:47]) 4'd0:
VAR2[40:47]<=VAR5[0:7];
4'd1:
VAR2[40:47]<=VAR5[8:15];
4'd2:
VAR2[40:47]<=VAR5[16:23];
4'd3:
VAR2[40:47]<=VAR5[24:31];
4'd4:
VAR2[40:47]<=VAR5[32:39];
4'd5:
VAR2[40:47]<=VAR5[40:47];
4'd6:
VAR2[40:47]<=VAR5[48:55];
4'd7:
VAR2[40:47]<=VAR5[56:63];
4'd8:
VAR2[40:47]<=VAR5[64:71];
4'd9:
VAR2[40:47]<=VAR5[72:79];
4'd10:
VAR2[40:47]<=VAR5[80:87];
4'd11:
VAR2[40:47]<=VAR5[88:95];
4'd12:
VAR2[40:47]<=VAR5[96:103];
4'd13:
VAR2[40:47]<=VAR5[104:111];
4'd14:
VAR2[40:47]<=VAR5[112:119];
4'd15:
VAR2[40:47]<=VAR5[120:127];
endcase
case(VAR7[52:55]) 4'd0:
VAR2[48:55]<=VAR5[0:7];
4'd1:
VAR2[48:55]<=VAR5[8:15];
4'd2:
VAR2[48:55]<=VAR5[16:23];
4'd3:
VAR2[48:55]<=VAR5[24:31];
4'd4:
VAR2[48:55]<=VAR5[32:39];
4'd5:
VAR2[48:55]<=VAR5[40:47];
4'd6:
VAR2[48:55]<=VAR5[48:55];
4'd7:
VAR2[48:55]<=VAR5[56:63];
4'd8:
VAR2[48:55]<=VAR5[64:71];
4'd9:
VAR2[48:55]<=VAR5[72:79];
4'd10:
VAR2[48:55]<=VAR5[80:87];
4'd11:
VAR2[48:55]<=VAR5[88:95];
4'd12:
VAR2[48:55]<=VAR5[96:103];
4'd13:
VAR2[48:55]<=VAR5[104:111];
4'd14:
VAR2[48:55]<=VAR5[112:119];
4'd15:
VAR2[48:55]<=VAR5[120:127];
endcase
case(VAR7[60:63]) 4'd0:
VAR2[56:63]<=VAR5[0:7];
4'd1:
VAR2[56:63]<=VAR5[8:15];
4'd2:
VAR2[56:63]<=VAR5[16:23];
4'd3:
VAR2[56:63]<=VAR5[24:31];
4'd4:
VAR2[56:63]<=VAR5[32:39];
4'd5:
VAR2[56:63]<=VAR5[40:47];
4'd6:
VAR2[56:63]<=VAR5[48:55];
4'd7:
VAR2[56:63]<=VAR5[56:63];
4'd8:
VAR2[56:63]<=VAR5[64:71];
4'd9:
VAR2[56:63]<=VAR5[72:79];
4'd10:
VAR2[56:63]<=VAR5[80:87];
4'd11:
VAR2[56:63]<=VAR5[88:95];
4'd12:
VAR2[56:63]<=VAR5[96:103];
4'd13:
VAR2[56:63]<=VAR5[104:111];
4'd14:
VAR2[56:63]<=VAR5[112:119];
4'd15:
VAR2[56:63]<=VAR5[120:127];
endcase
case(VAR7[68:71]) 4'd0:
VAR2[64:71]<=VAR5[0:7];
4'd1:
VAR2[64:71]<=VAR5[8:15];
4'd2:
VAR2[64:71]<=VAR5[16:23];
4'd3:
VAR2[64:71]<=VAR5[24:31];
4'd4:
VAR2[64:71]<=VAR5[32:39];
4'd5:
VAR2[64:71]<=VAR5[40:47];
4'd6:
VAR2[64:71]<=VAR5[48:55];
4'd7:
VAR2[64:71]<=VAR5[56:63];
4'd8:
VAR2[64:71]<=VAR5[64:71];
4'd9:
VAR2[64:71]<=VAR5[72:79];
4'd10:
VAR2[64:71]<=VAR5[80:87];
4'd11:
VAR2[64:71]<=VAR5[88:95];
4'd12:
VAR2[64:71]<=VAR5[96:103];
4'd13:
VAR2[64:71]<=VAR5[104:111];
4'd14:
VAR2[64:71]<=VAR5[112:119];
4'd15:
VAR2[64:71]<=VAR5[120:127];
endcase
case(VAR7[76:79]) 4'd0:
VAR2[72:79]<=VAR5[0:7];
4'd1:
VAR2[72:79]<=VAR5[8:15];
4'd2:
VAR2[72:79]<=VAR5[16:23];
4'd3:
VAR2[72:79]<=VAR5[24:31];
4'd4:
VAR2[72:79]<=VAR5[32:39];
4'd5:
VAR2[72:79]<=VAR5[40:47];
4'd6:
VAR2[72:79]<=VAR5[48:55];
4'd7:
VAR2[72:79]<=VAR5[56:63];
4'd8:
VAR2[72:79]<=VAR5[64:71];
4'd9:
VAR2[72:79]<=VAR5[72:79];
4'd10:
VAR2[72:79]<=VAR5[80:87];
4'd11:
VAR2[72:79]<=VAR5[88:95];
4'd12:
VAR2[72:79]<=VAR5[96:103];
4'd13:
VAR2[72:79]<=VAR5[104:111];
4'd14:
VAR2[72:79]<=VAR5[112:119];
4'd15:
VAR2[72:79]<=VAR5[120:127];
endcase
case(VAR7[84:87]) 4'd0:
VAR2[80:87]<=VAR5[0:7];
4'd1:
VAR2[80:87]<=VAR5[8:15];
4'd2:
VAR2[80:87]<=VAR5[16:23];
4'd3:
VAR2[80:87]<=VAR5[24:31];
4'd4:
VAR2[80:87]<=VAR5[32:39];
4'd5:
VAR2[80:87]<=VAR5[40:47];
4'd6:
VAR2[80:87]<=VAR5[48:55];
4'd7:
VAR2[80:87]<=VAR5[56:63];
4'd8:
VAR2[80:87]<=VAR5[64:71];
4'd9:
VAR2[80:87]<=VAR5[72:79];
4'd10:
VAR2[80:87]<=VAR5[80:87];
4'd11:
VAR2[80:87]<=VAR5[88:95];
4'd12:
VAR2[80:87]<=VAR5[96:103];
4'd13:
VAR2[80:87]<=VAR5[104:111];
4'd14:
VAR2[80:87]<=VAR5[112:119];
4'd15:
VAR2[80:87]<=VAR5[120:127];
endcase
case(VAR7[92:95]) 4'd0:
VAR2[88:95]<=VAR5[0:7];
4'd1:
VAR2[88:95]<=VAR5[8:15];
4'd2:
VAR2[88:95]<=VAR5[16:23];
4'd3:
VAR2[88:95]<=VAR5[24:31];
4'd4:
VAR2[88:95]<=VAR5[32:39];
4'd5:
VAR2[88:95]<=VAR5[40:47];
4'd6:
VAR2[88:95]<=VAR5[48:55];
4'd7:
VAR2[88:95]<=VAR5[56:63];
4'd8:
VAR2[88:95]<=VAR5[64:71];
4'd9:
VAR2[88:95]<=VAR5[72:79];
4'd10:
VAR2[88:95]<=VAR5[80:87];
4'd11:
VAR2[88:95]<=VAR5[88:95];
4'd12:
VAR2[88:95]<=VAR5[96:103];
4'd13:
VAR2[88:95]<=VAR5[104:111];
4'd14:
VAR2[88:95]<=VAR5[112:119];
4'd15:
VAR2[88:95]<=VAR5[120:127];
endcase
case(VAR7[100:103]) 4'd0:
VAR2[96:103]<=VAR5[0:7];
4'd1:
VAR2[96:103]<=VAR5[8:15];
4'd2:
VAR2[96:103]<=VAR5[16:23];
4'd3:
VAR2[96:103]<=VAR5[24:31];
4'd4:
VAR2[96:103]<=VAR5[32:39];
4'd5:
VAR2[96:103]<=VAR5[40:47];
4'd6:
VAR2[96:103]<=VAR5[48:55];
4'd7:
VAR2[96:103]<=VAR5[56:63];
4'd8:
VAR2[96:103]<=VAR5[64:71];
4'd9:
VAR2[96:103]<=VAR5[72:79];
4'd10:
VAR2[96:103]<=VAR5[80:87];
4'd11:
VAR2[96:103]<=VAR5[88:95];
4'd12:
VAR2[96:103]<=VAR5[96:103];
4'd13:
VAR2[96:103]<=VAR5[104:111];
4'd14:
VAR2[96:103]<=VAR5[112:119];
4'd15:
VAR2[96:103]<=VAR5[120:127];
endcase
case(VAR7[108:111]) 4'd0:
VAR2[104:111]<=VAR5[0:7];
4'd1:
VAR2[104:111]<=VAR5[8:15];
4'd2:
VAR2[104:111]<=VAR5[16:23];
4'd3:
VAR2[104:111]<=VAR5[24:31];
4'd4:
VAR2[104:111]<=VAR5[32:39];
4'd5:
VAR2[104:111]<=VAR5[40:47];
4'd6:
VAR2[104:111]<=VAR5[48:55];
4'd7:
VAR2[104:111]<=VAR5[56:63];
4'd8:
VAR2[104:111]<=VAR5[64:71];
4'd9:
VAR2[104:111]<=VAR5[72:79];
4'd10:
VAR2[104:111]<=VAR5[80:87];
4'd11:
VAR2[104:111]<=VAR5[88:95];
4'd12:
VAR2[104:111]<=VAR5[96:103];
4'd13:
VAR2[104:111]<=VAR5[104:111];
4'd14:
VAR2[104:111]<=VAR5[112:119];
4'd15:
VAR2[104:111]<=VAR5[120:127];
endcase
case(VAR7[116:119]) 4'd0:
VAR2[112:119]<=VAR5[112:119];
4'd1:
VAR2[112:119]<=VAR5[8:15];
4'd2:
VAR2[112:119]<=VAR5[16:23];
4'd3:
VAR2[112:119]<=VAR5[24:31];
4'd4:
VAR2[112:119]<=VAR5[32:39];
4'd5:
VAR2[112:119]<=VAR5[40:47];
4'd6:
VAR2[112:119]<=VAR5[48:55];
4'd7:
VAR2[112:119]<=VAR5[56:63];
4'd8:
VAR2[112:119]<=VAR5[64:71];
4'd9:
VAR2[112:119]<=VAR5[72:79];
4'd10:
VAR2[112:119]<=VAR5[80:87];
4'd11:
VAR2[112:119]<=VAR5[88:95];
4'd12:
VAR2[112:119]<=VAR5[96:103];
4'd13:
VAR2[112:119]<=VAR5[104:111];
4'd14:
VAR2[112:119]<=VAR5[112:119];
4'd15:
VAR2[112:119]<=VAR5[120:127];
endcase
case(VAR7[124:127]) 4'd0:
VAR2[120:127]<=VAR5[0:7];
4'd1:
VAR2[120:127]<=VAR5[8:15];
4'd2:
VAR2[120:127]<=VAR5[16:23];
4'd3:
VAR2[120:127]<=VAR5[24:31];
4'd4:
VAR2[120:127]<=VAR5[32:39];
4'd5:
VAR2[120:127]<=VAR5[40:47];
4'd6:
VAR2[120:127]<=VAR5[48:55];
4'd7:
VAR2[120:127]<=VAR5[56:63];
4'd8:
VAR2[120:127]<=VAR5[64:71];
4'd9:
VAR2[120:127]<=VAR5[72:79];
4'd10:
VAR2[120:127]<=VAR5[80:87];
4'd11:
VAR2[120:127]<=VAR5[88:95];
4'd12:
VAR2[120:127]<=VAR5[96:103];
4'd13:
VAR2[120:127]<=VAR5[104:111];
4'd14:
VAR2[120:127]<=VAR5[112:119];
4'd15:
VAR2[120:127]<=VAR5[120:127];
endcase
end
begin
case(VAR3)
begin
case(VAR7[2:4])
3'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
3'd1:
begin
VAR2[0:7]<={VAR5[1:7],{1'b0}};
VAR2[8:15]<={VAR5[9:15],{1'b0}};
VAR2[16:23]<={VAR5[17:23],{1'b0}};
VAR2[24:31]<={VAR5[25:31],{1'b0}};
VAR2[32:39]<={VAR5[33:39],{1'b0}};
VAR2[40:47]<={VAR5[41:47],{1'b0}};
VAR2[48:55]<={VAR5[49:55],{1'b0}};
VAR2[56:63]<={VAR5[57:63],{1'b0}};
VAR2[64:71]<={VAR5[65:71],{1'b0}};
VAR2[72:79]<={VAR5[73:79],{1'b0}};
VAR2[80:87]<={VAR5[81:87],{1'b0}};
VAR2[88:95]<={VAR5[89:95],{1'b0}};
VAR2[96:103]<={VAR5[97:103],{1'b0}};
VAR2[104:111]<={VAR5[105:111],{1'b0}};
VAR2[112:119]<={VAR5[113:119],{1'b0}};
VAR2[120:127]<={VAR5[121:127],{1'b0}};
end
3'd2:
begin
VAR2[0:7]<={VAR5[2:7],{2{1'b0}}};
VAR2[8:15]<={VAR5[10:15],{2{1'b0}}};
VAR2[16:23]<={VAR5[18:23],{2{1'b0}}};
VAR2[24:31]<={VAR5[26:31],{2{1'b0}}};
VAR2[32:39]<={VAR5[34:39],{2{1'b0}}};
VAR2[40:47]<={VAR5[42:47],{2{1'b0}}};
VAR2[48:55]<={VAR5[50:55],{2{1'b0}}};
VAR2[56:63]<={VAR5[58:63],{2{1'b0}}};
VAR2[64:71]<={VAR5[66:71],{2{1'b0}}};
VAR2[72:79]<={VAR5[74:79],{2{1'b0}}};
VAR2[80:87]<={VAR5[82:87],{2{1'b0}}};
VAR2[88:95]<={VAR5[90:95],{2{1'b0}}};
VAR2[96:103]<={VAR5[98:103],{2{1'b0}}};
VAR2[104:111]<={VAR5[106:111],{2{1'b0}}};
VAR2[112:119]<={VAR5[114:119],{2{1'b0}}};
VAR2[120:127]<={VAR5[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR2[0:7]<={VAR5[3:7],{3{1'b0}}};
VAR2[8:15]<={VAR5[11:15],{3{1'b0}}};
VAR2[16:23]<={VAR5[19:23],{3{1'b0}}};
VAR2[24:31]<={VAR5[27:31],{3{1'b0}}};
VAR2[32:39]<={VAR5[35:39],{3{1'b0}}};
VAR2[40:47]<={VAR5[43:47],{3{1'b0}}};
VAR2[48:55]<={VAR5[51:55],{3{1'b0}}};
VAR2[56:63]<={VAR5[59:63],{3{1'b0}}};
VAR2[64:71]<={VAR5[67:71],{3{1'b0}}};
VAR2[72:79]<={VAR5[75:79],{3{1'b0}}};
VAR2[80:87]<={VAR5[83:87],{3{1'b0}}};
VAR2[88:95]<={VAR5[91:95],{3{1'b0}}};
VAR2[96:103]<={VAR5[99:103],{3{1'b0}}};
VAR2[104:111]<={VAR5[107:111],{3{1'b0}}};
VAR2[112:119]<={VAR5[115:119],{3{1'b0}}};
VAR2[120:127]<={VAR5[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR2[0:7]<={VAR5[4:7],{4{1'b0}}};
VAR2[8:15]<={VAR5[12:15],{4{1'b0}}};
VAR2[16:23]<={VAR5[20:23],{4{1'b0}}};
VAR2[24:31]<={VAR5[28:31],{4{1'b0}}};
VAR2[32:39]<={VAR5[36:39],{4{1'b0}}};
VAR2[40:47]<={VAR5[44:47],{4{1'b0}}};
VAR2[48:55]<={VAR5[52:55],{4{1'b0}}};
VAR2[56:63]<={VAR5[60:63],{4{1'b0}}};
VAR2[64:71]<={VAR5[68:71],{4{1'b0}}};
VAR2[72:79]<={VAR5[76:79],{4{1'b0}}};
VAR2[80:87]<={VAR5[84:87],{4{1'b0}}};
VAR2[88:95]<={VAR5[92:95],{4{1'b0}}};
VAR2[96:103]<={VAR5[100:103],{4{1'b0}}};
VAR2[104:111]<={VAR5[108:111],{4{1'b0}}};
VAR2[112:119]<={VAR5[116:119],{4{1'b0}}};
VAR2[120:127]<={VAR5[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR2[0:7]<={VAR5[5:7],{5{1'b0}}};
VAR2[8:15]<={VAR5[13:15],{5{1'b0}}};
VAR2[16:23]<={VAR5[21:23],{5{1'b0}}};
VAR2[24:31]<={VAR5[29:31],{5{1'b0}}};
VAR2[32:39]<={VAR5[37:39],{5{1'b0}}};
VAR2[40:47]<={VAR5[45:47],{5{1'b0}}};
VAR2[48:55]<={VAR5[53:55],{5{1'b0}}};
VAR2[56:63]<={VAR5[61:63],{5{1'b0}}};
VAR2[64:71]<={VAR5[69:71],{5{1'b0}}};
VAR2[72:79]<={VAR5[77:79],{5{1'b0}}};
VAR2[80:87]<={VAR5[85:87],{5{1'b0}}};
VAR2[88:95]<={VAR5[93:95],{5{1'b0}}};
VAR2[96:103]<={VAR5[101:103],{5{1'b0}}};
VAR2[104:111]<={VAR5[109:111],{5{1'b0}}};
VAR2[112:119]<={VAR5[117:119],{5{1'b0}}};
VAR2[120:127]<={VAR5[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR2[0:7]<={VAR5[6:7],{6{1'b0}}};
VAR2[8:15]<={VAR5[14:15],{6{1'b0}}};
VAR2[16:23]<={VAR5[22:23],{6{1'b0}}};
VAR2[24:31]<={VAR5[30:31],{6{1'b0}}};
VAR2[32:39]<={VAR5[38:39],{6{1'b0}}};
VAR2[40:47]<={VAR5[46:47],{6{1'b0}}};
VAR2[48:55]<={VAR5[54:55],{6{1'b0}}};
VAR2[56:63]<={VAR5[62:63],{6{1'b0}}};
VAR2[64:71]<={VAR5[70:71],{6{1'b0}}};
VAR2[72:79]<={VAR5[78:79],{6{1'b0}}};
VAR2[80:87]<={VAR5[86:87],{6{1'b0}}};
VAR2[88:95]<={VAR5[94:95],{6{1'b0}}};
VAR2[96:103]<={VAR5[102:103],{6{1'b0}}};
VAR2[104:111]<={VAR5[110:111],{6{1'b0}}};
VAR2[112:119]<={VAR5[118:119],{6{1'b0}}};
VAR2[120:127]<={VAR5[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR2[0:7]<={VAR5[7],{7{1'b0}}};
VAR2[8:15]<={VAR5[15],{7{1'b0}}};
VAR2[16:23]<={VAR5[23],{7{1'b0}}};
VAR2[24:31]<={VAR5[31],{7{1'b0}}};
VAR2[32:39]<={VAR5[39],{7{1'b0}}};
VAR2[40:47]<={VAR5[47],{7{1'b0}}};
VAR2[48:55]<={VAR5[55],{7{1'b0}}};
VAR2[56:63]<={VAR5[63],{7{1'b0}}};
VAR2[64:71]<={VAR5[71],{7{1'b0}}};
VAR2[72:79]<={VAR5[79],{7{1'b0}}};
VAR2[80:87]<={VAR5[87],{7{1'b0}}};
VAR2[88:95]<={VAR5[95],{7{1'b0}}};
VAR2[96:103]<={VAR5[103],{7{1'b0}}};
VAR2[104:111]<={VAR5[111],{7{1'b0}}};
VAR2[112:119]<={VAR5[119],{7{1'b0}}};
VAR2[120:127]<={VAR5[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR7[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<={VAR5[1:15],{1'b0}};
VAR2[16:31]<={VAR5[17:31],{1'b0}};
VAR2[32:47]<={VAR5[33:47],{1'b0}};
VAR2[48:63]<={VAR5[49:63],{1'b0}};
VAR2[64:79]<={VAR5[65:79],{1'b0}};
VAR2[80:95]<={VAR5[81:95],{1'b0}};
VAR2[96:111]<={VAR5[97:111],{1'b0}};
VAR2[112:127]<={VAR5[113:127],{1'b0}};
end
4'd2:
begin
VAR2[0:15]<={VAR5[2:15],{2{1'b0}}};
VAR2[16:31]<={VAR5[18:31],{2{1'b0}}};
VAR2[32:47]<={VAR5[34:47],{2{1'b0}}};
VAR2[48:63]<={VAR5[50:63],{2{1'b0}}};
VAR2[64:79]<={VAR5[66:79],{2{1'b0}}};
VAR2[80:95]<={VAR5[82:95],{2{1'b0}}};
VAR2[96:111]<={VAR5[98:111],{2{1'b0}}};
VAR2[112:127]<={VAR5[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR2[0:15]<={VAR5[3:15],{3{1'b0}}};
VAR2[16:31]<={VAR5[19:31],{3{1'b0}}};
VAR2[32:47]<={VAR5[35:47],{3{1'b0}}};
VAR2[48:63]<={VAR5[51:63],{3{1'b0}}};
VAR2[64:79]<={VAR5[67:79],{3{1'b0}}};
VAR2[80:95]<={VAR5[83:95],{3{1'b0}}};
VAR2[96:111]<={VAR5[99:111],{3{1'b0}}};
VAR2[112:127]<={VAR5[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR2[0:15]<={VAR5[4:15],{4{1'b0}}};
VAR2[16:31]<={VAR5[20:31],{4{1'b0}}};
VAR2[32:47]<={VAR5[36:47],{4{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{4{1'b0}}};
VAR2[64:79]<={VAR5[68:79],{4{1'b0}}};
VAR2[80:95]<={VAR5[84:95],{4{1'b0}}};
VAR2[96:111]<={VAR5[100:111],{4{1'b0}}};
VAR2[112:127]<={VAR5[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR2[0:15]<={VAR5[5:15],{5{1'b0}}};
VAR2[16:31]<={VAR5[21:31],{5{1'b0}}};
VAR2[32:47]<={VAR5[37:47],{5{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{5{1'b0}}};
VAR2[64:79]<={VAR5[69:79],{5{1'b0}}};
VAR2[80:95]<={VAR5[85:95],{5{1'b0}}};
VAR2[96:111]<={VAR5[101:111],{5{1'b0}}};
VAR2[112:127]<={VAR5[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR2[0:15]<={VAR5[6:15],{6{1'b0}}};
VAR2[16:31]<={VAR5[22:31],{6{1'b0}}};
VAR2[32:47]<={VAR5[38:47],{6{1'b0}}};
VAR2[48:63]<={VAR5[53:63],{6{1'b0}}};
VAR2[64:79]<={VAR5[70:79],{6{1'b0}}};
VAR2[80:95]<={VAR5[86:95],{6{1'b0}}};
VAR2[96:111]<={VAR5[102:111],{6{1'b0}}};
VAR2[112:127]<={VAR5[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR2[0:15]<={VAR5[7:15],{7{1'b0}}};
VAR2[16:31]<={VAR5[23:31],{7{1'b0}}};
VAR2[32:47]<={VAR5[39:47],{7{1'b0}}};
VAR2[48:63]<={VAR5[54:63],{7{1'b0}}};
VAR2[64:79]<={VAR5[71:79],{7{1'b0}}};
VAR2[80:95]<={VAR5[87:95],{7{1'b0}}};
VAR2[96:111]<={VAR5[103:111],{7{1'b0}}};
VAR2[112:127]<={VAR5[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR2[0:15]<={VAR5[8:15],{8{1'b0}}};
VAR2[16:31]<={VAR5[24:31],{8{1'b0}}};
VAR2[32:47]<={VAR5[40:47],{8{1'b0}}};
VAR2[48:63]<={VAR5[55:63],{8{1'b0}}};
VAR2[64:79]<={VAR5[72:79],{8{1'b0}}};
VAR2[80:95]<={VAR5[88:95],{8{1'b0}}};
VAR2[96:111]<={VAR5[104:111],{8{1'b0}}};
VAR2[112:127]<={VAR5[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR2[0:15]<={VAR5[9:15],{9{1'b0}}};
VAR2[16:31]<={VAR5[25:31],{9{1'b0}}};
VAR2[32:47]<={VAR5[41:47],{9{1'b0}}};
VAR2[48:63]<={VAR5[56:63],{9{1'b0}}};
VAR2[64:79]<={VAR5[73:79],{9{1'b0}}};
VAR2[80:95]<={VAR5[89:95],{9{1'b0}}};
VAR2[96:111]<={VAR5[105:111],{9{1'b0}}};
VAR2[112:127]<={VAR5[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR2[0:15]<={VAR5[10:15],{10{1'b0}}};
VAR2[16:31]<={VAR5[26:31],{10{1'b0}}};
VAR2[32:47]<={VAR5[42:47],{10{1'b0}}};
VAR2[48:63]<={VAR5[58:63],{10{1'b0}}};
VAR2[64:79]<={VAR5[74:79],{10{1'b0}}};
VAR2[80:95]<={VAR5[90:95],{10{1'b0}}};
VAR2[96:111]<={VAR5[106:111],{10{1'b0}}};
VAR2[112:127]<={VAR5[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR2[0:15]<={VAR5[11:15],{11{1'b0}}};
VAR2[16:31]<={VAR5[27:31],{11{1'b0}}};
VAR2[32:47]<={VAR5[43:47],{11{1'b0}}};
VAR2[48:63]<={VAR5[59:63],{11{1'b0}}};
VAR2[64:79]<={VAR5[75:79],{11{1'b0}}};
VAR2[80:95]<={VAR5[91:95],{11{1'b0}}};
VAR2[96:111]<={VAR5[107:111],{11{1'b0}}};
VAR2[112:127]<={VAR5[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR2[0:15]<={VAR5[12:15],{12{1'b0}}};
VAR2[16:31]<={VAR5[28:31],{12{1'b0}}};
VAR2[32:47]<={VAR5[44:47],{12{1'b0}}};
VAR2[48:63]<={VAR5[60:63],{12{1'b0}}};
VAR2[64:79]<={VAR5[76:79],{12{1'b0}}};
VAR2[80:95]<={VAR5[92:95],{12{1'b0}}};
VAR2[96:111]<={VAR5[108:111],{12{1'b0}}};
VAR2[112:127]<={VAR5[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR2[0:15]<={VAR5[13:15],{13{1'b0}}};
VAR2[16:31]<={VAR5[29:31],{13{1'b0}}};
VAR2[32:47]<={VAR5[45:47],{13{1'b0}}};
VAR2[48:63]<={VAR5[61:63],{13{1'b0}}};
VAR2[64:79]<={VAR5[77:79],{13{1'b0}}};
VAR2[80:95]<={VAR5[93:95],{13{1'b0}}};
VAR2[96:111]<={VAR5[109:111],{13{1'b0}}};
VAR2[112:127]<={VAR5[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR2[0:15]<={VAR5[14:15],{14{1'b0}}};
VAR2[16:31]<={VAR5[30:31],{14{1'b0}}};
VAR2[32:47]<={VAR5[46:47],{14{1'b0}}};
VAR2[48:63]<={VAR5[62:63],{14{1'b0}}};
VAR2[64:79]<={VAR5[78:79],{14{1'b0}}};
VAR2[80:95]<={VAR5[94:95],{14{1'b0}}};
VAR2[96:111]<={VAR5[110:111],{14{1'b0}}};
VAR2[112:127]<={VAR5[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR2[0:15]<={VAR5[15],{15{1'b0}}};
VAR2[16:31]<={VAR5[31],{15{1'b0}}};
VAR2[32:47]<={VAR5[47],{15{1'b0}}};
VAR2[48:63]<={VAR5[63],{15{1'b0}}};
VAR2[64:79]<={VAR5[79],{15{1'b0}}};
VAR2[80:95]<={VAR5[95],{15{1'b0}}};
VAR2[96:111]<={VAR5[111],{15{1'b0}}};
VAR2[112:127]<={VAR5[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR7[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<={VAR5[1:31],{1'b0}};
VAR2[32:63]<={VAR5[33:63],{1'b0}};
VAR2[64:95]<={VAR5[65:95],{1'b0}};
VAR2[96:127]<={VAR5[97:127],{1'b0}};
end
5'd2:
begin
VAR2[0:31]<={VAR5[2:31],{2{1'b0}}};
VAR2[32:63]<={VAR5[34:63],{2{1'b0}}};
VAR2[64:95]<={VAR5[66:95],{2{1'b0}}};
VAR2[96:127]<={VAR5[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR2[0:31]<={VAR5[3:31],{3{1'b0}}};
VAR2[32:63]<={VAR5[35:63],{3{1'b0}}};
VAR2[64:95]<={VAR5[67:95],{3{1'b0}}};
VAR2[96:127]<={VAR5[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR2[0:31]<={VAR5[4:31],{4{1'b0}}};
VAR2[32:63]<={VAR5[36:63],{4{1'b0}}};
VAR2[64:95]<={VAR5[68:95],{4{1'b0}}};
VAR2[96:127]<={VAR5[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR2[0:31]<={VAR5[5:31],{5{1'b0}}};
VAR2[32:63]<={VAR5[37:63],{5{1'b0}}};
VAR2[64:95]<={VAR5[69:95],{5{1'b0}}};
VAR2[96:127]<={VAR5[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR2[0:31]<={VAR5[6:31],{6{1'b0}}};
VAR2[32:63]<={VAR5[38:63],{6{1'b0}}};
VAR2[64:95]<={VAR5[70:95],{6{1'b0}}};
VAR2[96:127]<={VAR5[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR2[0:31]<={VAR5[7:31],{7{1'b0}}};
VAR2[32:63]<={VAR5[39:63],{7{1'b0}}};
VAR2[64:95]<={VAR5[71:95],{7{1'b0}}};
VAR2[96:127]<={VAR5[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR2[0:31]<={VAR5[8:31],{8{1'b0}}};
VAR2[32:63]<={VAR5[40:63],{8{1'b0}}};
VAR2[64:95]<={VAR5[72:95],{8{1'b0}}};
VAR2[96:127]<={VAR5[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR2[0:31]<={VAR5[9:31],{9{1'b0}}};
VAR2[32:63]<={VAR5[41:63],{9{1'b0}}};
VAR2[64:95]<={VAR5[73:95],{9{1'b0}}};
VAR2[96:127]<={VAR5[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR2[0:31]<={VAR5[10:31],{10{1'b0}}};
VAR2[32:63]<={VAR5[42:63],{10{1'b0}}};
VAR2[64:95]<={VAR5[74:95],{10{1'b0}}};
VAR2[96:127]<={VAR5[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR2[0:31]<={VAR5[11:31],{11{1'b0}}};
VAR2[32:63]<={VAR5[43:63],{11{1'b0}}};
VAR2[64:95]<={VAR5[75:95],{11{1'b0}}};
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:31]<={VAR5[12:31],{12{1'b0}}};
VAR2[32:63]<={VAR5[44:63],{12{1'b0}}};
VAR2[64:95]<={VAR5[76:95],{12{1'b0}}};
VAR2[96:127]<={VAR5[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR2[0:31]<={VAR5[13:31],{13{1'b0}}};
VAR2[32:63]<={VAR5[45:63],{13{1'b0}}};
VAR2[64:95]<={VAR5[77:95],{13{1'b0}}};
VAR2[96:127]<={VAR5[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR2[0:31]<={VAR5[14:31],{14{1'b0}}};
VAR2[32:63]<={VAR5[46:63],{14{1'b0}}};
VAR2[64:95]<={VAR5[78:95],{14{1'b0}}};
VAR2[96:127]<={VAR5[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR2[0:31]<={VAR5[15:31],{15{1'b0}}};
VAR2[32:63]<={VAR5[47:63],{15{1'b0}}};
VAR2[64:95]<={VAR5[79:95],{15{1'b0}}};
VAR2[96:127]<={VAR5[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR2[0:31]<={VAR5[16:31],{16{1'b0}}};
VAR2[32:63]<={VAR5[48:63],{16{1'b0}}};
VAR2[64:95]<={VAR5[80:95],{16{1'b0}}};
VAR2[96:127]<={VAR5[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR2[0:31]<={VAR5[17:31],{17{1'b0}}};
VAR2[32:63]<={VAR5[49:63],{17{1'b0}}};
VAR2[64:95]<={VAR5[81:95],{17{1'b0}}};
VAR2[96:127]<={VAR5[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR2[0:31]<={VAR5[18:31],{18{1'b0}}};
VAR2[32:63]<={VAR5[50:63],{18{1'b0}}};
VAR2[64:95]<={VAR5[82:95],{18{1'b0}}};
VAR2[96:127]<={VAR5[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR2[0:31]<={VAR5[19:31],{19{1'b0}}};
VAR2[32:63]<={VAR5[51:63],{19{1'b0}}};
VAR2[64:95]<={VAR5[83:95],{19{1'b0}}};
VAR2[96:127]<={VAR5[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR2[0:31]<={VAR5[20:31],{20{1'b0}}};
VAR2[32:63]<={VAR5[52:63],{20{1'b0}}};
VAR2[64:95]<={VAR5[84:95],{20{1'b0}}};
VAR2[96:127]<={VAR5[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR2[0:31]<={VAR5[21:31],{21{1'b0}}};
VAR2[32:63]<={VAR5[53:63],{21{1'b0}}};
VAR2[64:95]<={VAR5[85:95],{21{1'b0}}};
VAR2[96:127]<={VAR5[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR2[0:31]<={VAR5[22:31],{22{1'b0}}};
VAR2[32:63]<={VAR5[54:63],{22{1'b0}}};
VAR2[64:95]<={VAR5[86:95],{22{1'b0}}};
VAR2[96:127]<={VAR5[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR2[0:31]<={VAR5[23:31],{23{1'b0}}};
VAR2[32:63]<={VAR5[55:63],{23{1'b0}}};
VAR2[64:95]<={VAR5[87:95],{23{1'b0}}};
VAR2[96:127]<={VAR5[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR2[0:31]<={VAR5[24:31],{24{1'b0}}};
VAR2[32:63]<={VAR5[56:63],{24{1'b0}}};
VAR2[64:95]<={VAR5[88:95],{24{1'b0}}};
VAR2[96:127]<={VAR5[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR2[0:31]<={VAR5[25:31],{25{1'b0}}};
VAR2[32:63]<={VAR5[57:63],{25{1'b0}}};
VAR2[64:95]<={VAR5[89:95],{25{1'b0}}};
VAR2[96:127]<={VAR5[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR2[0:31]<={VAR5[26:31],{26{1'b0}}};
VAR2[32:63]<={VAR5[58:63],{26{1'b0}}};
VAR2[64:95]<={VAR5[90:95],{26{1'b0}}};
VAR2[96:127]<={VAR5[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR2[0:31]<={VAR5[27:31],{27{1'b0}}};
VAR2[32:63]<={VAR5[59:63],{27{1'b0}}};
VAR2[64:95]<={VAR5[91:95],{27{1'b0}}};
VAR2[96:127]<={VAR5[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR2[0:31]<={VAR5[28:31],{28{1'b0}}};
VAR2[32:63]<={VAR5[60:63],{28{1'b0}}};
VAR2[64:95]<={VAR5[92:95],{28{1'b0}}};
VAR2[96:127]<={VAR5[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR2[0:31]<={VAR5[29:31],{29{1'b0}}};
VAR2[32:63]<={VAR5[61:63],{29{1'b0}}};
VAR2[64:95]<={VAR5[93:95],{29{1'b0}}};
VAR2[96:127]<={VAR5[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR2[0:31]<={VAR5[30:31],{30{1'b0}}};
VAR2[32:63]<={VAR5[62:63],{30{1'b0}}};
VAR2[64:95]<={VAR5[94:95],{30{1'b0}}};
VAR2[96:127]<={VAR5[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR2[0:31]<={VAR5[31],{31{1'b0}}};
VAR2[32:63]<={VAR5[63],{31{1'b0}}};
VAR2[64:95]<={VAR5[95],{31{1'b0}}};
VAR2[96:127]<={VAR5[127],{31{1'b0}}};
end
endcase
end
endcase
end
begin
case(VAR3)
begin
case(VAR7[2:4])
3'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
3'd1:
begin
VAR2[0:7]<={{1'b0},VAR5[0:6]};
VAR2[8:15]<={{1'b0},VAR5[8:14]};
VAR2[16:23]<={{1'b0},VAR5[16:22]};
VAR2[24:31]<={{1'b0},VAR5[24:30]};
VAR2[32:39]<={{1'b0},VAR5[32:38]};
VAR2[40:47]<={{1'b0},VAR5[40:46]};
VAR2[48:55]<={{1'b0},VAR5[48:54]};
VAR2[56:63]<={{1'b0},VAR5[56:62]};
VAR2[64:71]<={{1'b0},VAR5[64:70]};
VAR2[72:79]<={{1'b0},VAR5[72:78]};
VAR2[80:87]<={{1'b0},VAR5[80:86]};
VAR2[88:95]<={{1'b0},VAR5[88:94]};
VAR2[96:103]<={{1'b0},VAR5[96:102]};
VAR2[104:111]<={{1'b0},VAR5[104:110]};
VAR2[112:119]<={{1'b0},VAR5[112:118]};
VAR2[120:127]<={{1'b0},VAR5[120:126]};
end
3'd2:
begin
VAR2[0:7]<={{2{1'b0}},VAR5[0:5]};
VAR2[8:15]<={{2{1'b0}},VAR5[8:13]};
VAR2[16:23]<={{2{1'b0}},VAR5[16:21]};
VAR2[24:31]<={{2{1'b0}},VAR5[24:29]};
VAR2[32:39]<={{2{1'b0}},VAR5[32:37]};
VAR2[40:47]<={{2{1'b0}},VAR5[40:45]};
VAR2[48:55]<={{2{1'b0}},VAR5[48:53]};
VAR2[56:63]<={{2{1'b0}},VAR5[56:61]};
VAR2[64:71]<={{2{1'b0}},VAR5[64:69]};
VAR2[72:79]<={{2{1'b0}},VAR5[72:77]};
VAR2[80:87]<={{2{1'b0}},VAR5[80:85]};
VAR2[88:95]<={{2{1'b0}},VAR5[88:93]};
VAR2[96:103]<={{2{1'b0}},VAR5[96:101]};
VAR2[104:111]<={{2{1'b0}},VAR5[104:109]};
VAR2[112:119]<={{2{1'b0}},VAR5[112:117]};
VAR2[120:127]<={{2{1'b0}},VAR5[120:125]};
end
3'd3:
begin
VAR2[0:7]<={{3{1'b0}},VAR5[0:4]};
VAR2[8:15]<={{3{1'b0}},VAR5[8:12]};
VAR2[16:23]<={{3{1'b0}},VAR5[16:20]};
VAR2[24:31]<={{3{1'b0}},VAR5[24:28]};
VAR2[32:39]<={{3{1'b0}},VAR5[32:36]};
VAR2[40:47]<={{3{1'b0}},VAR5[40:44]};
VAR2[48:55]<={{3{1'b0}},VAR5[48:52]};
VAR2[56:63]<={{3{1'b0}},VAR5[56:60]};
VAR2[64:71]<={{3{1'b0}},VAR5[64:68]};
VAR2[72:79]<={{3{1'b0}},VAR5[72:76]};
VAR2[80:87]<={{3{1'b0}},VAR5[80:84]};
VAR2[88:95]<={{3{1'b0}},VAR5[88:92]};
VAR2[96:103]<={{3{1'b0}},VAR5[96:100]};
VAR2[104:111]<={{3{1'b0}},VAR5[104:108]};
VAR2[112:119]<={{3{1'b0}},VAR5[112:116]};
VAR2[120:127]<={{3{1'b0}},VAR5[120:124]};
end
3'd4:
begin
VAR2[0:7]<={{4{1'b0}},VAR5[0:3]};
VAR2[8:15]<={{4{1'b0}},VAR5[8:11]};
VAR2[16:23]<={{4{1'b0}},VAR5[16:19]};
VAR2[24:31]<={{4{1'b0}},VAR5[24:27]};
VAR2[32:39]<={{4{1'b0}},VAR5[32:35]};
VAR2[40:47]<={{4{1'b0}},VAR5[40:43]};
VAR2[48:55]<={{4{1'b0}},VAR5[48:51]};
VAR2[56:63]<={{4{1'b0}},VAR5[56:69]};
VAR2[64:71]<={{4{1'b0}},VAR5[64:67]};
VAR2[72:79]<={{4{1'b0}},VAR5[72:75]};
VAR2[80:87]<={{4{1'b0}},VAR5[80:83]};
VAR2[88:95]<={{4{1'b0}},VAR5[88:91]};
VAR2[96:103]<={{4{1'b0}},VAR5[96:99]};
VAR2[104:111]<={{4{1'b0}},VAR5[104:107]};
VAR2[112:119]<={{4{1'b0}},VAR5[112:115]};
VAR2[120:127]<={{4{1'b0}},VAR5[120:123]};
end
3'd5:
begin
VAR2[0:7]<={{5{1'b0}},VAR5[0:2]};
VAR2[8:15]<={{5{1'b0}},VAR5[8:10]};
VAR2[16:23]<={{5{1'b0}},VAR5[16:18]};
VAR2[24:31]<={{5{1'b0}},VAR5[24:26]};
VAR2[32:39]<={{5{1'b0}},VAR5[32:34]};
VAR2[40:47]<={{5{1'b0}},VAR5[40:42]};
VAR2[48:55]<={{5{1'b0}},VAR5[48:50]};
VAR2[56:63]<={{5{1'b0}},VAR5[56:68]};
VAR2[64:71]<={{5{1'b0}},VAR5[64:66]};
VAR2[72:79]<={{5{1'b0}},VAR5[72:74]};
VAR2[80:87]<={{5{1'b0}},VAR5[80:82]};
VAR2[88:95]<={{5{1'b0}},VAR5[88:90]};
VAR2[96:103]<={{5{1'b0}},VAR5[96:98]};
VAR2[104:111]<={{5{1'b0}},VAR5[104:106]};
VAR2[112:119]<={{5{1'b0}},VAR5[112:114]};
VAR2[120:127]<={{5{1'b0}},VAR5[120:122]};
end
3'd6:
begin
VAR2[0:7]<={{6{1'b0}},VAR5[0:1]};
VAR2[8:15]<={{6{1'b0}},VAR5[8:9]};
VAR2[16:23]<={{6{1'b0}},VAR5[16:17]};
VAR2[24:31]<={{6{1'b0}},VAR5[24:25]};
VAR2[32:39]<={{6{1'b0}},VAR5[32:33]};
VAR2[40:47]<={{6{1'b0}},VAR5[40:41]};
VAR2[48:55]<={{6{1'b0}},VAR5[48:49]};
VAR2[56:63]<={{6{1'b0}},VAR5[56:67]};
VAR2[64:71]<={{6{1'b0}},VAR5[64:65]};
VAR2[72:79]<={{6{1'b0}},VAR5[72:73]};
VAR2[80:87]<={{6{1'b0}},VAR5[80:81]};
VAR2[88:95]<={{6{1'b0}},VAR5[88:89]};
VAR2[96:103]<={{6{1'b0}},VAR5[96:97]};
VAR2[104:111]<={{6{1'b0}},VAR5[104:105]};
VAR2[112:119]<={{6{1'b0}},VAR5[112:113]};
VAR2[120:127]<={{6{1'b0}},VAR5[120:121]};
end
3'd7:
begin
VAR2[0:7]<={{7{1'b0}},VAR5[0]};
VAR2[8:15]<={{7{1'b0}},VAR5[8]};
VAR2[16:23]<={{7{1'b0}},VAR5[16]};
VAR2[24:31]<={{7{1'b0}},VAR5[24]};
VAR2[32:39]<={{7{1'b0}},VAR5[32]};
VAR2[40:47]<={{7{1'b0}},VAR5[40]};
VAR2[48:55]<={{7{1'b0}},VAR5[48]};
VAR2[56:63]<={{7{1'b0}},VAR5[56]};
VAR2[64:71]<={{7{1'b0}},VAR5[64]};
VAR2[72:79]<={{7{1'b0}},VAR5[72]};
VAR2[80:87]<={{7{1'b0}},VAR5[80]};
VAR2[88:95]<={{7{1'b0}},VAR5[88]};
VAR2[96:103]<={{7{1'b0}},VAR5[96]};
VAR2[104:111]<={{7{1'b0}},VAR5[104]};
VAR2[112:119]<={{7{1'b0}},VAR5[112]};
VAR2[120:127]<={{7{1'b0}},VAR5[120]};
end
endcase
end
begin
case(VAR7[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<={{1'b0},VAR5[0:14]};
VAR2[16:31]<={{1'b0},VAR5[16:30]};
VAR2[32:47]<={{1'b0},VAR5[32:46]};
VAR2[48:63]<={{1'b0},VAR5[48:62]};
VAR2[64:79]<={{1'b0},VAR5[64:78]};
VAR2[80:95]<={{1'b0},VAR5[80:94]};
VAR2[96:111]<={{1'b0},VAR5[96:110]};
VAR2[112:127]<={{1'b0},VAR5[112:126]};
end
4'd2:
begin
VAR2[0:15]<={{2{1'b0}},VAR5[0:13]};
VAR2[16:31]<={{2{1'b0}},VAR5[16:29]};
VAR2[32:47]<={{2{1'b0}},VAR5[32:45]};
VAR2[48:63]<={{2{1'b0}},VAR5[48:61]};
VAR2[64:79]<={{2{1'b0}},VAR5[64:77]};
VAR2[80:95]<={{2{1'b0}},VAR5[80:93]};
VAR2[96:111]<={{2{1'b0}},VAR5[96:109]};
VAR2[112:127]<={{2{1'b0}},VAR5[112:125]};
end
4'd3:
begin
VAR2[0:15]<={{3{1'b0}},VAR5[0:12]};
VAR2[16:31]<={{3{1'b0}},VAR5[16:28]};
VAR2[32:47]<={{3{1'b0}},VAR5[32:44]};
VAR2[48:63]<={{3{1'b0}},VAR5[48:60]};
VAR2[64:79]<={{3{1'b0}},VAR5[64:76]};
VAR2[80:95]<={{3{1'b0}},VAR5[80:92]};
VAR2[96:111]<={{3{1'b0}},VAR5[96:108]};
VAR2[112:127]<={{3{1'b0}},VAR5[112:124]};
end
4'd4:
begin
VAR2[0:15]<={{4{1'b0}},VAR5[0:11]};
VAR2[16:31]<={{4{1'b0}},VAR5[16:27]};
VAR2[32:47]<={{4{1'b0}},VAR5[32:43]};
VAR2[48:63]<={{4{1'b0}},VAR5[48:59]};
VAR2[64:79]<={{4{1'b0}},VAR5[64:75]};
VAR2[80:95]<={{4{1'b0}},VAR5[80:91]};
VAR2[96:111]<={{4{1'b0}},VAR5[96:107]};
VAR2[112:127]<={{4{1'b0}},VAR5[112:123]};
end
4'd5:
begin
VAR2[0:15]<={{5{1'b0}},VAR5[0:10]};
VAR2[16:31]<={{5{1'b0}},VAR5[16:26]};
VAR2[32:47]<={{5{1'b0}},VAR5[32:42]};
VAR2[48:63]<={{5{1'b0}},VAR5[48:58]};
VAR2[64:79]<={{5{1'b0}},VAR5[64:74]};
VAR2[80:95]<={{5{1'b0}},VAR5[80:90]};
VAR2[96:111]<={{5{1'b0}},VAR5[96:106]};
VAR2[112:127]<={{5{1'b0}},VAR5[112:122]};
end
4'd6:
begin
VAR2[0:15]<={{6{1'b0}},VAR5[0:9]};
VAR2[16:31]<={{6{1'b0}},VAR5[16:25]};
VAR2[32:47]<={{6{1'b0}},VAR5[32:41]};
VAR2[48:63]<={{6{1'b0}},VAR5[48:57]};
VAR2[64:79]<={{6{1'b0}},VAR5[64:73]};
VAR2[80:95]<={{6{1'b0}},VAR5[80:89]};
VAR2[96:111]<={{6{1'b0}},VAR5[96:105]};
VAR2[112:127]<={{6{1'b0}},VAR5[112:121]};
end
4'd7:
begin
VAR2[0:15]<={{7{1'b0}},VAR5[0:8]};
VAR2[16:31]<={{7{1'b0}},VAR5[16:24]};
VAR2[32:47]<={{7{1'b0}},VAR5[32:40]};
VAR2[48:63]<={{7{1'b0}},VAR5[48:56]};
VAR2[64:79]<={{7{1'b0}},VAR5[64:72]};
VAR2[80:95]<={{7{1'b0}},VAR5[80:88]};
VAR2[96:111]<={{7{1'b0}},VAR5[96:104]};
VAR2[112:127]<={{7{1'b0}},VAR5[112:120]};
end
4'd8:
begin
VAR2[0:15]<={{8{1'b0}},VAR5[0:7]};
VAR2[16:31]<={{8{1'b0}},VAR5[16:23]};
VAR2[32:47]<={{8{1'b0}},VAR5[32:39]};
VAR2[48:63]<={{8{1'b0}},VAR5[48:55]};
VAR2[64:79]<={{8{1'b0}},VAR5[64:71]};
VAR2[80:95]<={{8{1'b0}},VAR5[80:87]};
VAR2[96:111]<={{8{1'b0}},VAR5[96:103]};
VAR2[112:127]<={{8{1'b0}},VAR5[112:119]};
end
4'd9:
begin
VAR2[0:15]<={{9{1'b0}},VAR5[0:6]};
VAR2[16:31]<={{9{1'b0}},VAR5[16:22]};
VAR2[32:47]<={{9{1'b0}},VAR5[32:38]};
VAR2[48:63]<={{9{1'b0}},VAR5[48:54]};
VAR2[64:79]<={{9{1'b0}},VAR5[64:70]};
VAR2[80:95]<={{9{1'b0}},VAR5[80:86]};
VAR2[96:111]<={{9{1'b0}},VAR5[96:102]};
VAR2[112:127]<={{9{1'b0}},VAR5[112:118]};
end
4'd10:
begin
VAR2[0:15]<={{10{1'b0}},VAR5[0:5]};
VAR2[16:31]<={{10{1'b0}},VAR5[16:21]};
VAR2[32:47]<={{10{1'b0}},VAR5[32:37]};
VAR2[48:63]<={{10{1'b0}},VAR5[48:53]};
VAR2[64:79]<={{10{1'b0}},VAR5[64:69]};
VAR2[80:95]<={{10{1'b0}},VAR5[80:85]};
VAR2[96:111]<={{10{1'b0}},VAR5[96:101]};
VAR2[112:127]<={{10{1'b0}},VAR5[112:117]};
end
4'd11:
begin
VAR2[0:15]<={{11{1'b0}},VAR5[0:4]};
VAR2[16:31]<={{11{1'b0}},VAR5[16:20]};
VAR2[32:47]<={{11{1'b0}},VAR5[32:36]};
VAR2[48:63]<={{11{1'b0}},VAR5[48:52]};
VAR2[64:79]<={{11{1'b0}},VAR5[64:68]};
VAR2[80:95]<={{11{1'b0}},VAR5[80:84]};
VAR2[96:111]<={{11{1'b0}},VAR5[96:100]};
VAR2[112:127]<={{11{1'b0}},VAR5[112:116]};
end
4'd12:
begin
VAR2[0:15]<={{12{1'b0}},VAR5[0:3]};
VAR2[16:31]<={{12{1'b0}},VAR5[16:19]};
VAR2[32:47]<={{12{1'b0}},VAR5[32:35]};
VAR2[48:63]<={{12{1'b0}},VAR5[48:51]};
VAR2[64:79]<={{12{1'b0}},VAR5[64:67]};
VAR2[80:95]<={{12{1'b0}},VAR5[80:83]};
VAR2[96:111]<={{12{1'b0}},VAR5[96:99]};
VAR2[112:127]<={{12{1'b0}},VAR5[112:115]};
end
4'd13:
begin
VAR2[0:15]<={{13{1'b0}},VAR5[0:2]};
VAR2[16:31]<={{13{1'b0}},VAR5[16:18]};
VAR2[32:47]<={{13{1'b0}},VAR5[32:34]};
VAR2[48:63]<={{13{1'b0}},VAR5[48:50]};
VAR2[64:79]<={{13{1'b0}},VAR5[64:66]};
VAR2[80:95]<={{13{1'b0}},VAR5[80:82]};
VAR2[96:111]<={{13{1'b0}},VAR5[96:98]};
VAR2[112:127]<={{13{1'b0}},VAR5[112:114]};
end
4'd14:
begin
VAR2[0:15]<={{14{1'b0}},VAR5[0:1]};
VAR2[16:31]<={{14{1'b0}},VAR5[16:17]};
VAR2[32:47]<={{14{1'b0}},VAR5[32:33]};
VAR2[48:63]<={{14{1'b0}},VAR5[48:49]};
VAR2[64:79]<={{14{1'b0}},VAR5[64:65]};
VAR2[80:95]<={{14{1'b0}},VAR5[80:81]};
VAR2[96:111]<={{14{1'b0}},VAR5[96:97]};
VAR2[112:127]<={{14{1'b0}},VAR5[112:113]};
end
4'd15:
begin
VAR2[0:15]<={{15{1'b0}},VAR5[0]};
VAR2[16:31]<={{15{1'b0}},VAR5[16]};
VAR2[32:47]<={{15{1'b0}},VAR5[32]};
VAR2[48:63]<={{15{1'b0}},VAR5[48]};
VAR2[64:79]<={{15{1'b0}},VAR5[64]};
VAR2[80:95]<={{15{1'b0}},VAR5[80]};
VAR2[96:111]<={{15{1'b0}},VAR5[96]};
VAR2[112:127]<={{15{1'b0}},VAR5[112]};
end
endcase
end
begin
case(VAR7[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<={{1'b0},VAR5[0:30]};
VAR2[32:63]<={{1'b0},VAR5[32:62]};
VAR2[64:95]<={{1'b0},VAR5[64:94]};
VAR2[96:127]<={{1'b0},VAR5[96:126]};
end
5'd2:
begin
VAR2[0:31]<={{2{1'b0}},VAR5[0:29]};
VAR2[32:63]<={{2{1'b0}},VAR5[32:61]};
VAR2[64:95]<={{2{1'b0}},VAR5[64:93]};
VAR2[96:127]<={{2{1'b0}},VAR5[96:125]};
end
5'd3:
begin
VAR2[0:31]<={{3{1'b0}},VAR5[0:28]};
VAR2[32:63]<={{3{1'b0}},VAR5[32:60]};
VAR2[64:95]<={{3{1'b0}},VAR5[64:92]};
VAR2[96:127]<={{3{1'b0}},VAR5[96:124]};
end
5'd4:
begin
VAR2[0:31]<={{4{1'b0}},VAR5[0:27]};
VAR2[32:63]<={{4{1'b0}},VAR5[32:59]};
VAR2[64:95]<={{4{1'b0}},VAR5[64:91]};
VAR2[96:127]<={{4{1'b0}},VAR5[96:123]};
end
5'd5:
begin
VAR2[0:31]<={{5{1'b0}},VAR5[0:26]};
VAR2[32:63]<={{5{1'b0}},VAR5[32:58]};
VAR2[64:95]<={{5{1'b0}},VAR5[64:90]};
VAR2[96:127]<={{5{1'b0}},VAR5[96:122]};
end
5'd6:
begin
VAR2[0:31]<={{6{1'b0}},VAR5[0:25]};
VAR2[32:63]<={{6{1'b0}},VAR5[32:57]};
VAR2[64:95]<={{6{1'b0}},VAR5[64:89]};
VAR2[96:127]<={{6{1'b0}},VAR5[96:121]};
end
5'd7:
begin
VAR2[0:31]<={{7{1'b0}},VAR5[0:24]};
VAR2[32:63]<={{7{1'b0}},VAR5[32:56]};
VAR2[64:95]<={{7{1'b0}},VAR5[64:88]};
VAR2[96:127]<={{7{1'b0}},VAR5[96:120]};
end
5'd8:
begin
VAR2[0:31]<={{8{1'b0}},VAR5[0:23]};
VAR2[32:63]<={{8{1'b0}},VAR5[32:55]};
VAR2[64:95]<={{8{1'b0}},VAR5[64:87]};
VAR2[96:127]<={{8{1'b0}},VAR5[96:119]};
end
5'd9:
begin
VAR2[0:31]<={{9{1'b0}},VAR5[0:22]};
VAR2[32:63]<={{9{1'b0}},VAR5[32:54]};
VAR2[64:95]<={{9{1'b0}},VAR5[64:86]};
VAR2[96:127]<={{9{1'b0}},VAR5[96:118]};
end
5'd10:
begin
VAR2[0:31]<={{10{1'b0}},VAR5[0:21]};
VAR2[32:63]<={{10{1'b0}},VAR5[32:53]};
VAR2[64:95]<={{10{1'b0}},VAR5[64:85]};
VAR2[96:127]<={{10{1'b0}},VAR5[96:117]};
end
5'd11:
begin
VAR2[0:31]<={{11{1'b0}},VAR5[0:20]};
VAR2[32:63]<={{11{1'b0}},VAR5[32:52]};
VAR2[64:95]<={{11{1'b0}},VAR5[64:84]};
VAR2[96:127]<={{11{1'b0}},VAR5[96:116]};
end
5'd12:
begin
VAR2[0:31]<={{12{1'b0}},VAR5[0:19]};
VAR2[32:63]<={{12{1'b0}},VAR5[32:51]};
VAR2[64:95]<={{12{1'b0}},VAR5[64:83]};
VAR2[96:127]<={{12{1'b0}},VAR5[96:115]};
end
5'd13:
begin
VAR2[0:31]<={{13{1'b0}},VAR5[0:18]};
VAR2[32:63]<={{13{1'b0}},VAR5[32:50]};
VAR2[64:95]<={{13{1'b0}},VAR5[64:82]};
VAR2[96:127]<={{13{1'b0}},VAR5[96:114]};
end
5'd14:
begin
VAR2[0:31]<={{14{1'b0}},VAR5[0:17]};
VAR2[32:63]<={{14{1'b0}},VAR5[32:49]};
VAR2[64:95]<={{14{1'b0}},VAR5[64:81]};
VAR2[96:127]<={{14{1'b0}},VAR5[96:113]};
end
5'd15:
begin
VAR2[0:31]<={{15{1'b0}},VAR5[0:16]};
VAR2[32:63]<={{15{1'b0}},VAR5[32:48]};
VAR2[64:95]<={{15{1'b0}},VAR5[64:80]};
VAR2[96:127]<={{15{1'b0}},VAR5[96:112]};
end
5'd16:
begin
VAR2[0:31]<={{16{1'b0}},VAR5[0:15]};
VAR2[32:63]<={{16{1'b0}},VAR5[32:47]};
VAR2[64:95]<={{16{1'b0}},VAR5[64:79]};
VAR2[96:127]<={{16{1'b0}},VAR5[96:111]};
end
5'd17:
begin
VAR2[0:31]<={{17{1'b0}},VAR5[0:14]};
VAR2[32:63]<={{17{1'b0}},VAR5[32:46]};
VAR2[64:95]<={{17{1'b0}},VAR5[64:78]};
VAR2[96:127]<={{17{1'b0}},VAR5[96:110]};
end
5'd18:
begin
VAR2[0:31]<={{18{1'b0}},VAR5[0:13]};
VAR2[32:63]<={{18{1'b0}},VAR5[32:45]};
VAR2[64:95]<={{18{1'b0}},VAR5[64:77]};
VAR2[96:127]<={{18{1'b0}},VAR5[96:109]};
end
5'd19:
begin
VAR2[0:31]<={{19{1'b0}},VAR5[0:12]};
VAR2[32:63]<={{19{1'b0}},VAR5[32:44]};
VAR2[64:95]<={{19{1'b0}},VAR5[64:76]};
VAR2[96:127]<={{19{1'b0}},VAR5[96:108]};
end
5'd20:
begin
VAR2[0:31]<={{20{1'b0}},VAR5[0:11]};
VAR2[32:63]<={{20{1'b0}},VAR5[32:43]};
VAR2[64:95]<={{20{1'b0}},VAR5[64:75]};
VAR2[96:127]<={{20{1'b0}},VAR5[96:107]};
end
5'd21:
begin
VAR2[0:31]<={{21{1'b0}},VAR5[0:10]};
VAR2[32:63]<={{21{1'b0}},VAR5[32:42]};
VAR2[64:95]<={{21{1'b0}},VAR5[64:74]};
VAR2[96:127]<={{21{1'b0}},VAR5[96:106]};
end
5'd22:
begin
VAR2[0:31]<={{22{1'b0}},VAR5[0:9]};
VAR2[32:63]<={{22{1'b0}},VAR5[32:41]};
VAR2[64:95]<={{22{1'b0}},VAR5[64:73]};
VAR2[96:127]<={{22{1'b0}},VAR5[96:105]};
end
5'd23:
begin
VAR2[0:31]<={{23{1'b0}},VAR5[0:8]};
VAR2[32:63]<={{23{1'b0}},VAR5[32:40]};
VAR2[64:95]<={{23{1'b0}},VAR5[64:72]};
VAR2[96:127]<={{23{1'b0}},VAR5[96:104]};
end
5'd24:
begin
VAR2[0:31]<={{24{1'b0}},VAR5[0:7]};
VAR2[32:63]<={{24{1'b0}},VAR5[32:39]};
VAR2[64:95]<={{24{1'b0}},VAR5[64:71]};
VAR2[96:127]<={{24{1'b0}},VAR5[96:103]};
end
5'd25:
begin
VAR2[0:31]<={{25{1'b0}},VAR5[0:6]};
VAR2[32:63]<={{25{1'b0}},VAR5[32:38]};
VAR2[64:95]<={{25{1'b0}},VAR5[64:70]};
VAR2[96:127]<={{25{1'b0}},VAR5[96:102]};
end
5'd26:
begin
VAR2[0:31]<={{26{1'b0}},VAR5[0:5]};
VAR2[32:63]<={{26{1'b0}},VAR5[32:37]};
VAR2[64:95]<={{26{1'b0}},VAR5[64:69]};
VAR2[96:127]<={{26{1'b0}},VAR5[96:101]};
end
5'd27:
begin
VAR2[0:31]<={{27{1'b0}},VAR5[0:4]};
VAR2[32:63]<={{27{1'b0}},VAR5[32:36]};
VAR2[64:95]<={{27{1'b0}},VAR5[64:68]};
VAR2[96:127]<={{27{1'b0}},VAR5[96:100]};
end
5'd28:
begin
VAR2[0:31]<={{28{1'b0}},VAR5[0:3]};
VAR2[32:63]<={{28{1'b0}},VAR5[32:35]};
VAR2[64:95]<={{28{1'b0}},VAR5[64:67]};
VAR2[96:127]<={{28{1'b0}},VAR5[96:99]};
end
5'd29:
begin
VAR2[0:31]<={{29{1'b0}},VAR5[0:2]};
VAR2[32:63]<={{29{1'b0}},VAR5[32:34]};
VAR2[64:95]<={{29{1'b0}},VAR5[64:66]};
VAR2[96:127]<={{29{1'b0}},VAR5[96:98]};
end
5'd30:
begin
VAR2[0:31]<={{30{1'b0}},VAR5[0:1]};
VAR2[32:63]<={{30{1'b0}},VAR5[32:33]};
VAR2[64:95]<={{30{1'b0}},VAR5[64:65]};
VAR2[96:127]<={{30{1'b0}},VAR5[96:97]};
end
5'd31:
begin
VAR2[0:31]<={{31{1'b0}},VAR5[0]};
VAR2[32:63]<={{31{1'b0}},VAR5[32]};
VAR2[64:95]<={{31{1'b0}},VAR5[64]};
VAR2[96:127]<={{31{1'b0}},VAR5[96]};
end
endcase
end
endcase
end
begin
case(VAR3)
begin
case(VAR7[2:4])
3'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
3'd1:
begin
VAR2[0:7]<={{VAR5[0]},VAR5[0:6]};
VAR2[8:15]<={{VAR5[8]},VAR5[8:14]};
VAR2[16:23]<={{VAR5[16]},VAR5[16:22]};
VAR2[24:31]<={{VAR5[24]},VAR5[24:30]};
VAR2[32:39]<={{VAR5[32]},VAR5[32:38]};
VAR2[40:47]<={{VAR5[40]},VAR5[40:46]};
VAR2[48:55]<={{VAR5[48]},VAR5[48:54]};
VAR2[56:63]<={{VAR5[56]},VAR5[56:62]};
VAR2[64:71]<={{VAR5[64]},VAR5[64:70]};
VAR2[72:79]<={{VAR5[72]},VAR5[72:78]};
VAR2[80:87]<={{VAR5[80]},VAR5[80:86]};
VAR2[88:95]<={{VAR5[88]},VAR5[88:94]};
VAR2[96:103]<={{VAR5[96]},VAR5[96:102]};
VAR2[104:111]<={{VAR5[104]},VAR5[104:110]};
VAR2[112:119]<={{VAR5[112]},VAR5[112:118]};
VAR2[120:127]<={{VAR5[120]},VAR5[120:126]};
end
3'd2:
begin
VAR2[0:7]<={{2{VAR5[0]}},VAR5[0:5]};
VAR2[8:15]<={{2{VAR5[8]}},VAR5[8:13]};
VAR2[16:23]<={{2{VAR5[16]}},VAR5[16:21]};
VAR2[24:31]<={{2{VAR5[24]}},VAR5[24:29]};
VAR2[32:39]<={{2{VAR5[32]}},VAR5[32:37]};
VAR2[40:47]<={{2{VAR5[40]}},VAR5[40:45]};
VAR2[48:55]<={{2{VAR5[48]}},VAR5[48:53]};
VAR2[56:63]<={{2{VAR5[56]}},VAR5[56:61]};
VAR2[64:71]<={{2{VAR5[64]}},VAR5[64:69]};
VAR2[72:79]<={{2{VAR5[72]}},VAR5[72:77]};
VAR2[80:87]<={{2{VAR5[80]}},VAR5[80:85]};
VAR2[88:95]<={{2{VAR5[88]}},VAR5[88:93]};
VAR2[96:103]<={{2{VAR5[96]}},VAR5[96:101]};
VAR2[104:111]<={{2{VAR5[104]}},VAR5[104:109]};
VAR2[112:119]<={{2{VAR5[112]}},VAR5[112:117]};
VAR2[120:127]<={{2{VAR5[120]}},VAR5[120:125]};
end
3'd3:
begin
VAR2[0:7]<={{3{VAR5[0]}},VAR5[0:4]};
VAR2[8:15]<={{3{VAR5[8]}},VAR5[8:12]};
VAR2[16:23]<={{3{VAR5[16]}},VAR5[16:20]};
VAR2[24:31]<={{3{VAR5[24]}},VAR5[24:28]};
VAR2[32:39]<={{3{VAR5[32]}},VAR5[32:36]};
VAR2[40:47]<={{3{VAR5[40]}},VAR5[40:44]};
VAR2[48:55]<={{3{VAR5[48]}},VAR5[48:52]};
VAR2[56:63]<={{3{VAR5[56]}},VAR5[56:60]};
VAR2[64:71]<={{3{VAR5[64]}},VAR5[64:68]};
VAR2[72:79]<={{3{VAR5[72]}},VAR5[72:76]};
VAR2[80:87]<={{3{VAR5[80]}},VAR5[80:84]};
VAR2[88:95]<={{3{VAR5[88]}},VAR5[88:92]};
VAR2[96:103]<={{3{VAR5[96]}},VAR5[96:100]};
VAR2[104:111]<={{3{VAR5[104]}},VAR5[104:108]};
VAR2[112:119]<={{3{VAR5[112]}},VAR5[112:116]};
VAR2[120:127]<={{3{VAR5[120]}},VAR5[120:124]};
end
3'd4:
begin
VAR2[0:7]<={{4{VAR5[0]}},VAR5[0:3]};
VAR2[8:15]<={{4{VAR5[8]}},VAR5[8:11]};
VAR2[16:23]<={{4{VAR5[16]}},VAR5[16:19]};
VAR2[24:31]<={{4{VAR5[24]}},VAR5[24:27]};
VAR2[32:39]<={{4{VAR5[32]}},VAR5[32:35]};
VAR2[40:47]<={{4{VAR5[40]}},VAR5[40:43]};
VAR2[48:55]<={{4{VAR5[48]}},VAR5[48:51]};
VAR2[56:63]<={{4{VAR5[56]}},VAR5[56:69]};
VAR2[64:71]<={{4{VAR5[64]}},VAR5[64:67]};
VAR2[72:79]<={{4{VAR5[72]}},VAR5[72:75]};
VAR2[80:87]<={{4{VAR5[80]}},VAR5[80:83]};
VAR2[88:95]<={{4{VAR5[88]}},VAR5[88:91]};
VAR2[96:103]<={{4{VAR5[96]}},VAR5[96:99]};
VAR2[104:111]<={{4{VAR5[104]}},VAR5[104:107]};
VAR2[112:119]<={{4{VAR5[112]}},VAR5[112:115]};
VAR2[120:127]<={{4{VAR5[120]}},VAR5[120:123]};
end
3'd5:
begin
VAR2[0:7]<={{5{VAR5[0]}},VAR5[0:2]};
VAR2[8:15]<={{5{VAR5[8]}},VAR5[8:10]};
VAR2[16:23]<={{5{VAR5[16]}},VAR5[16:18]};
VAR2[24:31]<={{5{VAR5[24]}},VAR5[24:26]};
VAR2[32:39]<={{5{VAR5[32]}},VAR5[32:34]};
VAR2[40:47]<={{5{VAR5[40]}},VAR5[40:42]};
VAR2[48:55]<={{5{VAR5[48]}},VAR5[48:50]};
VAR2[56:63]<={{5{VAR5[56]}},VAR5[56:68]};
VAR2[64:71]<={{5{VAR5[64]}},VAR5[64:66]};
VAR2[72:79]<={{5{VAR5[72]}},VAR5[72:74]};
VAR2[80:87]<={{5{VAR5[80]}},VAR5[80:82]};
VAR2[88:95]<={{5{VAR5[88]}},VAR5[88:90]};
VAR2[96:103]<={{5{VAR5[96]}},VAR5[96:98]};
VAR2[104:111]<={{5{VAR5[104]}},VAR5[104:106]};
VAR2[112:119]<={{5{VAR5[112]}},VAR5[112:114]};
VAR2[120:127]<={{5{VAR5[120]}},VAR5[120:122]};
end
3'd6:
begin
VAR2[0:7]<={{6{VAR5[0]}},VAR5[0:1]};
VAR2[8:15]<={{6{VAR5[8]}},VAR5[8:9]};
VAR2[16:23]<={{6{VAR5[16]}},VAR5[16:17]};
VAR2[24:31]<={{6{VAR5[24]}},VAR5[24:25]};
VAR2[32:39]<={{6{VAR5[32]}},VAR5[32:33]};
VAR2[40:47]<={{6{VAR5[40]}},VAR5[40:41]};
VAR2[48:55]<={{6{VAR5[48]}},VAR5[48:49]};
VAR2[56:63]<={{6{VAR5[56]}},VAR5[56:67]};
VAR2[64:71]<={{6{VAR5[64]}},VAR5[64:65]};
VAR2[72:79]<={{6{VAR5[72]}},VAR5[72:73]};
VAR2[80:87]<={{6{VAR5[80]}},VAR5[80:81]};
VAR2[88:95]<={{6{VAR5[88]}},VAR5[88:89]};
VAR2[96:103]<={{6{VAR5[96]}},VAR5[96:97]};
VAR2[104:111]<={{6{VAR5[104]}},VAR5[104:105]};
VAR2[112:119]<={{6{VAR5[112]}},VAR5[112:113]};
VAR2[120:127]<={{6{VAR5[120]}},VAR5[120:121]};
end
3'd7:
begin
VAR2[0:7]<={{7{VAR5[0]}},VAR5[0]};
VAR2[8:15]<={{7{VAR5[8]}},VAR5[8]};
VAR2[16:23]<={{7{VAR5[16]}},VAR5[16]};
VAR2[24:31]<={{7{VAR5[24]}},VAR5[24]};
VAR2[32:39]<={{7{VAR5[32]}},VAR5[32]};
VAR2[40:47]<={{7{VAR5[40]}},VAR5[40]};
VAR2[48:55]<={{7{VAR5[48]}},VAR5[48]};
VAR2[56:63]<={{7{VAR5[56]}},VAR5[56]};
VAR2[64:71]<={{7{VAR5[64]}},VAR5[64]};
VAR2[72:79]<={{7{VAR5[72]}},VAR5[72]};
VAR2[80:87]<={{7{VAR5[80]}},VAR5[80]};
VAR2[88:95]<={{7{VAR5[88]}},VAR5[88]};
VAR2[96:103]<={{7{VAR5[96]}},VAR5[96]};
VAR2[104:111]<={{7{VAR5[104]}},VAR5[104]};
VAR2[112:119]<={{7{VAR5[112]}},VAR5[112]};
VAR2[120:127]<={{7{VAR5[120]}},VAR5[120]};
end
endcase
end
begin
case(VAR7[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<={{VAR5[0]},VAR5[0:14]};
VAR2[16:31]<={{VAR5[16]},VAR5[16:30]};
VAR2[32:47]<={{VAR5[32]},VAR5[32:46]};
VAR2[48:63]<={{VAR5[48]},VAR5[48:62]};
VAR2[64:79]<={{VAR5[64]},VAR5[64:78]};
VAR2[80:95]<={{VAR5[80]},VAR5[80:94]};
VAR2[96:111]<={{VAR5[96]},VAR5[96:110]};
VAR2[112:127]<={{VAR5[112]},VAR5[112:126]};
end
4'd2:
begin
VAR2[0:15]<={{2{VAR5[0]}},VAR5[0:13]};
VAR2[16:31]<={{2{VAR5[16]}},VAR5[16:29]};
VAR2[32:47]<={{2{VAR5[32]}},VAR5[32:45]};
VAR2[48:63]<={{2{VAR5[48]}},VAR5[48:61]};
VAR2[64:79]<={{2{VAR5[64]}},VAR5[64:77]};
VAR2[80:95]<={{2{VAR5[80]}},VAR5[80:93]};
VAR2[96:111]<={{2{VAR5[96]}},VAR5[96:109]};
VAR2[112:127]<={{2{VAR5[112]}},VAR5[112:125]};
end
4'd3:
begin
VAR2[0:15]<={{3{VAR5[0]}},VAR5[0:12]};
VAR2[16:31]<={{3{VAR5[16]}},VAR5[16:28]};
VAR2[32:47]<={{3{VAR5[32]}},VAR5[32:44]};
VAR2[48:63]<={{3{VAR5[48]}},VAR5[48:60]};
VAR2[64:79]<={{3{VAR5[64]}},VAR5[64:76]};
VAR2[80:95]<={{3{VAR5[80]}},VAR5[80:92]};
VAR2[96:111]<={{3{VAR5[96]}},VAR5[96:108]};
VAR2[112:127]<={{3{VAR5[112]}},VAR5[112:124]};
end
4'd4:
begin
VAR2[0:15]<={{4{VAR5[0]}},VAR5[0:11]};
VAR2[16:31]<={{4{VAR5[8]}},VAR5[16:27]};
VAR2[32:47]<={{4{VAR5[16]}},VAR5[32:43]};
VAR2[48:63]<={{4{VAR5[32]}},VAR5[48:59]};
VAR2[64:79]<={{4{VAR5[48]}},VAR5[64:75]};
VAR2[80:95]<={{4{VAR5[64]}},VAR5[80:91]};
VAR2[96:111]<={{4{VAR5[80]}},VAR5[96:107]};
VAR2[112:127]<={{4{VAR5[112]}},VAR5[112:123]};
end
4'd5:
begin
VAR2[0:15]<={{5{VAR5[0]}},VAR5[0:10]};
VAR2[16:31]<={{5{VAR5[16]}},VAR5[16:26]};
VAR2[32:47]<={{5{VAR5[32]}},VAR5[32:42]};
VAR2[48:63]<={{5{VAR5[48]}},VAR5[48:58]};
VAR2[64:79]<={{5{VAR5[64]}},VAR5[64:74]};
VAR2[80:95]<={{5{VAR5[80]}},VAR5[80:90]};
VAR2[96:111]<={{5{VAR5[96]}},VAR5[96:106]};
VAR2[112:127]<={{5{VAR5[112]}},VAR5[112:122]};
end
4'd6:
begin
VAR2[0:15]<={{6{VAR5[0]}},VAR5[0:9]};
VAR2[16:31]<={{6{VAR5[16]}},VAR5[16:25]};
VAR2[32:47]<={{6{VAR5[32]}},VAR5[32:41]};
VAR2[48:63]<={{6{VAR5[48]}},VAR5[48:57]};
VAR2[64:79]<={{6{VAR5[64]}},VAR5[64:73]};
VAR2[80:95]<={{6{VAR5[80]}},VAR5[80:89]};
VAR2[96:111]<={{6{VAR5[96]}},VAR5[96:105]};
VAR2[112:127]<={{6{VAR5[112]}},VAR5[112:121]};
end
4'd7:
begin
VAR2[0:15]<={{7{VAR5[0]}},VAR5[0:8]};
VAR2[16:31]<={{7{VAR5[16]}},VAR5[16:24]};
VAR2[32:47]<={{7{VAR5[32]}},VAR5[32:40]};
VAR2[48:63]<={{7{VAR5[48]}},VAR5[48:56]};
VAR2[64:79]<={{7{VAR5[64]}},VAR5[64:72]};
VAR2[80:95]<={{7{VAR5[80]}},VAR5[80:88]};
VAR2[96:111]<={{7{VAR5[96]}},VAR5[96:104]};
VAR2[112:127]<={{7{VAR5[112]}},VAR5[112:120]};
end
4'd8:
begin
VAR2[0:15]<={{8{VAR5[0]}},VAR5[0:7]};
VAR2[16:31]<={{8{VAR5[16]}},VAR5[16:23]};
VAR2[32:47]<={{8{VAR5[32]}},VAR5[32:39]};
VAR2[48:63]<={{8{VAR5[48]}},VAR5[48:55]};
VAR2[64:79]<={{8{VAR5[64]}},VAR5[64:71]};
VAR2[80:95]<={{8{VAR5[80]}},VAR5[80:87]};
VAR2[96:111]<={{8{VAR5[96]}},VAR5[96:103]};
VAR2[112:127]<={{8{VAR5[112]}},VAR5[112:119]};
end
4'd9:
begin
VAR2[0:15]<={{9{VAR5[0]}},VAR5[0:6]};
VAR2[16:31]<={{9{VAR5[16]}},VAR5[16:22]};
VAR2[32:47]<={{9{VAR5[32]}},VAR5[32:38]};
VAR2[48:63]<={{9{VAR5[48]}},VAR5[48:54]};
VAR2[64:79]<={{9{VAR5[64]}},VAR5[64:70]};
VAR2[80:95]<={{9{VAR5[80]}},VAR5[80:86]};
VAR2[96:111]<={{9{VAR5[96]}},VAR5[96:102]};
VAR2[112:127]<={{9{VAR5[112]}},VAR5[112:118]};
end
4'd10:
begin
VAR2[0:15]<={{10{VAR5[0]}},VAR5[0:5]};
VAR2[16:31]<={{10{VAR5[16]}},VAR5[16:21]};
VAR2[32:47]<={{10{VAR5[32]}},VAR5[32:37]};
VAR2[48:63]<={{10{VAR5[48]}},VAR5[48:53]};
VAR2[64:79]<={{10{VAR5[64]}},VAR5[64:69]};
VAR2[80:95]<={{10{VAR5[80]}},VAR5[80:85]};
VAR2[96:111]<={{10{VAR5[96]}},VAR5[96:101]};
VAR2[112:127]<={{10{VAR5[112]}},VAR5[112:117]};
end
4'd11:
begin
VAR2[0:15]<={{11{VAR5[0]}},VAR5[0:4]};
VAR2[16:31]<={{11{VAR5[16]}},VAR5[16:20]};
VAR2[32:47]<={{11{VAR5[32]}},VAR5[32:36]};
VAR2[48:63]<={{11{VAR5[48]}},VAR5[48:52]};
VAR2[64:79]<={{11{VAR5[64]}},VAR5[64:68]};
VAR2[80:95]<={{11{VAR5[80]}},VAR5[80:84]};
VAR2[96:111]<={{11{VAR5[96]}},VAR5[96:100]};
VAR2[112:127]<={{11{VAR5[112]}},VAR5[112:116]};
end
4'd12:
begin
VAR2[0:15]<={{12{VAR5[0]}},VAR5[0:3]};
VAR2[16:31]<={{12{VAR5[16]}},VAR5[16:19]};
VAR2[32:47]<={{12{VAR5[32]}},VAR5[32:35]};
VAR2[48:63]<={{12{VAR5[48]}},VAR5[48:51]};
VAR2[64:79]<={{12{VAR5[64]}},VAR5[64:67]};
VAR2[80:95]<={{12{VAR5[80]}},VAR5[80:83]};
VAR2[96:111]<={{12{VAR5[96]}},VAR5[96:99]};
VAR2[112:127]<={{12{VAR5[112]}},VAR5[112:115]};
end
4'd13:
begin
VAR2[0:15]<={{13{VAR5[0]}},VAR5[0:2]};
VAR2[16:31]<={{13{VAR5[16]}},VAR5[16:18]};
VAR2[32:47]<={{13{VAR5[32]}},VAR5[32:34]};
VAR2[48:63]<={{13{VAR5[48]}},VAR5[48:50]};
VAR2[64:79]<={{13{VAR5[64]}},VAR5[64:66]};
VAR2[80:95]<={{13{VAR5[80]}},VAR5[80:82]};
VAR2[96:111]<={{13{VAR5[96]}},VAR5[96:98]};
VAR2[112:127]<={{13{VAR5[112]}},VAR5[112:114]};
end
4'd14:
begin
VAR2[0:15]<={{14{VAR5[0]}},VAR5[0:1]};
VAR2[16:31]<={{14{VAR5[16]}},VAR5[16:17]};
VAR2[32:47]<={{14{VAR5[32]}},VAR5[32:33]};
VAR2[48:63]<={{14{VAR5[48]}},VAR5[48:49]};
VAR2[64:79]<={{14{VAR5[64]}},VAR5[64:65]};
VAR2[80:95]<={{14{VAR5[80]}},VAR5[80:81]};
VAR2[96:111]<={{14{VAR5[96]}},VAR5[96:97]};
VAR2[112:127]<={{14{VAR5[112]}},VAR5[112:113]};
end
4'd15:
begin
VAR2[0:15]<={{15{VAR5[0]}},VAR5[0]};
VAR2[16:31]<={{15{VAR5[16]}},VAR5[16]};
VAR2[32:47]<={{15{VAR5[32]}},VAR5[32]};
VAR2[48:63]<={{15{VAR5[48]}},VAR5[48]};
VAR2[64:79]<={{15{VAR5[64]}},VAR5[64]};
VAR2[80:95]<={{15{VAR5[80]}},VAR5[80]};
VAR2[96:111]<={{15{VAR5[96]}},VAR5[96]};
VAR2[112:127]<={{15{VAR5[112]}},VAR5[112]};
end
endcase
end
begin
case(VAR7[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<={{VAR5[0]},VAR5[0:30]};
VAR2[32:63]<={{VAR5[32]},VAR5[32:62]};
VAR2[64:95]<={{VAR5[64]},VAR5[64:94]};
VAR2[96:127]<={{VAR5[96]},VAR5[96:126]};
end
5'd2:
begin
VAR2[0:31]<={{2{VAR5[0]}},VAR5[0:29]};
VAR2[32:63]<={{2{VAR5[32]}},VAR5[32:61]};
VAR2[64:95]<={{2{VAR5[64]}},VAR5[64:93]};
VAR2[96:127]<={{2{VAR5[96]}},VAR5[96:125]};
end
5'd3:
begin
VAR2[0:31]<={{3{VAR5[0]}},VAR5[0:28]};
VAR2[32:63]<={{3{VAR5[32]}},VAR5[32:60]};
VAR2[64:95]<={{3{VAR5[64]}},VAR5[64:92]};
VAR2[96:127]<={{3{VAR5[96]}},VAR5[96:124]};
end
5'd4:
begin
VAR2[0:31]<={{4{VAR5[0]}},VAR5[0:27]};
VAR2[32:63]<={{4{VAR5[32]}},VAR5[32:59]};
VAR2[64:95]<={{4{VAR5[64]}},VAR5[64:91]};
VAR2[96:127]<={{4{VAR5[96]}},VAR5[96:123]};
end
5'd5:
begin
VAR2[0:31]<={{5{VAR5[0]}},VAR5[0:26]};
VAR2[32:63]<={{5{VAR5[32]}},VAR5[32:58]};
VAR2[64:95]<={{5{VAR5[64]}},VAR5[64:90]};
VAR2[96:127]<={{5{VAR5[96]}},VAR5[96:122]};
end
5'd6:
begin
VAR2[0:31]<={{6{VAR5[0]}},VAR5[0:25]};
VAR2[32:63]<={{6{VAR5[32]}},VAR5[32:57]};
VAR2[64:95]<={{6{VAR5[64]}},VAR5[64:89]};
VAR2[96:127]<={{6{VAR5[96]}},VAR5[96:121]};
end
5'd7:
begin
VAR2[0:31]<={{7{VAR5[0]}},VAR5[0:24]};
VAR2[32:63]<={{7{VAR5[32]}},VAR5[32:56]};
VAR2[64:95]<={{7{VAR5[64]}},VAR5[64:88]};
VAR2[96:127]<={{7{VAR5[96]}},VAR5[96:120]};
end
5'd8:
begin
VAR2[0:31]<={{8{VAR5[0]}},VAR5[0:23]};
VAR2[32:63]<={{8{VAR5[32]}},VAR5[32:55]};
VAR2[64:95]<={{8{VAR5[64]}},VAR5[64:87]};
VAR2[96:127]<={{8{VAR5[96]}},VAR5[96:119]};
end
5'd9:
begin
VAR2[0:31]<={{9{VAR5[0]}},VAR5[0:22]};
VAR2[32:63]<={{9{VAR5[32]}},VAR5[32:54]};
VAR2[64:95]<={{9{VAR5[64]}},VAR5[64:86]};
VAR2[96:127]<={{9{VAR5[96]}},VAR5[96:118]};
end
5'd10:
begin
VAR2[0:31]<={{10{VAR5[0]}},VAR5[0:21]};
VAR2[32:63]<={{10{VAR5[32]}},VAR5[32:53]};
VAR2[64:95]<={{10{VAR5[64]}},VAR5[64:85]};
VAR2[96:127]<={{10{VAR5[96]}},VAR5[96:117]};
end
5'd11:
begin
VAR2[0:31]<={{11{VAR5[0]}},VAR5[0:20]};
VAR2[32:63]<={{11{VAR5[32]}},VAR5[32:52]};
VAR2[64:95]<={{11{VAR5[64]}},VAR5[64:84]};
VAR2[96:127]<={{11{VAR5[96]}},VAR5[96:116]};
end
5'd12:
begin
VAR2[0:31]<={{12{VAR5[0]}},VAR5[0:19]};
VAR2[32:63]<={{12{VAR5[32]}},VAR5[32:51]};
VAR2[64:95]<={{12{VAR5[64]}},VAR5[64:83]};
VAR2[96:127]<={{12{VAR5[96]}},VAR5[96:115]};
end
5'd13:
begin
VAR2[0:31]<={{13{VAR5[0]}},VAR5[0:18]};
VAR2[32:63]<={{13{VAR5[32]}},VAR5[32:50]};
VAR2[64:95]<={{13{VAR5[64]}},VAR5[64:82]};
VAR2[96:127]<={{13{VAR5[96]}},VAR5[96:114]};
end
5'd14:
begin
VAR2[0:31]<={{14{VAR5[0]}},VAR5[0:17]};
VAR2[32:63]<={{14{VAR5[32]}},VAR5[32:49]};
VAR2[64:95]<={{14{VAR5[64]}},VAR5[64:81]};
VAR2[96:127]<={{14{VAR5[96]}},VAR5[96:113]};
end
5'd15:
begin
VAR2[0:31]<={{15{VAR5[0]}},VAR5[0:16]};
VAR2[32:63]<={{15{VAR5[32]}},VAR5[32:48]};
VAR2[64:95]<={{15{VAR5[64]}},VAR5[64:80]};
VAR2[96:127]<={{15{VAR5[96]}},VAR5[96:112]};
end
5'd16:
begin
VAR2[0:31]<={{16{VAR5[0]}},VAR5[0:15]};
VAR2[32:63]<={{16{VAR5[32]}},VAR5[32:47]};
VAR2[64:95]<={{16{VAR5[64]}},VAR5[64:79]};
VAR2[96:127]<={{16{VAR5[96]}},VAR5[96:111]};
end
5'd17:
begin
VAR2[0:31]<={{17{VAR5[0]}},VAR5[0:14]};
VAR2[32:63]<={{17{VAR5[32]}},VAR5[32:46]};
VAR2[64:95]<={{17{VAR5[64]}},VAR5[64:78]};
VAR2[96:127]<={{17{VAR5[96]}},VAR5[96:110]};
end
5'd18:
begin
VAR2[0:31]<={{18{VAR5[0]}},VAR5[0:13]};
VAR2[32:63]<={{18{VAR5[32]}},VAR5[32:45]};
VAR2[64:95]<={{18{VAR5[64]}},VAR5[64:77]};
VAR2[96:127]<={{18{VAR5[96]}},VAR5[96:109]};
end
5'd19:
begin
VAR2[0:31]<={{19{VAR5[0]}},VAR5[0:12]};
VAR2[32:63]<={{19{VAR5[32]}},VAR5[32:44]};
VAR2[64:95]<={{19{VAR5[64]}},VAR5[64:76]};
VAR2[96:127]<={{19{VAR5[96]}},VAR5[96:108]};
end
5'd20:
begin
VAR2[0:31]<={{20{VAR5[0]}},VAR5[0:11]};
VAR2[32:63]<={{20{VAR5[32]}},VAR5[32:43]};
VAR2[64:95]<={{20{VAR5[64]}},VAR5[64:75]};
VAR2[96:127]<={{20{VAR5[96]}},VAR5[96:107]};
end
5'd21:
begin
VAR2[0:31]<={{21{VAR5[0]}},VAR5[0:10]};
VAR2[32:63]<={{21{VAR5[32]}},VAR5[32:42]};
VAR2[64:95]<={{21{VAR5[64]}},VAR5[64:74]};
VAR2[96:127]<={{21{VAR5[96]}},VAR5[96:106]};
end
5'd22:
begin
VAR2[0:31]<={{22{VAR5[0]}},VAR5[0:9]};
VAR2[32:63]<={{22{VAR5[32]}},VAR5[32:41]};
VAR2[64:95]<={{22{VAR5[64]}},VAR5[64:73]};
VAR2[96:127]<={{22{VAR5[96]}},VAR5[96:105]};
end
5'd23:
begin
VAR2[0:31]<={{23{VAR5[0]}},VAR5[0:8]};
VAR2[32:63]<={{23{VAR5[32]}},VAR5[32:40]};
VAR2[64:95]<={{23{VAR5[64]}},VAR5[64:72]};
VAR2[96:127]<={{23{VAR5[96]}},VAR5[96:104]};
end
5'd24:
begin
VAR2[0:31]<={{24{VAR5[0]}},VAR5[0:7]};
VAR2[32:63]<={{24{VAR5[32]}},VAR5[32:39]};
VAR2[64:95]<={{24{VAR5[64]}},VAR5[64:71]};
VAR2[96:127]<={{24{VAR5[96]}},VAR5[96:103]};
end
5'd25:
begin
VAR2[0:31]<={{25{VAR5[0]}},VAR5[0:6]};
VAR2[32:63]<={{25{VAR5[32]}},VAR5[32:38]};
VAR2[64:95]<={{25{VAR5[64]}},VAR5[64:70]};
VAR2[96:127]<={{25{VAR5[96]}},VAR5[96:102]};
end
5'd26:
begin
VAR2[0:31]<={{26{VAR5[0]}},VAR5[0:5]};
VAR2[32:63]<={{26{VAR5[32]}},VAR5[32:37]};
VAR2[64:95]<={{26{VAR5[64]}},VAR5[64:69]};
VAR2[96:127]<={{26{VAR5[96]}},VAR5[96:101]};
end
5'd27:
begin
VAR2[0:31]<={{27{VAR5[0]}},VAR5[0:4]};
VAR2[32:63]<={{27{VAR5[32]}},VAR5[32:36]};
VAR2[64:95]<={{27{VAR5[64]}},VAR5[64:68]};
VAR2[96:127]<={{27{VAR5[96]}},VAR5[96:100]};
end
5'd28:
begin
VAR2[0:31]<={{28{VAR5[0]}},VAR5[0:3]};
VAR2[32:63]<={{28{VAR5[32]}},VAR5[32:35]};
VAR2[64:95]<={{28{VAR5[64]}},VAR5[64:67]};
VAR2[96:127]<={{28{VAR5[96]}},VAR5[96:99]};
end
5'd29:
begin
VAR2[0:31]<={{29{VAR5[0]}},VAR5[0:2]};
VAR2[32:63]<={{29{VAR5[32]}},VAR5[32:34]};
VAR2[64:95]<={{29{VAR5[64]}},VAR5[64:66]};
VAR2[96:127]<={{29{VAR5[96]}},VAR5[96:98]};
end
5'd30:
begin
VAR2[0:31]<={{30{VAR5[0]}},VAR5[0:1]};
VAR2[32:63]<={{30{VAR5[32]}},VAR5[32:33]};
VAR2[64:95]<={{30{VAR5[64]}},VAR5[64:65]};
VAR2[96:127]<={{30{VAR5[96]}},VAR5[96:97]};
end
5'd31:
begin
VAR2[0:31]<={{31{VAR5[0]}},VAR5[0]};
VAR2[32:63]<={{31{VAR5[32]}},VAR5[32]};
VAR2[64:95]<={{31{VAR5[64]}},VAR5[64]};
VAR2[96:127]<={{31{VAR5[96]}},VAR5[96]};
end
endcase
end
endcase
end
begin
case(VAR3)
begin
case(VAR7[5:7]) 3'd0:
VAR2[0:7]<=VAR5[0:7];
3'd1:
VAR2[0:7]<={{1{VAR5[0]}},VAR5[0:6]};
3'd2:
VAR2[0:7]<={{2{VAR5[0]}},VAR5[0:5]};
3'd3:
VAR2[0:7]<={{3{VAR5[0]}},VAR5[0:4]};
3'd4:
VAR2[0:7]<={{4{VAR5[0]}},VAR5[0:3]};
3'd5:
VAR2[0:7]<={{5{VAR5[0]}},VAR5[0:2]};
3'd6:
VAR2[0:7]<={{6{VAR5[0]}},VAR5[0:1]};
3'd7:
VAR2[0:7]<={{7{VAR5[0]}},VAR5[0]};
endcase
case(VAR7[13:15]) 3'd0:
VAR2[8:15]<=VAR5[8:15];
3'd1:
VAR2[8:15]<={{1{VAR5[8]}},VAR5[8:14]};
3'd2:
VAR2[8:15]<={{2{VAR5[8]}},VAR5[8:13]};
3'd3:
VAR2[8:15]<={{3{VAR5[8]}},VAR5[8:12]};
3'd4:
VAR2[8:15]<={{4{VAR5[8]}},VAR5[8:11]};
3'd5:
VAR2[8:15]<={{5{VAR5[8]}},VAR5[8:10]};
3'd6:
VAR2[8:15]<={{6{VAR5[8]}},VAR5[8:9]};
3'd7:
VAR2[8:15]<={{7{VAR5[8]}},VAR5[8]};
endcase
case(VAR7[21:23]) 3'd0:
VAR2[16:23]<=VAR5[16:23];
3'd1:
VAR2[16:23]<={{1{VAR5[16]}},VAR5[16:22]};
3'd2:
VAR2[16:23]<={{2{VAR5[16]}},VAR5[16:21]};
3'd3:
VAR2[16:23]<={{3{VAR5[16]}},VAR5[16:20]};
3'd4:
VAR2[16:23]<={{4{VAR5[16]}},VAR5[16:19]};
3'd5:
VAR2[16:23]<={{5{VAR5[16]}},VAR5[16:18]};
3'd6:
VAR2[16:23]<={{6{VAR5[16]}},VAR5[16:17]};
3'd7:
VAR2[16:23]<={{7{VAR5[16]}},VAR5[16]};
endcase
case(VAR7[29:31]) 3'd0:
VAR2[24:31]<=VAR5[24:31];
3'd1:
VAR2[24:31]<={{1{VAR5[24]}},VAR5[24:30]};
3'd2:
VAR2[24:31]<={{2{VAR5[24]}},VAR5[24:29]};
3'd3:
VAR2[24:31]<={{3{VAR5[24]}},VAR5[24:28]};
3'd4:
VAR2[24:31]<={{4{VAR5[24]}},VAR5[24:27]};
3'd5:
VAR2[24:31]<={{5{VAR5[24]}},VAR5[24:26]};
3'd6:
VAR2[24:31]<={{6{VAR5[24]}},VAR5[24:25]};
3'd7:
VAR2[24:31]<={{7{VAR5[24]}},VAR5[24]};
endcase
case(VAR7[37:39]) 3'd0:
VAR2[32:39]<=VAR5[32:39];
3'd1:
VAR2[32:39]<={{1{VAR5[32]}},VAR5[32:38]};
3'd2:
VAR2[32:39]<={{2{VAR5[32]}},VAR5[32:37]};
3'd3:
VAR2[32:39]<={{3{VAR5[32]}},VAR5[32:36]};
3'd4:
VAR2[32:39]<={{4{VAR5[32]}},VAR5[32:35]};
3'd5:
VAR2[32:39]<={{5{VAR5[32]}},VAR5[32:34]};
3'd6:
VAR2[32:39]<={{6{VAR5[32]}},VAR5[32:33]};
3'd7:
VAR2[32:39]<={{7{VAR5[32]}},VAR5[32]};
endcase
case(VAR7[45:47]) 3'd0:
VAR2[40:47]<=VAR5[40:47];
3'd1:
VAR2[40:47]<={{1{VAR5[40]}},VAR5[40:46]};
3'd2:
VAR2[40:47]<={{2{VAR5[40]}},VAR5[40:45]};
3'd3:
VAR2[40:47]<={{3{VAR5[40]}},VAR5[40:44]};
3'd4:
VAR2[40:47]<={{4{VAR5[40]}},VAR5[40:43]};
3'd5:
VAR2[40:47]<={{5{VAR5[40]}},VAR5[40:42]};
3'd6:
VAR2[40:47]<={{6{VAR5[40]}},VAR5[40:41]};
3'd7:
VAR2[40:47]<={{7{VAR5[40]}},VAR5[40]};
endcase
case(VAR7[53:55]) 3'd0:
VAR2[48:55]<=VAR5[48:55];
3'd1:
VAR2[48:55]<={{1{VAR5[48]}},VAR5[48:54]};
3'd2:
VAR2[48:55]<={{2{VAR5[48]}},VAR5[48:53]};
3'd3:
VAR2[48:55]<={{3{VAR5[48]}},VAR5[48:52]};
3'd4:
VAR2[48:55]<={{4{VAR5[48]}},VAR5[48:51]};
3'd5:
VAR2[48:55]<={{5{VAR5[48]}},VAR5[48:50]};
3'd6:
VAR2[48:55]<={{6{VAR5[48]}},VAR5[48:49]};
3'd7:
VAR2[48:55]<={{7{VAR5[48]}},VAR5[48]};
endcase
case(VAR7[61:63]) 3'd0:
VAR2[56:63]<=VAR5[56:63];
3'd1:
VAR2[56:63]<={{1{VAR5[56]}},VAR5[56:62]};
3'd2:
VAR2[56:63]<={{2{VAR5[56]}},VAR5[56:61]};
3'd3:
VAR2[56:63]<={{3{VAR5[56]}},VAR5[56:60]};
3'd4:
VAR2[56:63]<={{4{VAR5[56]}},VAR5[56:59]};
3'd5:
VAR2[56:63]<={{5{VAR5[56]}},VAR5[56:58]};
3'd6:
VAR2[56:63]<={{6{VAR5[56]}},VAR5[56:57]};
3'd7:
VAR2[56:63]<={{7{VAR5[56]}},VAR5[56]};
endcase
case(VAR7[69:71]) 3'd0:
VAR2[64:71]<=VAR5[64:71];
3'd1:
VAR2[64:71]<={{1{VAR5[64]}},VAR5[64:70]};
3'd2:
VAR2[64:71]<={{2{VAR5[64]}},VAR5[64:69]};
3'd3:
VAR2[64:71]<={{3{VAR5[64]}},VAR5[64:68]};
3'd4:
VAR2[64:71]<={{4{VAR5[64]}},VAR5[64:67]};
3'd5:
VAR2[64:71]<={{5{VAR5[64]}},VAR5[64:66]};
3'd6:
VAR2[64:71]<={{6{VAR5[64]}},VAR5[64:65]};
3'd7:
VAR2[64:71]<={{7{VAR5[64]}},VAR5[64]};
endcase
case(VAR7[77:79]) 3'd0:
VAR2[72:79]<=VAR5[72:79];
3'd1:
VAR2[72:79]<={{1{VAR5[72]}},VAR5[72:78]};
3'd2:
VAR2[72:79]<={{2{VAR5[72]}},VAR5[72:77]};
3'd3:
VAR2[72:79]<={{3{VAR5[72]}},VAR5[72:76]};
3'd4:
VAR2[72:79]<={{4{VAR5[72]}},VAR5[72:75]};
3'd5:
VAR2[72:79]<={{5{VAR5[72]}},VAR5[72:74]};
3'd6:
VAR2[72:79]<={{6{VAR5[72]}},VAR5[72:73]};
3'd7:
VAR2[72:79]<={{7{VAR5[72]}},VAR5[72]};
endcase
case(VAR7[85:87]) 3'd0:
VAR2[80:87]<=VAR5[80:87];
3'd1:
VAR2[80:87]<={{1{VAR5[80]}},VAR5[80:86]};
3'd2:
VAR2[80:87]<={{2{VAR5[80]}},VAR5[80:85]};
3'd3:
VAR2[80:87]<={{3{VAR5[80]}},VAR5[80:84]};
3'd4:
VAR2[80:87]<={{4{VAR5[80]}},VAR5[80:83]};
3'd5:
VAR2[80:87]<={{5{VAR5[80]}},VAR5[80:82]};
3'd6:
VAR2[80:87]<={{6{VAR5[80]}},VAR5[80:81]};
3'd7:
VAR2[80:87]<={{7{VAR5[80]}},VAR5[80]};
endcase
case(VAR7[93:95]) 3'd0:
VAR2[88:95]<=VAR5[88:95];
3'd1:
VAR2[88:95]<={{1{VAR5[88]}},VAR5[88:94]};
3'd2:
VAR2[88:95]<={{2{VAR5[88]}},VAR5[88:93]};
3'd3:
VAR2[88:95]<={{3{VAR5[88]}},VAR5[88:92]};
3'd4:
VAR2[88:95]<={{4{VAR5[88]}},VAR5[88:91]};
3'd5:
VAR2[88:95]<={{5{VAR5[88]}},VAR5[88:90]};
3'd6:
VAR2[88:95]<={{6{VAR5[88]}},VAR5[88:89]};
3'd7:
VAR2[88:95]<={{7{VAR5[88]}},VAR5[88]};
endcase
case(VAR7[101:103]) 3'd0:
VAR2[96:103]<=VAR5[96:103];
3'd1:
VAR2[96:103]<={{1{VAR5[96]}},VAR5[96:102]};
3'd2:
VAR2[96:103]<={{2{VAR5[96]}},VAR5[96:101]};
3'd3:
VAR2[96:103]<={{3{VAR5[96]}},VAR5[96:100]};
3'd4:
VAR2[96:103]<={{4{VAR5[96]}},VAR5[96:99]};
3'd5:
VAR2[96:103]<={{5{VAR5[96]}},VAR5[96:98]};
3'd6:
VAR2[96:103]<={{6{VAR5[96]}},VAR5[96:97]};
3'd7:
VAR2[96:103]<={{7{VAR5[96]}},VAR5[96]};
endcase
case(VAR7[109:111]) 3'd0:
VAR2[104:111]<=VAR5[104:111];
3'd1:
VAR2[104:111]<={{1{VAR5[104]}},VAR5[104:110]};
3'd2:
VAR2[104:111]<={{2{VAR5[104]}},VAR5[104:109]};
3'd3:
VAR2[104:111]<={{3{VAR5[104]}},VAR5[104:108]};
3'd4:
VAR2[104:111]<={{4{VAR5[104]}},VAR5[104:107]};
3'd5:
VAR2[104:111]<={{5{VAR5[104]}},VAR5[104:106]};
3'd6:
VAR2[104:111]<={{6{VAR5[104]}},VAR5[104:105]};
3'd7:
VAR2[104:111]<={{7{VAR5[104]}},VAR5[104]};
endcase
case(VAR7[117:119]) 3'd0:
VAR2[112:119]<=VAR5[112:119];
3'd1:
VAR2[112:119]<={{1{VAR5[112]}},VAR5[112:118]};
3'd2:
VAR2[112:119]<={{2{VAR5[112]}},VAR5[112:117]};
3'd3:
VAR2[112:119]<={{3{VAR5[112]}},VAR5[112:116]};
3'd4:
VAR2[112:119]<={{4{VAR5[112]}},VAR5[112:115]};
3'd5:
VAR2[112:119]<={{5{VAR5[112]}},VAR5[112:114]};
3'd6:
VAR2[112:119]<={{6{VAR5[112]}},VAR5[112:113]};
3'd7:
VAR2[112:119]<={{7{VAR5[112]}},VAR5[112]};
endcase
case(VAR7[125:127]) 3'd0:
VAR2[120:127]<=VAR5[120:127];
3'd1:
VAR2[120:127]<={{1{VAR5[120]}},VAR5[120:126]};
3'd2:
VAR2[120:127]<={{2{VAR5[120]}},VAR5[120:125]};
3'd3:
VAR2[120:127]<={{3{VAR5[120]}},VAR5[120:124]};
3'd4:
VAR2[120:127]<={{4{VAR5[120]}},VAR5[120:123]};
3'd5:
VAR2[120:127]<={{5{VAR5[120]}},VAR5[120:122]};
3'd6:
VAR2[120:127]<={{6{VAR5[120]}},VAR5[120:121]};
3'd7:
VAR2[120:127]<={{7{VAR5[120]}},VAR5[120]};
endcase
end
begin
case(VAR7[12:15]) 4'd0:
VAR2[0:15]<=VAR5[0:15];
4'd1:
VAR2[0:15]<={{1{VAR5[0]}},VAR5[0:14]};
4'd2:
VAR2[0:15]<={{2{VAR5[0]}},VAR5[0:13]};
4'd3:
VAR2[0:15]<={{3{VAR5[0]}},VAR5[0:12]};
4'd4:
VAR2[0:15]<={{4{VAR5[0]}},VAR5[0:11]};
4'd5:
VAR2[0:15]<={{5{VAR5[0]}},VAR5[0:10]};
4'd6:
VAR2[0:15]<={{6{VAR5[0]}},VAR5[0:9]};
4'd7:
VAR2[0:15]<={{7{VAR5[0]}},VAR5[0:8]};
4'd8:
VAR2[0:15]<={{8{VAR5[0]}},VAR5[0:7]};
4'd9:
VAR2[0:15]<={{9{VAR5[0]}},VAR5[0:6]};
4'd10:
VAR2[0:15]<={{10{VAR5[0]}},VAR5[0:5]};
4'd11:
VAR2[0:15]<={{11{VAR5[0]}},VAR5[0:4]};
4'd12:
VAR2[0:15]<={{12{VAR5[0]}},VAR5[0:3]};
4'd13:
VAR2[0:15]<={{13{VAR5[0]}},VAR5[0:2]};
4'd14:
VAR2[0:15]<={{14{VAR5[0]}},VAR5[0:1]};
4'd15:
VAR2[0:15]<={{15{VAR5[0]}},VAR5[0]};
endcase
case(VAR7[28:31]) 4'd0:
VAR2[16:31]<=VAR5[16:31];
4'd1:
VAR2[16:31]<={{1{VAR5[16]}},VAR5[16:30]};
4'd2:
VAR2[16:31]<={{2{VAR5[16]}},VAR5[16:29]};
4'd3:
VAR2[16:31]<={{3{VAR5[16]}},VAR5[16:28]};
4'd4:
VAR2[16:31]<={{4{VAR5[16]}},VAR5[16:27]};
4'd5:
VAR2[16:31]<={{5{VAR5[16]}},VAR5[16:26]};
4'd6:
VAR2[16:31]<={{6{VAR5[16]}},VAR5[16:25]};
4'd7:
VAR2[16:31]<={{7{VAR5[16]}},VAR5[16:24]};
4'd8:
VAR2[16:31]<={{8{VAR5[16]}},VAR5[16:23]};
4'd9:
VAR2[16:31]<={{9{VAR5[16]}},VAR5[16:22]};
4'd10:
VAR2[16:31]<={{10{VAR5[16]}},VAR5[16:21]};
4'd11:
VAR2[16:31]<={{11{VAR5[16]}},VAR5[16:20]};
4'd12:
VAR2[16:31]<={{12{VAR5[16]}},VAR5[16:19]};
4'd13:
VAR2[16:31]<={{13{VAR5[16]}},VAR5[16:18]};
4'd14:
VAR2[16:31]<={{14{VAR5[16]}},VAR5[16:17]};
4'd15:
VAR2[16:31]<={{15{VAR5[16]}},VAR5[16]};
endcase
case(VAR7[44:47]) 4'd0:
VAR2[32:47]<=VAR5[32:47];
4'd1:
VAR2[32:47]<={{1{VAR5[32]}},VAR5[32:46]};
4'd2:
VAR2[32:47]<={{2{VAR5[32]}},VAR5[32:45]};
4'd3:
VAR2[32:47]<={{3{VAR5[32]}},VAR5[32:44]};
4'd4:
VAR2[32:47]<={{4{VAR5[32]}},VAR5[32:43]};
4'd5:
VAR2[32:47]<={{5{VAR5[32]}},VAR5[32:42]};
4'd6:
VAR2[32:47]<={{6{VAR5[32]}},VAR5[32:41]};
4'd7:
VAR2[32:47]<={{7{VAR5[32]}},VAR5[32:40]};
4'd8:
VAR2[32:47]<={{8{VAR5[32]}},VAR5[32:39]};
4'd9:
VAR2[32:47]<={{9{VAR5[32]}},VAR5[32:38]};
4'd10:
VAR2[32:47]<={{10{VAR5[32]}},VAR5[32:37]};
4'd11:
VAR2[32:47]<={{11{VAR5[32]}},VAR5[32:36]};
4'd12:
VAR2[32:47]<={{12{VAR5[32]}},VAR5[32:35]};
4'd13:
VAR2[32:47]<={{13{VAR5[32]}},VAR5[32:34]};
4'd14:
VAR2[32:47]<={{14{VAR5[32]}},VAR5[32:33]};
4'd15:
VAR2[32:47]<={{15{VAR5[32]}},VAR5[32]};
endcase
case(VAR7[60:63]) 4'd0:
VAR2[48:63]<=VAR5[48:63];
4'd1:
VAR2[48:63]<={{1{VAR5[48]}},VAR5[48:62]};
4'd2:
VAR2[48:63]<={{2{VAR5[48]}},VAR5[48:61]};
4'd3:
VAR2[48:63]<={{3{VAR5[48]}},VAR5[48:60]};
4'd4:
VAR2[48:63]<={{4{VAR5[48]}},VAR5[48:59]};
4'd5:
VAR2[48:63]<={{5{VAR5[48]}},VAR5[48:58]};
4'd6:
VAR2[48:63]<={{6{VAR5[48]}},VAR5[48:57]};
4'd7:
VAR2[48:63]<={{7{VAR5[48]}},VAR5[48:56]};
4'd8:
VAR2[48:63]<={{8{VAR5[48]}},VAR5[48:55]};
4'd9:
VAR2[48:63]<={{9{VAR5[48]}},VAR5[48:54]};
4'd10:
VAR2[48:63]<={{10{VAR5[48]}},VAR5[48:53]};
4'd11:
VAR2[48:63]<={{11{VAR5[48]}},VAR5[48:52]};
4'd12:
VAR2[48:63]<={{12{VAR5[48]}},VAR5[48:51]};
4'd13:
VAR2[48:63]<={{13{VAR5[48]}},VAR5[48:50]};
4'd14:
VAR2[48:63]<={{14{VAR5[48]}},VAR5[48:49]};
4'd15:
VAR2[48:63]<={{15{VAR5[48]}},VAR5[48]};
endcase
case(VAR7[76:79]) 4'd0:
VAR2[64:79]<=VAR5[64:79];
4'd1:
VAR2[64:79]<={{1{VAR5[64]}},VAR5[64:78]};
4'd2:
VAR2[64:79]<={{2{VAR5[64]}},VAR5[64:77]};
4'd3:
VAR2[64:79]<={{3{VAR5[64]}},VAR5[64:76]};
4'd4:
VAR2[64:79]<={{4{VAR5[64]}},VAR5[64:75]};
4'd5:
VAR2[64:79]<={{5{VAR5[64]}},VAR5[64:74]};
4'd6:
VAR2[64:79]<={{6{VAR5[64]}},VAR5[64:73]};
4'd7:
VAR2[64:79]<={{7{VAR5[64]}},VAR5[64:72]};
4'd8:
VAR2[64:79]<={{8{VAR5[64]}},VAR5[64:71]};
4'd9:
VAR2[64:79]<={{9{VAR5[64]}},VAR5[64:70]};
4'd10:
VAR2[64:79]<={{10{VAR5[64]}},VAR5[64:69]};
4'd11:
VAR2[64:79]<={{11{VAR5[64]}},VAR5[64:68]};
4'd12:
VAR2[64:79]<={{12{VAR5[64]}},VAR5[64:67]};
4'd13:
VAR2[64:79]<={{13{VAR5[64]}},VAR5[64:66]};
4'd14:
VAR2[64:79]<={{14{VAR5[64]}},VAR5[64:65]};
4'd15:
VAR2[64:79]<={{15{VAR5[64]}},VAR5[64]};
endcase
case(VAR7[92:95]) 4'd0:
VAR2[80:95]<=VAR5[80:95];
4'd1:
VAR2[80:95]<={{1{VAR5[80]}},VAR5[80:94]};
4'd2:
VAR2[80:95]<={{2{VAR5[80]}},VAR5[80:93]};
4'd3:
VAR2[80:95]<={{3{VAR5[80]}},VAR5[80:92]};
4'd4:
VAR2[80:95]<={{4{VAR5[80]}},VAR5[80:91]};
4'd5:
VAR2[80:95]<={{5{VAR5[80]}},VAR5[80:90]};
4'd6:
VAR2[80:95]<={{6{VAR5[80]}},VAR5[80:89]};
4'd7:
VAR2[80:95]<={{7{VAR5[80]}},VAR5[80:88]};
4'd8:
VAR2[80:95]<={{8{VAR5[80]}},VAR5[80:87]};
4'd9:
VAR2[80:95]<={{9{VAR5[80]}},VAR5[80:86]};
4'd10:
VAR2[80:95]<={{10{VAR5[80]}},VAR5[80:85]};
4'd11:
VAR2[80:95]<={{11{VAR5[80]}},VAR5[80:84]};
4'd12:
VAR2[80:95]<={{12{VAR5[80]}},VAR5[80:83]};
4'd13:
VAR2[80:95]<={{13{VAR5[80]}},VAR5[80:82]};
4'd14:
VAR2[80:95]<={{14{VAR5[80]}},VAR5[80:81]};
4'd15:
VAR2[80:95]<={{15{VAR5[80]}},VAR5[80]};
endcase
case(VAR7[92:111]) 4'd0:
VAR2[96:111]<=VAR5[96:111];
4'd1:
VAR2[96:111]<={{1{VAR5[96]}},VAR5[96:110]};
4'd2:
VAR2[96:111]<={{2{VAR5[96]}},VAR5[96:109]};
4'd3:
VAR2[96:111]<={{3{VAR5[96]}},VAR5[96:108]};
4'd4:
VAR2[96:111]<={{4{VAR5[96]}},VAR5[96:107]};
4'd5:
VAR2[96:111]<={{5{VAR5[96]}},VAR5[96:106]};
4'd6:
VAR2[96:111]<={{6{VAR5[96]}},VAR5[96:105]};
4'd7:
VAR2[96:111]<={{7{VAR5[96]}},VAR5[96:104]};
4'd8:
VAR2[96:111]<={{8{VAR5[96]}},VAR5[96:103]};
4'd9:
VAR2[96:111]<={{9{VAR5[96]}},VAR5[96:102]};
4'd10:
VAR2[96:111]<={{10{VAR5[96]}},VAR5[96:101]};
4'd11:
VAR2[96:111]<={{11{VAR5[96]}},VAR5[96:100]};
4'd12:
VAR2[96:111]<={{12{VAR5[96]}},VAR5[96:99]};
4'd13:
VAR2[96:111]<={{13{VAR5[96]}},VAR5[96:98]};
4'd14:
VAR2[96:111]<={{14{VAR5[96]}},VAR5[96:97]};
4'd15:
VAR2[96:111]<={{15{VAR5[96]}},VAR5[96]};
endcase
case(VAR7[92:127]) 4'd0:
VAR2[112:127]<=VAR5[112:127];
4'd1:
VAR2[112:127]<={{1{VAR5[112]}},VAR5[112:126]};
4'd2:
VAR2[112:127]<={{2{VAR5[112]}},VAR5[112:125]};
4'd3:
VAR2[112:127]<={{3{VAR5[112]}},VAR5[112:124]};
4'd4:
VAR2[112:127]<={{4{VAR5[112]}},VAR5[112:123]};
4'd5:
VAR2[112:127]<={{5{VAR5[112]}},VAR5[112:122]};
4'd6:
VAR2[112:127]<={{6{VAR5[112]}},VAR5[112:121]};
4'd7:
VAR2[112:127]<={{7{VAR5[112]}},VAR5[112:120]};
4'd8:
VAR2[112:127]<={{8{VAR5[112]}},VAR5[112:119]};
4'd9:
VAR2[112:127]<={{9{VAR5[112]}},VAR5[112:118]};
4'd10:
VAR2[112:127]<={{10{VAR5[112]}},VAR5[112:117]};
4'd11:
VAR2[112:127]<={{11{VAR5[112]}},VAR5[112:116]};
4'd12:
VAR2[112:127]<={{12{VAR5[112]}},VAR5[112:115]};
4'd13:
VAR2[112:127]<={{13{VAR5[112]}},VAR5[112:114]};
4'd14:
VAR2[112:127]<={{14{VAR5[112]}},VAR5[112:113]};
4'd15:
VAR2[112:127]<={{15{VAR5[112]}},VAR5[112]};
endcase
end
begin
case(VAR7[27:31])
5'd0:
VAR2[0:31]<=VAR5[0:31];
5'd1:
VAR2[0:31]<={{1{VAR5[0]}},VAR5[0:30]};
5'd2:
VAR2[0:31]<={{2{VAR5[0]}},VAR5[0:29]};
5'd3:
VAR2[0:31]<={{3{VAR5[0]}},VAR5[0:28]};
5'd4:
VAR2[0:31]<={{4{VAR5[0]}},VAR5[0:27]};
5'd5:
VAR2[0:31]<={{5{VAR5[0]}},VAR5[0:26]};
5'd6:
VAR2[0:31]<={{6{VAR5[0]}},VAR5[0:25]};
5'd7:
VAR2[0:31]<={{7{VAR5[0]}},VAR5[0:24]};
5'd8:
VAR2[0:31]<={{8{VAR5[0]}},VAR5[0:23]};
5'd9:
VAR2[0:31]<={{9{VAR5[0]}},VAR5[0:22]};
5'd10:
VAR2[0:31]<={{10{VAR5[0]}},VAR5[0:21]};
5'd11:
VAR2[0:31]<={{11{VAR5[0]}},VAR5[0:20]};
5'd12:
VAR2[0:31]<={{12{VAR5[0]}},VAR5[0:19]};
5'd13:
VAR2[0:31]<={{13{VAR5[0]}},VAR5[0:18]};
5'd14:
VAR2[0:31]<={{14{VAR5[0]}},VAR5[0:17]};
5'd15:
VAR2[0:31]<={{15{VAR5[0]}},VAR5[0:16]};
5'd16:
VAR2[0:31]<={{16{VAR5[0]}},VAR5[0:15]};
5'd17:
VAR2[0:31]<={{17{VAR5[0]}},VAR5[0:14]};
5'd18:
VAR2[0:31]<={{18{VAR5[0]}},VAR5[0:13]};
5'd19:
VAR2[0:31]<={{19{VAR5[0]}},VAR5[0:12]};
5'd20:
VAR2[0:31]<={{20{VAR5[0]}},VAR5[0:11]};
5'd21:
VAR2[0:31]<={{21{VAR5[0]}},VAR5[0:10]};
5'd22:
VAR2[0:31]<={{22{VAR5[0]}},VAR5[0:9]};
5'd23:
VAR2[0:31]<={{23{VAR5[0]}},VAR5[0:8]};
5'd24:
VAR2[0:31]<={{24{VAR5[0]}},VAR5[0:7]};
5'd25:
VAR2[0:31]<={{25{VAR5[0]}},VAR5[0:6]};
5'd26:
VAR2[0:31]<={{26{VAR5[0]}},VAR5[0:5]};
5'd27:
VAR2[0:31]<={{27{VAR5[0]}},VAR5[0:4]};
5'd28:
VAR2[0:31]<={{28{VAR5[0]}},VAR5[0:3]};
5'd29:
VAR2[0:31]<={{29{VAR5[0]}},VAR5[0:2]};
5'd30:
VAR2[0:31]<={{30{VAR5[0]}},VAR5[0:1]};
5'd31:
VAR2[0:31]<={{31{VAR5[0]}},VAR5[0]};
endcase
case(VAR7[59:63])
5'd0:
VAR2[32:63]<=VAR5[32:63];
5'd1:
VAR2[32:63]<={{1{VAR5[32]}},VAR5[32:62]};
5'd2:
VAR2[32:63]<={{2{VAR5[32]}},VAR5[32:61]};
5'd3:
VAR2[32:63]<={{3{VAR5[32]}},VAR5[32:60]};
5'd4:
VAR2[32:63]<={{4{VAR5[32]}},VAR5[32:59]};
5'd5:
VAR2[32:63]<={{5{VAR5[32]}},VAR5[32:58]};
5'd6:
VAR2[32:63]<={{6{VAR5[32]}},VAR5[32:57]};
5'd7:
VAR2[32:63]<={{7{VAR5[32]}},VAR5[32:56]};
5'd8:
VAR2[32:63]<={{8{VAR5[32]}},VAR5[32:55]};
5'd9:
VAR2[32:63]<={{9{VAR5[32]}},VAR5[32:54]};
5'd10:
VAR2[32:63]<={{10{VAR5[32]}},VAR5[32:53]};
5'd11:
VAR2[32:63]<={{11{VAR5[32]}},VAR5[32:52]};
5'd12:
VAR2[32:63]<={{12{VAR5[32]}},VAR5[32:51]};
5'd13:
VAR2[32:63]<={{13{VAR5[32]}},VAR5[32:50]};
5'd14:
VAR2[32:63]<={{14{VAR5[32]}},VAR5[32:49]};
5'd15:
VAR2[32:63]<={{15{VAR5[32]}},VAR5[32:48]};
5'd16:
VAR2[32:63]<={{16{VAR5[32]}},VAR5[32:47]};
5'd17:
VAR2[32:63]<={{17{VAR5[32]}},VAR5[32:46]};
5'd18:
VAR2[32:63]<={{18{VAR5[32]}},VAR5[32:45]};
5'd19:
VAR2[32:63]<={{19{VAR5[32]}},VAR5[32:44]};
5'd20:
VAR2[32:63]<={{20{VAR5[32]}},VAR5[32:43]};
5'd21:
VAR2[32:63]<={{21{VAR5[32]}},VAR5[32:42]};
5'd22:
VAR2[32:63]<={{22{VAR5[32]}},VAR5[32:41]};
5'd23:
VAR2[32:63]<={{23{VAR5[32]}},VAR5[32:40]};
5'd24:
VAR2[32:63]<={{24{VAR5[32]}},VAR5[32:39]};
5'd25:
VAR2[32:63]<={{25{VAR5[32]}},VAR5[32:38]};
5'd26:
VAR2[32:63]<={{26{VAR5[32]}},VAR5[32:37]};
5'd27:
VAR2[32:63]<={{27{VAR5[32]}},VAR5[32:36]};
5'd28:
VAR2[32:63]<={{28{VAR5[32]}},VAR5[32:35]};
5'd29:
VAR2[32:63]<={{29{VAR5[32]}},VAR5[32:34]};
5'd30:
VAR2[32:63]<={{30{VAR5[32]}},VAR5[32:33]};
5'd31:
VAR2[32:63]<={{31{VAR5[32]}},VAR5[32]};
endcase
case(VAR7[91:95])
5'd0:
VAR2[64:95]<=VAR5[64:95];
5'd1:
VAR2[64:95]<={{1{VAR5[64]}},VAR5[64:94]};
5'd2:
VAR2[64:95]<={{2{VAR5[64]}},VAR5[64:93]};
5'd3:
VAR2[64:95]<={{3{VAR5[64]}},VAR5[64:92]};
5'd4:
VAR2[64:95]<={{4{VAR5[64]}},VAR5[64:91]};
5'd5:
VAR2[64:95]<={{5{VAR5[64]}},VAR5[64:90]};
5'd6:
VAR2[64:95]<={{6{VAR5[64]}},VAR5[64:89]};
5'd7:
VAR2[64:95]<={{7{VAR5[64]}},VAR5[64:88]};
5'd8:
VAR2[64:95]<={{8{VAR5[64]}},VAR5[64:87]};
5'd9:
VAR2[64:95]<={{9{VAR5[64]}},VAR5[64:86]};
5'd10:
VAR2[64:95]<={{10{VAR5[64]}},VAR5[64:85]};
5'd11:
VAR2[64:95]<={{11{VAR5[64]}},VAR5[64:84]};
5'd12:
VAR2[64:95]<={{12{VAR5[64]}},VAR5[64:83]};
5'd13:
VAR2[64:95]<={{13{VAR5[64]}},VAR5[64:82]};
5'd14:
VAR2[64:95]<={{14{VAR5[64]}},VAR5[64:81]};
5'd15:
VAR2[64:95]<={{15{VAR5[64]}},VAR5[64:80]};
5'd16:
VAR2[64:95]<={{16{VAR5[64]}},VAR5[64:79]};
5'd17:
VAR2[64:95]<={{17{VAR5[64]}},VAR5[64:78]};
5'd18:
VAR2[64:95]<={{18{VAR5[64]}},VAR5[64:77]};
5'd19:
VAR2[64:95]<={{19{VAR5[64]}},VAR5[64:76]};
5'd20:
VAR2[64:95]<={{20{VAR5[64]}},VAR5[64:75]};
5'd21:
VAR2[64:95]<={{21{VAR5[64]}},VAR5[64:74]};
5'd22:
VAR2[64:95]<={{22{VAR5[64]}},VAR5[64:73]};
5'd23:
VAR2[64:95]<={{23{VAR5[64]}},VAR5[64:72]};
5'd24:
VAR2[64:95]<={{24{VAR5[64]}},VAR5[64:71]};
5'd25:
VAR2[64:95]<={{25{VAR5[64]}},VAR5[64:70]};
5'd26:
VAR2[64:95]<={{26{VAR5[64]}},VAR5[64:69]};
5'd27:
VAR2[64:95]<={{27{VAR5[64]}},VAR5[64:68]};
5'd28:
VAR2[64:95]<={{28{VAR5[64]}},VAR5[64:67]};
5'd29:
VAR2[64:95]<={{29{VAR5[64]}},VAR5[64:66]};
5'd30:
VAR2[64:95]<={{30{VAR5[64]}},VAR5[64:65]};
5'd31:
VAR2[64:95]<={{31{VAR5[64]}},VAR5[64]};
endcase
case(VAR7[123:127])
5'd0:
VAR2[96:127]<=VAR5[96:127];
5'd1:
VAR2[96:127]<={{1{VAR5[96]}},VAR5[96:126]};
5'd2:
VAR2[96:127]<={{2{VAR5[96]}},VAR5[96:125]};
5'd3:
VAR2[96:127]<={{3{VAR5[96]}},VAR5[96:124]};
5'd4:
VAR2[96:127]<={{4{VAR5[96]}},VAR5[96:123]};
5'd5:
VAR2[96:127]<={{5{VAR5[96]}},VAR5[96:122]};
5'd6:
VAR2[96:127]<={{6{VAR5[96]}},VAR5[96:121]};
5'd7:
VAR2[96:127]<={{7{VAR5[96]}},VAR5[96:120]};
5'd8:
VAR2[96:127]<={{8{VAR5[96]}},VAR5[96:119]};
5'd9:
VAR2[96:127]<={{9{VAR5[96]}},VAR5[96:118]};
5'd10:
VAR2[96:127]<={{10{VAR5[96]}},VAR5[96:117]};
5'd11:
VAR2[96:127]<={{11{VAR5[96]}},VAR5[96:116]};
5'd12:
VAR2[96:127]<={{12{VAR5[96]}},VAR5[96:115]};
5'd13:
VAR2[96:127]<={{13{VAR5[96]}},VAR5[96:114]};
5'd14:
VAR2[96:127]<={{14{VAR5[96]}},VAR5[96:113]};
5'd15:
VAR2[96:127]<={{15{VAR5[96]}},VAR5[96:112]};
5'd16:
VAR2[96:127]<={{16{VAR5[96]}},VAR5[96:111]};
5'd17:
VAR2[96:127]<={{17{VAR5[96]}},VAR5[96:110]};
5'd18:
VAR2[96:127]<={{18{VAR5[96]}},VAR5[96:109]};
5'd19:
VAR2[96:127]<={{19{VAR5[96]}},VAR5[96:108]};
5'd20:
VAR2[96:127]<={{20{VAR5[96]}},VAR5[96:107]};
5'd21:
VAR2[96:127]<={{21{VAR5[96]}},VAR5[96:106]};
5'd22:
VAR2[96:127]<={{22{VAR5[96]}},VAR5[96:105]};
5'd23:
VAR2[96:127]<={{23{VAR5[96]}},VAR5[96:104]};
5'd24:
VAR2[96:127]<={{24{VAR5[96]}},VAR5[96:103]};
5'd25:
VAR2[96:127]<={{25{VAR5[96]}},VAR5[96:102]};
5'd26:
VAR2[96:127]<={{26{VAR5[96]}},VAR5[96:101]};
5'd27:
VAR2[96:127]<={{27{VAR5[96]}},VAR5[96:100]};
5'd28:
VAR2[96:127]<={{28{VAR5[96]}},VAR5[96:99]};
5'd29:
VAR2[96:127]<={{29{VAR5[96]}},VAR5[96:98]};
5'd30:
VAR2[96:127]<={{30{VAR5[96]}},VAR5[96:97]};
5'd31:
VAR2[96:127]<={{31{VAR5[96]}},VAR5[96]};
endcase
end
endcase
end
default:
begin
VAR2<=128'd0;
end
endcase
end
endmodule
|
mit
|
osrf/wandrr
|
firmware/motor_controller/fpga/sigma_delta.v
| 4,487 |
module MODULE1
(input VAR45, input VAR73, input VAR48, input VAR77,
output [15:0] VAR22, output VAR69,
output [15:0] VAR33, output VAR71);
wire VAR67; sync VAR7(.in(VAR73), .clk(VAR45), .out(VAR67));
wire VAR47;
sync VAR55(.in(VAR77), .clk(VAR45), .out(VAR47));
localparam VAR2 = 16;
localparam VAR46 = 32;
wire [VAR2-1:0] VAR66, VAR28, VAR10; VAR38 #(VAR2) VAR16(.VAR48(VAR45), .rst(1'b0), .en(1'b1), .VAR33(VAR66 + VAR67), .VAR21(VAR66));
VAR38 #(VAR2) VAR63(.VAR48(VAR45), .rst(1'b0), .en(1'b1), .VAR33(VAR66 + VAR28), .VAR21(VAR28));
VAR38 #(VAR2) VAR42(.VAR48(VAR45), .rst(1'b0), .en(1'b1), .VAR33(VAR28 + VAR10), .VAR21(VAR10));
wire VAR61;
wire [7:0] VAR15;
VAR38 #(8) VAR59
(.VAR48(VAR45), .rst(VAR61), .en(1'b1), .VAR33(VAR15 + 1'b1), .VAR21(VAR15));
assign VAR61 = VAR15 == VAR46-1;
wire [VAR2-1:0] VAR9; VAR38 #(VAR2) VAR58(.VAR48(VAR45), .rst(1'b0), .en(VAR61), .VAR33(VAR10), .VAR21(VAR9));
wire [VAR2-1:0] VAR3, VAR18, VAR31;
wire [VAR2-1:0] VAR56 = VAR9 - VAR3;
wire [VAR2-1:0] VAR12 = VAR56 - VAR18;
wire [VAR2-1:0] VAR43 = VAR12 - VAR31;
VAR38 #(VAR2) VAR30(.VAR48(VAR45), .rst(1'b0), .en(VAR61), .VAR33(VAR9), .VAR21(VAR3));
VAR38 #(VAR2) VAR27(.VAR48(VAR45), .rst(1'b0), .en(VAR61), .VAR33(VAR56), .VAR21(VAR18));
VAR38 #(VAR2) VAR25(.VAR48(VAR45), .rst(1'b0), .en(VAR61), .VAR33(VAR12), .VAR21(VAR31));
wire VAR8;
VAR18 VAR44(.VAR48(VAR45), .VAR33(VAR61), .VAR21(VAR8));
wire [15:0] VAR72; wire VAR53;
VAR18 #(16) VAR24(.VAR48(VAR45),
.VAR33(VAR47 ? 16'd32767 - VAR43 : VAR43),
.VAR21(VAR72));
VAR18 VAR75(.VAR48(VAR45), .VAR33(VAR8), .VAR21(VAR53));
sync #(16) VAR40(.in(VAR72), .clk(VAR48), .out(VAR22));
wire VAR50; sync #(.VAR2(1), .VAR70(3)) VAR41(.in(VAR53), .clk(VAR48), .out(VAR50));
wire VAR23;
VAR18 VAR64(.VAR48(VAR48), .VAR33(VAR50), .VAR21(VAR23));
assign VAR69 = VAR50 & ~VAR23;
wire [4:0] VAR4;
VAR38 #(5) VAR1
(.VAR48(VAR45), .en(VAR53), .rst(1'b0),
.VAR33(VAR4 + 1'b1), .VAR21(VAR4));
wire VAR29;
VAR18 VAR57(.VAR48(VAR45), .VAR33(VAR53), .VAR21(VAR29));
wire [21:0] VAR17, VAR13;
wire VAR11, VAR26;
VAR38 #(22) VAR20
(.VAR48(VAR45), .VAR33(VAR17), .rst(VAR26), .en(VAR53), .VAR21(VAR13));
assign VAR17 = VAR13 + {5'h0, VAR22};
assign VAR26 = VAR4 == 5'h00 & VAR29;
wire [21:0] VAR65;
VAR38 #(22) VAR68
(.VAR48(VAR45), .rst(1'b0), .en(VAR26), .VAR33(VAR13), .VAR21(VAR65));
wire [15:0] VAR62 = VAR65[20:5]; wire VAR52;
VAR18 VAR14(.VAR48(VAR45), .VAR33(VAR26), .VAR21(VAR52));
sync #(16) VAR76(.in(VAR62), .clk(VAR48), .out(VAR33));
wire VAR49; sync #(.VAR2(1), .VAR70(3)) VAR35(.in(VAR52), .clk(VAR48), .out(VAR49));
wire VAR37;
VAR18 VAR32(.VAR48(VAR48), .VAR33(VAR49), .VAR21(VAR37));
assign VAR71 = VAR49 & ~VAR37;
endmodule
module MODULE2();
wire VAR48, VAR45;
VAR74 #(20) VAR19(VAR45);
VAR74 #(125) VAR36(VAR48);
wire [2:0] VAR51, VAR6;
wire [15:0] VAR60;
reg [1:0] VAR39 [3999:0];
wire VAR54;
reg VAR73;
reg VAR77;
MODULE1 MODULE1
(.VAR45(VAR45), .VAR48(VAR48), .VAR77(VAR77), .VAR73(VAR73), .VAR33(VAR60), .VAR71(VAR54));
integer VAR34;
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a222oi/sky130_fd_sc_ms__a222oi.behavioral.pp.v
| 2,413 |
module MODULE1 (
VAR18 ,
VAR8 ,
VAR21 ,
VAR5 ,
VAR23 ,
VAR13 ,
VAR16 ,
VAR2,
VAR11,
VAR9 ,
VAR1
);
output VAR18 ;
input VAR8 ;
input VAR21 ;
input VAR5 ;
input VAR23 ;
input VAR13 ;
input VAR16 ;
input VAR2;
input VAR11;
input VAR9 ;
input VAR1 ;
wire VAR14 ;
wire VAR22 ;
wire VAR15 ;
wire VAR7 ;
wire VAR4;
nand VAR20 (VAR14 , VAR21, VAR8 );
nand VAR19 (VAR22 , VAR23, VAR5 );
nand VAR17 (VAR15 , VAR16, VAR13 );
and VAR3 (VAR7 , VAR14, VAR22, VAR15);
VAR10 VAR6 (VAR4, VAR7, VAR2, VAR11 );
buf VAR12 (VAR18 , VAR4 );
endmodule
|
apache-2.0
|
davidkoltak/tawas-core
|
ip/tawas/rtl/tawas_rcn_master_buf.v
| 2,282 |
module MODULE1
(
input rst,
input clk,
input [68:0] VAR19,
output [68:0] VAR26,
input VAR4,
input [4:0] VAR9,
input wr,
input [3:0] VAR23,
input [23:0] addr,
input [31:0] VAR3,
output VAR5,
output VAR24,
output VAR25,
output [4:0] VAR2,
output [3:0] VAR11,
output [23:0] VAR17,
output [31:0] VAR1
);
parameter VAR22 = 0;
parameter VAR16 = 16;
reg [63:0] VAR13[(VAR16 - 1):0];
reg [5:0] VAR7;
reg [5:0] VAR18;
reg [6:0] VAR14;
assign VAR5 = (VAR14 >= (VAR16 - 5));
wire VAR6 = VAR4 && (VAR14 != VAR16);
wire VAR8;
always @ (posedge clk or posedge rst)
if (rst)
VAR14 <= 7'd0;
else
case ({VAR6, VAR8})
2'b10: VAR14 <= VAR14 + 7'd1;
2'd01: VAR14 <= VAR14 - 7'd1;
default: ;
endcase
always @ (posedge clk or posedge rst)
if (rst)
VAR7 <= 6'd0;
else if (VAR6)
VAR7 <= (VAR7 == (VAR16 - 1)) ? 6'd0 : VAR7 + 6'd1;
always @ (posedge clk or posedge rst)
if (rst)
VAR18 <= 6'd0;
else if (VAR8)
VAR18 <= (VAR18 == (VAR16 - 1)) ? 6'd0 : VAR18 + 6'd1;
always @ (posedge clk)
if (VAR6)
VAR13[VAR7] <= {VAR9, wr, VAR23[3:0], addr[23:2], VAR3[31:0]};
wire VAR21 = (VAR14 != 0);
wire [63:0] req = VAR13[VAR18][63:0];
wire VAR12;
assign VAR8 = VAR21 && !VAR12;
VAR15 #(.VAR22(VAR22)) VAR20
(
.rst(rst),
.clk(clk),
.VAR19(VAR19),
.VAR26(VAR26),
.VAR4(VAR21),
.VAR9(req[63:59]),
.VAR10(VAR12),
.wr(req[58]),
.VAR23(req[57:54]),
.addr({req[53:32], 2'd0}),
.VAR3(req[31:0]),
.VAR24(VAR24),
.VAR25(VAR25),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR17(VAR17),
.VAR1(VAR1)
);
endmodule
|
mit
|
DeadWitcher/amber-de0-nano
|
hw/vlog/ethmac/eth_registers.v
| 36,422 |
module MODULE1( VAR185, VAR126, VAR131, VAR77, VAR247, VAR176, VAR22,
VAR233, VAR279, VAR53, VAR284, VAR86,
VAR26, VAR40, VAR28, VAR234, VAR107,
VAR48, VAR248, VAR29, VAR110, VAR70, VAR121,
VAR249, VAR33, VAR242, VAR112, VAR196,
VAR186, VAR65, VAR94, VAR276, VAR166, VAR105,
VAR67, VAR173, VAR221, VAR42,
VAR147, VAR201, VAR78, VAR93, VAR200,
VAR193, VAR288, VAR177, VAR115, VAR238,
VAR162, VAR140, VAR58, VAR91,
VAR204, VAR188, VAR236, VAR10,
VAR63, VAR85, VAR203, VAR251, VAR295, VAR31,
VAR169, VAR153, VAR127, VAR293
);
parameter VAR239 = 1;
input [31:0] VAR185;
input [7:0] VAR126;
input VAR131;
input [3:0] VAR77;
input VAR247;
input VAR176;
input VAR58;
input VAR91;
input VAR204;
input [15:0] VAR188;
output [31:0] VAR22;
reg [31:0] VAR22;
output VAR233;
output VAR279;
output VAR53;
output VAR284;
output VAR86;
output VAR26;
output VAR40;
output VAR28;
output VAR234;
output VAR107;
output VAR48;
output VAR248;
output VAR29;
output VAR110;
output VAR70;
output VAR121;
output [31:0] VAR63;
output [31:0] VAR85;
input VAR249;
input VAR33;
input VAR242;
input VAR112;
input VAR196;
output [6:0] VAR186;
output [6:0] VAR65;
output [6:0] VAR94;
output [15:0] VAR276;
output [15:0] VAR166;
output [3:0] VAR105;
output [5:0] VAR67;
output VAR173;
output VAR221;
output VAR42;
output VAR147;
output [7:0] VAR201;
output VAR78;
output VAR93;
output VAR200;
output [4:0] VAR193;
output [4:0] VAR288;
output [15:0]VAR177;
input VAR115;
input VAR238;
input VAR162;
output [47:0]VAR140;
output [7:0] VAR236;
output VAR10;
output [15:0]VAR203;
output VAR251;
input VAR295;
input VAR31;
input VAR169;
input VAR153;
input VAR127;
input VAR293;
reg VAR12;
reg VAR16;
reg VAR83;
reg VAR165;
reg VAR18;
reg VAR291;
reg VAR297;
reg VAR172;
reg VAR217, VAR256, VAR267;
reg VAR120;
reg VAR170, VAR14;
reg VAR68;
reg VAR30, VAR202, VAR253;
reg VAR222;
reg VAR39;
reg VAR141;
reg VAR113;
wire [3:0] VAR270 = VAR77 & {4{VAR131}};
wire VAR142 = (|VAR77) & ~VAR131;
wire VAR98 = (VAR126 == VAR198 );
wire VAR145 = (VAR126 == VAR268 );
wire VAR214 = (VAR126 == VAR219 );
wire VAR229 = (VAR126 == VAR286 );
wire VAR45 = (VAR126 == VAR111 );
wire VAR171 = (VAR126 == VAR155 );
wire VAR54 = (VAR126 == VAR175 );
wire VAR159 = (VAR126 == VAR240 );
wire VAR220 = (VAR126 == VAR216 );
wire VAR183 = (VAR126 == VAR174 );
wire VAR32 = (VAR126 == VAR13 );
wire VAR205 = (VAR126 == VAR223 );
wire VAR73 = (VAR126 == VAR244 );
wire VAR191 = (VAR126 == VAR289 );
wire VAR285 = (VAR126 == VAR259 );
wire VAR181 = (VAR126 == VAR9 );
wire VAR269 = (VAR126 == VAR57 );
wire VAR23 = (VAR126 == VAR56 );
wire VAR164 = (VAR126 == VAR44 );
wire VAR232 = (VAR126 == VAR213 );
wire [2:0] VAR277;
wire [0:0] VAR266;
wire [0:0] VAR272;
wire [0:0] VAR148;
wire [0:0] VAR210;
wire [0:0] VAR19;
wire [3:0] VAR109;
wire [2:0] VAR114;
wire [0:0] VAR160;
wire [1:0] VAR258;
wire [0:0] VAR134;
wire [1:0] VAR25;
wire [1:0] VAR227;
wire VAR178;
wire [3:0] VAR61;
wire [1:0] VAR43;
wire [3:0] VAR79;
wire [3:0] VAR62;
wire [2:0] VAR118;
wire [0:0] VAR89;
assign VAR277[0] = VAR270[0] & VAR98;
assign VAR277[1] = VAR270[1] & VAR98;
assign VAR277[2] = VAR270[2] & VAR98;
assign VAR266[0] = VAR270[0] & VAR145;
assign VAR272[0] = VAR270[0] & VAR214;
assign VAR148[0] = VAR270[0] & VAR229;
assign VAR210[0] = VAR270[0] & VAR45;
assign VAR19[0] = VAR270[0] & VAR171;
assign VAR109[0] = VAR270[0] & VAR54;
assign VAR109[1] = VAR270[1] & VAR54;
assign VAR109[2] = VAR270[2] & VAR54;
assign VAR109[3] = VAR270[3] & VAR54;
assign VAR114[0] = VAR270[0] & VAR159;
assign VAR114[1] = 1'b0; assign VAR114[2] = VAR270[2] & VAR159;
assign VAR160[0] = VAR270[0] & VAR220;
assign VAR258[0] = VAR270[0] & VAR183;
assign VAR258[1] = VAR270[1] & VAR183;
assign VAR134[0] = VAR270[0] & VAR32;
assign VAR25[0] = VAR270[0] & VAR205;
assign VAR25[1] = VAR270[1] & VAR205;
assign VAR227[0] = VAR270[0] & VAR73;
assign VAR227[1] = VAR270[1] & VAR73;
assign VAR178 = VAR204;
assign VAR61[0] = VAR270[0] & VAR191;
assign VAR61[1] = VAR270[1] & VAR191;
assign VAR61[2] = VAR270[2] & VAR191;
assign VAR61[3] = VAR270[3] & VAR191;
assign VAR43[0] = VAR270[0] & VAR285;
assign VAR43[1] = VAR270[1] & VAR285;
assign VAR79[0] = VAR270[0] & VAR181;
assign VAR79[1] = VAR270[1] & VAR181;
assign VAR79[2] = VAR270[2] & VAR181;
assign VAR79[3] = VAR270[3] & VAR181;
assign VAR62[0] = VAR270[0] & VAR269;
assign VAR62[1] = VAR270[1] & VAR269;
assign VAR62[2] = VAR270[2] & VAR269;
assign VAR62[3] = VAR270[3] & VAR269;
assign VAR118[0] = VAR270[0] & VAR23;
assign VAR118[1] = VAR270[1] & VAR23;
assign VAR118[2] = VAR270[2] & VAR23;
assign VAR89[0] = VAR270[0] & VAR232 & (VAR185<='h80);
wire [31:0] VAR254;
wire [31:0] VAR231;
wire [31:0] VAR252;
wire [31:0] VAR60;
wire [31:0] VAR139;
wire [31:0] VAR237;
wire [31:0] VAR66;
wire [31:0] VAR137;
wire [31:0] VAR116;
wire [31:0] VAR215;
wire [31:0] VAR278;
wire [31:0] VAR167;
wire [31:0] VAR224;
wire [31:0] VAR108;
wire [31:0] VAR197;
wire [31:0] VAR81;
wire [31:0] VAR123;
wire [31:0] VAR190;
wire [31:0] VAR82;
wire [31:0] VAR199;
wire [31:0] VAR161;
VAR287 #(VAR156, VAR124) VAR119
(
.VAR185 (VAR185[VAR156 - 1:0]),
.VAR22 (VAR254[VAR156 - 1:0]),
.VAR270 (VAR277[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR146, VAR230) VAR264
(
.VAR185 (VAR185[VAR146 + 7:8]),
.VAR22 (VAR254[VAR146 + 7:8]),
.VAR270 (VAR277[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR143, VAR290) VAR281
(
.VAR185 (VAR185[VAR143 + 15:16]),
.VAR22 (VAR254[VAR143 + 15:16]),
.VAR270 (VAR277[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR254[31:VAR143 + 16] = 0;
VAR287 #(VAR27, VAR280) VAR184
(
.VAR185 (VAR185[VAR27 - 1:0]),
.VAR22 (VAR252[VAR27 - 1:0]),
.VAR270 (VAR272[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR252[31:VAR27] = 0;
VAR287 #(VAR46, VAR212) VAR3
(
.VAR185 (VAR185[VAR46 - 1:0]),
.VAR22 (VAR60[VAR46 - 1:0]),
.VAR270 (VAR148[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR60[31:VAR46] = 0;
VAR287 #(VAR208, VAR218) VAR260
(
.VAR185 (VAR185[VAR208 - 1:0]),
.VAR22 (VAR139[VAR208 - 1:0]),
.VAR270 (VAR210[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR139[31:VAR208] = 0;
VAR287 #(VAR192, VAR24) VAR76
(
.VAR185 (VAR185[VAR192 - 1:0]),
.VAR22 (VAR237[VAR192 - 1:0]),
.VAR270 (VAR19[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR237[31:VAR192] = 0;
VAR287 #(VAR144, VAR59) VAR250
(
.VAR185 (VAR185[VAR144 - 1:0]),
.VAR22 (VAR66[VAR144 - 1:0]),
.VAR270 (VAR109[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR273, VAR6) VAR117
(
.VAR185 (VAR185[VAR273 + 7:8]),
.VAR22 (VAR66[VAR273 + 7:8]),
.VAR270 (VAR109[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR225, VAR187) VAR104
(
.VAR185 (VAR185[VAR225 + 15:16]),
.VAR22 (VAR66[VAR225 + 15:16]),
.VAR270 (VAR109[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR282, VAR132) VAR49
(
.VAR185 (VAR185[VAR282 + 23:24]),
.VAR22 (VAR66[VAR282 + 23:24]),
.VAR270 (VAR109[3]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR130, VAR101) VAR50
(
.VAR185 (VAR185[VAR130 - 1:0]),
.VAR22 (VAR137[VAR130 - 1:0]),
.VAR270 (VAR114[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR75, VAR157) VAR194
(
.VAR185 (VAR185[VAR75 + 15:16]),
.VAR22 (VAR137[VAR75 + 15:16]),
.VAR270 (VAR114[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR137[15:VAR130] = 0;
assign VAR137[31:VAR75 + 16] = 0;
VAR287 #(VAR100, VAR189) VAR21
(
.VAR185 (VAR185[VAR100 - 1:0]),
.VAR22 (VAR190[VAR100 - 1:0]),
.VAR270 (VAR89[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR190[31:VAR100] = 0;
VAR287 #(VAR257, VAR226) VAR168
(
.VAR185 (VAR185[VAR257 - 1:0]),
.VAR22 (VAR116[VAR257 - 1:0]),
.VAR270 (VAR160[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR116[31:VAR257] = 0;
VAR287 #(VAR245, VAR283) VAR8
(
.VAR185 (VAR185[VAR245 - 1:0]),
.VAR22 (VAR215[VAR245 - 1:0]),
.VAR270 (VAR258[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR84, VAR47) VAR71
(
.VAR185 (VAR185[VAR84 + 7:8]),
.VAR22 (VAR215[VAR84 + 7:8]),
.VAR270 (VAR258[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR215[31:VAR84 + 8] = 0;
VAR287 #(1, 0) VAR34
(
.VAR185 (VAR185[0]),
.VAR22 (VAR278[0]),
.VAR270 (VAR134[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(1, 0) VAR17
(
.VAR185 (VAR185[1]),
.VAR22 (VAR278[1]),
.VAR270 (VAR134[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (VAR91)
);
VAR287 #(1, 0) VAR129
(
.VAR185 (VAR185[2]),
.VAR22 (VAR278[2]),
.VAR270 (VAR134[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (VAR58)
);
assign VAR278[31:VAR158] = 29'h0;
VAR287 #(VAR294, VAR5) VAR138
(
.VAR185 (VAR185[VAR294 - 1:0]),
.VAR22 (VAR167[VAR294 - 1:0]),
.VAR270 (VAR25[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR4, VAR135) VAR133
(
.VAR185 (VAR185[VAR4 + 7:8]),
.VAR22 (VAR167[VAR4 + 7:8]),
.VAR270 (VAR25[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR167[7:VAR294] = 0;
assign VAR167[31:VAR4 + 8] = 0;
VAR287 #(VAR92, VAR243) VAR52
(
.VAR185 (VAR185[VAR92 - 1:0]),
.VAR22 (VAR224[VAR92 - 1:0]),
.VAR270 (VAR227[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR37, VAR15) VAR1
(
.VAR185 (VAR185[VAR37 + 7:8]),
.VAR22 (VAR224[VAR37 + 7:8]),
.VAR270 (VAR227[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR224[31:VAR37 + 8] = 0;
VAR287 #(VAR152, VAR103) VAR271
(
.VAR185 (VAR188[VAR152-1:0]),
.VAR22 (VAR108[VAR152-1:0]),
.VAR270 (VAR178), .VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR108[31:VAR152] = 0;
VAR287 #(VAR180, VAR2) VAR235
(
.VAR185 (VAR185[VAR180 - 1:0]),
.VAR22 (VAR81[VAR180 - 1:0]),
.VAR270 (VAR61[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR69, VAR11) VAR195
(
.VAR185 (VAR185[VAR69 + 7:8]),
.VAR22 (VAR81[VAR69 + 7:8]),
.VAR270 (VAR61[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR36, VAR41) VAR97
(
.VAR185 (VAR185[VAR36 + 15:16]),
.VAR22 (VAR81[VAR36 + 15:16]),
.VAR270 (VAR61[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR95, VAR136) VAR296
(
.VAR185 (VAR185[VAR95 + 23:24]),
.VAR22 (VAR81[VAR95 + 23:24]),
.VAR270 (VAR61[3]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR88, VAR179) VAR72
(
.VAR185 (VAR185[VAR88 - 1:0]),
.VAR22 (VAR123[VAR88 - 1:0]),
.VAR270 (VAR43[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR51, VAR7) VAR265
(
.VAR185 (VAR185[VAR51 + 7:8]),
.VAR22 (VAR123[VAR51 + 7:8]),
.VAR270 (VAR43[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
assign VAR123[31:VAR51 + 8] = 0;
VAR287 #(VAR125, VAR263) VAR206
(
.VAR185 (VAR185[VAR125 - 1:0]),
.VAR22 (VAR82[VAR125 - 1:0]),
.VAR270 (VAR79[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR228, VAR163) VAR211
(
.VAR185 (VAR185[VAR228 + 7:8]),
.VAR22 (VAR82[VAR228 + 7:8]),
.VAR270 (VAR79[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR102, VAR246) VAR87
(
.VAR185 (VAR185[VAR102 + 15:16]),
.VAR22 (VAR82[VAR102 + 15:16]),
.VAR270 (VAR79[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR255, VAR292) VAR38
(
.VAR185 (VAR185[VAR255 + 23:24]),
.VAR22 (VAR82[VAR255 + 23:24]),
.VAR270 (VAR79[3]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR106, VAR35) VAR209
(
.VAR185 (VAR185[VAR106 - 1:0]),
.VAR22 (VAR199[VAR106 - 1:0]),
.VAR270 (VAR62[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR262, VAR80) VAR99
(
.VAR185 (VAR185[VAR262 + 7:8]),
.VAR22 (VAR199[VAR262 + 7:8]),
.VAR270 (VAR62[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR55, VAR151) VAR64
(
.VAR185 (VAR185[VAR55 + 15:16]),
.VAR22 (VAR199[VAR55 + 15:16]),
.VAR270 (VAR62[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR261, VAR128) VAR150
(
.VAR185 (VAR185[VAR261 + 23:24]),
.VAR22 (VAR199[VAR261 + 23:24]),
.VAR270 (VAR62[3]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR74, VAR20) VAR122
(
.VAR185 (VAR185[VAR74 - 1:0]),
.VAR22 (VAR161[VAR74 - 1:0]),
.VAR270 (VAR118[0]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR149, VAR207) VAR274
(
.VAR185 (VAR185[VAR149 + 7:8]),
.VAR22 (VAR161[VAR149 + 7:8]),
.VAR270 (VAR118[1]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (1'b0)
);
VAR287 #(VAR154, VAR182) VAR275 (
.VAR185 (VAR185[VAR154 + 15:16]),
.VAR22 (VAR161[VAR154 + 15:16]),
.VAR270 (VAR118[2]),
.VAR247 (VAR247),
.VAR176 (VAR176),
.VAR96 (VAR295)
);
assign VAR161[31:VAR154 + 16] = 0;
always @ (VAR126 or VAR142 or VAR254 or VAR231 or
VAR252 or VAR60 or VAR139 or VAR237 or
VAR66 or VAR137 or VAR116 or VAR215 or
VAR278 or VAR167 or VAR224 or VAR108 or
VAR197 or VAR81 or VAR123 or VAR190 or
VAR82 or VAR199 or VAR161
)
begin
if(VAR142) begin
case(VAR126)
default: VAR22<=32'h0;
endcase
end
else
VAR22<=32'h0;
end
assign VAR233 = VAR254[16];
assign VAR279 = VAR254[15];
assign VAR53 = VAR254[14];
assign VAR284 = VAR254[13];
assign VAR86 = VAR254[12];
assign VAR26 = VAR254[10];
assign VAR40 = VAR254[9];
assign VAR28 = VAR254[8];
assign VAR234 = VAR254[7];
assign VAR107 = VAR254[6];
assign VAR48 = VAR254[5];
assign VAR248 = VAR254[4];
assign VAR29 = VAR254[3];
assign VAR110 = VAR254[2];
assign VAR70 = VAR254[1] & (VAR190>0); assign VAR121 = VAR254[0] & (VAR190<'h80);
assign VAR186[6:0] = VAR60[6:0];
assign VAR65[6:0] = VAR139[6:0];
assign VAR94[6:0] = VAR237[6:0];
assign VAR276[15:0] = VAR66[31:16];
assign VAR166[15:0] = VAR66[15:0];
assign VAR105[3:0] = VAR137[19:16];
assign VAR67[5:0] = VAR137[5:0];
assign VAR173 = VAR116[2];
assign VAR221 = VAR116[1];
assign VAR42 = VAR116[0];
assign VAR147 = VAR215[8];
assign VAR201[7:0] = VAR215[7:0];
assign VAR78 = VAR278[2];
assign VAR93 = VAR278[1];
assign VAR200 = VAR278[0];
assign VAR193[4:0] = VAR167[12:8];
assign VAR288[4:0] = VAR167[4:0];
assign VAR177[15:0] = VAR224[15:0];
assign VAR197[31:VAR90] = 0;
assign VAR197[2] = VAR115 ;
assign VAR197[1] = VAR238 ;
assign VAR197[0] = VAR162 ;
assign VAR140[31:0] = VAR81[31:0];
assign VAR140[47:32] = VAR123[15:0];
assign VAR85[31:0] = VAR199;
assign VAR63[31:0] = VAR82;
assign VAR236[7:0] = VAR190[7:0];
assign VAR203[15:0] = VAR161[15:0];
assign VAR251 = VAR161[16];
always @ (posedge VAR153 or posedge VAR176)
begin
if(VAR176)
VAR172 <=#VAR239 1'b0;
end
else
if(VAR31 & VAR169 & VAR173)
VAR172 <=#VAR239 1'b1;
else
if(VAR14)
VAR172 <=#VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR217 <=#VAR239 1'b0;
end
else
VAR217 <=#VAR239 VAR172;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR256 <=#VAR239 1'b0;
end
else
VAR256 <=#VAR239 VAR217;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR267 <=#VAR239 1'b0;
end
else
VAR267 <=#VAR239 VAR256;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR120 <=#VAR239 1'b0;
end
else
VAR120 <=#VAR239 VAR256 & ~VAR267;
end
always @ (posedge VAR153 or posedge VAR176)
begin
if(VAR176)
VAR170 <=#VAR239 1'b0;
end
else
VAR170 <=#VAR239 VAR256;
end
always @ (posedge VAR153 or posedge VAR176)
begin
if(VAR176)
VAR14 <=#VAR239 1'b0;
end
else
VAR14 <=#VAR239 VAR217;
end
always @ (posedge VAR127 or posedge VAR176)
begin
if(VAR176)
VAR68 <=#VAR239 1'b0;
end
else
if(VAR293 & VAR221)
VAR68 <=#VAR239 1'b1;
else
if(VAR141 & (~VAR113))
VAR68 <=#VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR30 <=#VAR239 1'b0;
end
else
VAR30 <=#VAR239 VAR68;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR202 <=#VAR239 1'b0;
end
else
VAR202 <=#VAR239 VAR30;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR253 <=#VAR239 1'b0;
end
else
VAR253 <=#VAR239 VAR202;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR222 <=#VAR239 1'b0;
end
else
VAR222 <=#VAR239 VAR202 & ~VAR253;
end
always @ (posedge VAR127 or posedge VAR176)
begin
if(VAR176)
VAR39 <=#VAR239 1'b0;
end
else
VAR39 <=#VAR239 VAR202;
end
always @ (posedge VAR127 or posedge VAR176)
begin
if(VAR176)
VAR141 <=#VAR239 1'b0;
end
else
VAR141 <=#VAR239 VAR39;
end
always @ (posedge VAR127 or posedge VAR176)
begin
if(VAR176)
VAR113 <=#VAR239 1'b0;
end
else
VAR113 <=#VAR239 VAR141;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR12 <= 1'b0;
end
else
if(VAR249)
VAR12 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[0])
VAR12 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR16 <= 1'b0;
end
else
if(VAR33)
VAR16 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[1])
VAR16 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR83 <= 1'b0;
end
else
if(VAR242)
VAR83 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[2])
VAR83 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR165 <= 1'b0;
end
else
if(VAR112)
VAR165 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[3])
VAR165 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR18 <= 1'b0;
end
else
if(VAR196)
VAR18 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[4])
VAR18 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR291 <= 1'b0;
end
else
if(VAR120)
VAR291 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[5])
VAR291 <= #VAR239 1'b0;
end
always @ (posedge VAR247 or posedge VAR176)
begin
if(VAR176)
VAR297 <= 1'b0;
end
else
if(VAR222)
VAR297 <= #VAR239 1'b1;
else
if(VAR266[0] & VAR185[6])
VAR297 <= #VAR239 1'b0;
end
assign VAR10 = VAR12 & VAR252[0] |
VAR16 & VAR252[1] |
VAR83 & VAR252[2] |
VAR165 & VAR252[3] |
VAR18 & VAR252[4] |
VAR291 & VAR252[5] |
VAR297 & VAR252[6] ;
assign VAR231 = {{(32-VAR241){1'b0}}, VAR297, VAR291, VAR18, VAR165, VAR83, VAR16, VAR12};
endmodule
|
lgpl-2.1
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai211/gf180mcu_fd_sc_mcu9t5v0__oai211_1.behavioral.pp.v
| 1,868 |
module MODULE1( VAR3, VAR6, VAR8, VAR2, VAR7, VAR5, VAR10 );
input VAR8, VAR3, VAR2, VAR7;
inout VAR5, VAR10;
output VAR6;
VAR4 VAR9(.VAR3(VAR3),.VAR6(VAR6),.VAR8(VAR8),.VAR2(VAR2),.VAR7(VAR7),.VAR5(VAR5),.VAR10(VAR10));
VAR4 VAR1(.VAR3(VAR3),.VAR6(VAR6),.VAR8(VAR8),.VAR2(VAR2),.VAR7(VAR7),.VAR5(VAR5),.VAR10(VAR10));
|
apache-2.0
|
alexforencich/hdg2000
|
fpga/lib/axis/rtl/axis_ll_bridge.v
| 2,422 |
module MODULE1 #
(
parameter VAR3 = 8
)
(
input wire clk,
input wire rst,
input wire [VAR3-1:0] VAR12,
input wire VAR9,
output wire VAR7,
input wire VAR8,
output wire [VAR3-1:0] VAR10,
output wire VAR6,
output wire VAR2,
output wire VAR11,
input wire VAR5
);
reg VAR4 = 1'b1;
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR4 = 1'b1;
end else begin
if (VAR9 & VAR7) VAR4 = VAR8;
end
end
wire VAR1 = VAR9 & VAR8 & VAR4;
assign VAR7 = ~VAR5;
assign VAR10 = VAR12;
assign VAR6 = ~(VAR4 & VAR9 & ~VAR1);
assign VAR2 = ~(VAR8 & ~VAR1);
assign VAR11 = ~(VAR9 & ~VAR1);
endmodule
|
mit
|
Obijuan/ACC
|
hw/roadmap/12-ACC2/ACC2.v
| 11,466 |
module MODULE2 (
input wire clk, input wire VAR58, input wire VAR51,
output wire VAR3, output wire VAR37,
output wire VAR6,
output wire VAR18,
output wire VAR66,
output wire VAR12,
output wire VAR27,
output wire VAR7 );
localparam VAR82 = 1'b1;
localparam VAR8 = 1'b0;
localparam VAR23 = 24; localparam VAR20 = 22; localparam VAR33 = 20;
localparam VAR34 = 3'b001; localparam VAR45 = 3'b011;
parameter VAR52 = "VAR19.VAR56";
localparam VAR4 = 12; localparam VAR63 = 16;
localparam VAR83 = 12'h800;
localparam VAR42 = 15'b0;
localparam VAR72 = 15'h0055;
localparam VAR35 = VAR82;
localparam VAR57 = VAR20;
wire [VAR63-1: 0] VAR31;
wire [VAR4-1:0] addr;
VAR62 #(
.VAR52(VAR52),
.VAR4(VAR4-1),
.VAR63(VAR63))
VAR60 (
.clk(clk),
.VAR50(addr[VAR4-1]), .addr(addr[VAR4-2:0]), .VAR69(VAR31)
);
assign addr = (VAR22 == 1) ? VAR64[11:0] : VAR40;
wire VAR36; wire VAR32; wire VAR59;
wire VAR61;
VAR67 #(
.VAR24(6'VAR41 101001),
.VAR17(1'VAR41 1)
) VAR16 (
.VAR1(VAR58),
.VAR71(VAR36)
);
VAR67 #(
.VAR24(6'VAR41 101001),
.VAR17(1'VAR41 1)
) VAR38 (
.VAR1(VAR51),
.VAR71(VAR32)
);
assign VAR59 = ~VAR36;
assign VAR61 = ~VAR32;
wire VAR14;
wire VAR68;
VAR21 VAR26 (
.clk(clk),
.VAR11(VAR59),
.VAR10(VAR14)
);
VAR21 VAR9 (
.clk(clk),
.VAR11(VAR61),
.VAR10(VAR68)
);
VAR21 VAR13 (
.clk(clk),
.VAR11(VAR39),
.VAR10(VAR76)
);
reg [VAR4-1: 0] VAR40 = VAR83;
always @(posedge clk) begin
if (VAR47)
VAR40 <= VAR55;
end
else
if (VAR81)
VAR40 <= VAR40 + 1;
end
reg [14:0] VAR64 = VAR42;
wire [2:0] VAR49 = VAR64[14:12]; wire [11:0] VAR55 = VAR64[11:0];
always @(posedge clk)
if (VAR84)
VAR64 <= VAR31[14:0];
reg [14:0] VAR30 = VAR72;
always @(posedge clk) begin
if (VAR25)
VAR30 <= VAR31[14:0];
end
assign {VAR27, VAR12, VAR66, VAR18, VAR6, VAR37, VAR3} = VAR30[6:0];
assign VAR7 = VAR2;
wire VAR39;
wire VAR76;
MODULE1 #(
.VAR54(VAR57)
) VAR48 (
.VAR73(clk),
.VAR28(1'b1),
.VAR43(VAR39)
);
reg VAR2 = VAR35;
always @(posedge clk) begin
if (VAR68)
VAR2 = ~VAR2;
end
wire VAR53 = (VAR2 == VAR82) ? VAR14 : VAR76;
localparam VAR77 = 0;
localparam VAR75 = 1;
localparam VAR78 = 2;
localparam VAR15 = 3;
localparam VAR46 = 4;
reg [2:0] state = VAR46;
reg [2:0] VAR44;
reg VAR84 = 0; reg VAR81 = 0; reg VAR47 = 0; reg VAR25 = 0; reg VAR22 = 0;
always @(posedge clk)
state <= VAR44;
always @ begin
VAR44 = state; VAR70 = 0;
out = 0;
case (state)
VAR29: begin
VAR70 = 0;
out = 0;
if (VAR11)
VAR44 = VAR79;
end
VAR79: begin
VAR70 = 1;
out = 0;
if (VAR39)
VAR44 = VAR74;
end
VAR74: begin
VAR70 = 0;
out = 1;
VAR44 = VAR80;
end
VAR80: begin
VAR70 = 1;
out = 0;
if (VAR39 && VAR11==0)
VAR44 = VAR29;
end
default: begin
end
endcase
end
assign VAR10 = out;
wire VAR39;
MODULE1 #(
.VAR54(16)
) VAR5 (
.VAR73(clk),
.VAR28(VAR70),
.VAR43(VAR39)
);
endmodule
module MODULE1(input wire VAR73,
input wire VAR28,
output wire VAR43);
parameter VAR54 = 22;
reg [VAR54-1:0] VAR65 = 0;
assign VAR43 = VAR65[VAR54-1];
always @(posedge(VAR73)) begin
if (!VAR28)
VAR65 <= 0;
end
else
VAR65 <= VAR65 + 1;
end
endmodule
|
gpl-3.0
|
tdaede/daala_zynq
|
daala_4x4_transpose_1.0/hdl/daala_4x4_transpose_v1_0_M00_AXIS.v
| 14,376 |
module MODULE1 #
(
parameter integer VAR1 = 32,
parameter integer VAR25 = 32
)
(
input wire VAR15,
input wire VAR21,
output wire VAR5,
output wire [VAR1-1 : 0] VAR17,
output wire [(VAR1/8)-1 : 0] VAR14,
output wire VAR19,
input wire VAR6
);
localparam VAR2 = 8;
function integer VAR8 (input integer VAR23);
begin
for(VAR8=0; VAR23>0; VAR8=VAR8+1)
VAR23 = VAR23 >> 1;
end
endfunction
localparam integer VAR10 = VAR8(VAR25-1);
localparam VAR4 = VAR8(VAR2);
parameter [1:0] VAR7 = 2'b00,
VAR27 = 2'b01, VAR12 = 2'b10; reg [1:0] VAR18;
reg [VAR4-1:0] VAR16;
reg [VAR10-1 : 0] VAR13;
wire VAR20;
reg VAR22;
wire VAR24;
reg VAR26;
reg [VAR1-1 : 0] VAR3;
wire VAR9;
reg VAR11;
assign VAR5 = VAR22;
assign VAR17 = VAR3;
assign VAR19 = VAR26;
assign VAR14 = {(VAR1/8){1'b1}};
always @(posedge VAR15)
begin
if (!VAR21)
begin
VAR18 <= VAR7;
VAR13 <= 0;
end
else
case (VAR18)
VAR7:
if ( VAR13 == 0 )
begin
VAR18 <= VAR27;
end
else
begin
VAR18 <= VAR7;
end
VAR27:
if ( VAR13 == VAR25 - 1 )
begin
VAR18 <= VAR12;
end
else
begin
VAR13 <= VAR13 + 1;
VAR18 <= VAR27;
end
VAR12:
if (VAR11)
begin
VAR18 <= VAR7;
end
else
begin
VAR18 <= VAR12;
end
endcase
end
assign VAR20 = ((VAR18 == VAR12) && (VAR16 < VAR2));
assign VAR24 = (VAR16 == VAR2-1);
always @(posedge VAR15)
begin
if (!VAR21)
begin
VAR22 <= 1'b0;
VAR26 <= 1'b0;
end
else
begin
VAR22 <= VAR20;
VAR26 <= VAR24;
end
end
always@(posedge VAR15)
begin
if(!VAR21)
begin
VAR16 <= 0;
VAR11 <= 1'b0;
end
else
if (VAR16 <= VAR2-1)
begin
if (VAR9)
begin
VAR16 <= VAR16 + 1;
VAR11 <= 1'b0;
end
end
else if (VAR16 == VAR2)
begin
VAR11 <= 1'b1;
end
end
assign VAR9 = VAR6 && VAR20;
always @( posedge VAR15 )
begin
if(!VAR21)
begin
VAR3 <= 1;
end
else if (VAR9) begin
VAR3 <= VAR16 + 32'b1;
end
end
endmodule
|
bsd-2-clause
|
cr88192/bgbtech_bjx1core
|
bwjx1c64a/ExOp64_3A.v
| 17,180 |
module MODULE1(
VAR65, reset,
VAR164, VAR67,
VAR72, VAR186,
VAR99, VAR126,
VAR7, VAR56,
VAR74, VAR84,
VAR136, VAR148,
VAR138,
VAR11, VAR80,
VAR27, VAR160,
VAR34, VAR113,
VAR37, VAR175,
VAR162, VAR103,
VAR188, VAR68,
VAR42, VAR193,
VAR96, VAR62,
VAR6, VAR20,
VAR195, VAR71,
VAR69, VAR151,
VAR114, VAR127,
VAR39, VAR53,
VAR153, VAR132
);
input VAR65;
input reset;
input[7:0] VAR164; input[3:0] VAR67;
input[63:0] VAR84;
input[6:0] VAR72;
input[6:0] VAR99;
input[6:0] VAR7;
input[63:0] VAR186; input[63:0] VAR126; input[63:0] VAR56; input[63:0] VAR74;
output[63:0] VAR148; output[6:0] VAR136; output[1:0] VAR138;
output[63:0] VAR11; output[63:0] VAR80; output VAR27; output VAR160; output[4:0] VAR34; output[7:0] VAR113;
input[63:0] VAR37; input[63:0] VAR162; input[63:0] VAR188; output[63:0] VAR175; output[63:0] VAR103; output[63:0] VAR68;
input[63:0] VAR42; input[63:0] VAR96; output[63:0] VAR193; output[63:0] VAR62;
input[63:0] VAR6; output[63:0] VAR20;
input[63:0] VAR195;
input[63:0] VAR69;
input[63:0] VAR114;
input[63:0] VAR39;
input[63:0] VAR153;
output[63:0] VAR71;
output[63:0] VAR151;
output[63:0] VAR127;
output[63:0] VAR53;
output[63:0] VAR132;
reg[63:0] VAR102; reg[6:0] VAR32; reg[1:0] VAR155;
reg[63:0] VAR111; reg[63:0] VAR3; reg VAR187; reg VAR57; reg[4:0] VAR133; reg[7:0] VAR197;
assign VAR11 = VAR111;
assign VAR80 = VAR3;
assign VAR27 = VAR187;
assign VAR160 = VAR57;
assign VAR34 = VAR133;
assign VAR113 = VAR197;
reg[63:0] VAR137; reg[63:0] VAR128; reg[63:0] VAR19;
reg[63:0] VAR176;
reg[63:0] VAR1;
reg[63:0] VAR98;
reg[63:0] VAR63;
reg[63:0] VAR124;
reg[63:0] VAR146;
reg[63:0] VAR10;
reg[63:0] VAR24;
reg[63:0] VAR41;
reg[63:0] VAR174;
reg[63:0] VAR40;
reg[63:0] VAR118;
reg[63:0] VAR169;
reg[63:0] VAR180;
assign VAR148 = VAR102;
assign VAR136 = VAR32;
assign VAR138 = VAR155;
assign VAR175 = VAR176;
assign VAR103 = VAR1;
assign VAR68 = VAR98;
assign VAR193 = VAR63;
assign VAR62 = VAR124;
assign VAR20 = VAR146;
assign VAR71 = VAR174;
assign VAR151 = VAR40;
assign VAR127 = VAR118;
assign VAR53 = VAR169;
assign VAR132 = VAR180;
reg VAR131;
reg VAR105;
reg VAR100;
reg[1:0] VAR52;
reg[63:0] VAR139;
reg[63:0] VAR31;
reg[127:0] VAR112;
reg[127:0] VAR198;
reg[1:0] VAR81;
reg[1:0] VAR166;
reg[63:0] VAR16;
reg[ 7:0] VAR12;
wire[63:0] VAR26;
reg[ 2:0] VAR125;
VAR141 VAR66(
VAR65, reset,
VAR16, VAR12,
VAR26, VAR125);
reg[64:0] VAR129;
reg[64:0] VAR73;
reg VAR152;
reg VAR4;
reg VAR173;
reg VAR123;
reg VAR59;
reg VAR179;
reg VAR121;
reg VAR101;
reg VAR90;
always @*
begin
VAR102=0;
VAR32=VAR25;
VAR155=VAR97;
VAR111=0;
VAR3=0;
VAR187=0;
VAR57=0;
VAR133=0;
VAR197=0;
VAR131=1'VAR86;
VAR105=1'VAR86;
VAR139=64'VAR35;
VAR31=64'VAR35;
VAR52=0;
VAR16=64'VAR93;
VAR12=8'VAR143;
VAR125=0;
VAR100=0;
VAR10=VAR188+{60'h0, VAR67};
VAR41=VAR10 + 2;
VAR24=VAR41 + (VAR74<<1);
VAR176=VAR37;
VAR1=VAR162;
VAR98=VAR84;
VAR63=VAR42;
VAR124=VAR96;
VAR146=VAR6;
VAR174 = VAR195;
VAR40 = VAR69;
VAR118 = VAR114;
VAR169 = VAR39;
VAR180 = VAR153;
VAR137=VAR126+VAR74;
case(VAR164[1:0])
2'h0: VAR128=VAR137;
2'h1: VAR128={VAR137[62:0], 1'b0};
2'h2: VAR128={VAR137[61:0], 2'b0};
2'h3: VAR128={VAR137[60:0], 3'b0};
endcase
VAR19=(VAR164[2]?VAR186:VAR56)+
((VAR99==VAR15)?VAR137:VAR128);
casez(VAR164)
VAR108: begin
end
VAR44: begin
VAR102=VAR186;
VAR32=VAR7;
end
VAR91: begin
VAR102=VAR74;
VAR32=VAR7;
end
VAR45: begin
VAR102=VAR19;
VAR32=VAR7;
end
VAR2: begin
VAR102=VAR19;
VAR32=VAR7;
end
VAR145: begin
VAR102=VAR19;
VAR32=VAR7;
end
VAR196: begin
VAR102=VAR19;
VAR32=VAR7;
end
VAR54: begin
VAR133=5'h00;
if(VAR99==VAR122)
begin
VAR111=VAR19-1;
VAR3=VAR186;
VAR57=1;
VAR102=VAR56-1;
VAR32=VAR7;
end
else
begin
VAR111=VAR19;
VAR3=VAR186;
VAR57=1;
end
end
VAR115: begin
VAR133=5'h01;
if(VAR99==VAR122)
begin
VAR111=VAR19-2;
VAR3=VAR186;
VAR57=1;
VAR102=VAR56-2;
VAR32=VAR7;
end
else
begin
VAR111=VAR19;
VAR3=VAR186;
VAR57=1;
end
end
VAR95: begin
VAR133=5'h02;
if(VAR99==VAR122)
begin
VAR111=VAR19-4;
VAR3=VAR186;
VAR57=1;
VAR102=VAR56-4;
VAR32=VAR7;
end
else
begin
VAR111=VAR19;
VAR3=VAR186;
VAR57=1;
end
end
VAR17: begin
VAR133=5'h03;
if(VAR99==VAR122)
begin
VAR111=VAR19-8;
VAR3=VAR186;
VAR57=1;
VAR102=VAR56-8;
VAR32=VAR7;
end
else
begin
VAR111=VAR19;
VAR3=VAR186;
VAR57=1;
end
end
VAR48: begin
VAR111=VAR19;
VAR187=1;
VAR133=5'h00;
if(VAR99==VAR172)
begin
VAR102=VAR186+1;
VAR32=VAR72;
end
end
VAR22: begin
VAR111=VAR19;
VAR187=1;
VAR133=5'h01;
if(VAR99==VAR172)
begin
VAR102=VAR186+2;
VAR32=VAR72;
end
end
VAR76: begin
VAR111=VAR19;
VAR187=1;
VAR133=5'h02;
if(VAR99==VAR172)
begin
VAR102=VAR186+4;
VAR32=VAR72;
end
end
VAR28: begin
VAR111=VAR19;
VAR187=1;
VAR133=5'h03;
if(VAR99==VAR172)
begin
VAR102=VAR186+8;
VAR32=VAR72;
end
end
VAR61: begin
VAR102=VAR186+VAR126;
VAR32=VAR7;
end
VAR178: begin
VAR102=VAR186-VAR126;
VAR32=VAR7;
end
VAR29: begin
VAR32=VAR7;
VAR139 = {32'h0, VAR186[31:0]};
VAR31 = {32'h0, VAR126[31:0]};
VAR52 = 2'h3;
end
VAR43: begin
VAR102=VAR186&VAR126;
VAR32=VAR7;
end
VAR142: begin
VAR102=VAR186|VAR126;
VAR32=VAR7;
end
VAR158: begin
VAR102=VAR186^VAR126;
VAR32=VAR7;
end
VAR106: begin
VAR131=VAR37[0];
{VAR105, VAR102}=
{ 1'b0, VAR186+VAR126}+
{64'h0, VAR131};
VAR176[0]=VAR105;
VAR32=VAR7;
end
VAR177: begin
VAR131=VAR37[0];
{VAR105, VAR102}=
{ 1'b0, VAR186-VAR126}-
{64'h0, VAR131};
VAR176[0]=VAR105;
VAR32=VAR7;
end
VAR75: begin
{VAR105, VAR102}=
{ VAR186[63], VAR186}+
{ VAR126[63], VAR126};
VAR176[0]=VAR105^VAR102[63];
VAR32=VAR7;
end
VAR46: begin
{VAR105, VAR102}=
{ VAR186[63], VAR186}-
{ VAR126[63], VAR126};
VAR176[0]=VAR105^VAR102[63];
VAR32=VAR7;
end
VAR70: begin
VAR16=VAR186;
VAR125=2;
VAR12=VAR126[7:0];
VAR32=VAR7;
end
VAR168: begin
VAR16=VAR186;
VAR125=1;
VAR12=VAR126[7:0];
VAR32=VAR7;
end
VAR107: begin
VAR16=VAR186;
VAR125=4;
VAR12=VAR126[7:0];
VAR32=VAR7;
end
VAR49: begin
VAR16=VAR186;
VAR125=3;
VAR12=VAR126[7:0];
VAR32=VAR7;
end
VAR183: begin
VAR102={VAR186[47:0], 16'h0} +
VAR126;
VAR32=VAR7;
end
VAR87: begin
VAR102=~VAR186;
VAR32=VAR7;
end
VAR167: begin
VAR102={
VAR186[55:48],
VAR186[63:56],
VAR186[39:32],
VAR186[47:40],
VAR186[23:16],
VAR186[31:24],
VAR186[ 7: 0],
VAR186[15: 8]
};
VAR32=VAR7;
end
VAR190: begin
VAR102={
VAR186[47:32],
VAR186[63:48],
VAR186[15: 0],
VAR186[31:16]};
VAR32=VAR7;
end
VAR185: begin
VAR102={56'h0, VAR186[7:0]};
VAR32=VAR7;
end
VAR88: begin
VAR102={48'h0, VAR186[15:0]};
VAR32=VAR7;
end
VAR144: begin
VAR102={VAR186[7]?56'hFFFFFFFFFFFFFF:56'h0, VAR186[7:0]};
VAR32=VAR7;
end
VAR171: begin
VAR102={VAR186[15]?48'hFFFFFFFFFFFF:48'h0, VAR186[15:0]};
VAR32=VAR7;
end
VAR60: begin
VAR102=-VAR186;
VAR32=VAR7;
end
VAR165: begin
{VAR105, VAR102}=
{1'b1, ~VAR186}+
(VAR37[0] ? 65'h0 : 65'h1);
VAR32=VAR7;
end
VAR85: begin
VAR176[8] = VAR186[63];
VAR176[9] = VAR126[63];
VAR176[0] = VAR186[63] ^ VAR126[63];
end
VAR51: begin
VAR152 = VAR37[8];
VAR4 = VAR37[9];
VAR173 = VAR37[0];
VAR123 = VAR186[63];
VAR129[64:1] = VAR186;
VAR129[ 0] = VAR173;
if (VAR152 == VAR4)
VAR73 = VAR129 - {1'b0, VAR126};
end
else
VAR73 = VAR129 + {1'b0, VAR126};
VAR59 = VAR73[64];
VAR121 = (VAR123 ^ VAR4) ^ VAR59;
VAR90 = ! (VAR121 ^ VAR4);
VAR102 = VAR73[63:0];
VAR176[8] = VAR121;
VAR176[0] = VAR90;
VAR32=VAR7;
end
VAR117: begin
VAR176[0]=(VAR186[31:0]==VAR126[31:0]);
end
VAR157: begin
VAR176[0]=(VAR186[31:0]>VAR126[31:0])^
(VAR186[31]^VAR126[31]);
end
VAR58: begin
VAR176[0]=(VAR186[31:0]>VAR126[31:0]);
end
VAR21: begin
VAR176[0]=(VAR186[31:0]>=VAR126[31:0])^
(VAR186[31]^VAR126[31]);
end
VAR154: begin
VAR176[0]=(VAR186[31:0]>=VAR126[31:0]);
end
VAR150: begin
VAR176[0]=((VAR186[31:0] & VAR126[31:0])==0);
end
VAR47: begin
VAR139 = {48'h0, VAR186[15:0]};
VAR31 = {48'h0, VAR126[15:0]};
VAR52 = 2'h1;
end
VAR170: begin
VAR139 = {
VAR186[15]?48'hFFFFFFFFFFFF:48'h000000000000,
VAR186[15:0]};
VAR31 = {
VAR126[15]?48'hFFFFFFFFFFFF:48'h000000000000,
VAR126[15:0]};
VAR52 = 2'h1;
end
VAR191: begin
VAR139 = {32'h0, VAR186[31:0]};
VAR31 = {32'h0, VAR126[31:0]};
VAR52 = 2'h1;
end
VAR94: begin
VAR139 = {VAR186[31]?32'hFFFFFFFF:32'h00000000, VAR186[31:0]};
VAR31 = {VAR126[31]?32'hFFFFFFFF:32'h00000000, VAR126[31:0]};
VAR52 = 2'h1;
end
VAR9: begin
VAR98=VAR24;
end
VAR161: begin
VAR98=VAR24;
VAR1=VAR41;
end
VAR89: begin
VAR98=VAR162;
end
VAR38: begin
VAR98=VAR39;
VAR176=VAR114;
VAR146=VAR153;
end
default: begin end
endcase
if(VAR52!=0)
begin
case(VAR52)
2'h0:
{VAR63, VAR124} =
{ VAR42, VAR96 };
2'h1:
{VAR63, VAR124} = VAR112;
2'h2:
{VAR63, VAR124} =
{ VAR42, VAR96 } + VAR112;
2'h3: begin
{VAR63, VAR124} =
{ VAR42, VAR96 };
VAR102 = VAR112[63:0];
end
endcase
VAR155 = (VAR166==VAR52) ?
VAR97 : VAR77;
end
if(VAR125!=0)
VAR102 = VAR26;
if(VAR100)
begin
VAR118 = VAR37;
VAR169 = VAR188;
VAR180 = VAR6;
VAR98=VAR69+64'h00000100;
VAR176=VAR37;
VAR176[30:28]=3'b111;
end
end
reg[63:0] VAR192;
reg[63:0] VAR135;
reg[31:0] VAR14;
reg[31:0] VAR116;
reg[31:0] VAR181;
reg[31:0] VAR92;
reg[31:0] VAR182;
reg[31:0] VAR104;
reg[31:0] VAR159;
reg[31:0] VAR82;
reg[31:0] VAR194;
reg[31:0] VAR79;
reg[31:0] VAR184;
reg[31:0] VAR50;
reg[31:0] VAR149;
reg[31:0] VAR23;
reg[31:0] VAR5;
reg[31:0] VAR120;
reg[1:0] VAR147;
reg[1:0] VAR36;
reg[31:0] VAR64;
reg[31:0] VAR78;
reg[31:0] VAR130;
reg[31:0] VAR109;
reg[31:0] VAR189;
reg[31:0] VAR156;
reg[31:0] VAR83;
reg[31:0] VAR119;
reg[31:0] VAR13;
reg[31:0] VAR140;
reg[31:0] VAR30;
reg[31:0] VAR134;
reg[31:0] VAR18;
reg[31:0] VAR8;
reg[31:0] VAR110;
reg[31:0] VAR55;
reg[1:0] VAR33;
reg[1:0] VAR163;
always @*
begin
VAR14 =
{16'h0, VAR192[15: 0]} *
{16'h0, VAR135[15: 0]};
VAR116 =
{16'h0, VAR192[15: 0]} *
{16'h0, VAR135[31:16]};
VAR181 =
{16'h0, VAR192[15: 0]} *
{16'h0, VAR135[47:32]};
VAR92 =
{16'h0, VAR192[15: 0]} *
{16'h0, VAR135[63:48]};
VAR182 =
{16'h0, VAR192[31:16]} *
{16'h0, VAR135[15: 0]};
VAR104 =
{16'h0, VAR192[31:16]} *
{16'h0, VAR135[31:16]};
VAR159 =
{16'h0, VAR192[31:16]} *
{16'h0, VAR135[47:32]};
VAR82 =
{16'h0, VAR192[31:16]} *
{16'h0, VAR135[56:48]};
VAR194 =
{16'h0, VAR192[47:32]} *
{16'h0, VAR135[15: 0]};
VAR79 =
{16'h0, VAR192[47:32]} *
{16'h0, VAR135[31:16]};
VAR184 =
{16'h0, VAR192[47:32]} *
{16'h0, VAR135[47:32]};
VAR50 =
{16'h0, VAR192[47:32]} *
{16'h0, VAR135[63:48]};
VAR149 =
{16'h0, VAR192[63:48]} *
{16'h0, VAR135[15: 0]};
VAR23 =
{16'h0, VAR192[63:48]} *
{16'h0, VAR135[31:16]};
VAR5 =
{16'h0, VAR192[63:48]} *
{16'h0, VAR135[47:32]};
VAR120 =
{16'h0, VAR192[63:48]} *
{16'h0, VAR135[56:48]};
VAR36=VAR147;
VAR198 = {VAR55, VAR30, VAR156, VAR64} +
{ 48'h0, VAR109, VAR78, 16'h0} +
{ 48'h0, VAR18, VAR189, 16'h0} +
{ 64'h0, VAR130, 32'h0} +
{ 64'h0, VAR13, 32'h0};
VAR163 = VAR33;
end
always @ (posedge VAR65)
begin
VAR192 <= VAR139;
VAR135 <= VAR31;
VAR147 <= VAR52;
VAR64 <= VAR14;
VAR78 <= VAR116;
VAR130 <= VAR181;
VAR109 <= VAR92;
VAR189 <= VAR182;
VAR156 <= VAR104;
VAR189 <= VAR159;
VAR156 <= VAR82;
VAR13 <= VAR194;
VAR140 <= VAR23;
VAR30 <= VAR184;
VAR134 <= VAR50;
VAR18 <= VAR149;
VAR8 <= VAR23;
VAR110 <= VAR5;
VAR55 <= VAR120;
VAR33 <= VAR36;
VAR112 <= VAR198;
VAR166 <= VAR163;
end
endmodule
|
mit
|
borti4938/sd2snes
|
verilog/sd2snes_obc1/obc1.v
| 2,896 |
module MODULE1(
input clk,
input enable,
input [7:0] VAR42,
output [7:0] VAR3,
input [12:0] VAR23,
input VAR35
);
reg [7:0] VAR13 [7:0];
wire [6:0] VAR30 = VAR13[6][6:0];
wire VAR19 = VAR13[5][0];
wire VAR9 = enable & ((VAR23 & 13'h1a00) == 13'h1800);
wire VAR5 = enable & ((VAR23 & 13'h1a00) == 13'h1a00);
wire VAR10 = enable & ((VAR23 & 13'h1ff8) == 13'h1ff0);
wire [2:0] VAR20 = VAR23[2:0];
wire VAR6 = enable & (VAR35) & (((VAR23 & 13'h1ffc) == 13'h1ff0) | VAR9);
wire VAR40 = enable & (VAR35) & (VAR23 == 13'h1ff4);
wire VAR37 = enable & (VAR35) & VAR5;
wire [9:0] VAR7 = (~VAR10) ? VAR23[9:0] : {~VAR19, VAR30, VAR23[1:0]};
wire [7:0] VAR26 = (~VAR10) ? VAR23[5:0] : {~VAR19, VAR30};
wire [7:0] VAR28;
wire [7:0] VAR34;
VAR2 VAR31 (
.VAR41(clk), .VAR4(VAR6), .VAR25(VAR7), .VAR17(VAR42), .VAR15(VAR28) );
VAR8 VAR1 (
.VAR41(clk), .VAR4(VAR40), .VAR25(VAR26), .VAR17(VAR42[1:0]), .VAR15(VAR15), .VAR44(clk), .VAR14(VAR37), .VAR36(VAR23[5:0]), .VAR43(VAR42),
.VAR29(VAR34) );
VAR2 VAR31 (
.VAR11(clk), .VAR38(VAR6), .address(VAR7), .VAR16(VAR42), .VAR24(VAR28) );
VAR8 VAR1 (
.VAR11(clk), .VAR39(VAR40), .VAR18(VAR26), .VAR12(VAR42[1:0]), .VAR27(VAR15), .VAR32(VAR37), .VAR33(VAR23[5:0]), .VAR22(VAR42),
.VAR21(VAR34) );
assign VAR3 = VAR10 ? VAR13[VAR23[2:0]]
: VAR9 ? VAR28
: VAR5 ? VAR34
: 8'h77;
always @(posedge clk) begin
if(VAR10 & VAR35) begin
VAR13[VAR20] <= VAR42;
end
end
endmodule
|
gpl-2.0
|
walkthetalk/fsref
|
ip/fscpu/src/include/RM_ctl.v
| 2,436 |
module MODULE1 # (
parameter integer VAR18 = 32,
parameter integer VAR9 = 32
) (
input wire clk,
input wire VAR7,
output reg VAR13,
input wire VAR2,
input wire [VAR9-1:0] VAR22,
input wire signed [VAR18-1:0] VAR21,
output wire VAR17 ,
input wire VAR15 ,
input wire VAR12 ,
input wire VAR3 ,
input wire VAR24 ,
input wire signed [VAR18-1:0] VAR23,
output reg VAR10 ,
output reg VAR6 ,
output reg [VAR9-1:0] VAR8 ,
output reg signed [VAR18-1:0] VAR16 ,
output reg VAR5 ,
output reg VAR20,
output reg signed [VAR18-1:0] VAR4
);
reg VAR19;
wire VAR14;
assign VAR14 = VAR24;
always @ (posedge clk) begin
if (VAR7 == 1'b0)
VAR19 <= 1'b0;
end
else if (VAR10)
VAR19 <= 1'b1;
end
reg VAR11;
always @ (posedge clk) begin
if (VAR7 == 1'b0)
VAR11 <= 1'b0;
end
else if (VAR14)
VAR11 <= 1'b1;
end
wire VAR1;
assign VAR1 = (VAR11 && ~VAR14);
always @ (posedge clk) begin
if (VAR7 == 1'b0)
VAR6 <= 1'b0;
end
else if (VAR6 == 1'b1)
VAR6 <= 1'b0;
end
always @ (posedge clk) begin
if (VAR7 == 1'b0) begin
VAR10 <= 1'b0;
end
else if (VAR10 == 1'b1) begin
VAR10 <= 1'b0;
end
else if (VAR19 == 1'b0) begin
VAR10 <= 1'b1;
VAR8 <= VAR22;
VAR16 <= VAR21;
VAR5 <= VAR2;
end
end
always @ (posedge clk) begin
if (VAR7 == 1'b0) begin
VAR20 <= 1'b0;
VAR4 <= 0;
end
end
always @ (posedge clk) begin
if (VAR7 == 1'b0)
VAR13 <= 1'b0;
end
else if (VAR1)
VAR13 <= 1'b1;
end
assign VAR17 = VAR7;
endmodule
|
gpl-3.0
|
rbarzic/async_logic
|
async_lib/misc/sync_merge/sync_merge.v
| 5,059 |
module MODULE1 (
VAR33, VAR15, VAR21,
VAR34, VAR13, VAR27, VAR28
);
input VAR34;
output VAR33;
input VAR13;
output VAR15;
output VAR21;
input VAR27;
input VAR28;
wire clk;
wire VAR21;
wire VAR33;
wire VAR15;
localparam VAR11 = 2'b00;
localparam VAR25 = 2'b01;
localparam VAR32 = 2'b10;
localparam VAR4 = 2'b11;
reg [1:0] VAR24;
reg [1:0] VAR29;
reg VAR14,VAR31,VAR20;
reg VAR26,VAR1,VAR12;
VAR17 VAR30 (
.VAR23 (VAR7),
.in (VAR34), .clk (clk),
.VAR28 (VAR28));
VAR17 VAR16 (
.VAR23 (VAR10),
.in (VAR13), .clk (clk),
.VAR28 (VAR28));
VAR17 VAR6 (
.VAR23 (VAR9),
.in (VAR27), .clk (clk),
.VAR28 (VAR28));
assign VAR19 = (VAR34 ^ VAR33) | (VAR13 ^ VAR15) | (VAR7 ^ VAR33) | (VAR10 ^ VAR15) | (VAR27 ^ VAR9);
assign VAR5 = !VAR19;
VAR8 VAR3 (
.req (VAR5),
.VAR18 (),
.VAR2 (clk), .VAR22 (VAR28));
always @(posedge clk or negedge VAR28) begin
if(VAR28 == 1'b0) begin
VAR24 <= VAR11;
VAR1 <= 1'h0;
VAR12 <= 1'h0;
VAR26 <= 1'h0;
end
else begin
VAR24 <= VAR24;
VAR1 <= VAR31;
VAR12 <= VAR20;
VAR26 <= VAR14;
end
end
always @* begin
case(VAR24)
VAR11: begin
VAR31 <= 0;
VAR20 <= 0;
if(VAR7 || VAR10) begin
VAR14 <= 1;
VAR29 <= VAR25;
end
else begin
VAR14 <= 0;
VAR29 <= VAR11;
end
end
VAR25: begin
VAR14 <= 1;
if(VAR9) begin
VAR29 <= VAR32;
VAR31 <= VAR7;
VAR20 <= VAR10;
end
else begin
VAR29 <= VAR25;
VAR31 <= VAR1;
VAR20 <= VAR12;
end
end
VAR32: begin
VAR14 <= 1;
if((!VAR7) && (!VAR10)) begin
VAR29 <= VAR4;
VAR31 <= VAR1;
VAR20 <= VAR12;
end
else begin
VAR29 <= VAR32;
VAR31 <= VAR7;
VAR20 <= VAR10;
end
end
VAR4: begin
VAR14 <= 0;
if(!VAR9) begin
VAR29 <= VAR11;
VAR31 <= 0;
VAR20 <= 0;
end
else begin
VAR29 <= VAR4;
VAR31 <= VAR1;
VAR20 <= VAR12;
end
end
default: begin
end
endcase
end
assign VAR33 = VAR1;
assign VAR15 = VAR12;
assign VAR21 = VAR26;
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
libsrc/hdl/ocpi/fpgaTop_alst4.v
| 5,552 |
module MODULE1 (
input wire VAR50, input wire VAR71, input wire VAR62, input wire VAR56, input wire [ 3:0] VAR17, output wire [ 3:0] VAR61,
input wire [ 7:0] VAR44, output wire [15:0] VAR72, input wire [15:0] VAR38, output wire [15:0] VAR36, output wire [19:0] VAR18, output wire [19:0] VAR47, output wire [ 3:0] VAR65, output wire VAR1, output wire VAR10, output wire VAR68, input wire VAR12, output wire VAR21, output wire [25:0] VAR33, inout wire [31:0] VAR20,
output wire VAR14, output wire VAR59, output wire VAR4, output wire VAR70, output wire VAR45, output wire VAR39, input wire VAR40,
output wire [12:0] VAR25, output wire [2:0] VAR60, output wire VAR30, output wire VAR69, output wire VAR43, output wire VAR5, output wire [1:0] VAR63, output wire VAR52, output wire VAR9, output wire VAR51, output wire VAR8, inout wire [15:0] VAR23, inout wire [1:0] VAR19, inout wire [1:0] VAR34, output wire VAR42, input wire VAR11,
input wire VAR55
);
VAR54 VAR49 (
.VAR50 (VAR50),
.VAR71 (VAR71),
.VAR62 (VAR62),
.VAR56 (VAR56),
.VAR64 (VAR17),
.VAR61 (VAR61),
.VAR53 (VAR44),
.VAR72 (VAR72),
.VAR13 (),
.VAR16 (),
.VAR3 (),
.VAR46 (VAR38),
.VAR36 (VAR36),
.VAR18 (VAR18),
.VAR47 (VAR47),
.VAR65 (VAR65),
.VAR1 (VAR1),
.VAR10 (VAR10),
.VAR68 (VAR68),
.VAR37 (VAR12),
.VAR26 (VAR21),
.VAR28 (VAR33[23:0]),
.VAR15 (VAR20),
.VAR4 (VAR4),
.VAR70 (VAR70),
.VAR45 (VAR45),
.VAR29 (VAR40),
.VAR27 (VAR25),
.VAR66 (VAR60),
.VAR22 (VAR30),
.VAR73 (VAR69),
.VAR67 (VAR43),
.VAR24 (VAR5),
.VAR32 (VAR63),
.VAR41 (VAR52),
.VAR6 (VAR9),
.VAR31 (VAR51),
.VAR7 (VAR8),
.VAR2 (VAR23),
.VAR48 (VAR19),
.VAR74 (VAR34),
.VAR35 (VAR42),
.VAR57 (VAR11),
.VAR58 (VAR55)
);
assign VAR33[25:24] = 0;
endmodule
|
lgpl-3.0
|
impedimentToProgress/ProbableCause
|
ddr2/cores/or1200/or1200_spram_256x21.v
| 11,051 |
module MODULE1(
VAR46, VAR43, VAR54,
clk, rst, VAR38, VAR29, VAR40, addr, VAR36, VAR21
);
parameter VAR45 = 8;
parameter VAR33 = 21;
input VAR46;
input [VAR4 - 1:0] VAR54;
output VAR43;
input clk; input rst; input VAR38; input VAR29; input VAR40; input [VAR45-1:0] addr; input [VAR33-1:0] VAR36; output [VAR33-1:0] VAR21;
wire [10:0] VAR13;
wire [10:0] VAR13;
assign VAR43 = VAR46;
VAR48 #(VAR33, 1<<VAR45, VAR45) VAR16(
VAR15 VAR16(
VAR48 VAR16(
.VAR46(VAR46),
.VAR43(VAR43),
.VAR54(VAR54),
.VAR20(clk),
.VAR31(~VAR38),
.VAR27(~VAR29),
.VAR12(addr),
.VAR17(VAR36),
.VAR3(~VAR40),
.VAR42(VAR21)
);
VAR39 VAR39(
.VAR9(~VAR29),
.VAR1(),
.VAR5(~VAR40),
.VAR22(),
.VAR10(),
.VAR8(addr),
.VAR2(addr),
.VAR36(VAR36),
.VAR21(VAR21)
);
VAR32 VAR32(
.clk(clk),
.VAR44(addr),
.VAR34(VAR36),
.VAR29(VAR29),
.VAR40(VAR40),
.VAR51(VAR38),
.VAR23(VAR21)
);
VAR52 #(1<<VAR45, VAR45-1, VAR33-1) VAR37(
VAR35 VAR37(
VAR52 VAR37(
.VAR46(VAR46),
.VAR43(VAR43),
.VAR54(VAR54),
.VAR49(clk),
.VAR47(addr),
.VAR55(VAR36),
.VAR27(~VAR29),
.VAR31(~VAR38),
.VAR3(~VAR40),
.VAR14(VAR21)
);
VAR26 VAR19(
.VAR20(clk),
.VAR25(1'b0),
.VAR53(addr),
.VAR55(VAR36[15:0]),
.VAR24(VAR38),
.VAR18(VAR29),
.VAR28(VAR21[15:0])
);
VAR26 VAR50(
.VAR20(clk),
.VAR25(1'b0),
.VAR53(addr),
.VAR55({11'b00000000000, VAR36[20:16]}),
.VAR24(VAR38),
.VAR18(VAR29),
.VAR28({VAR13, VAR21[20:16]})
);
VAR41 VAR30(
.VAR20(clk),
.VAR7(1'b0),
.VAR53({1'b0,addr}),
.VAR55({11'b00000000000,VAR36[20:0]}),
.VAR11(4'h0),
.VAR24(VAR38),
.VAR18(VAR29),
.VAR28({VAR13,VAR21[20:0]}),
.VAR6()
);
wire wr;
assign wr = VAR38 & VAR29;
|
mit
|
andrewandrepowell/kernel-on-chip
|
hdl/projects/Nexys4/bd/ip/bd_mig_7series_0_0/bd_mig_7series_0_0/user_design/rtl/ip_top/mig_7series_v4_0_memc_ui_top_axi.v
| 57,367 |
module MODULE1 #
(
parameter VAR355 = 100,
parameter VAR193 = "135", parameter VAR284 = 64,
parameter VAR67 = "VAR387",
parameter VAR172 = "0", parameter VAR326 = 3, parameter VAR158 = 2, parameter VAR267 = "8", parameter VAR300 = "VAR20", parameter VAR262 = "VAR451", parameter VAR257 = 1, parameter VAR280 = 5,
parameter VAR324 = 12, parameter VAR376 = "VAR189", parameter VAR447 = 1, parameter VAR215 = 1, parameter VAR130 = 5,
parameter VAR430 = 64,
parameter VAR394 = 5,
parameter VAR54 = 1,
parameter VAR28 = "VAR145", parameter VAR357 = 8, parameter VAR166 = 6, parameter VAR5 = 64, parameter VAR202 = 3, parameter VAR46 = 8, parameter VAR290 = "VAR224",
parameter VAR108 = 8, parameter VAR229 = "VAR451",
parameter VAR83 = 8,
parameter VAR11 = "VAR451",
parameter VAR24 = 31,
parameter VAR197 = 0, parameter VAR80 = 0, parameter VAR182 = 4,
parameter VAR331 = 2, parameter VAR179 = 1, parameter VAR150 = "VAR319",
parameter VAR294 = "VAR451",
parameter VAR148 = "VAR187", parameter VAR30 = "VAR120", parameter VAR296 = "VAR189", parameter VAR325 = "VAR98",
parameter VAR362 = "VAR268",
parameter VAR9 = 1,
parameter VAR408 = "VAR340",
parameter VAR176 = "VAR451",
parameter VAR275 = "60",
parameter VAR226 = "120",
parameter VAR404 = 2,
parameter VAR426 = 2500, parameter VAR437 = 10000, parameter VAR203 = 40000, parameter VAR416 = 1000000, parameter VAR285 = 37500, parameter VAR420 = 12500, parameter VAR372 = 7800000, parameter VAR254 = 110000, parameter VAR276 = 12500, parameter VAR212 = 10000, parameter VAR91 = 7500, parameter VAR53 = 7500, parameter VAR33 = 128000000, parameter VAR244 = 64, parameter VAR302 = "VAR451", parameter VAR441 = "VAR189", parameter VAR213 = "VAR451",
parameter VAR78 = "VAR451",
parameter VAR106 = "VAR154",
parameter VAR210 = 1,
parameter VAR261 = 4,
parameter VAR375 = 1,
parameter VAR217 = 16, parameter VAR347 = 32,
parameter VAR246 = 8,
parameter VAR26 = 64,
parameter [3:0] VAR117 = 4'b1111,
parameter [3:0] VAR186 = 4'b1111,
parameter [3:0] VAR450 = 4'b1111,
parameter [3:0] VAR283 = 4'b1111,
parameter [3:0] VAR168 = 4'b1111,
parameter [3:0] VAR135 = 4'hc,
parameter [3:0] VAR69 = 4'hf,
parameter [3:0] VAR116 = 4'hf,
parameter [3:0] VAR71 = 4'h0,
parameter [3:0] VAR162 = 4'h0,
parameter [47:0] VAR307 = 48'h000000000000,
parameter [47:0] VAR59 = 48'h000000000000,
parameter [47:0] VAR316 = 48'h000000000000,
parameter [143:0] VAR199
= 144'h000000000000000000000000000000000000,
parameter [191:0] VAR374
= 192'h000000000000000000000000000000000000000000000000,
parameter [35:0] VAR55 = 36'h000000000,
parameter [11:0] VAR12 = 12'h000,
parameter [7:0] VAR411 = 8'h00,
parameter [95:0] VAR169 = 96'h000000000000000000000000,
parameter [95:0] VAR304 = 96'h000000000000000000000000,
parameter VAR66 = "VAR35",
parameter [119:0] VAR61 = 120'h000000000000000000000000000000,
parameter [11:0] VAR455 = 12'h000,
parameter [11:0] VAR260 = 12'h000,
parameter [11:0] VAR333 = 12'h000,
parameter [143:0] VAR363
= 144'h000000000000000000000000000000000000,
parameter [95:0] VAR389 = 96'h000000000000000000000000,
parameter [95:0] VAR366 = 96'h000000000000000000000000,
parameter [95:0] VAR127 = 96'h000000000000000000000000,
parameter [95:0] VAR330 = 96'h000000000000000000000000,
parameter [95:0] VAR348 = 96'h000000000000000000000000,
parameter [95:0] VAR100 = 96'h000000000000000000000000,
parameter [95:0] VAR104 = 96'h000000000000000000000000,
parameter [95:0] VAR427 = 96'h000000000000000000000000,
parameter [95:0] VAR270 = 96'h000000000000000000000000,
parameter [95:0] VAR424 = 96'h000000000000000000000000,
parameter [95:0] VAR364 = 96'h000000000000000000000000,
parameter [95:0] VAR358 = 96'h000000000000000000000000,
parameter [95:0] VAR380 = 96'h000000000000000000000000,
parameter [95:0] VAR81 = 96'h000000000000000000000000,
parameter [95:0] VAR251 = 96'h000000000000000000000000,
parameter [95:0] VAR388 = 96'h000000000000000000000000,
parameter [95:0] VAR334 = 96'h000000000000000000000000,
parameter [95:0] VAR354 = 96'h000000000000000000000000,
parameter [107:0] VAR17 = 108'h000000000000000000000000000,
parameter [107:0] VAR112 = 108'h000000000000000000000000000,
parameter [7:0] VAR419 = 8'b00000001,
parameter [7:0] VAR23 = 8'b00000000,
parameter VAR144 = "VAR369",
parameter [15:0] VAR413 = 16'h0000, parameter [11:0] VAR101 = 12'h000, parameter [2:0] VAR343 = 3'h0, parameter VAR2 = "VAR451",
parameter VAR207 = 300.0,
parameter VAR49 = 1, parameter VAR76 = 1, parameter VAR266 = 1, parameter VAR31 = "VAR189", parameter VAR291 = "VAR189", parameter VAR89 = "VAR189", parameter VAR6 = "VAR189", parameter VAR195 = "VAR35",
parameter VAR223 = 56,
parameter VAR139 = 4,
parameter VAR279 = 30,
parameter VAR39 = 32,
parameter VAR233 = 1,
parameter VAR153 = "VAR50",
parameter VAR449 = 20'h00000,
parameter VAR312 = 20'h00000,
parameter VAR21 = 32,
parameter VAR181 = 32,
parameter VAR192 = 32'h00000000,
parameter VAR32 = 1,
parameter VAR253 = 8,
parameter VAR163 = "VAR322"
)
(
input clk,
input VAR219,
input VAR379,
input [1:0] VAR29,
input VAR335 ,
input VAR155 ,
input VAR170,
input VAR161 ,
input VAR165,
input VAR42,
input rst,
inout [VAR5-1:0] VAR435,
inout [VAR46-1:0] VAR418,
inout [VAR46-1:0] VAR288,
output [VAR217-1:0] VAR339,
output [VAR326-1:0] VAR402,
output VAR410,
output [VAR257-1:0] VAR93,
output [VAR257-1:0] VAR383,
output [VAR215-1:0] VAR453,
output [VAR447*VAR179-1:0] VAR128,
output [VAR357-1:0] VAR137,
output [VAR375-1:0] VAR147,
output VAR272,
output VAR225,
output VAR149,
output VAR99,
output [VAR158-1:0] VAR68,
output [2*VAR331-1:0] VAR47,
output [2*VAR331-1:0] VAR119,
input VAR136,
output VAR185,
input VAR198,
output VAR378,
input VAR301,
output VAR318,
output VAR74,
input [6:0] VAR313,
input VAR432,
input [7:0] VAR43,
input VAR160,
input [11:0] VAR359,
output VAR457,
output VAR211,
input VAR392,
input VAR227,
input VAR138,
input VAR336,
input VAR188,
input VAR109,
input [VAR202-1:0] VAR111,
output [6*VAR46*VAR261-1:0] VAR196,
output [6*VAR46*VAR261-1:0] VAR7,
output [VAR46-1:0] VAR305,
output [2*VAR331*VAR5-1:0] VAR338,
output [1:0] VAR271,
output [1:0] VAR315,
output [1:0] VAR317,
output [5:0] VAR123,
output VAR314,
output VAR445,
output VAR194,
output VAR237,
output [6*VAR46-1:0] VAR259,
output [3*VAR46-1:0] VAR373,
input VAR390,
input [VAR139-1:0] VAR258,
input [VAR279-1:0] VAR131,
input [7:0] VAR436,
input [2:0] VAR439,
input [1:0] VAR122,
input [0:0] VAR431,
input [3:0] VAR57,
input [2:0] VAR222,
input [3:0] VAR381,
input VAR146,
output VAR368,
input [VAR39-1:0] VAR323,
input [VAR39/8-1:0] VAR94,
input VAR438,
input VAR214,
output VAR249,
input VAR19,
output [VAR139-1:0] VAR344,
output [1:0] VAR328,
output VAR412,
input [VAR139-1:0] VAR433,
input [VAR279-1:0] VAR264,
input [7:0] VAR125,
input [2:0] VAR142,
input [1:0] VAR129,
input [0:0] VAR3,
input [3:0] VAR58,
input [2:0] VAR265,
input [3:0] VAR403,
input VAR308,
output VAR13,
input VAR377,
output [VAR139-1:0] VAR281,
output [VAR39-1:0] VAR385,
output [1:0] VAR118,
output VAR173,
output VAR332,
input VAR425,
output VAR95,
input [VAR21-1:0] VAR63,
input VAR152,
output VAR8,
input [VAR181-1:0] VAR234,
output VAR382,
input VAR115,
output [1:0] VAR164,
input VAR124,
output VAR269,
input [VAR21-1:0] VAR422,
output VAR87,
input VAR157,
output [VAR181-1:0] VAR428,
output [1:0] VAR171,
output interrupt,
output VAR286,
input VAR306,
input VAR351,
input [VAR202:0] VAR56,
input VAR232,
input VAR151,
input VAR204,
input VAR415,
input VAR191,
output [6*VAR46*VAR261-1:0] VAR206,
output [5*VAR46*VAR261-1:0] VAR15,
output VAR396,
output [6*VAR46-1:0] VAR107,
output [3*VAR46-1:0] VAR16,
output VAR311,
input VAR400,
input VAR40,
output [6*VAR261-1:0] VAR397,
output [255:0] VAR443,
output [255:0] VAR14,
output [255:0] VAR352,
output [99:0] VAR103,
output [255:0] VAR209,
output [255:0] VAR297,
output [255:0] VAR423,
output [5:0] VAR230,
output [8:0] VAR391,
output VAR102,
output VAR442,
output VAR90,
output VAR228,
output VAR350,
output VAR45,
output VAR371,
output VAR84,
output VAR414,
output [11:0] VAR367,
output [11:0] VAR36,
output [6*VAR261-1:0] VAR34,
output [6*VAR261-1:0] VAR446,
output [5:0] VAR384,
output [5:0] VAR27,
output [5:0] VAR22,
output VAR85,
output VAR429,
output [255:0] VAR247,
output [VAR108*16 -1:0] VAR289,
output [6*VAR46*VAR261-1:0] VAR456,
output [6*VAR46*VAR261-1:0] VAR73,
output [6*VAR46*VAR261-1:0] VAR18,
output [1023:0] VAR190
);
localparam VAR245 = (VAR426 <= 1500)? VAR362 : VAR325;
localparam VAR121 = "VAR341";
localparam VAR221 = "VAR10";
localparam VAR183 = 2*VAR331*VAR430 ;
wire VAR208;
wire [2*VAR331-1:0] VAR356;
wire [2*VAR331-1:0] VAR405;
wire [2*VAR331-1:0] VAR452;
wire [VAR24-1:0] VAR141;
wire VAR86;
wire VAR293;
wire [2*VAR331-1:0] VAR174;
wire [VAR5/8-1:0] VAR44;
wire [VAR5-1:0] VAR399;
wire [VAR54-1:0] VAR114;
wire VAR342;
wire [VAR394-1:0] VAR434;
wire [VAR54-1:0] VAR292;
wire VAR65;
wire [VAR394-1:0] VAR409;
wire VAR273;
wire VAR242;
wire [2*VAR331*VAR284-1:0] VAR295;
wire VAR238;
wire VAR75;
wire VAR37;
wire [VAR217-1:0] VAR41;
wire [VAR210-1:0] VAR48;
wire VAR88;
wire [VAR394-1:0] VAR96;
wire [VAR324-1:0] VAR282;
wire [2:0] VAR184;
wire [VAR326-1:0] VAR216;
wire [2*VAR331*VAR284-1:0] VAR62;
wire [2*VAR331*VAR284/8-1:0] VAR346;
wire [VAR26-1:0] VAR110;
wire [VAR183-1:0] VAR454;
wire VAR321;
wire VAR278;
wire VAR52;
wire VAR274;
wire [VAR347-1:0] VAR248;
wire [2:0] VAR82;
wire VAR329;
wire VAR4;
wire VAR241;
wire [VAR26-1:0] VAR92;
wire [VAR183-1:0] VAR287;
wire VAR239;
wire [VAR246-1:0] VAR263;
wire [VAR183/8-1:0] VAR25;
wire VAR133;
wire VAR277;
wire VAR72;
wire VAR200;
wire VAR365;
wire VAR310;
wire VAR201;
wire VAR417;
wire VAR398;
wire VAR370;
reg reset ;
reg VAR440;
always @(posedge clk)
VAR440 <= VAR286;
always @(posedge clk)
VAR177 #
(
.VAR355 (VAR355),
.VAR193 (VAR193),
.VAR284 (VAR284),
.VAR67 (VAR67),
.VAR172 (VAR172),
.VAR326 (VAR326),
.VAR158 (VAR158),
.VAR267 (VAR267),
.VAR300 (VAR300),
.VAR262 (VAR262),
.VAR257 (VAR257),
.VAR324 (VAR324),
.VAR376 (VAR376),
.VAR447 (VAR447),
.VAR179 (VAR179),
.VAR215 (VAR215),
.VAR430 (VAR430),
.VAR394 (VAR394),
.VAR197 (VAR197),
.VAR54 (VAR54),
.VAR28 (VAR28),
.VAR357 (VAR357),
.VAR166 (VAR166),
.VAR5 (VAR5),
.VAR202 (VAR202),
.VAR46 (VAR46),
.VAR290 (VAR290),
.VAR108 (VAR108),
.VAR229 (VAR229),
.VAR83 (VAR83),
.VAR24 (VAR24),
.VAR207 (VAR207),
.VAR80 (VAR80),
.VAR182 (VAR182),
.VAR331 (VAR331),
.VAR150 (VAR150),
.VAR408 (VAR408),
.VAR294 (VAR294),
.VAR148 (VAR148),
.VAR30 (VAR30),
.VAR296 (VAR296),
.VAR245 (VAR245),
.VAR9 (VAR9),
.VAR176 (VAR176),
.VAR275 (VAR275),
.VAR226 (VAR226),
.VAR280 (VAR280),
.VAR130 (VAR130),
.VAR426 (VAR426),
.VAR437 (VAR437),
.VAR203 (VAR203),
.VAR416 (VAR416),
.VAR285 (VAR285),
.VAR420 (VAR420),
.VAR372 (VAR372),
.VAR254 (VAR254),
.VAR276 (VAR276),
.VAR212 (VAR212),
.VAR91 (VAR91),
.VAR53 (VAR53),
.VAR33 (VAR33),
.VAR244 (VAR244),
.VAR302 (VAR302),
.VAR441 (VAR441),
.VAR213 (VAR213),
.VAR78 (VAR78),
.VAR106 (VAR106),
.VAR210 (VAR210),
.VAR261 (VAR261),
.VAR375 (VAR375),
.VAR217 (VAR217),
.VAR2 (VAR2),
.VAR117 (VAR117),
.VAR186 (VAR186),
.VAR450 (VAR450),
.VAR283 (VAR283),
.VAR168 (VAR168),
.VAR135 (VAR135),
.VAR69 (VAR69),
.VAR116 (VAR116),
.VAR71 (VAR71),
.VAR162 (VAR162),
.VAR307 (VAR307),
.VAR59 (VAR59),
.VAR316 (VAR316),
.VAR199 (VAR199),
.VAR374 (VAR374),
.VAR55 (VAR55),
.VAR12 (VAR12),
.VAR411 (VAR411),
.VAR169 (VAR169),
.VAR304 (VAR304),
.VAR66 (VAR66),
.VAR61 (VAR61),
.VAR455 (VAR455),
.VAR260 (VAR260),
.VAR333 (VAR333),
.VAR363 (VAR363),
.VAR389 (VAR389),
.VAR366 (VAR366),
.VAR127 (VAR127),
.VAR330 (VAR330),
.VAR348 (VAR348),
.VAR100 (VAR100),
.VAR104 (VAR104),
.VAR427 (VAR427),
.VAR270 (VAR270),
.VAR424 (VAR424),
.VAR364 (VAR364),
.VAR358 (VAR358),
.VAR380 (VAR380),
.VAR81 (VAR81),
.VAR251 (VAR251),
.VAR388 (VAR388),
.VAR334 (VAR334),
.VAR354 (VAR354),
.VAR17 (VAR17),
.VAR112 (VAR112),
.VAR419 (VAR419),
.VAR23 (VAR23),
.VAR413 (VAR413),
.VAR101 (VAR101),
.VAR343 (VAR343),
.VAR404 (VAR404),
.VAR49 (VAR49),
.VAR76 (VAR76),
.VAR266 (VAR266),
.VAR31 (VAR31),
.VAR291 (VAR291),
.VAR89 (VAR89),
.VAR6 (VAR6),
.VAR223 (VAR223),
.VAR195 (VAR195),
.VAR163 (VAR163)
)
VAR205
(
.clk (clk),
.VAR219 (VAR219),
.VAR379 (VAR379),
.VAR29 (VAR426 <= 1500 ? VAR29[1] : VAR29[0]),
.VAR335 (VAR335), .VAR155 (VAR155),
.VAR170 (VAR170),
.VAR161 (VAR161),
.VAR165 (VAR165),
.VAR42 (VAR42),
.rst (rst),
.VAR398 (VAR398),
.reset (reset),
.VAR417 (VAR417),
.VAR435 (VAR435),
.VAR418 (VAR418),
.VAR288 (VAR288),
.VAR339 (VAR339),
.VAR402 (VAR402),
.VAR410 (VAR410),
.VAR93 (VAR93),
.VAR383 (VAR383),
.VAR453 (VAR453),
.VAR128 (VAR128),
.VAR137 (VAR137),
.VAR147 (VAR147),
.VAR272 (VAR272),
.VAR225 (VAR225),
.VAR149 (VAR149),
.VAR99 (VAR99),
.VAR353 (VAR419),
.VAR386 (VAR23),
.VAR208 (VAR208),
.VAR216 (VAR216),
.VAR184 (VAR184),
.VAR282 (VAR282),
.VAR96 (VAR96),
.VAR62 (VAR62),
.VAR346 (VAR346),
.VAR48 (VAR48),
.VAR356 (VAR356),
.VAR41 (VAR41),
.VAR88 (VAR88),
.VAR37 (VAR37),
.VAR75 (VAR75),
.VAR273 (VAR273),
.VAR242 (VAR242),
.VAR405 (VAR405),
.VAR452 (VAR452),
.VAR141 (VAR141),
.VAR295 (VAR295),
.VAR409 (VAR409),
.VAR65 (VAR65),
.VAR238 (VAR238),
.VAR292 (VAR292),
.VAR434 (VAR434),
.VAR342 (VAR342),
.VAR114 (VAR114),
.VAR68 (VAR68),
.VAR286 (VAR286),
.VAR370 (VAR370),
.VAR136 (VAR277),
.VAR185 (VAR72),
.VAR198 (VAR200),
.VAR378 (VAR365),
.VAR301 (VAR310),
.VAR318 (VAR201),
.VAR74 (VAR74),
.VAR432 (VAR432),
.VAR313 (VAR313),
.VAR43 (VAR43),
.VAR160 (VAR160),
.VAR359 (VAR359),
.VAR457 (VAR457),
.VAR211 (VAR211),
.VAR392 (VAR392),
.VAR44 (VAR44),
.VAR399 (VAR399),
.VAR336 (VAR336),
.VAR227 (VAR227),
.VAR188 (VAR188),
.VAR138 (VAR138),
.VAR111 (VAR111),
.VAR109 (VAR109),
.VAR443 (VAR443),
.VAR196 (VAR196),
.VAR7 (VAR7),
.VAR352 (VAR352),
.VAR103 (VAR103),
.VAR259 (VAR259),
.VAR373 (VAR373),
.VAR305 (VAR305),
.VAR338 (VAR338),
.VAR271 (VAR271),
.VAR315 (VAR315),
.VAR317 (VAR317),
.VAR123 (VAR123),
.VAR314 (VAR314),
.VAR445 (VAR445),
.VAR194 (VAR194),
.VAR237 (VAR237),
.VAR306 (VAR306),
.VAR351 (VAR351),
.VAR56 (VAR56),
.VAR232 (VAR232),
.VAR151 (VAR151),
.VAR204 (VAR204),
.VAR415 (VAR415),
.VAR191 (VAR191),
.VAR206 (VAR206),
.VAR15 (VAR15),
.VAR396 (VAR396),
.VAR107 (VAR107),
.VAR16 (VAR16),
.VAR14 (VAR14),
.VAR230 (VAR230),
.VAR391 (VAR391),
.VAR311 (VAR311),
.VAR400 (VAR400),
.VAR40 (VAR40),
.VAR397 (VAR397),
.VAR209 (VAR209),
.VAR297 (VAR297),
.VAR423 (VAR423),
.VAR102 (VAR102),
.VAR442 (VAR442),
.VAR90 (VAR90),
.VAR228 (VAR228),
.VAR350 (VAR350),
.VAR45 (VAR45),
.VAR371 (VAR371),
.VAR84 (VAR84),
.VAR414 (VAR414),
.VAR367 (VAR367),
.VAR36 (VAR36),
.VAR34 (VAR34),
.VAR446 (VAR446),
.VAR384 (VAR384),
.VAR27 (VAR27),
.VAR22 (VAR22),
.VAR247 (VAR247),
.VAR289 (VAR289),
.VAR85 (VAR85),
.VAR429 (VAR429),
.VAR406 (VAR456),
.VAR73 (VAR73),
.VAR18 (VAR18),
.VAR190 (VAR190[1023:0])
);
genvar VAR235;
generate
if(VAR11 == "VAR189") begin
if(VAR5 == 72) begin
for(VAR235=0;VAR235<8;VAR235=VAR235+1) begin
assign VAR92[VAR235*72+:72] = {VAR287[VAR235*64+:8],VAR287[VAR235*64+:64]} ;
assign VAR263[VAR235*9+:9] = {VAR25[VAR235*8],VAR25[VAR235*8+:8]} ;
end
end else begin
end
end else begin
assign VAR92 = VAR287 ;
assign VAR263 = VAR25 ;
end
endgenerate
genvar VAR143;
generate
if(VAR11 == "VAR189") begin
if(VAR5 == 72) begin
for(VAR143=0;VAR143<8;VAR143=VAR143+1) begin
assign VAR454[VAR143*64+:64] = VAR110[VAR143*72+:64];
end
end
end else begin
assign VAR454 = VAR110;
end
endgenerate
VAR345 #
(
.VAR355 (VAR355),
.VAR26 (VAR26),
.VAR246 (VAR246),
.VAR326 (VAR326),
.VAR324 (VAR324),
.VAR130 (VAR130),
.VAR394 (VAR394),
.VAR229 (VAR229),
.VAR11 (VAR11),
.VAR331 (VAR331),
.VAR150 (VAR150),
.VAR261 (VAR261),
.VAR210 (VAR210),
.VAR217 (VAR217),
.VAR144 (VAR144)
)
VAR218
(
.VAR346 (VAR346[VAR246-1:0]),
.VAR62 (VAR62[VAR26-1:0]),
.VAR75 (VAR75),
.VAR37 (VAR37),
.VAR41 (VAR41),
.VAR356 (VAR356),
.VAR48 (VAR48),
.VAR88 (VAR88),
.VAR96 (VAR96),
.VAR282 (VAR282),
.VAR184 (VAR184),
.VAR216 (VAR216),
.VAR274 (VAR274),
.VAR52 (VAR52),
.VAR278 (VAR278),
.VAR321 (VAR321),
.VAR110 (VAR110),
.VAR208 (VAR208),
.VAR114 (VAR114),
.VAR342 (VAR342),
.VAR434 (VAR434),
.rst (reset),
.VAR292 (VAR292),
.VAR238 (VAR238),
.VAR65 (VAR65),
.VAR409 (VAR409),
.VAR295 (VAR295[VAR26-1:0]),
.VAR452 (VAR452),
.VAR405 (VAR405),
.clk (clk),
.VAR133 (VAR133),
.VAR263 (VAR263),
.VAR239 (VAR239),
.VAR92 (VAR92),
.VAR241 (VAR241),
.VAR4 (VAR4),
.VAR329 (VAR329),
.VAR82 (VAR82),
.VAR248 (VAR248),
.VAR242 (VAR242),
.VAR273 (VAR273),
.VAR174 (VAR174),
.VAR327 (VAR47),
.VAR119 (VAR119),
.VAR86 (VAR293),
.VAR136 (VAR136),
.VAR448 (VAR277),
.VAR220 (VAR72),
.VAR185 (VAR185),
.VAR198 (VAR198),
.VAR134 (VAR200),
.VAR309 (VAR365),
.VAR378 (VAR378),
.VAR301 (VAR301),
.VAR126 (VAR310),
.VAR231 (VAR201),
.VAR318 (VAR318)
);
VAR444 #
(
.VAR221 (VAR221),
.VAR139 (VAR139),
.VAR279 (VAR279),
.VAR39 (VAR39),
.VAR159 (VAR183),
.VAR70 (VAR347),
.VAR349 (VAR267),
.VAR299 (VAR331),
.VAR233 (VAR233),
.VAR153 (VAR153),
.VAR449 (VAR449),
.VAR312 (VAR312),
.VAR337 (VAR229)
)
VAR320
(
.VAR60 (clk),
.VAR390 (VAR390),
.VAR258 (VAR258),
.VAR131 (VAR131),
.VAR436 (VAR436),
.VAR439 (VAR439),
.VAR122 (VAR122),
.VAR431 (VAR431),
.VAR57 (VAR57),
.VAR222 (VAR222),
.VAR381 (VAR381),
.VAR146 (VAR146),
.VAR368 (VAR368),
.VAR323 (VAR323),
.VAR94 (VAR94),
.VAR438 (VAR438),
.VAR214 (VAR214),
.VAR249 (VAR249),
.VAR344 (VAR344),
.VAR328 (VAR328),
.VAR412 (VAR412),
.VAR19 (VAR19),
.VAR433 (VAR433),
.VAR264 (VAR264),
.VAR125 (VAR125),
.VAR142 (VAR142),
.VAR129 (VAR129),
.VAR3 (VAR3),
.VAR58 (VAR58),
.VAR265 (VAR265),
.VAR403 (VAR403),
.VAR308 (VAR308),
.VAR13 (VAR13),
.VAR281 (VAR281),
.VAR385 (VAR385),
.VAR118 (VAR118),
.VAR173 (VAR173),
.VAR332 (VAR332),
.VAR377 (VAR377),
.VAR361 (VAR329),
.VAR298 (VAR82),
.VAR77 (VAR241),
.VAR421 (VAR248),
.VAR256 (VAR4),
.VAR79 (VAR52),
.VAR401 (VAR440),
.VAR140 (VAR133),
.VAR156 (VAR25),
.VAR167 (VAR287),
.VAR175 (VAR239),
.VAR393 (VAR274),
.VAR243 (VAR278),
.VAR105 (VAR454),
.VAR38 (VAR321),
.VAR407 (VAR47)
);
generate
if (VAR229 == "VAR189") begin : VAR255
reg [2*VAR331*VAR5-1:0] VAR132;
VAR250 #
(
.VAR21 (VAR21) ,
.VAR181 (VAR181) ,
.VAR279 (VAR279) ,
.VAR192 (VAR192) ,
.VAR252 (VAR11) ,
.VAR180 (VAR5) ,
.VAR240 (VAR83) ,
.VAR236 (VAR144) ,
.VAR395 (VAR326) ,
.VAR178 (VAR217) ,
.VAR51 (VAR324) ,
.VAR32 (VAR32) ,
.VAR253 (VAR253) ,
.VAR97 (VAR331) ,
.VAR113 (VAR24)
)
VAR360
(
.VAR60 (clk) ,
.VAR390 (VAR390) ,
.VAR146 (VAR425) ,
.VAR368 (VAR95) ,
.VAR131 (VAR63) ,
.VAR214 (VAR152) ,
.VAR249 (VAR8) ,
.VAR323 (VAR234) ,
.VAR412 (VAR382) ,
.VAR19 (VAR115) ,
.VAR328 (VAR164) ,
.VAR308 (VAR124) ,
.VAR13 (VAR269) ,
.VAR264 (VAR422) ,
.VAR332 (VAR87) ,
.VAR377 (VAR157) ,
.VAR385 (VAR428) ,
.VAR118 (VAR171) ,
.interrupt (interrupt) ,
.VAR1 (VAR440) ,
.VAR405 (VAR405) ,
.VAR452 (VAR452) ,
.VAR141 (VAR141) ,
.VAR86 (VAR86) ,
.VAR303 (VAR132) ,
.VAR44 (VAR44) ,
.VAR399 (VAR399)
);
always @(posedge clk) begin
VAR132 <= VAR338;
end
assign VAR174 = {2*VAR331{1'b0}};
assign VAR293 = VAR86 ;
end
else begin : VAR64
assign VAR95 = 1'b0;
assign VAR8 = 1'b0;
assign VAR382 = 1'b0;
assign VAR164 = 2'b0;
assign VAR269 = 1'b0;
assign VAR87 = 1'b0;
assign VAR428 = {VAR181{1'b0}};
assign VAR171 = 2'b0;
assign interrupt = 1'b0;
assign VAR86 = 1'b1;
assign VAR174 = 4'b0;
assign VAR44 = {VAR5/8{1'b0}};
assign VAR399 = {VAR5{1'b0}};
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or2b/sky130_fd_sc_lp__or2b.pp.symbol.v
| 1,284 |
module MODULE1 (
input VAR4 ,
input VAR2 ,
output VAR6 ,
input VAR7 ,
input VAR3,
input VAR1,
input VAR5
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a2bb2oi/sky130_fd_sc_lp__a2bb2oi_0.v
| 2,477 |
module MODULE2 (
VAR1 ,
VAR9,
VAR8,
VAR10 ,
VAR2 ,
VAR6,
VAR4,
VAR5 ,
VAR3
);
output VAR1 ;
input VAR9;
input VAR8;
input VAR10 ;
input VAR2 ;
input VAR6;
input VAR4;
input VAR5 ;
input VAR3 ;
VAR11 VAR7 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR1 ,
VAR9,
VAR8,
VAR10 ,
VAR2
);
output VAR1 ;
input VAR9;
input VAR8;
input VAR10 ;
input VAR2 ;
supply1 VAR6;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR3 ;
VAR11 VAR7 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/bd/block_design/ipshared/digilent/axi_dispctrl_v1_0/hdl/mmcme2_drp.v
| 15,777 |
module MODULE1
parameter VAR93 = 5
)
(
input VAR12,
input VAR53,
input VAR20,
output reg VAR65,
input [35:0] VAR94,
input [35:0] VAR35,
input [13:0] VAR91,
input [39:0] VAR33,
input [9:0] VAR19,
input VAR22,
output VAR103,
output VAR99,
input VAR69,
output VAR67
);
localparam VAR40 = 100;
wire [38:0] VAR57 [12:0]; reg [3:0] VAR13;
reg [38:0] VAR10;
reg VAR66;
reg [3:0] VAR70;
reg [6:0] VAR59;
reg VAR39;
reg VAR104;
reg VAR60;
reg [15:0] VAR101;
wire [15:0] VAR74;
wire VAR46;
wire VAR55;
reg VAR38;
reg VAR17;
reg [6:0] VAR76;
reg [15:0] VAR64;
wire VAR100;
reg VAR4;
assign VAR100 = VAR53;
assign VAR57[0] = {7'h28, 16'h0000, 16'hFFFF};
assign VAR57[1] = {7'h08, 16'h1000, VAR94[15:0]};
assign VAR57[2] = {7'h09, 16'h8000, VAR94[31:16]};
assign VAR57[3] = {7'h07, 16'hC3FF, 2'b00 , VAR94[35:32], 10'h000};
assign VAR57[4] = {7'h13, 16'hC3FF, 2'b00 , VAR35[35:32], 10'h000};
assign VAR57[5] = {7'h16, 16'hC000, {2'h0, VAR91[13:0]} };
assign VAR57[6] = {7'h14, 16'h1000, VAR35[15:0]};
assign VAR57[7] = {7'h15, 16'h8000, VAR35[31:16]};
assign VAR57[8] = {7'h18, 16'hFC00, {6'h00, VAR33[29:20]} };
assign VAR57[9] = {7'h19, 16'h8000, {1'b0 , VAR33[34:30], VAR33[9:0]} };
assign VAR57[10] = {7'h1A, 16'h8000, {1'b0 , VAR33[39:35], VAR33[19:10]} };
assign VAR57[11] = {7'h4E, 16'h66FF,
VAR19[9], 2'h0, VAR19[8:7], 2'h0,
VAR19[6], 8'h00 };
assign VAR57[12] = {7'h4F, 16'h666F,
VAR19[5], 2'h0, VAR19[4:3], 2'h0,
VAR19[2:1], 2'h0, VAR19[0], 4'h0 };
always @(posedge VAR53) begin
end
localparam VAR92 = 4'h1;
localparam VAR89 = 4'h2;
localparam VAR90 = 4'h3;
localparam VAR24 = 4'h4;
localparam VAR48 = 4'h5;
localparam VAR82 = 4'h6;
localparam VAR88 = 4'h7;
localparam VAR29 = 4'h8;
localparam VAR83 = 4'h9;
reg [3:0] VAR7 = VAR92;
reg [3:0] VAR15 = VAR92;
localparam VAR61 = 13;
reg [3:0] VAR62 = VAR61;
reg [3:0] VAR51 = VAR61;
always @(posedge VAR53) begin
end
always @(posedge VAR53) begin
if(VAR20) begin
end else begin
end
end
always @* begin
VAR66 = 1'b0;
VAR59 = VAR76;
VAR39 = 1'b0;
VAR104 = 1'b0;
VAR60 = VAR4;
VAR101 = VAR64;
VAR70 = VAR13;
VAR51 = VAR62;
case (VAR7)
VAR92: begin
VAR59 = 7'h00;
VAR101 = 16'h0000;
VAR70 = 6'h00;
VAR60 = 1'b1;
VAR15 = VAR89;
end
VAR89: begin
VAR60 = 1'b0;
VAR51 = VAR61 ;
if(VAR55) begin
VAR15 = VAR90;
VAR66 = 1'b1;
end else begin
VAR15 = VAR89;
end
end
VAR90: begin
if (VAR12) begin
VAR70 = 8'h00;
VAR15 = VAR24;
end else begin
VAR15 = VAR90;
end
end
VAR24: begin
VAR60 = 1'b1;
VAR104 = 1'b1;
VAR59 = VAR10[38:32];
VAR15 = VAR48;
end
VAR48: begin
if (VAR46) begin
VAR15 = VAR82;
end else begin
VAR15 = VAR48;
end
end
VAR82: begin
VAR101 = VAR10[31:16] & VAR74;
VAR15 = VAR88;
end
VAR88: begin
VAR101 = VAR10[15:0] | VAR64;
VAR70 = VAR13 + 1'b1;
VAR15 = VAR29;
end
VAR29: begin
VAR39 = 1'b1;
VAR104 = 1'b1;
VAR51 = VAR62 - 1'b1;
VAR15 = VAR83;
end
VAR83: begin
if(VAR46) begin
if(VAR62 > 0) begin
VAR15 = VAR24;
end else begin
VAR15 = VAR89;
end
end else begin
VAR15 = VAR83;
end
end
default: begin
VAR15 = VAR92;
end
endcase
end
wire VAR58;
wire VAR96;
wire VAR32;
wire VAR36;
wire VAR87;
wire VAR49;
wire VAR18;
wire VAR14;
wire VAR52;
wire VAR8;
wire VAR23;
wire VAR56;
wire VAR2;
wire VAR95;
VAR16
.VAR80 ("VAR31"),
.VAR37 ("VAR5"),
.VAR27 ("VAR31"),
.VAR84 (1),
.VAR50 (10.000),
.VAR47 (0.000),
.VAR72 ("VAR31"),
.VAR77 (VAR93),
.VAR11 (0.000),
.VAR28 (0.500),
.VAR54 ("VAR31"),
.VAR21 (10.000),
.VAR41 (0.010))
VAR71
(.VAR63 (VAR99),
.VAR42 (VAR96),
.VAR79 (VAR103),
.VAR98 (VAR32),
.VAR34 (VAR36),
.VAR73 (VAR87),
.VAR43 (VAR49),
.VAR68 (VAR18),
.VAR30 (VAR14),
.VAR78 (VAR52),
.VAR45 (VAR8),
.VAR26 (VAR23),
.VAR1 (VAR56),
.VAR86 (VAR69),
.VAR9 (VAR22),
.VAR3 (1'b0),
.VAR44 (1'b1),
.VAR76 (VAR76),
.VAR100 (VAR100),
.VAR17 (VAR17),
.VAR64 (VAR64),
.VAR74 (VAR74),
.VAR46 (VAR46),
.VAR38 (VAR38),
.VAR25 (1'b0),
.VAR102 (1'b0),
.VAR85 (1'b0),
.VAR6 (VAR58),
.VAR55 (VAR55),
.VAR75 (VAR95),
.VAR97 (VAR2),
.VAR81 (1'b0),
.VAR20 (VAR4));
assign VAR67 = VAR55;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlygate4s15/sky130_fd_sc_lp__dlygate4s15.functional.pp.v
| 1,832 |
module MODULE1 (
VAR12 ,
VAR7 ,
VAR4,
VAR2,
VAR5 ,
VAR9
);
output VAR12 ;
input VAR7 ;
input VAR4;
input VAR2;
input VAR5 ;
input VAR9 ;
wire VAR1 ;
wire VAR10;
buf VAR8 (VAR1 , VAR7 );
VAR6 VAR3 (VAR10, VAR1, VAR4, VAR2);
buf VAR11 (VAR12 , VAR10 );
endmodule
|
apache-2.0
|
csturton/wirepatch
|
system/hardware/cores/uart16550/bench/verilog/uart_log.v
| 6,824 |
module MODULE1;
parameter VAR22 = "";
integer VAR35;
integer VAR4;
reg VAR21;
integer VAR45;
integer VAR16;
VAR1 VAR21 = 1;
VAR1 VAR45 = 0;
VAR1 VAR16 = 0;
task VAR49;
output VAR50;
begin
VAR35 =
VAR4 =
if ((VAR35 == 0) || (VAR4 == 0))
VAR50 = 1'b0;
end
else
VAR50 = 1'b1;
end
endtask
task VAR11;
begin
VAR6;
VAR2(VAR35, "VAR19 VAR28 VAR33 VAR14:");
VAR2(VAR35, " VAR40 VAR12 VAR8: %VAR15", );
VAR6;
end
endtask
task VAR23;
input [1599:0] VAR9;
begin
VAR6;
VAR2(VAR35, "%0s", VAR9);
end
endtask
task VAR20;
input [7999:0] VAR5;
begin
VAR2(VAR35, " VAR44!");
VAR2(VAR35, " VAR37 VAR27: %0s.", VAR5);
VAR2(VAR35, " VAR40 VAR8: %VAR15", );
end
endtask
task VAR39;
begin
VAR2(VAR35, " VAR43!");
VAR2(VAR35, " VAR40 VAR8: %VAR15", );
end
endtask
task VAR6;
begin
VAR2(VAR35, "");
VAR2(VAR35, "%0s", {75{"-"}});
VAR2(VAR35, "");
end
endtask
task VAR25;
input [7999:0] VAR7;
begin
VAR2(VAR35, " %0s", VAR7);
end
endtask
task VAR41;
input [1599:0] VAR9;
begin
VAR21 = 0;
VAR2(VAR4, "");
VAR2(VAR4, "%0s", {75{"-"}});
VAR2(VAR4, "- %0s", VAR9);
VAR2(VAR4, "%0s", {75{"-"}});
VAR2(VAR4, "");
VAR21 = 1;
end
endtask
task VAR42;
input [7999:0] VAR26;
input [7999:0] VAR17;
begin
VAR21 = 0;
VAR2(VAR4, "%0s", VAR26);
VAR2(VAR4, "*VAR13, VAR34 VAR48 VAR30:");
VAR2(VAR4, " %0s", VAR17);
VAR21 = 1;
end
endtask
task VAR38;
input [7999:0] VAR26;
input [7999:0] VAR29;
begin
VAR21 = 0;
VAR2(VAR4, "%0s", VAR26);
VAR2(VAR4, "*VAR13, %0s", VAR29);
VAR21 = 1;
end
endtask
task VAR18;
input [7999:0] VAR26;
input [7999:0] VAR32;
begin
VAR21 = 0;
VAR2(VAR4, "%0s", VAR26);
VAR2(VAR4, "*VAR36, %0s", VAR32);
VAR21 = 1;
end
endtask
task VAR46;
input [7999:0] VAR26;
input [7999:0] VAR5;
begin
VAR21 = 0;
VAR2(VAR4, "%0s", VAR26);
VAR2(VAR4, "*VAR3, %0s", VAR5);
VAR21 = 1;
end
endtask
task VAR10;
input [7999:0] VAR26;
input [7999:0] VAR5;
input [31:0] VAR47;
begin
VAR21 = 0;
VAR2(VAR4, "%0s", VAR26);
VAR2(VAR4, "*VAR3, %0s %0h.", VAR5, VAR47);
VAR21 = 1;
end
endtask
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_adcfifo/axi_adcfifo_rd.v
| 8,380 |
module MODULE1 (
VAR15,
VAR12,
VAR35,
VAR42,
VAR43,
VAR29,
VAR4,
VAR18,
VAR34,
VAR33,
VAR6,
VAR41,
VAR30,
VAR3,
VAR27,
VAR37,
VAR20,
VAR26,
VAR16,
VAR11,
VAR1,
VAR23,
VAR32,
VAR7,
VAR8,
VAR19,
VAR28,
VAR22,
VAR45);
parameter VAR9 = 512;
parameter VAR25 = 2;
parameter VAR39 = 16;
parameter VAR36 = 32'h00000000;
parameter VAR17 = 32'h00000000;
localparam VAR44 = VAR9/8;
localparam VAR14 = VAR39 * VAR44;
localparam VAR2 = 6'd3;
localparam VAR38 = 6'd60;
input VAR15;
input VAR12;
input [ 31:0] VAR35;
input VAR42;
input VAR43;
output VAR29;
output [ 3:0] VAR4;
output [ 1:0] VAR18;
output VAR34;
output [ 3:0] VAR33;
output [ 2:0] VAR6;
output [ 3:0] VAR41;
output [ 3:0] VAR30;
output [ 7:0] VAR3;
output [ 2:0] VAR27;
output [ 31:0] VAR37;
input VAR20;
input VAR26;
input [ 3:0] VAR16;
input [ 3:0] VAR11;
input [ 1:0] VAR1;
input VAR23;
input [VAR9-1:0] VAR32;
output VAR7;
output VAR8;
output VAR19;
output VAR28;
output [VAR9-1:0] VAR22;
input VAR45;
reg [ 31:0] VAR13 = 'd0;
reg VAR24 = 'd0;
reg VAR5 = 'd0;
reg [ 2:0] VAR10 = 'd0;
reg VAR40 = 'd0;
reg VAR21 = 'd0;
reg VAR29 = 'd0;
reg [ 31:0] VAR37 = 'd0;
reg VAR19 = 'd0;
reg VAR28 = 'd0;
reg [VAR9-1:0] VAR22 = 'd0;
reg VAR7 = 'd0;
reg VAR8 = 'd0;
wire VAR31;
assign VAR31 = (~VAR29 | VAR20) & VAR45;
always @(posedge VAR42 or negedge VAR43) begin
if (VAR43 == 1'b0) begin
VAR13 <= 'd0;
VAR24 <= 'd0;
VAR5 <= 'd0;
VAR10 <= 'd0;
VAR40 <= 'd0;
VAR21 <= 'd0;
end else begin
if (VAR40 == 1'b1) begin
VAR13 <= VAR36;
end else if (VAR12 == 1'b1) begin
VAR13 <= VAR35;
end
if (VAR5 == 1'b1) begin
VAR24 <= 1'b0;
if ((VAR26 == 1'b1) && (VAR23 == 1'b1)) begin
VAR5 <= 1'b0;
end
end else if ((VAR31 == 1'b1) && (VAR37 < VAR13)) begin
VAR24 <= VAR21;
VAR5 <= VAR21;
end
VAR10 <= {VAR10[1:0], VAR15};
VAR40 <= VAR10[1] & ~VAR10[2];
VAR21 <= VAR10[2];
end
end
assign VAR4 = 4'b0000;
assign VAR18 = 2'b01;
assign VAR34 = 1'b0;
assign VAR33 = 4'b0010;
assign VAR6 = 3'b000;
assign VAR41 = 4'b0000;
assign VAR30 = 4'b0001;
assign VAR3 = VAR39 - 1;
assign VAR27 = VAR25;
always @(posedge VAR42 or negedge VAR43) begin
if (VAR43 == 1'b0) begin
VAR29 <= 'd0;
VAR37 <= 'd0;
end else begin
if (VAR29 == 1'b1) begin
if (VAR20 == 1'b1) begin
VAR29 <= 1'b0;
end
end else begin
if (VAR24 == 1'b1) begin
VAR29 <= 1'b1;
end
end
if (VAR40 == 1'b1) begin
VAR37 <= VAR36;
end else if ((VAR29 == 1'b1) && (VAR20 == 1'b1)) begin
VAR37 <= VAR37 + VAR14;
end
end
end
always @(posedge VAR42 or negedge VAR43) begin
if (VAR43 == 1'b0) begin
VAR19 <= 'd1;
VAR28 <= 'd0;
VAR22 <= 'd0;
VAR7 <= 'd0;
end else begin
VAR19 <= ~VAR10[1];
VAR28 <= VAR26;
VAR22 <= VAR32;
VAR7 <= 1'b1;
end
end
always @(posedge VAR42 or negedge VAR43) begin
if (VAR43 == 1'b0) begin
VAR8 <= 'd0;
end else begin
VAR8 <= VAR26 & VAR1[1];
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/nor4b/sky130_fd_sc_ms__nor4b_1.v
| 2,302 |
module MODULE2 (
VAR5 ,
VAR11 ,
VAR3 ,
VAR9 ,
VAR8 ,
VAR7,
VAR10,
VAR6 ,
VAR1
);
output VAR5 ;
input VAR11 ;
input VAR3 ;
input VAR9 ;
input VAR8 ;
input VAR7;
input VAR10;
input VAR6 ;
input VAR1 ;
VAR4 VAR2 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR5 ,
VAR11 ,
VAR3 ,
VAR9 ,
VAR8
);
output VAR5 ;
input VAR11 ;
input VAR3 ;
input VAR9 ;
input VAR8;
supply1 VAR7;
supply0 VAR10;
supply1 VAR6 ;
supply0 VAR1 ;
VAR4 VAR2 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
jmesmon/trifles
|
verilog/hw7/risc_spm.v
| 12,553 |
module MODULE3 #(parameter VAR43=8, VAR77=3, VAR22=2)
( input clk, rst);
wire [VAR77-1:0]VAR75;
wire [VAR22-1:0]VAR38;
wire VAR16;
wire [VAR43-1:0] VAR23, addr, VAR21, VAR67, VAR103;
wire VAR6, VAR105, VAR70, VAR10, VAR56, VAR30, VAR34;
wire VAR42, VAR46, VAR106;
wire write;
wire VAR59;
MODULE9 MODULE4(VAR23, VAR16, addr, VAR21, VAR67, VAR103,
VAR6, VAR105,
VAR70, VAR10, VAR56, VAR30, VAR75, VAR38,
VAR34, VAR42, VAR46, VAR106, clk, rst);
MODULE6 MODULE3(VAR6, VAR105, VAR70, VAR10,
VAR56, VAR30, VAR75, VAR38,
VAR34, VAR42, VAR46, VAR106,
write, VAR59, VAR23, VAR16, clk, rst);
MODULE5 MODULE2(
.VAR65(VAR67),
.VAR74(VAR21),
.address(addr),
.clk(clk),
.write(write)
);
MODULE5 #() VAR62 (
.VAR65(VAR103),
.VAR74(VAR21),
.address(addr),
.clk(clk),
.write(VAR59)
);
endmodule
module MODULE9 #(parameter VAR43=8, VAR77=3, VAR22=2, VAR110=4)
( output [VAR43-1:0] VAR23,
output VAR98,
output [VAR43-1:0] addr, VAR21,
input [VAR43-1:0] VAR67, VAR103,
input VAR6, VAR105, VAR70, VAR10, VAR56, VAR30,
input [VAR77-1:0] VAR75,
input [VAR22-1:0] VAR38,
input VAR34, VAR42, VAR46, VAR106,
input clk, rst);
wire [VAR43-1:0] VAR21, VAR29, VAR1, VAR52, VAR90, VAR39;
wire [VAR43-1:0] VAR9, VAR61, VAR17;
wire VAR35;
wire [VAR110-1:0] VAR41 = VAR23[VAR43-1:VAR43-VAR110];
MODULE7 #(VAR43)
VAR81 (VAR1, VAR29, VAR6, clk, rst),
VAR51 (VAR52, VAR29, VAR105, clk, rst),
VAR109 (VAR90, VAR29, VAR70, clk, rst),
VAR88 (VAR39, VAR29, VAR10, clk, rst);
MODULE7 #(VAR43) VAR99 (VAR61, VAR29, VAR46, clk, rst);
MODULE7 #(1) VAR68(VAR98, VAR35, VAR106, clk, rst);
MODULE7 #(VAR43) addr(addr, VAR29, VAR42, clk, rst);
MODULE7 #(VAR43) VAR50(VAR23, VAR29, VAR34, clk, rst);
MODULE10 MODULE6(VAR9, VAR29, VAR56, VAR30, clk, rst);
MODULE8 MODULE5(VAR21, VAR1, VAR52, VAR90, VAR39, VAR9, VAR75);
MODULE1 MODULE7(VAR29, VAR17, VAR21, VAR67, VAR103, VAR38);
MODULE4 MODULE1(VAR35, VAR17, VAR61, VAR21, VAR41);
endmodule
module MODULE10 #(parameter VAR43=8)
( output reg [VAR43-1:0] VAR60,
input [VAR43-1:0] VAR74,
input VAR56, VAR30,
input clk, rst);
always @(posedge clk or negedge rst)
if (~rst)
VAR60 <= 0;
else if (VAR56)
VAR60 <= VAR74;
else if (VAR30)
VAR60 <= VAR60 + 1;
endmodule
module MODULE7 #(parameter VAR33=8)
( output reg[VAR33-1:0] VAR65,
input [VAR33-1:0] VAR74,
input VAR13,
input clk, rst);
always @(posedge clk or negedge rst)
if (~rst)
VAR65 <= 0;
else if (VAR13)
VAR65 <= VAR74;
endmodule
module MODULE8 #(parameter VAR43=8)
( output [VAR43-1:0] VAR85,
input [VAR43-1:0] VAR18, VAR53, VAR97, VAR76, VAR78,
input [2:0] sel);
assign VAR85 = (sel == 0) ? VAR18 :
(sel == 1) ? VAR53 :
(sel == 2) ? VAR97 :
(sel == 3) ? VAR76 :
(sel == 4) ? VAR78 : 'VAR92;
endmodule
module MODULE2();
localparam VAR40 = 4'b0000;
localparam VAR19 = 4'b0001;
localparam VAR82 = 4'b0010;
localparam VAR37 = 4'b0011;
localparam VAR15 = 4'b0100;
localparam VAR72 = 4'b0101;
localparam VAR31 = 4'b0110;
localparam VAR86 = 4'b0111;
localparam VAR107 = 4'b1000;
localparam VAR48 = 2'VAR4;
localparam VAR101 = 2'VAR8;
endmodule
module MODULE1 #(parameter VAR43=8)
( output [VAR43-1:0] VAR85,
input [VAR43-1:0] VAR18, VAR53, VAR97, VAR76,
input [1:0]sel);
assign VAR85 = (sel == 0) ? VAR18 :
(sel == 1) ? VAR53 :
(sel == 3) ? VAR97 :
(sel == 4) ? VAR76 : 'VAR92;
endmodule
module MODULE4 #(parameter VAR43=8, VAR110=4)
( output VAR35,
output reg [VAR43-1:0] VAR17,
input [VAR43-1:0] VAR91, VAR73,
input [VAR110-1:0] sel);
assign VAR35 = ~|VAR17;
always @(sel or VAR91 or VAR73)
case (sel)
MODULE2.VAR40: VAR17 <= 0;
MODULE2.VAR19: VAR17 <= VAR91 + VAR73; MODULE2.VAR82: VAR17 <= VAR73 - VAR91;
MODULE2.VAR37: VAR17 <= VAR91 & VAR73;
MODULE2.VAR15: VAR17 <= ~VAR73; default: VAR17 <= 0;
endcase
endmodule
module MODULE6 #(parameter VAR43=8, VAR110=4, VAR102=4, VAR87=2,
VAR84=2, VAR77=3, VAR22=2)
( output reg VAR6, VAR105, VAR70, VAR10, VAR56,
VAR30,
output [VAR77-1:0] VAR75,
output [VAR22-1:0] VAR38,
output reg VAR34, VAR42, VAR46, VAR106,
output reg write, VAR59,
input [VAR43-1:0] VAR23,
input VAR16,
input clk, rst);
localparam VAR27 = 0;
localparam VAR28 = 1;
localparam VAR12 = 2;
localparam VAR26 = 3;
localparam VAR54 = 4;
localparam VAR104 = 5;
localparam VAR7 = 6;
localparam VAR58 = 7;
localparam VAR93 = 8;
localparam VAR20 = 9;
localparam VAR2 = 10;
localparam VAR5 = 11;
localparam VAR66 = 0;
localparam VAR47 = 1;
localparam VAR83 = 2;
localparam VAR49 = 3;
wire [VAR110-1:0] VAR41 = VAR23[VAR43-1:VAR43-VAR110];
wire [VAR87-1:0] VAR57 = VAR23[VAR87 + VAR84 - 1: VAR84];
wire [VAR84-1:0] VAR25 = VAR23[VAR84-1:0];
reg [VAR102-1:0] state, VAR44;
reg VAR100, VAR3, VAR80, VAR14, VAR55;
reg VAR36, VAR71, VAR89, VAR63;
reg VAR69;
assign VAR75 = VAR100 ? 0 :
VAR3 ? 1 :
VAR80 ? 2 :
VAR14 ? 3 :
VAR55 ? 4 : 3'VAR92;
assign VAR38 = VAR36 ? 0 :
VAR89 ? 1 :
VAR71 ? 2 :
VAR63 ? 3: 2'VAR92;
always @(posedge clk or negedge rst) begin : VAR96
if (~rst)
state <= VAR27;
end
else
state <= VAR44;
end
always @(state or VAR41 or VAR57 or VAR25 or VAR16)
begin : VAR11
VAR100 = 0; VAR3 = 0; VAR80 = 0; VAR14 = 0; VAR55 = 0;
VAR6 = 0; VAR105 = 0; VAR70 = 0; VAR10 = 0; VAR56 = 0;
VAR34 = 0; VAR42 = 0; VAR46 = 0; VAR106 = 0;
VAR30 = 0;
VAR89 = 0;
VAR36 = 0;
VAR71 = 0;
VAR63 = 0;
write = 0;
VAR69 = 0;
VAR44 = state;
case (state)
VAR27: VAR44 = VAR28;
VAR28: begin
VAR44 = VAR12;
VAR55 = 1;
VAR89 = 1;
VAR42 = 1;
end
VAR12: begin
VAR44 = VAR26;
VAR71 = 1;
VAR34 = 1;
VAR30 = 1;
end
VAR26: case (VAR41)
MODULE2.VAR40: VAR44 = VAR28;
MODULE2.VAR19, MODULE2.VAR82, MODULE2.VAR37: begin
VAR44 = VAR54;
VAR89 = 1;
VAR46 = 1;
case (VAR57)
VAR66: VAR100 = 1;
VAR47: VAR3 = 1;
VAR83: VAR80 = 1;
VAR49: VAR14 = 1;
default VAR69 = 1;
endcase
end
MODULE2.VAR15: begin
VAR44 = VAR28;
VAR106 = 1;
VAR89 = 1;
VAR36 = 1;
case (VAR57)
VAR66: VAR100 = 1;
VAR47: VAR3 = 1;
VAR83: VAR80 = 1;
VAR49: VAR14 = 1;
default VAR69 = 1;
endcase
case (VAR25)
VAR66: VAR6 = 1;
VAR47: VAR105 = 1;
VAR83: VAR70 = 1;
VAR49: VAR10 = 1;
default VAR69 = 1;
endcase
end
MODULE2.VAR72: begin
VAR44 = VAR104;
VAR55 = 1;
VAR89 = 1;
VAR42 = 1;
end
MODULE2.VAR31: begin
VAR44 = VAR58;
VAR55 = 1;
VAR89 = 1;
VAR42 = 1;
end
MODULE2.VAR86: begin
VAR44 = VAR20;
VAR55 = 1;
VAR89 = 1;
VAR42 = 1;
end
MODULE2.VAR107: if (VAR16) begin
VAR44 = VAR20;
VAR55 = 1;
VAR89 = 1;
VAR42 = 1;
end else begin
VAR44 = VAR28;
VAR30 = 1;
end
default: VAR44 = VAR5;
endcase
VAR54: begin
VAR44 = VAR28;
VAR106 = 1;
VAR36 = 1;
case (VAR25)
VAR66: begin VAR100 = 1; VAR6 = 1; end
VAR47: begin VAR3 = 1; VAR105 = 1; end
VAR83: begin VAR80 = 1; VAR70 = 1; end
VAR49: begin VAR14 = 1; VAR10 = 1; end
default: VAR69 = 1;
endcase
end
VAR104: begin
VAR44 = VAR7;
casex (VAR57)
MODULE2.VAR48: VAR63 = 1;
MODULE2.VAR101: VAR71 = 1;
endcase
VAR42 = 1;
VAR30 = 1;
end
VAR58: begin
VAR44 = VAR93;
casex (VAR57)
MODULE2.VAR48: VAR63 = 1;
MODULE2.VAR101: VAR71 = 1;
endcase
VAR42 = 1;
VAR30 = 1;
end
VAR7: begin
VAR44 = VAR28;
casex (VAR57)
MODULE2.VAR48: VAR63 = 1;
MODULE2.VAR101: VAR71 = 1;
endcase
case (VAR25)
VAR66: VAR6 = 1;
VAR47: VAR105 = 1;
VAR83: VAR70 = 1;
VAR49: VAR10 = 1;
default: VAR69 = 1;
endcase
end
VAR93: begin
VAR44 = VAR28;
casex (VAR57)
MODULE2.VAR48: VAR59 = 1;
MODULE2.VAR101: write = 1;
endcase
case (VAR57)
VAR66: VAR100 = 1;
VAR47: VAR3 = 1;
VAR83: VAR80 = 1;
VAR49: VAR14 = 1;
default: VAR69 = 1;
endcase
end
VAR20: begin
VAR44 = VAR2;
VAR71 = 1;
VAR42 = 1;
end
VAR2: begin
VAR44 = VAR28;
VAR71 = 1;
VAR56 = 1;
end
VAR5: VAR44 = VAR5;
default: VAR44 = VAR27;
endcase
end
endmodule
module MODULE5 #(parameter VAR43 = 8, VAR108 = 256)
( output [VAR43-1:0] VAR65,
input [VAR43-1:0] VAR74, address,
input clk, write);
reg [VAR43-1:0] VAR95[VAR108-1:0];
assign VAR65 = VAR95[address];
always @(posedge clk)
if (write)
VAR95[address] <= VAR74;
endmodule
module MODULE11(output reg clk);
begin
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/old/emon/hdl/emon.v
| 6,387 |
module MODULE1 (
VAR43, VAR23, VAR2,
clk, reset, VAR33, VAR16, VAR29, VAR15,
VAR1, VAR12, VAR22,
VAR3, VAR32, VAR40,
VAR34, VAR20, VAR36,
VAR25, VAR6, VAR18
);
parameter VAR28 = 32; parameter VAR41 = 6;
input clk;
input reset;
input VAR33;
input VAR16;
input [19:0] VAR29;
input [VAR28-1:0] VAR15;
output [VAR28-1:0] VAR43;
output VAR23;
input VAR1;
input VAR12;
input VAR22;
input VAR3;
input VAR32;
input VAR40;
input VAR34;
input VAR20;
input VAR36;
input VAR25;
input VAR6;
input VAR18;
output [5:0] VAR2;
wire VAR31;
wire [5:0] VAR7;
wire VAR8;
wire VAR11;
wire [5:0] VAR30;
wire VAR42;
reg [VAR28-1:0] VAR10;
wire [15:0] VAR27;
wire[VAR28-1:0] VAR38[5:0];
reg [VAR28-1:0] VAR43;
reg [VAR28-1:0] VAR5;
assign VAR31 = VAR33 & ~VAR16;
assign VAR8 = VAR29[19:0]==VAR46;
assign VAR7[0] = VAR29[19:0]==VAR17;
assign VAR7[1] = VAR29[19:0]==VAR44;
assign VAR7[2] = VAR29[19:0]==VAR39;
assign VAR7[3] = VAR29[19:0]==VAR4;
assign VAR7[4] = VAR29[19:0]==VAR24;
assign VAR7[5] = VAR29[19:0]==VAR14;
assign VAR30[0] = VAR11[0] & VAR16 & VAR33;
assign VAR30[1] = VAR11[1] & VAR16 & VAR33;
assign VAR30[2] = VAR11[2] & VAR16 & VAR33;
assign VAR30[3] = VAR11[3] & VAR16 & VAR33;
assign VAR30[4] = VAR21[4] & VAR16 & VAR33;
assign VAR30[5] = VAR11[5] & VAR16 & VAR33;
assign VAR42 = VAR8 & VAR16 & VAR33;
assign VAR11 = VAR8 |
(|VAR7[5:0]);
always @ (posedge clk)
if(reset)
VAR5[VAR28-1:0]<={(VAR28){1'b0}};
else if(VAR42)
VAR5[VAR28-1:0] <= VAR15[VAR28-1:0];
assign VAR27[15:0] = {1'b0,
1'b0,
VAR18,
VAR6,
VAR25,
VAR36,
VAR20,
VAR34,
VAR40,
VAR32,
VAR3,
VAR22,
VAR12,
VAR1,
1'b1,
1'b0};
genvar VAR45;
generate
for (VAR45=0;VAR45<VAR41;VAR45=VAR45+1) begin : VAR19
VAR35 VAR35( .VAR38 (VAR38[VAR45]),
.VAR2 (VAR2[VAR45]),
.clk (clk),
.reset (reset),
.VAR27 (VAR27[15:0]),
.VAR13 (VAR5[4*VAR45+3:4*VAR45]),
.VAR26 (VAR30[VAR45]),
.VAR9 (VAR15[VAR28-1:0]));
end
endgenerate
integer VAR37;
always @*
begin
VAR10[VAR28-1:0] = {(VAR28){1'b0}};
for(VAR37=0;VAR37<VAR41;VAR37=VAR37+1)
VAR10[VAR28-1:0] = VAR10[VAR28-1:0] | ({(VAR28){VAR7[VAR37]}} & VAR38[VAR37]);
end
always @ (posedge clk)
if(VAR31)
begin
VAR43[VAR28-1:0] <= VAR10[VAR28-1:0];
VAR23 <= VAR11;
end
endmodule
|
gpl-3.0
|
alexforencich/hdg2000
|
fpga/rtl/reset_stretch.v
| 1,716 |
module MODULE1 #(
parameter VAR3 = 4
)(
input wire clk,
input wire VAR2,
output wire VAR1
);
reg VAR5 = 1;
reg [VAR3-1:0] VAR4 = 0;
assign VAR1 = VAR5;
always @(posedge clk or posedge VAR2) begin
if (VAR2) begin
VAR4 <= 0;
VAR5 <= 1;
end else begin
if (&VAR4) begin
VAR5 <= 0;
end else begin
VAR5 <= 1;
VAR4 <= VAR4 + 1;
end
end
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai222/gf180mcu_fd_sc_mcu9t5v0__oai222_4.functional.v
| 1,909 |
module MODULE1( VAR24, VAR14, VAR7, VAR22, VAR4, VAR11, VAR13 );
input VAR11, VAR13, VAR22, VAR4, VAR14, VAR7;
output VAR24;
wire VAR1;
not VAR19( VAR1, VAR11 );
wire VAR17;
not VAR25( VAR17, VAR13 );
wire VAR23;
and VAR10( VAR23, VAR1, VAR17 );
wire VAR6;
not VAR3( VAR6, VAR22 );
wire VAR12;
not VAR2( VAR12, VAR4 );
wire VAR16;
and VAR8( VAR16, VAR6, VAR12 );
wire VAR18;
not VAR9( VAR18, VAR14 );
wire VAR26;
not VAR20( VAR26, VAR7 );
wire VAR21;
and VAR15( VAR21, VAR18, VAR26 );
or VAR5( VAR24, VAR23, VAR16, VAR21 );
endmodule
|
apache-2.0
|
fpgasystems/Centaur
|
rtl/mem/spl_sdp_mem.v
| 2,285 |
module MODULE1 #(
parameter VAR7 = 32,
parameter VAR3 = 8
) (
input wire clk,
input wire VAR2,
input wire VAR1,
input wire [VAR3-1:0] VAR6,
input wire [VAR3-1:0] VAR4,
input wire [VAR7-1:0] din,
output reg [VAR7-1:0] dout
);
reg [VAR7-1:0] VAR5[0:2**VAR3-1];
reg [VAR7-1:0] VAR5[0:2**VAR3-1];
always @(posedge clk) begin
if (VAR2)
VAR5[VAR4] <= din;
if (VAR1)
dout <= VAR5[VAR6];
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a22oi/sky130_fd_sc_ls__a22oi_2.v
| 2,352 |
module MODULE2 (
VAR3 ,
VAR5 ,
VAR10 ,
VAR9 ,
VAR1 ,
VAR11,
VAR2,
VAR4 ,
VAR6
);
output VAR3 ;
input VAR5 ;
input VAR10 ;
input VAR9 ;
input VAR1 ;
input VAR11;
input VAR2;
input VAR4 ;
input VAR6 ;
VAR7 VAR8 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR3 ,
VAR5,
VAR10,
VAR9,
VAR1
);
output VAR3 ;
input VAR5;
input VAR10;
input VAR9;
input VAR1;
supply1 VAR11;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR6 ;
VAR7 VAR8 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.