repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
skatpgusskat/KoreaUnivHomework_2015_1
Computer Architecture/Homework/Lab09/control_units.v
2,749
module MODULE2(clk, VAR16, VAR8, VAR9, VAR4, VAR18, VAR5, VAR6, VAR1, VAR12, VAR11, VAR15, VAR7, VAR3, VAR2, VAR13); input clk, VAR16; input [5:0] VAR8; output VAR9, VAR4, VAR18, VAR5, VAR6, VAR1, VAR12, VAR3, VAR2, VAR13; reg VAR9, VAR4, VAR18, VAR5, VAR6, VAR1, VAR12, VAR3, VAR2, VAR13; output [1:0] VAR11, VAR15, VAR7; reg [1:0] VAR11, VAR15, VAR7; integer VAR14; integer VAR19; always @(VAR14) begin case(VAR14) 0: begin VAR18 = 0; VAR5 = 1; VAR6 = 0; VAR12 = 1; VAR3 = 0; VAR7 = 2'b01; VAR15 = 2'b00; VAR4 = 1; VAR9 = 0; VAR2 = 0; VAR1 = 0; VAR13 = 0; VAR11 = 2'b00; end 1: begin VAR3 = 0; VAR7 = 2'b11; VAR15 = 2'b00; VAR12 = 0; VAR5 = 0; VAR4 = 0; end 2: begin VAR3 = 1; VAR7 = 2'b10; VAR15 = 2'b00; end 3: begin VAR18 = 1; VAR5 = 1; end 4: begin VAR1 = 1; VAR13 = 0; VAR2 = 1; VAR5 = 0; end 5: begin VAR18 = 1; VAR6 = 1; end 6: begin VAR3 = 1; VAR7 = 2'b00; VAR15 = 2'b10; end 7: begin VAR13 = 1; VAR2 = 1; VAR1 = 0; end 8: begin VAR3 = 1; VAR7 = 2'b00; VAR15 = 2'b01; VAR11 = 2'b01; VAR9 = 1; end 9: begin VAR11 = 2'b10; VAR4 = 1; end endcase end always @(posedge clk) begin if (VAR16 == 1'b1) VAR14 = -1; end else VAR14 = VAR19; end always @(VAR14 or VAR8) begin VAR19 = -1; case (VAR14) -1: VAR19 = 0; 0: VAR19 = 1; 1: begin case (VAR8) 6'b100011: VAR19 = 2; 6'b101011: VAR19 = 2; 0: VAR19 = 6; 4: VAR19 = 8; 2: VAR19 = 9; endcase end 2: begin case (VAR8) 6'b100011: VAR19 = 3; 6'b101011: VAR19 = 5; endcase end 3: VAR19 = 4; 4: VAR19 = 0; 5: VAR19 = 0; 6: VAR19 = 7; 7: VAR19 = 0; 8: VAR19 = 0; 9: VAR19 = 0; default: VAR19 = -1; endcase end endmodule module MODULE1(VAR10, VAR15, VAR17); input [5:0] VAR10; input [1:0] VAR15; output [2:0] VAR17; reg [2:0] VAR17; always @(VAR10 or VAR15) begin case(VAR15) 2'b00: VAR17 = 3'b010; 2'b01: VAR17 = 3'b110; 2'b10: begin case(VAR10) 6'b100000: VAR17 = 3'b010; 6'b100010: VAR17 = 3'b110; 6'b100100: VAR17 = 3'b000; 6'b100101: VAR17 = 3'b001; 6'b101010: VAR17 = 3'b111; endcase end endcase end endmodule
mit
nyaxt/dmix
async_fifo_t.v
1,122
module MODULE1; reg VAR7; reg VAR9; reg [7:0] VAR3; reg VAR4; reg VAR6; reg VAR10; reg VAR11; VAR8 VAR1( .VAR7(VAR7), .VAR9(VAR9), .VAR3(VAR3), .VAR4(VAR4), .VAR6(VAR6), .VAR10(VAR10), .VAR11(VAR11)); parameter VAR2 = 10; parameter VAR5 = 24; always #(VAR2/2) VAR7 = ~VAR7; always #(VAR5/2) VAR6 = ~VAR6;
mit
C-L-G/azpr_soc
azpr_soc/trunk/ic/digital/rtl/cpu/gpr.v
5,487
module MODULE1( input wire clk , input wire reset , input wire [VAR15] VAR6 , output wire [VAR1] VAR5 , input wire [VAR15] VAR4 , output wire [VAR1] VAR9 , input wire VAR17 , input wire [VAR8] VAR12 , input wire [VAR1] VAR7 ); reg [VAR1] MODULE1 [VAR3-1:0]; integer VAR2; assign VAR5 = ((VAR17 == VAR11) && (VAR12 == VAR6)) ? VAR7 : MODULE1[VAR6]; assign VAR9 = ((VAR17 == VAR11) && (VAR12 == VAR4)) ? VAR7 : MODULE1[VAR4]; always @(posedge clk or VAR18 reset) begin : VAR16 if(reset == VAR14) begin for(VAR2=0;VAR2<VAR3;VAR2=VAR2+1) begin : VAR10 MODULE1[VAR2] <= VAR13'h0; end end else begin if(VAR17 == VAR11) begin MODULE1[VAR12] <= VAR7; end end end endmodule
apache-2.0
disaderp/automatic-chainsaw
GPU/GPU.v
2,526
module MODULE1( input clk, input [15:0] VAR10, input VAR21, output VAR17, output VAR28, output VAR15 ); wire [7:0] out; wire [9:0] VAR20; wire [9:0] VAR5; reg [15:0] VAR7 = 0; reg [15:0] VAR23 = 0; reg [7:0] VAR9 [11:0]; reg [11:0] VAR11 = 0; reg [11:0] VAR8 = 0; reg [11:0] VAR30 = 0; reg VAR6 = 0; reg [15:0] VAR27 = 0; reg [6:0] VAR12; reg [7:0] VAR4 = 0; wire [11:0] VAR31; wire VAR29; VAR2 VAR18(.VAR25(clk), .VAR3(VAR29)); VAR1 VAR19 ( .clk (VAR29), .reset (VAR21), .VAR24 (VAR17), .VAR14 (out), .VAR31 (VAR31), .VAR22 (VAR22), .VAR13 (VAR16), .VAR20(VAR20), .VAR5(VAR5), .VAR28(VAR28), .VAR15(VAR15) ); VAR33 VAR26 ( .clk (VAR22), .address ({VAR4[6:0], VAR5[3:0], !VAR20[3]}), .out (out) ); always @ (posedge VAR16) begin : VAR34 VAR4 <= VAR9[VAR31]; end always @(posedge clk) begin : VAR32 case (VAR7) 16'h0: begin if (!VAR6) begin VAR27 <= VAR10; VAR6 <= 1; end else begin VAR7 <= VAR27; VAR23 <= VAR10; VAR6 <= 0; end end 16'hC0: begin if (VAR23 == 0) begin for (VAR12=0; VAR12<8; VAR12=VAR12+1) VAR9[VAR12] <= 2'b00; VAR11 <=0; VAR30 <=0; VAR8 <=0; end else begin end VAR7 <= 0; end 16'hC1: begin VAR9[VAR11] <= VAR23; VAR11 <= VAR11 + 1; if(VAR8 > 12'd38) begin if(VAR30 > 12'd23) VAR30 <= 0; end else VAR30 <= VAR30 + 1; VAR8 <= 0; end else VAR8 <= VAR8 + 1; VAR7 <= 16'h0; end 16'hC2: begin VAR9[VAR11 - 1] <= 8'h0; VAR11 <= VAR11 - 1; if(VAR8 == 12'h0) begin VAR8 <= 12'd39; VAR30 <= VAR30 - 1; end else VAR8 <= VAR8 - 1; VAR7 <= 16'h0; end 16'hC3: begin VAR30 <= VAR23; VAR11 <= (VAR23 << 5) + (VAR23 << 3) + VAR8; VAR7 <= 16'h0; end 16'hC4: begin VAR8 <= VAR23; VAR11 <= (VAR30 << 5) + (VAR30 << 3) + VAR23; VAR7 <= 16'h0; end 16'hC5: begin for (VAR12=0; VAR12<8; VAR12=VAR12+1) VAR9[VAR12] <= 2'b00; VAR11 <= 0; VAR8 <= 0; VAR30 <= 0; VAR7 <= 16'h0; end 16'hC6: begin if(VAR30 > 12'd23) begin VAR11 <= 0; VAR8 <= 0; VAR30 <= 0; end else begin VAR8 <= 0; VAR30 <= VAR30 + 1; VAR11 <= ((VAR30+1) << 5) + ((VAR30+1) << 3); end VAR7 <= 16'h0; end endcase end endmodule
gpl-3.0
ammelto/FPGAdventure
Adventure/BlackKeyRoom.v
1,194
module MODULE1(VAR1, VAR4, VAR3, VAR6, VAR2); input VAR1; input [9:0]VAR4; input [8:0]VAR3; input [7:0]VAR2; output [7:0]VAR6; reg [7:0]VAR5; always @(posedge VAR1) begin if(((VAR3 < 40) && (VAR4 < 260)) || ((VAR3 < 40) && ~(VAR4 < 380))) begin VAR5[7:0] <= VAR2; end else if(VAR4 < 40) begin VAR5[7:0] <= VAR2; end else if(~(VAR4 < 600)) begin VAR5[7:0] <= VAR2; end else if(~(VAR3 < 440)) begin VAR5[7:0] <= VAR2; end else VAR5[7:0] <= 8'b10110110; end assign VAR6 = VAR5; endmodule
mit
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6T_INVBUF_SRAM_FF_210930.v
14,992
module MODULE1 (VAR1, VAR2); output VAR1; input VAR2; buf (VAR1, VAR2);
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a22oi/sky130_fd_sc_hd__a22oi.behavioral.v
1,641
module MODULE1 ( VAR6 , VAR7, VAR16, VAR15, VAR11 ); output VAR6 ; input VAR7; input VAR16; input VAR15; input VAR11; supply1 VAR5; supply0 VAR8; supply1 VAR3 ; supply0 VAR12 ; wire VAR2 ; wire VAR1 ; wire VAR10; nand VAR14 (VAR2 , VAR16, VAR7 ); nand VAR4 (VAR1 , VAR11, VAR15 ); and VAR13 (VAR10, VAR2, VAR1); buf VAR9 (VAR6 , VAR10 ); endmodule
apache-2.0
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/verilog/HLS_accel_fmul_32ns_32ns_32_4_max_dsp.v
1,991
module MODULE1 VAR20 = 3, VAR19 = 4, VAR12 = 32, VAR22 = 32, VAR21 = 32 )( input wire clk, input wire reset, input wire VAR15, input wire [VAR12-1:0] VAR5, input wire [VAR22-1:0] VAR24, output wire [VAR21-1:0] dout ); wire VAR6; wire VAR7; wire VAR1; wire [31:0] VAR8; wire VAR16; wire [31:0] VAR18; wire VAR23; wire [31:0] VAR14; reg [VAR12-1:0] VAR4; reg [VAR22-1:0] VAR13; VAR2 VAR11 ( .VAR6 ( VAR6 ), .VAR7 ( VAR7 ), .VAR9 ( VAR1 ), .VAR25 ( VAR8 ), .VAR17 ( VAR16 ), .VAR27 ( VAR18 ), .VAR10 ( VAR23 ), .VAR3 ( VAR14 ) ); assign VAR6 = clk; assign VAR7 = VAR15; assign VAR1 = 1'b1; assign VAR8 = VAR4==='VAR26 ? 'b0 : VAR4; assign VAR16 = 1'b1; assign VAR18 = VAR13==='VAR26 ? 'b0 : VAR13; assign dout = VAR14; always @(posedge clk) begin if (VAR15) begin VAR4 <= VAR5; VAR13 <= VAR24; end end endmodule
mit
KestrelComputer/gpia3
rtl/verilog/GPIA_BYTE.v
1,497
module MODULE1( input VAR1, input VAR5, input [1:0] VAR4, input [7:0] VAR6, input VAR11, output [7:0] VAR15 ); VAR3 VAR7( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[0]), .VAR11(VAR11), .VAR15(VAR15[0]) ); VAR3 VAR12( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[1]), .VAR11(VAR11), .VAR15(VAR15[1]) ); VAR3 VAR13( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[2]), .VAR11(VAR11), .VAR15(VAR15[2]) ); VAR3 VAR2( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[3]), .VAR11(VAR11), .VAR15(VAR15[3]) ); VAR3 VAR9( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[4]), .VAR11(VAR11), .VAR15(VAR15[4]) ); VAR3 VAR10( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[5]), .VAR11(VAR11), .VAR15(VAR15[5]) ); VAR3 VAR8( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[6]), .VAR11(VAR11), .VAR15(VAR15[6]) ); VAR3 VAR14( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6[7]), .VAR11(VAR11), .VAR15(VAR15[7]) ); endmodule
mpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dlyb/gf180mcu_fd_sc_mcu7t5v0__dlyb_1.behavioral.pp.v
1,164
module MODULE1( VAR3, VAR2, VAR4, VAR1 ); input VAR3; inout VAR4, VAR1; output VAR2; VAR7 VAR5(.VAR3(VAR3),.VAR2(VAR2),.VAR4(VAR4),.VAR1(VAR1)); VAR7 VAR6(.VAR3(VAR3),.VAR2(VAR2),.VAR4(VAR4),.VAR1(VAR1));
apache-2.0
jotego/jt12
hdl/jt12_csr.v
2,860
module MODULE1( input rst, input clk, input VAR8 , input [ 7:0] din, input [43:0] VAR7, output [43:0] VAR25, input VAR4, input VAR12, input VAR18, input VAR22, input VAR17, input VAR13, input VAR1, input VAR24, input VAR11, input VAR9 ); localparam VAR30=44; reg [VAR30-1:0] VAR29; VAR16 #(.VAR14(VAR30),.VAR26(12)) VAR10( .clk ( clk ), .VAR8 ( VAR8 ), .rst ( rst ), .din ( VAR29 ), .VAR3 ( VAR25 ) ); wire VAR31 = VAR4 & VAR9; wire VAR5 = VAR12 & VAR24; wire VAR20 = VAR12 & VAR11; wire VAR32 = VAR18 & VAR11; wire VAR19 = VAR18 & VAR24; wire VAR27 = VAR22& VAR9; wire VAR15 = VAR22& VAR24; wire VAR28 = VAR17 & VAR24; wire VAR2 = VAR13 & VAR24; wire VAR21 = VAR13 & VAR24; wire VAR6 = VAR1 & VAR24; always @(*) VAR29 = { VAR31 ? din[6:0] : VAR7[43:37], VAR5 ? din[6:4] : VAR7[36:34], VAR20 ? din[3:0] : VAR7[33:30], VAR32 ? din[7:6] : VAR7[29:28], VAR19 ? din[4:0] : VAR7[27:23], VAR27 ? din[7] : VAR7[ 22], VAR15 ? din[4:0] : VAR7[21:17], VAR28 ? din[4:0] : VAR7[16:12], VAR2 ? din[7:4] : VAR7[11: 8], VAR21 ? din[3:0] : VAR7[ 7: 4], VAR6 ? din[3:0] : VAR7[ 3: 0] }; endmodule VAR23
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21boi/sky130_fd_sc_hd__a21boi_4.v
2,332
module MODULE2 ( VAR9 , VAR6 , VAR3 , VAR8, VAR7, VAR5, VAR10 , VAR4 ); output VAR9 ; input VAR6 ; input VAR3 ; input VAR8; input VAR7; input VAR5; input VAR10 ; input VAR4 ; VAR2 VAR1 ( .VAR9(VAR9), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR7(VAR7), .VAR5(VAR5), .VAR10(VAR10), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR9 , VAR6 , VAR3 , VAR8 ); output VAR9 ; input VAR6 ; input VAR3 ; input VAR8; supply1 VAR7; supply0 VAR5; supply1 VAR10 ; supply0 VAR4 ; VAR2 VAR1 ( .VAR9(VAR9), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and4bb/sky130_fd_sc_hs__and4bb.pp.blackbox.v
1,298
module MODULE1 ( VAR7 , VAR4 , VAR2 , VAR1 , VAR6 , VAR5, VAR3 ); output VAR7 ; input VAR4 ; input VAR2 ; input VAR1 ; input VAR6 ; input VAR5; input VAR3; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41ai/sky130_fd_sc_ls__o41ai.pp.symbol.v
1,381
module MODULE1 ( input VAR2 , input VAR7 , input VAR3 , input VAR8 , input VAR5 , output VAR9 , input VAR6 , input VAR4, input VAR10, input VAR1 ); endmodule
apache-2.0
osrf/wandrr
firmware/motor_controller/fpga/commutator.v
2,316
module MODULE1 (input VAR45, input [15:0] VAR38, input [15:0] VAR12, input [31:0] VAR25, output [47:0] VAR48); wire [10:0] VAR22, VAR26, VAR41; VAR6 #(11) VAR28 (.VAR45(VAR45), .VAR49(VAR38[10:0] + VAR12[10:0] ), .VAR13(VAR22)); VAR6 #(11) VAR8 (.VAR45(VAR45), .VAR49(VAR38[10:0] + VAR12[10:0] + 11'd683 ), .VAR13(VAR26)); VAR6 #(11) VAR24 (.VAR45(VAR45), .VAR49(VAR38[10:0] + VAR12[10:0] + 11'd1365), .VAR13(VAR41)); wire [1:0] VAR19; VAR6 #(2) VAR43 (.VAR45(VAR45), .VAR49(VAR19 == 2'd2 ? 2'b0 : VAR19+1'b1), .VAR13(VAR19)); wire [10:0] VAR30; VAR46 #(.VAR20(11), .VAR39(2)) VAR10 (.VAR49({11'h0, VAR41, VAR26, VAR22}), .sel(VAR19), .VAR32(VAR30)); wire [31:0] VAR33; VAR34 VAR40 (.VAR45(VAR45), .VAR17(VAR30), .VAR29(VAR33)); wire [31:0] VAR21; VAR5 VAR23 (.VAR27(VAR45), .VAR11(VAR33), .VAR18(VAR25), .VAR14(VAR21)); wire [31:0] VAR36; wire VAR44; VAR1 VAR47 (.VAR27(VAR45), .VAR11(VAR21), .VAR4(VAR44), .VAR14(VAR36)); wire [15:0] VAR3 = VAR36[15:0] + 16'h4000; wire [15:0] VAR7, VAR2, VAR9; VAR37 #(16) VAR31 (.VAR45(VAR45), .rst(1'b0), .en(VAR19 == 2'h0), .VAR49(VAR3), .VAR13(VAR7)); VAR37 #(16) VAR50 (.VAR45(VAR45), .rst(1'b0), .en(VAR19 == 2'h1), .VAR49(VAR3), .VAR13(VAR2)); VAR37 #(16) VAR42 (.VAR45(VAR45), .rst(1'b0), .en(VAR19 == 2'h2), .VAR49(VAR3), .VAR13(VAR9)); assign VAR48 = { VAR9, VAR2, VAR7 }; endmodule module MODULE2(); reg [15:0] VAR38; reg [15:0] VAR12; wire [47:0] VAR48; wire [15:0] VAR7 = VAR48[15:0]; wire [15:0] VAR2 = VAR48[31:16]; wire [15:0] VAR9 = VAR48[47:32]; reg [31:0] VAR25; wire VAR45; VAR15 #(125) VAR16(VAR45); MODULE1 MODULE1(.*);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tapvgnd2/sky130_fd_sc_ls__tapvgnd2_1.v
1,950
module MODULE2 ( VAR1, VAR6, VAR2 , VAR3 ); input VAR1; input VAR6; input VAR2 ; input VAR3 ; VAR5 VAR4 ( .VAR1(VAR1), .VAR6(VAR6), .VAR2(VAR2), .VAR3(VAR3) ); endmodule module MODULE2 (); supply1 VAR1; supply0 VAR6; supply1 VAR2 ; supply0 VAR3 ; VAR5 VAR4 (); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/xor3/sky130_fd_sc_hs__xor3.pp.symbol.v
1,282
module MODULE1 ( input VAR2 , input VAR3 , input VAR6 , output VAR5 , input VAR1, input VAR4 ); endmodule
apache-2.0
PiJoules/Zybo-Vision-Processing
hdmi_passthrough_720p.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_0/design_1_clk_wiz_0_0_clk_wiz.v
5,849
module MODULE1 ( input VAR53, output VAR13, input reset, output VAR3 ); VAR8 VAR27 (.VAR60 (VAR29), .VAR5 (VAR53)); wire [15:0] VAR10; wire VAR52; wire VAR11; wire VAR55; wire VAR35; wire VAR25; wire VAR51; wire VAR44; wire VAR40; wire VAR32; wire VAR56; wire VAR19; wire VAR20; wire VAR41; wire VAR31; wire VAR45; VAR37 .VAR48 ("VAR2"), .VAR9 (5), .VAR34 (32), .VAR46 (0.000), .VAR18 (4), .VAR36 (0.000), .VAR23 (0.500), .VAR38 (8.0)) VAR47 ( .VAR1 (VAR35), .VAR21 (VAR22), .VAR57 (VAR44), .VAR30 (VAR40), .VAR4 (VAR32), .VAR50 (VAR56), .VAR59 (VAR19), .VAR43 (VAR25), .VAR16 (VAR29), .VAR12 (1'b0), .VAR39 (1'b1), .VAR14 (7'h0), .VAR6 (1'b0), .VAR24 (1'b0), .VAR26 (16'h0), .VAR7 (VAR10), .VAR49 (VAR52), .VAR33 (1'b0), .VAR17 (VAR55), .VAR58 (1'b0), .VAR54 (VAR45)); assign VAR45 = reset; assign VAR3 = VAR55; VAR42 VAR15 (.VAR60 (VAR25), .VAR5 (VAR35)); VAR42 VAR28 (.VAR60 (VAR13), .VAR5 (VAR22)); endmodule
unlicense
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v
34,564
module MODULE1 , parameter VAR140(VAR50 ) , parameter VAR140(VAR192 ) , parameter VAR140(VAR70 ) , parameter VAR140(VAR29 ) , parameter VAR202=(1 << (VAR192))-1 , parameter VAR112 = 100 , parameter VAR208 = (VAR70) ' (0) , parameter VAR199 = 1'b1 , parameter VAR67 = 1'b1 , parameter VAR278 = (VAR70) ' (0) , parameter VAR260 = 5'b00000 , parameter VAR34 = 3 , parameter VAR181 = VAR34+2 , parameter VAR81 = VAR34+2 , parameter VAR130 = VAR129(1+VAR112*128) , parameter VAR148 = VAR112 * 2 * (2**(VAR181+1)+2**(VAR81+1)) , parameter VAR182 = VAR129(5*VAR112+10) , parameter VAR170 = VAR112 * 25 ) (input VAR141 , input VAR108 , input VAR90 , output [VAR70-1:0] VAR78 , output [VAR70-1:0] VAR48 , output [VAR70-1:0] VAR136 , output [VAR50*VAR12-1:0] VAR122 [VAR70-1:0] , input [VAR70-1:0] VAR33 , input [VAR70-1:0] VAR269 , input [VAR50*VAR12-1:0] VAR152 [VAR70-1:0] , output [VAR70-1:0] VAR275 , output VAR265 , input [VAR192-1:0] VAR236 , input [VAR12-1:0] VAR26 [VAR192-1:0] , input [VAR192-1:0] VAR207 , output [VAR192-1:0] VAR110 , output [VAR192-1:0] VAR113 , output [VAR12-1:0] VAR56 [VAR192-1:0] , output [VAR192-1:0] VAR186 , output reg VAR191 , input [VAR192-1:0] VAR222 , output VAR187 ); localparam VAR49 = ( ((VAR50 / 2) >= VAR192) & (VAR50 % 2) == 0 ) ? 2 : 1; localparam VAR154 = 5; wire [VAR192-1:0] VAR235; wire [VAR192-1:0] VAR23; wire VAR46; wire [VAR192-1:0] VAR264; wire VAR99; wire VAR109; assign VAR187 = VAR109; VAR92 #(.VAR96(1)) VAR221 (.VAR86(VAR141) , .VAR85(VAR108) , .VAR273(VAR109) ); VAR92 #(.VAR96(1)) VAR35 (.VAR86(VAR90) , .VAR85(VAR108) , .VAR273(VAR46) ); always @(posedge VAR90) VAR191 <= VAR99; wire [VAR192-1:0] VAR135; wire [VAR12-1:0] VAR253 [VAR192-1:0]; wire [VAR192-1:0] VAR75; wire [VAR192-1:0] VAR259; wire [VAR12-1:0] VAR276 [VAR192-1:0]; wire [VAR192-1:0] VAR190; wire [VAR192-1:0] VAR245 , VAR163; wire [VAR12-1:0] VAR167 [VAR192-1:0]; wire [VAR12-1:0] VAR201 [VAR192-1:0]; wire [VAR192-1:0] VAR217 , VAR161; wire [VAR192-1:0] VAR100; wire [VAR12+1-1:0] VAR11 [VAR192-1:0]; wire [VAR192-1:0] VAR242, VAR151; wire [VAR12+1-1:0] VAR238 [VAR192-1:0]; wire [VAR12+1-1:0] VAR166 [VAR192-1:0]; wire [VAR192-1:0] VAR25, VAR69; wire [VAR192-1:0] VAR249; wire [VAR192-1:0] VAR194, VAR111; logic [VAR226(0,VAR129(VAR192)-1):0] VAR176, VAR272, VAR147; logic [VAR226(0,VAR129(VAR192+1)-1):0] VAR237; VAR145 #(.VAR96(VAR192)) VAR160 (.VAR59(VAR194) ,.VAR174(VAR237) ); assign VAR147 = (| VAR194) ? (VAR237 - 1) : '0; VAR54 #(.VAR244(1) ,.VAR5(4) ,.VAR96(VAR226(1,VAR129(VAR192))) ) VAR271 (.VAR88(VAR141) ,.VAR73(VAR147) ,.VAR6(VAR272) ); VAR54 #(.VAR244(1) ,.VAR5(4) ,.VAR96(VAR226(1,VAR129(VAR192))) ) VAR188 (.VAR88(VAR141) ,.VAR73(VAR147) ,.VAR6(VAR176) ); localparam VAR219 = 5; wire VAR128, VAR19; wire VAR8; VAR139 #(.VAR96(1)) VAR215 (.VAR10(VAR90) ,.VAR216(1'b0) ,.VAR86(VAR141) ,.VAR85(VAR128) ,.VAR77(VAR19) ,.VAR273(VAR8) ); wire [5:0] VAR263; assign VAR265 = ~VAR263[0]; genvar VAR233; for (VAR233 = 0; VAR233 < 6; VAR233=VAR233+1) begin: VAR3 VAR54 #(.VAR244(1) ,.VAR5(4) ,.VAR96(1) ) VAR115 (.VAR88(VAR141) ,.VAR73(VAR8) ,.VAR6(VAR263[VAR233]) ); end if (VAR29) begin : VAR241 wire [VAR219+1-1:0][VAR192-1:0] VAR119; wire [VAR129(VAR219+1)-1:0] VAR204; wire VAR93; assign VAR99 = VAR93; logic VAR52, VAR51; VAR195 #(.VAR220(VAR130)) VAR68 (.VAR82(VAR90) ,.VAR146 (VAR46) ,.VAR150(VAR52) ); VAR205 @(posedge VAR90) VAR51 <= VAR52; VAR177 ,.VAR219(VAR219) ,.VAR255(VAR148) ,.VAR228(VAR170) ) VAR39 (.VAR82(VAR90) ,.VAR146 (VAR46) ,.VAR214 (~VAR51 & VAR52 ) ,.VAR95(VAR119) ,.VAR200 (VAR204 ) ,.VAR47 (VAR93 ) ,.VAR173 (VAR128 ) ); VAR205 @(negedge VAR90) if (VAR128 & ~VAR19) ." , VAR111); end else begin assign VAR128 = (|VAR111); assign VAR99 = 1'b0; end wire VAR102, VAR198; genvar VAR59,VAR91; logic VAR58; if (VAR29) begin : VAR254 always @(posedge VAR90) VAR58 <= VAR46; end for (VAR59 = 0; VAR59 < VAR192; VAR59=VAR59+1) begin: VAR43 VAR139 #(.VAR96(1)) VAR143 (.VAR10 (VAR90) ,.VAR216(VAR46) ,.VAR86 (VAR141) ,.VAR85 (VAR111[VAR59]) ,.VAR77() ,.VAR273 (VAR194[VAR59]) ); if (VAR29) begin :VAR42 wire [VAR219+1-1:0] VAR227; for (VAR91 = 0; VAR91 < VAR219+1; VAR91=VAR91+1) begin : VAR84 assign VAR241.VAR119[VAR91][VAR59] = VAR227[VAR91]; end VAR168 ,.VAR44(VAR181) ,.VAR266(VAR182) ,.VAR267(VAR260) ,.VAR251(VAR219) ) VAR274 ( .VAR175 (VAR90) ,.VAR97 (VAR46) ,.VAR223 (VAR241.VAR204) ,.VAR62 (VAR241.VAR93) ,.VAR87 (VAR111[VAR59]) ,.VAR76 (VAR100 [VAR59]) ,.VAR16 (VAR11 [VAR59]) ,.VAR171 (VAR242 [VAR59]) ,.VAR178 (VAR207 [VAR59]) ,.VAR270 (VAR235 [VAR59]) ,.VAR57(VAR166 [VAR59]) ,.VAR262(VAR238 [VAR59]) ,.VAR131 (VAR151[VAR59]) ,.VAR158 ( VAR227 ) ); assign VAR102 = VAR241.VAR93; VAR139 #(.VAR96(1)) VAR211 (.VAR10 (VAR90) ,.VAR216(1'b0) ,.VAR86 (VAR207[VAR59]) ,.VAR85 (VAR102) ,.VAR77() ,.VAR273 (VAR235[VAR59]) ); VAR139 #(.VAR96(1)) VAR15 (.VAR10(VAR90) ,.VAR216(1'b0) ,.VAR86(VAR141) ,.VAR85(VAR102) ,.VAR77() ,.VAR273(VAR198) ); assign VAR25 [VAR59] = 1'b0; assign VAR69 [VAR59] = 1'b0; assign VAR249 [VAR59] = 1'b0; assign VAR111[VAR59] = (VAR227[VAR219-1:0] === { VAR219 {1'b1} }); assign VAR111[VAR59] = (VAR227[VAR219-1:0] == { VAR219 {1'b1} }); assign VAR264 [VAR59] = VAR46 & ~VAR58; end else begin : VAR248 VAR92 #(.VAR96(1)) VAR40 (.VAR86(VAR207[VAR59]) , .VAR85(VAR108) , .VAR273(VAR235[VAR59]) ); assign VAR198 = VAR109; assign VAR102 = VAR46; VAR72 ,.VAR44(VAR81) ) VAR103 ( .VAR175 (VAR90) ,.VAR97 (VAR46) ,.VAR230 (VAR264 [VAR59]) ,.VAR76 (VAR100 [VAR59]) ,.VAR16 (VAR11 [VAR59]) ,.VAR127 (VAR111 [VAR59]) ,.VAR178 (VAR207 [VAR59]) ,.VAR225 (VAR238 [VAR59]) ,.VAR66 (VAR25 [VAR59]) ,.VAR118 (VAR69 [VAR59]) ,.VAR131 (VAR151[VAR59]) ,.VAR141 (VAR141 ) ,.VAR218 (VAR249 [VAR59]) ); end wire VAR132 = VAR102; VAR37 ,.VAR34(VAR34) ,.VAR12(VAR12) ) VAR261 (.VAR141(VAR141) ,.VAR109(VAR198) ,.VAR197 (VAR249[VAR59] ? VAR276 [VAR59] : VAR167 [VAR59]) ,.VAR124(VAR249[VAR59] ? VAR259[VAR59] : VAR245[VAR59]) ,.VAR79(VAR75 [VAR59]) ,.VAR90(VAR90) ,.VAR153 (VAR102) ,.VAR53 (VAR264[VAR59]) ,.VAR106 (VAR100[VAR59] ) ,.VAR277(VAR11[VAR59]) ,.VAR4(VAR242[VAR59]) ,.VAR183( VAR186 [VAR59]) ,.VAR155( VAR56 [VAR59]) ,.VAR22(VAR113 [VAR59]) ,.VAR144 (VAR151[VAR59]) ,.VAR203 (VAR222 [VAR59]) ,.VAR28(VAR132 ) ); VAR139 #(.VAR96(1)) VAR224 (.VAR10 (VAR90) ,.VAR216(1'b0) ,.VAR86 (VAR207[VAR59]) ,.VAR85 (VAR128) ,.VAR77() ,.VAR273 (VAR23[VAR59]) ); VAR212 ,.VAR34(VAR34) ,.VAR12(VAR12) ) VAR196 (.VAR213 (VAR207 [VAR59]) ,.VAR157 (VAR26 [VAR59]) ,.VAR61 (VAR236 [VAR59]) ,.VAR21(VAR110 [VAR59]) ,.VAR153(VAR29 ? ~VAR23[VAR59] : VAR235[VAR59]) ,.VAR14(VAR235[VAR59]) ,.VAR268(2'b11) ,.VAR185(VAR238[VAR59]) ,.VAR125(VAR166[VAR59]) ,.VAR210 (VAR25 [VAR59]) ,.VAR246(VAR69[VAR59]) ,.VAR141 (VAR141) ,.VAR109(VAR198) ,.VAR123 (VAR276 [VAR59] ) ,.VAR94(VAR259 [VAR59] ) ,.VAR2 (VAR249[VAR59] ? (VAR75[VAR59] & VAR259[VAR59]) : VAR161[VAR59]) ); end VAR234 #(.VAR252(VAR192) ,.VAR12(VAR12) ,.VAR162(VAR199) ,.VAR193(VAR67) ) VAR257 (.VAR82(VAR141) ,.VAR146(VAR109) ,.VAR105(VAR263[1]) ,.VAR232(VAR194) ,.VAR27 (VAR259) ,.VAR31(VAR276 ) ,.VAR172(VAR161 ) ,.VAR30 (VAR163 ) ,.VAR13(VAR201 ) ,.VAR165(VAR190 ) ,.VAR71 (VAR135 ) ,.VAR9 (VAR253 ) ,.VAR169(VAR217 ) ,.VAR121 (VAR245 ) ,.VAR116 (VAR167 ) ,.VAR229(VAR75 ) ); wire VAR120; wire [VAR50*VAR12-1:0] VAR209; wire VAR164; wire VAR83; wire [VAR50*VAR12/VAR49-1:0] VAR184; wire VAR138; wire VAR258; wire [VAR50*VAR12-1:0] VAR256; wire VAR133; typedef logic [VAR226(VAR129(VAR50/VAR49),1)-1:0] VAR240; typedef logic [VAR226(VAR129(VAR50),1)-1:0] VAR55; VAR206 #(.VAR96(VAR12) ,.VAR38(VAR50/VAR49) ,.VAR80(VAR192) ,.VAR156(VAR202) ) VAR180 (.clk (VAR141 ) ,.reset (VAR198) ,.VAR105(VAR263[2]) ,.VAR114(VAR83) ,.VAR73 (VAR184 ) ,.VAR247(VAR138) ,.VAR64( (VAR240 ' (VAR50/VAR49)) - 1'b1 ) ,.VAR231(VAR176) ,.VAR1(VAR135) ,.VAR6( VAR253 ) ,.VAR7(VAR217) ); wire VAR142; assign VAR258 = VAR142 & VAR263[2]; VAR60 #(.VAR96(VAR12) ,.VAR38(VAR192) ,.VAR80(VAR50) ,.VAR63(VAR202) ) VAR250 (.clk (VAR141 ) ,.reset (VAR198) ,.VAR105 (VAR263[3] ) ,.VAR114(VAR163) ,.VAR73 (VAR201 ) ,.VAR107 (VAR190 ) ,.VAR64(VAR272) ,.VAR231((VAR55 ' (VAR50)) - 1'b1) ,.VAR1(VAR142) ,.VAR6 (VAR256 ) ,.VAR126 (VAR133 ) ); if (VAR49 == 2) begin: VAR24 VAR65 #(.VAR96(VAR12*VAR50) ,.VAR20(2) ,.VAR89(VAR12*VAR50/VAR49) ) VAR24 (.VAR82(VAR141) ,.VAR146(~VAR263[4]) ,.VAR104 (VAR120) ,.VAR73 (VAR209 ) ,.VAR247(VAR164) ,.VAR134 (VAR83) ,.VAR6(VAR184) ,.VAR126(VAR138 & VAR83) ); end else begin : VAR98 assign VAR83 = VAR120; assign VAR184 = VAR209; assign VAR164 = VAR138; end VAR137 #(.VAR96(VAR12*VAR50) ,.VAR70(VAR70) ,.VAR208(VAR208) ,.VAR278(VAR278) ) VAR149 (.VAR82 (VAR141) ,.VAR146(~VAR263[5]) ,.VAR36 (VAR258) ,.VAR239(VAR256 ) ,.VAR159(VAR133 ) ,.VAR179 (VAR120) ,.VAR45 (VAR209 ) ,.VAR117(VAR164) ,.VAR41 (VAR136 ) ,.VAR17 (VAR122 ) ,.VAR243 (VAR33 ) ,.VAR18 (VAR48 ) ,.VAR101(VAR78) ,.VAR189 (VAR269 ) ,.VAR74(VAR152) ,.VAR32(VAR275) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlrtn/sky130_fd_sc_ls__dlrtn.pp.blackbox.v
1,385
module MODULE1 ( VAR7 , VAR1, VAR2 , VAR5 , VAR8 , VAR4 , VAR6 , VAR3 ); output VAR7 ; input VAR1; input VAR2 ; input VAR5 ; input VAR8 ; input VAR4 ; input VAR6 ; input VAR3 ; endmodule
apache-2.0
KorotkiyEugene/LAG_sv_syn_quartus
LAG_pl_unrestricted_allocator.v
5,564
module MODULE1 (req, VAR24, VAR1, VAR28, VAR19, VAR26, clk, VAR13); parameter VAR35 = 4; parameter VAR25=4; parameter VAR11=4; parameter VAR29=5; parameter VAR39=4; parameter VAR6 = 1; input [VAR29-1:0][VAR39-1:0] req; input VAR36 VAR24 [VAR29-1:0][VAR39-1:0]; input [VAR29-1:0][VAR39-1:0] VAR1; output [VAR29-1:0][VAR39-1:0][VAR39-1:0] VAR28; output [VAR29-1:0][VAR39-1:0] VAR19; output [VAR29-1:0][VAR39-1:0] VAR26; input clk, VAR13; genvar VAR42,VAR22,VAR37,VAR15; logic [VAR29-1:0][VAR39-1:0][VAR39-1:0] VAR18, VAR31, VAR38; logic [VAR29-1:0][VAR39-1:0][VAR39-1:0] VAR40; logic [VAR29-1:0][VAR39-1:0][VAR29-1:0][VAR39-1:0] VAR12, VAR12, VAR4; logic [VAR29-1:0][VAR39-1:0][VAR39-1:0][VAR29-1:0] VAR28; VAR36 VAR17 [VAR29-1:0][VAR39-1:0]; generate for (VAR42=0; VAR42<VAR29; VAR42++) begin:VAR27 for (VAR22=0; VAR22<VAR39; VAR22++) begin:VAR30 if (VAR6 == 2) begin assign VAR40[VAR42][VAR22] = VAR1[VAR32(VAR24[VAR42][VAR22])]; for (VAR37=0; VAR37<VAR39; VAR37++) begin:VAR34 assign VAR18[VAR42][VAR22][VAR37] = req[VAR42][VAR22] && VAR40[VAR42][VAR22][VAR37] && ~(|VAR38[VAR42][VAR22]); end always @(posedge clk) begin if (!VAR13) begin VAR38[VAR42][VAR22] <= '0; VAR17[VAR42][VAR22] <= '0; end else begin VAR38[VAR42][VAR22] <= VAR31[VAR42][VAR22]; VAR17[VAR42][VAR22] <= VAR24[VAR42][VAR22]; end end for (VAR37=0; VAR37<VAR29; VAR37++) begin:VAR21 for (VAR15=0; VAR15<VAR39; VAR15++) begin:VAR9 assign VAR12[VAR37][VAR15][VAR42][VAR22] = VAR38[VAR42][VAR22][VAR15] && VAR17[VAR42][VAR22][VAR37]; assign VAR12[VAR37][VAR15][VAR42][VAR22] = VAR31[VAR42][VAR22][VAR15] && VAR24[VAR42][VAR22][VAR37]; end end assign VAR26[VAR42][VAR22] = |(VAR12[VAR42][VAR22]); end else if (VAR6 == 1) begin assign VAR40[VAR42][VAR22] = VAR1[VAR32(VAR24[VAR42][VAR22])]; for (VAR37=0; VAR37<VAR39; VAR37++) begin:VAR34 assign VAR18[VAR42][VAR22][VAR37] = req[VAR42][VAR22] && VAR40[VAR42][VAR22][VAR37]; end for (VAR37=0; VAR37<VAR29; VAR37++) begin:VAR21 for (VAR15=0; VAR15<VAR39; VAR15++) begin:VAR9 assign VAR12[VAR37][VAR15][VAR42][VAR22] = VAR31[VAR42][VAR22][VAR15] && VAR24[VAR42][VAR22][VAR37]; end end assign VAR26[VAR42][VAR22] = |(VAR12[VAR42][VAR22]); end else begin end VAR8 #(.VAR43(VAR39), .VAR14(1)) VAR5 (.request(VAR18[VAR42][VAR22]), .VAR23(VAR31[VAR42][VAR22]), .VAR33((VAR16==1)), .clk, .VAR13); VAR2 #(.VAR14(0), .VAR43(VAR29*VAR39), .VAR3(VAR39)) VAR7 (.request(VAR12[VAR42][VAR22]), .VAR23(VAR4[VAR42][VAR22]), .clk, .VAR13); for (VAR37=0; VAR37<VAR29; VAR37++) begin:VAR41 for (VAR15=0; VAR15<VAR39; VAR15++) begin:VAR10 assign VAR28[VAR42][VAR22][VAR15][VAR37]=VAR4[VAR37][VAR15][VAR42][VAR22]; end end for (VAR15=0; VAR15<VAR39; VAR15++) begin:VAR20 assign VAR28[VAR42][VAR22][VAR15]=|VAR28[VAR42][VAR22][VAR15]; end assign VAR19[VAR42][VAR22]=|VAR28[VAR42][VAR22]; end end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlrbn/sky130_fd_sc_hd__dlrbn.pp.symbol.v
1,458
module MODULE1 ( input VAR1 , output VAR8 , output VAR7 , input VAR3, input VAR2 , input VAR6 , input VAR4 , input VAR9 , input VAR5 ); endmodule
apache-2.0
azonenberg/yosys
passes/techmap/adder_untechmap.v
1,137
module MODULE2 (input VAR16, input VAR6, input VAR17, output VAR1, output VAR18); VAR11 VAR15 ( .VAR16(VAR16), .VAR6(VAR6), .VAR2(VAR17), .VAR1(VAR1), ); VAR12 VAR19 ( .VAR16(VAR16), .VAR6(VAR6), .VAR2(VAR17), .VAR1(VAR18), ); endmodule module MODULE1 (input VAR16, input VAR6, output VAR1, output VAR18); VAR7 VAR13 ( .VAR16(VAR16), .VAR6(VAR6), .VAR1(VAR1), ); VAR14 VAR3 ( .VAR16(VAR16), .VAR6(VAR6), .VAR1(VAR18), ); endmodule module MODULE3 (input VAR16, input VAR6, input VAR4, output VAR1, output VAR9); wire VAR5; VAR10 VAR8 ( .VAR16(VAR16), .VAR1(VAR5), ); VAR11 VAR15 ( .VAR16(VAR16), .VAR6(VAR6), .VAR2(VAR4), .VAR1(VAR1), ); VAR12 VAR19 ( .VAR16(VAR5), .VAR6(VAR6), .VAR2(VAR4), .VAR1(VAR9), ); endmodule module MODULE4 (input VAR16, input VAR6, output VAR1, output VAR9); wire VAR5; VAR10 VAR8 ( .VAR16(VAR16), .VAR1(VAR5), ); VAR7 VAR13 ( .VAR16(VAR16), .VAR6(VAR6), .VAR1(VAR1), ); VAR14 VAR3 ( .VAR16(VAR5), .VAR6(VAR6), .VAR1(VAR9), ); endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlrbn/sky130_fd_sc_hs__dlrbn_1.v
2,338
module MODULE1 ( VAR8, VAR4 , VAR6 , VAR3 , VAR5 , VAR7 , VAR9 ); input VAR8; input VAR4 ; input VAR6 ; output VAR3 ; output VAR5 ; input VAR7 ; input VAR9 ; VAR2 VAR1 ( .VAR8(VAR8), .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR5(VAR5), .VAR7(VAR7), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR8, VAR4 , VAR6 , VAR3 , VAR5 ); input VAR8; input VAR4 ; input VAR6 ; output VAR3 ; output VAR5 ; supply1 VAR7; supply0 VAR9; VAR2 VAR1 ( .VAR8(VAR8), .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlxbn/sky130_fd_sc_lp__dlxbn.blackbox.v
1,339
module MODULE1 ( VAR6 , VAR8 , VAR5 , VAR2 ); output VAR6 ; output VAR8 ; input VAR5 ; input VAR2; supply1 VAR4; supply0 VAR1; supply1 VAR7 ; supply0 VAR3 ; endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_dmac/axi_register_slice.v
4,475
module MODULE1 ( input clk, input VAR11, input VAR19, output VAR4, input [VAR13-1:0] VAR7, output VAR10, input VAR17, output [VAR13-1:0] VAR20 ); parameter VAR13 = 32; parameter VAR1 = 0; parameter VAR9 = 0; wire [VAR13-1:0] VAR12; wire VAR16; wire VAR3; wire [VAR13-1:0] VAR15; wire VAR14; wire VAR18; generate if (VAR1 == 1) begin reg VAR2 = 1'b0; reg [VAR13-1:0] VAR6 = 'h00; assign VAR18 = ~VAR2 | VAR17; assign VAR14 = VAR2; assign VAR15 = VAR6; always @(posedge clk) begin if (~VAR2 | VAR17) VAR6 <= VAR12; end always @(posedge clk) begin if (VAR11 == 1'b0) begin VAR2 <= 1'b0; end else begin if (VAR16) VAR2 <= 1'b1; end else if (VAR17) VAR2 <= 1'b0; end end end else begin assign VAR15 = VAR12; assign VAR14 = VAR16; assign VAR18 = VAR17; end endgenerate generate if (VAR9 == 1) begin reg VAR5 = 1'b1; reg [VAR13-1:0] VAR8 = 'h00; assign VAR16 = ~VAR5 | VAR19; assign VAR12 = VAR5 ? VAR7 : VAR8; assign VAR3 = VAR5; always @(posedge clk) begin if (VAR5) VAR8 <= VAR7; end always @(posedge clk) begin if (VAR11 == 1'b0) begin VAR5 <= 1'b1; end else begin if (VAR18) VAR5 <= 1'b1; end else if (VAR19) VAR5 <= 1'b0; end end end else begin assign VAR16 = VAR19; assign VAR12 = VAR7; assign VAR3 = VAR18; end endgenerate assign VAR20 = VAR15; assign VAR10 = VAR14; assign VAR4 = VAR3; endmodule
gpl-3.0
tmolteno/TART
hardware/FPGA/ddr_controller/spartan3/rtl/r_w_dly.v
4,246
module MODULE1 ( VAR5, VAR13, VAR10, VAR11, VAR7, VAR9, VAR6, VAR1 ); input VAR5; input VAR13; input VAR10; input VAR11; input VAR7; output VAR9; output VAR1; output VAR6; reg [4:0] VAR2; reg VAR12; reg VAR3; reg VAR4; reg VAR8; assign VAR9 = VAR3; assign VAR1 = VAR4; assign VAR6 = VAR8; always @ (posedge VAR5) begin if (VAR13 == 1'b1) VAR4 <= 1'b0; end else if (VAR12 == 1'b1) VAR4 <= ~VAR4; end always @ (posedge VAR10) begin if (VAR11 == 1'b1) VAR8 <= 1'b0; end else if (VAR12 == 1'b1) VAR8 <= ~VAR8; end always @ (posedge VAR5) begin if (VAR13 == 1'b1) VAR2 <= 5'b00000; end else if (VAR7 == 1'b1) VAR2 <= VAR2 + 1'b1 ; end always @ (posedge VAR5) begin if (VAR13 == 1'b1) VAR12 <= 1'b0; end else if (VAR2 == 5'b11000) VAR12 <= 1'b1; else VAR12 <= 1'b0; end always @ (posedge VAR10) begin if (VAR11 == 1'b1) VAR3 <= 1'b0; end else if (VAR2 == 5'b11000) VAR3 <= 1'b1; else VAR3 <= 1'b0; end endmodule
lgpl-3.0
cpulabs/mist1032sa
src/lib/mist1032sa_async_fifo.v
3,798
module MODULE1 parameter VAR21 = 16, parameter VAR12 = 4, parameter VAR27 = 2 ) ( input wire VAR7, input wire VAR13, input wire VAR5, input wire VAR4, input wire [VAR21-1:0] VAR18, output wire VAR8, input wire VAR28, input wire VAR22, output wire [VAR21-1:0] VAR25, output wire VAR34 ); wire [VAR27:0] VAR26; wire VAR35; wire [VAR27:0] VAR16; wire VAR10; reg [VAR21-1:0] VAR17[0:VAR12-1]; reg [VAR27:0] VAR33; reg [VAR27:0] VAR2; wire [VAR27:0] VAR23; wire [VAR27:0] VAR14; wire [VAR27:0] VAR24; wire [VAR27:0] VAR9; assign VAR26 = VAR33 - VAR14; assign VAR35 = VAR26[VAR27] || (VAR26[VAR27-1:0] == {VAR27{1'b1}})? 1'b1 : 1'b0; assign VAR16 = VAR9 - (VAR2); assign VAR10 = (VAR16 == {VAR27+1{1'b0}})? 1'b1 : 1'b0; always@(posedge VAR5 or negedge VAR7)begin if(!VAR7)begin VAR33 <= {VAR27{1'b0}}; end else if(VAR13)begin VAR33 <= {VAR27{1'b0}}; end else begin if(VAR4 && !VAR35)begin VAR17[VAR33[VAR27-1:0]] <= VAR18; VAR33 <= VAR33 + {{VAR27-1{1'b0}}, 1'b1}; end end end always@(posedge VAR28 or negedge VAR7)begin if(!VAR7)begin VAR2 <= {VAR27{1'b0}}; end else if(VAR13)begin VAR2 <= {VAR27{1'b0}}; end else begin if(VAR22 && !VAR10)begin VAR2 <= VAR2 + {{VAR27-1{1'b0}}, 1'b1}; end end end VAR11 #(VAR27+1) VAR31( .VAR3(VAR5), .VAR7(VAR7), .VAR29(VAR30(VAR2)), .VAR19(VAR23) ); assign VAR14 = VAR32(VAR23); VAR11 #(VAR27+1) VAR1( .VAR3(VAR28), .VAR7(VAR7), .VAR29(VAR30(VAR33)), .VAR19(VAR24) ); assign VAR9 = VAR32(VAR24); function [VAR27:0] VAR30; input [VAR27:0] VAR15; begin VAR30 = VAR15 ^ (VAR15 >> 1'b1); end endfunction function[VAR27:0] VAR32(input[VAR27:0] VAR6); integer VAR20; for(VAR20=VAR27; VAR20>=0; VAR20=VAR20-1)begin if(VAR20==VAR27)begin VAR32[VAR20] = VAR6[VAR20]; end else begin VAR32[VAR20] = VAR6[VAR20] ^ VAR32[VAR20+1]; end end endfunction assign VAR8 = VAR35; assign VAR34 = VAR10; assign VAR25 = VAR17[VAR2[VAR27-1:0]]; endmodule
bsd-2-clause
sorgelig/Apogee_MIST
sram.v
9,573
module MODULE1 ( inout reg [15:0] VAR44, output reg [12:0] VAR52, output reg VAR25, output reg VAR60, output reg [1:0] VAR9, output VAR40, output VAR53, output VAR23, output VAR15, output VAR42, input VAR5, input VAR47, input [24:0] addr, output reg [7:0] dout, input [7:0] din, input VAR29, input rd, output reg ready ); assign VAR40 = VAR57[3]; assign VAR23 = VAR57[2]; assign VAR15 = VAR57[1]; assign VAR53 = VAR57[0]; assign VAR42 = VAR14; localparam VAR32 = 3'b000; localparam VAR18 = 1'b0; localparam VAR43 = 3'd3; localparam VAR49 = 2'b00; localparam VAR11 = 1'b1; localparam VAR54 = { 3'b000, VAR11, VAR49, VAR43, VAR18, VAR32}; parameter VAR19 = 14'd10100; parameter VAR17 = 14'd1524; parameter VAR16 = 14'b11111111111111; reg [13:0] VAR27 = VAR16-VAR19; wire VAR45 = |VAR27[13:11]; wire VAR51 = |VAR27[13:12]; localparam VAR13 = 0; localparam VAR48 = 1; localparam VAR12 = 2; localparam VAR2 = 3; localparam VAR41 = 4; localparam VAR20 = 5; localparam VAR59 = 6; localparam VAR38 = 7; localparam VAR56 = 8; localparam VAR61 = 9; localparam VAR35 = 10; localparam VAR21 = 11; localparam VAR50 = 12; localparam VAR22 = 13; localparam VAR46 = 4'b1111; localparam VAR3 = 4'b0111; localparam VAR39 = 4'b0011; localparam VAR10 = 4'b0101; localparam VAR24 = 4'b0100; localparam VAR4 = 4'b0110; localparam VAR31 = 4'b0010; localparam VAR28 = 4'b0001; localparam VAR30 = 4'b0000; reg [4:0] state = VAR13; reg [3:0] VAR57 = VAR46; reg VAR14 = 0; parameter VAR7 = VAR43+1; reg [VAR7:0] VAR36; always @(posedge VAR47) begin reg VAR6, VAR55, VAR1, VAR33; reg [7:0] VAR8; reg [24:0] VAR26; reg VAR37; reg VAR34; reg VAR62; VAR57 <= VAR3; VAR27 <= VAR27+1'b1; if(VAR36[0]) begin dout <= VAR34 ? VAR44[15:8] : VAR44[7:0]; VAR62 <= 1; ready <= 1; end VAR36 <= {1'b0, VAR36[VAR7:1]}; case(state) VAR13: begin VAR14 <= 1; VAR44 <= 16'VAR58; VAR25 <= 1; VAR60 <= 1; VAR52 <= 0; VAR9 <= 0; if(VAR27 == VAR16-31) begin VAR57 <= VAR31; VAR52[10] <= 1; VAR9 <= 2'b00; end else if (VAR27 == VAR16-23) begin VAR57 <= VAR28; end else if (VAR27 == VAR16-15) VAR57 <= VAR28; end else if (VAR27 == VAR16-7) begin VAR57 <= VAR30; VAR52 <= VAR54; end if(!VAR27) begin state <= VAR20; VAR62 <= 1; ready <= 1; VAR27 <= 14'd2048 - VAR17 + 1'd1; end end VAR22: state <= VAR50; VAR50: state <= VAR21; VAR21: state <= VAR35; VAR35: state <= VAR61; VAR61: state <= VAR56; VAR56: state <= VAR38; VAR38: state <= VAR59; VAR59: begin VAR44 <= 16'VAR58; state <= VAR20; if(VAR45) begin state <= VAR22; VAR57 <= VAR28; VAR27 <= VAR27 - VAR17 + 1'd1; end end VAR20: begin if(VAR51) state <= VAR59; end else if(VAR62 & (VAR33 | VAR1)) begin VAR26<= addr; VAR37 <= VAR1; VAR62 <= 0; VAR1 <= 0; VAR33 <= 0; state <= VAR48; VAR57 <= VAR39; VAR52 <= addr[22:10]; VAR9 <= addr[24:23]; end VAR25 <= 1; VAR60 <= 1; end VAR48: state <= VAR12; VAR12: begin VAR52 <= {4'b0010, VAR26[9:1]}; VAR25 <= VAR26[0]; VAR60 <= ~VAR26[0]; state <= (VAR37) ? VAR2 : VAR41; end VAR41: begin state <= VAR35; VAR57 <= VAR10; VAR44 <= 16'VAR58; VAR36[VAR7] <= 1; VAR34 <= VAR26[0]; end VAR2: begin state <= VAR35; VAR57 <= VAR24; VAR44 <= {VAR8, VAR8}; VAR62 <= 1; ready <= 1; end default: begin state <= VAR13; VAR62 <= 0; VAR27 <= VAR16-VAR19; end endcase if(VAR5) begin state <= VAR13; VAR62 <= 0; VAR27 <= VAR16-VAR19; end VAR6 <= VAR29; if(VAR29 & ~VAR6) {ready, VAR1, VAR8} <= {1'b0, 1'b1, din}; VAR55 <= rd; if(rd & ~VAR55) {ready, VAR33} <= {1'b0, 1'b1}; end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a32oi/sky130_fd_sc_hd__a32oi.pp.symbol.v
1,440
module MODULE1 ( input VAR7 , input VAR1 , input VAR9 , input VAR6 , input VAR5 , output VAR8 , input VAR3 , input VAR10, input VAR4, input VAR2 ); endmodule
apache-2.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/vfabric_barrier.v
4,056
module MODULE1(VAR8, VAR48, VAR54, VAR1, VAR41, VAR3, VAR14, VAR42, VAR29, VAR55, VAR26, VAR50, VAR34, VAR43, VAR49, VAR5, VAR24, VAR27, VAR22, VAR2); parameter VAR16 = 1; parameter VAR25 = 4; parameter VAR38 = 64; parameter VAR17 = 4; parameter VAR32 = 10; input VAR8, VAR48; input [VAR16-1:0] VAR54; input [VAR16-1:0] VAR3; input [VAR16-1:0] VAR29; input [VAR16-1:0] VAR50; input VAR1, VAR14, VAR55, VAR34; output VAR41, VAR42, VAR26, VAR43; output [VAR16-1:0] VAR49; output VAR5; input VAR24; input VAR27; input [31:0] VAR22; input [VAR25-1:0] VAR2; wire VAR53; wire VAR19, VAR13, VAR23, VAR45; wire VAR36, VAR18, VAR37, VAR12; wire VAR30; VAR6 VAR21( .VAR8(VAR8), .VAR48(VAR48), .VAR7(VAR7), .VAR9(VAR1), .VAR4(VAR41), .VAR5(VAR19), .VAR24(VAR30)); VAR6 VAR46( .VAR8(VAR8), .VAR48(VAR48), .VAR7(VAR7), .VAR9(VAR14), .VAR4(VAR42), .VAR5(VAR13), .VAR24(VAR30)); VAR6 VAR35( .VAR8(VAR8), .VAR48(VAR48), .VAR7(VAR7), .VAR9(VAR55), .VAR4(VAR26), .VAR5(VAR23), .VAR24(VAR30)); VAR6 VAR20( .VAR8(VAR8), .VAR48(VAR48), .VAR7(VAR7), .VAR9(VAR34), .VAR4(VAR43), .VAR5(VAR45), .VAR24(VAR30)); assign VAR36 = VAR19 | ~VAR2[0]; assign VAR18 = VAR13 | ~VAR2[1]; assign VAR37 = VAR23 | ~VAR2[2]; assign VAR12 = VAR45 | ~VAR2[3]; assign VAR30 = ~(VAR36 & VAR18 & VAR37 & VAR12); assign VAR53 = VAR27 & VAR36 & VAR18 & VAR37 & VAR12; VAR52 VAR28( .VAR8(VAR8), .VAR48(VAR48), .VAR47(VAR53), .VAR33(), .VAR31(1'b0), .VAR51(VAR5), .VAR15(), .VAR39(VAR24), .VAR44(), .VAR11(VAR22)); endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/common/a5gte/system_top.v
3,998
module MODULE1 ( VAR19, VAR13, VAR3, VAR4, VAR17, VAR14, VAR12, VAR16, VAR21, VAR1, VAR8, VAR20, VAR2, VAR18, VAR6, VAR11, VAR15, VAR9, VAR7, VAR5); output VAR19; output VAR13; output [ 3:0] VAR3; input VAR4; input VAR17; input [ 3:0] VAR14; input VAR12; output VAR16; input VAR21; input VAR1; input VAR8; output VAR20; input VAR2; input VAR18; input [ 3:0] VAR6; output VAR11; output VAR15; output [ 3:0] VAR9; output VAR7; inout VAR5; assign VAR19 = VAR2; assign VAR13 = VAR18; assign VAR3 = VAR6; assign VAR11 = VAR4; assign VAR15 = VAR17; assign VAR9 = VAR14; assign VAR7 = VAR12; assign VAR5 = (VAR1 == 1'b0) ? VAR21 : 1'VAR10; assign VAR16 = VAR5; assign VAR20 = VAR8; endmodule
gpl-3.0
mbus/mbus
m3_mbus_releases/r04p2g/source/lname_mbus_member_ctrl.tsmc180.v
10,278
module MODULE1 ( input VAR88, input VAR68, input VAR116, input VAR51, input VAR16, output VAR107, output VAR19, input VAR85, input VAR25, output VAR8, output VAR103, output VAR22, output VAR32, output VAR111, output VAR33, input VAR13, output VAR101, input VAR40, input VAR77, input [3:0] VAR17, output [3:0] VAR91, output VAR24, input VAR100, input VAR26 ); wire VAR7; wire VAR73; wire VAR9; wire VAR104; wire VAR124; wire VAR57; wire VAR62; wire VAR60; wire VAR10; wire VAR90; wire VAR5; wire VAR63; wire VAR74; wire VAR120; wire VAR114; wire VAR76; wire VAR18; wire VAR69; wire VAR113; wire VAR44; wire VAR30; wire VAR109; wire VAR108; wire VAR42; wire VAR82; wire VAR43; wire VAR105; wire VAR31; wire VAR56; wire [3:0] VAR27; wire VAR45; wire VAR81; VAR3 VAR14 (.VAR59(VAR7), .VAR128(VAR68)); VAR53 VAR11 (.VAR59(VAR73), .VAR128(VAR7)); VAR3 VAR50 (.VAR59(VAR9), .VAR128(VAR5)); VAR52 VAR119 (.VAR59(VAR111), .VAR128(VAR9)); VAR52 VAR112 (.VAR59(VAR33), .VAR128(VAR5)); VAR3 VAR121 (.VAR59(VAR104), .VAR128(VAR90)); VAR52 VAR72 (.VAR59(VAR8), .VAR128(VAR104)); VAR52 VAR21 (.VAR59(VAR103), .VAR128(VAR90)); VAR3 VAR127 (.VAR59(VAR124), .VAR128(VAR74)); VAR52 VAR36 (.VAR59(VAR22), .VAR128(VAR124)); VAR52 VAR89 (.VAR59(VAR32), .VAR128(VAR74)); VAR3 VAR117 (.VAR59(VAR57), .VAR128(VAR62)); VAR80 VAR23 (.VAR87(VAR63), .VAR54(VAR60), .VAR128(VAR5), .VAR59(VAR62)); VAR3 VAR118 (.VAR59(VAR60), .VAR128(VAR10)); VAR125 VAR6 (.VAR59(VAR10), .VAR128(VAR85), .VAR54(VAR104)); VAR4 VAR126 (.VAR99(VAR88), .VAR48(1'b1), .VAR97(VAR73), .VAR92(VAR90), .VAR94(VAR57)); VAR4 VAR96 (.VAR99(VAR88), .VAR48(1'b1), .VAR97(VAR73), .VAR92(VAR5), .VAR94(VAR63)); VAR4 VAR123 (.VAR99(1'b1), .VAR48(VAR88), .VAR97(VAR73), .VAR92(VAR63), .VAR94(VAR60)); VAR4 VAR2 (.VAR99(VAR88), .VAR48(1'b1), .VAR97(VAR7), .VAR92(VAR74), .VAR94(VAR90)); VAR66 VAR115 (.VAR59(VAR120), .VAR128(VAR103), .VAR54(VAR13)); VAR3 VAR61 (.VAR59(VAR114), .VAR128(VAR120)); VAR66 VAR65 (.VAR59(VAR76), .VAR128(VAR68), .VAR54(VAR88)); VAR66 VAR41 (.VAR59(VAR18), .VAR128(VAR114), .VAR54(VAR88)); VAR125 VAR58 (.VAR128(VAR26), .VAR54(VAR124), .VAR59(VAR69)); VAR29 VAR78 (.VAR128(VAR25), .VAR54(VAR69), .VAR87(VAR100), .VAR59(VAR44)); VAR53 VAR55 (.VAR59(VAR113), .VAR128(VAR44)); VAR28 VAR15 (.VAR48(VAR76), .VAR97(VAR113), .VAR92(VAR30), .VAR94(1'b1)); VAR28 VAR34 (.VAR48(VAR18), .VAR97(VAR113), .VAR92(VAR101), .VAR94(1'b1)); VAR95 VAR86 (.VAR59(VAR109), .VAR128(VAR51), .VAR54(VAR8)); VAR122 VAR39 (.VAR110(VAR8), .VAR128(VAR109), .VAR59(VAR108), .VAR54(VAR68)); VAR122 VAR46 (.VAR110(VAR88), .VAR128(1'b1), .VAR59(VAR42), .VAR54(VAR108)); VAR1 VAR106 (.VAR128(VAR42), .VAR59(VAR107)); VAR95 VAR49 (.VAR59(VAR82), .VAR128(VAR16), .VAR54(VAR8)); VAR122 VAR84 (.VAR110(VAR8), .VAR128(VAR82), .VAR59(VAR43), .VAR54(VAR116)); VAR122 VAR38 (.VAR110(VAR30), .VAR128(VAR43), .VAR59(VAR105), .VAR54(1'b0)); VAR122 VAR64 (.VAR110(VAR88), .VAR128(1'b1), .VAR59(VAR31), .VAR54(VAR105)); VAR1 VAR35 (.VAR128(VAR31), .VAR59(VAR19)); VAR95 VAR98 (.VAR128(VAR8), .VAR54(VAR77), .VAR59(VAR56)); VAR66 VAR47 (.VAR128(VAR103), .VAR54(VAR17[0]), .VAR59(VAR27[0])); VAR66 VAR102 (.VAR128(VAR103), .VAR54(VAR17[1]), .VAR59(VAR27[1])); VAR66 VAR71 (.VAR128(VAR103), .VAR54(VAR17[2]), .VAR59(VAR27[2])); VAR66 VAR67 (.VAR128(VAR103), .VAR54(VAR17[3]), .VAR59(VAR27[3])); VAR66 VAR83 (.VAR128(VAR88), .VAR54(VAR56), .VAR59(VAR45)); VAR66 VAR93 (.VAR128(VAR103), .VAR54(VAR40), .VAR59(VAR81)); VAR12 VAR79 (.VAR97(VAR81), .VAR94(VAR27[0]), .VAR99(VAR45), .VAR92(VAR91[0])); VAR12 VAR70 (.VAR97(VAR81), .VAR94(VAR27[1]), .VAR99(VAR45), .VAR92(VAR91[1])); VAR12 VAR75 (.VAR97(VAR81), .VAR94(VAR27[2]), .VAR99(VAR45), .VAR92(VAR91[2])); VAR12 VAR37 (.VAR97(VAR81), .VAR94(VAR27[3]), .VAR99(VAR45), .VAR92(VAR91[3])); VAR28 VAR20 (.VAR97(VAR81), .VAR94(1'b1), .VAR48(VAR45), .VAR92(VAR24)); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v5_mig34/mig_v3_4/user_design/rtl/ddr2_idelay_ctrl.v
3,617
module MODULE1 # ( parameter VAR3 = "VAR2" ) ( input VAR5, input VAR4, output VAR1 ); assign VAR1 = 1'b1; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o221ai/sky130_fd_sc_lp__o221ai.functional.v
1,592
module MODULE1 ( VAR10 , VAR13, VAR9, VAR11, VAR4, VAR3 ); output VAR10 ; input VAR13; input VAR9; input VAR11; input VAR4; input VAR3; wire VAR12 ; wire VAR5 ; wire VAR7; or VAR6 (VAR12 , VAR4, VAR11 ); or VAR1 (VAR5 , VAR9, VAR13 ); nand VAR2 (VAR7, VAR5, VAR12, VAR3); buf VAR8 (VAR10 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o2111a/sky130_fd_sc_ms__o2111a.blackbox.v
1,394
module MODULE1 ( VAR4 , VAR5, VAR10, VAR7, VAR3, VAR9 ); output VAR4 ; input VAR5; input VAR10; input VAR7; input VAR3; input VAR9; supply1 VAR8; supply0 VAR2; supply1 VAR6 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or4/sky130_fd_sc_hs__or4.pp.symbol.v
1,258
module MODULE1 ( input VAR5 , input VAR6 , input VAR1 , input VAR4 , output VAR2 , input VAR3, input VAR7 ); endmodule
apache-2.0
jeichenhofer/chuck-light
SoC/soc_system/synthesis/submodules/hps_sdram_p0_clock_pair_generator.v
4,039
module MODULE1 ( VAR6, VAR14, VAR15) ; input [0:0] VAR6; output [0:0] VAR14; output [0:0] VAR15; wire [0:0] VAR29; wire [0:0] VAR31; wire [0:0] VAR3; wire [0:0] VAR8; wire [0:0] VAR30; wire [0:0] VAR10; wire [0:0] VAR4; wire [0:0] VAR11; wire [0:0] VAR35; wire [0:0] VAR23; VAR1 VAR16 ( .VAR17(VAR10), .VAR26(VAR29[0:0]), .VAR24(), .VAR33(VAR31[0:0]) , .VAR19(1'b0), .VAR20({16{1'b0}}), .VAR7({16{1'b0}}) , .VAR12(1'b1) ); VAR16.VAR28 = "false", VAR16.VAR34 = "false", VAR16.VAR32 = "VAR1"; assign VAR31 = {(~ VAR4[0])}; VAR1 VAR22 ( .VAR17(VAR30), .VAR26(VAR3[0:0]), .VAR24(), .VAR33(VAR8[0:0]) , .VAR19(1'b0), .VAR20({16{1'b0}}), .VAR7({16{1'b0}}) , .VAR12(1'b1) ); VAR22.VAR28 = "false", VAR22.VAR34 = "false", VAR22.VAR32 = "VAR1"; assign VAR8 = {(~ VAR35[0])}; VAR9 VAR2 ( .VAR13(), .VAR21(), .VAR17(VAR6), .VAR26(VAR30[0:0]), .VAR24(VAR10[0:0]), .VAR5(VAR4[0:0]), .VAR27(VAR11[0:0]), .VAR18(VAR35[0:0]) , .VAR25(1'b0) ); assign VAR11 = {(~ VAR23[0])}; assign VAR14 = VAR3, VAR15 = VAR29, VAR23 = 1'b1; endmodule
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/synthesis/submodules/Video_System_Video_Scaler.v
8,029
module MODULE1 ( clk, reset, VAR24, VAR14, VAR9, VAR30, VAR12, VAR2, VAR16, VAR19, VAR8, VAR10, VAR11, VAR21 ); parameter VAR17 = 15; parameter VAR32 = 0; parameter VAR31 = 9; parameter VAR27 = 7; parameter VAR13 = 640; parameter VAR23 = 4'b0101; parameter VAR1 = 4'b0000; parameter VAR28 = 8; parameter VAR5 = 320; parameter VAR26 = 0; parameter VAR25 = 0; input clk; input reset; input [VAR17: 0] VAR24; input VAR14; input VAR9; input [VAR32: 0] VAR30; input VAR12; input VAR2; output VAR16; output [VAR17: 0] VAR19; output VAR8; output VAR10; output [VAR32: 0] VAR11; output VAR21; wire [VAR17: 0] VAR6; wire VAR29; wire VAR18; wire VAR22; wire VAR7; assign VAR11 = 'h0; VAR4 VAR20 ( .clk (clk), .reset (reset), .VAR24 (VAR24), .VAR14 (VAR14), .VAR9 (VAR9), .VAR12 (VAR12), .VAR2 (VAR2), .VAR16 (VAR16), .VAR19 (VAR19), .VAR8 (VAR8), .VAR10 (VAR10), .VAR21 (VAR21) ); VAR20.VAR17 = VAR17, VAR20.VAR3 = VAR31, VAR20.VAR15 = VAR27, VAR20.VAR13 = VAR13, VAR20.VAR23 = VAR23, VAR20.VAR1 = VAR1; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai222/gf180mcu_fd_sc_mcu7t5v0__oai222_2.behavioral.v
7,158
module MODULE1( VAR2, VAR5, VAR7, VAR1, VAR10, VAR6, VAR9 ); input VAR6, VAR9, VAR1, VAR10, VAR5, VAR7; output VAR2; VAR3 VAR8(.VAR2(VAR2),.VAR5(VAR5),.VAR7(VAR7),.VAR1(VAR1),.VAR10(VAR10),.VAR6(VAR6),.VAR9(VAR9)); VAR3 VAR4(.VAR2(VAR2),.VAR5(VAR5),.VAR7(VAR7),.VAR1(VAR1),.VAR10(VAR10),.VAR6(VAR6),.VAR9(VAR9));
apache-2.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/acl_fp_custom_add_op_double.v
2,476
module MODULE1( VAR12, VAR11, VAR5, VAR1, VAR18, VAR16, VAR3, VAR19, VAR14, VAR17, VAR13, VAR4, VAR6, VAR10, enable); parameter VAR8 = 1; input VAR12, VAR11, VAR18, VAR16; input [55:0] VAR5; input [55:0] VAR1; input [11:0] VAR3; input VAR13, VAR6, enable; output reg [56:0] VAR19; output reg [11:0] VAR14; output reg VAR17; output reg VAR4; output VAR10; wire VAR15 = (VAR8==1) ? (~VAR4 | ~VAR6) : enable; wire VAR9 = VAR16 ^ VAR18; assign VAR10 = VAR4 & VAR6; wire [56:0] VAR2 = {1'b0, VAR5} + ({57{VAR9}} ^ {1'b0, VAR1}) + VAR9; always@(posedge VAR12 or negedge VAR11) begin if (~VAR11) begin VAR19 <= 57'VAR20; VAR14 <= 12'VAR20; VAR17 <= 1'VAR7; VAR4 <= 1'b0; end else if (VAR15) begin VAR4 <= VAR13; VAR19 <= VAR2; VAR14 <= VAR3; VAR17 <= VAR18; end end endmodule
mit
rbarzic/async_logic
async_lib/single_rail_2ph/arbitrer_r1_2ph/arbitrer_r1_2ph.v
2,448
module MODULE1( VAR11, VAR4, VAR2, VAR32, VAR3, VAR37, VAR13, VAR36, VAR26 ); input VAR3; output VAR11; input VAR37; output VAR4; output VAR2; input VAR13; output VAR32; input VAR36; input VAR26; wire VAR6, VAR42; wire VAR22,VAR9; wire VAR17,VAR30; wire VAR21, VAR28; VAR25 VAR29(.VAR10(!VAR21), .VAR31(VAR3), .VAR26(VAR26), .VAR14(VAR17)); VAR25 VAR1(.VAR10(!VAR28), .VAR31(VAR37), .VAR26(VAR26), .VAR14(VAR30)); VAR8 VAR15 (.VAR10(VAR17),.VAR31(VAR13),.VAR14(VAR6)); VAR8 VAR33 (.VAR10(VAR30),.VAR31(VAR36),.VAR14(VAR42)); VAR35 VAR7( .VAR3(VAR6), .VAR37(VAR42), .VAR2(VAR39), .VAR32(VAR9) ); VAR12 VAR19 (.in(VAR39), .VAR34(VAR2), .VAR20(VAR21), .VAR26(VAR26)); VAR12 VAR40 (.in(VAR9), .VAR34(VAR32), .VAR20(VAR28), .VAR26(VAR26)); VAR23 VAR19 (.in(VAR39), .VAR34(VAR2), .VAR20(VAR21), .VAR26(VAR26)); VAR23 VAR40 (.in(VAR9), .VAR34(VAR32), .VAR20(VAR28), .VAR26(VAR26)); VAR25 VAR18(.VAR10(VAR3),.VAR31(VAR13),.VAR26(VAR26),.VAR14(VAR11)); VAR25 VAR41(.VAR10(VAR37),.VAR31(VAR36),.VAR26(VAR26),.VAR14(VAR4)); assign VAR38 = VAR3 ^ VAR11; assign VAR16 = VAR37 ^ VAR4; assign VAR27 = VAR2 ^ VAR13; assign VAR24 = VAR32 ^ VAR36; assign VAR5 = VAR27 & VAR24;
gpl-2.0
scalable-networks/ext
uhd/fpga/usrp2/fifo/fifo19_to_ll8.v
1,895
module MODULE1 (input clk, input reset, input VAR11, input [18:0] VAR4, input VAR12, output VAR18, output reg [7:0] VAR9, output VAR17, output VAR6, output VAR15, input VAR7); wire VAR14, VAR16, VAR8; assign VAR17 = ~VAR14; assign VAR6 = ~VAR16; assign VAR15 = ~VAR8; wire VAR3 = ~VAR7; wire VAR13 = VAR4[16]; wire VAR10 = VAR4[17]; wire VAR2 = VAR4[18]; wire VAR5, VAR1; reg state; always @(posedge clk) if(reset) state <= 0; else if(VAR5) if(VAR16) state <= 0; else state <= state + 1; always @* case(state) 0 : VAR9 = VAR4[15:8]; 1 : VAR9 = VAR4[7:0]; default : VAR9 = VAR4[15:8]; endcase assign VAR14 = (state==0) & VAR13; assign VAR16 = VAR10 & ((VAR2==1)|(state==1)); assign VAR8 = VAR12; assign VAR5 = VAR8 & VAR3; assign VAR18 = VAR5 & ((state==1)|VAR16); endmodule
gpl-2.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/or1200_dc_top_wrapper.v
8,722
module MODULE1( clk, rst, VAR41, VAR43, VAR10, VAR16, VAR31, VAR25, VAR52, VAR11, VAR45, VAR42, VAR37, VAR36, VAR44, VAR53, VAR22, VAR14, VAR54, VAR7, VAR56, VAR30, VAR51, VAR35, VAR23, VAR39, VAR49, VAR26, VAR28, VAR13, VAR8 ); parameter VAR17 = VAR5; input clk; input rst; output [VAR17-1:0] VAR41; output [31:0] VAR43; output VAR10; output VAR16; output VAR31; output [3:0] VAR25; output VAR52; input [VAR17-1:0] VAR11; input VAR45; input VAR42; input VAR37; input [31:0] VAR36; input VAR44; input VAR53; input VAR22; input [3:0] VAR14; input [3:0] VAR54; input [VAR17-1:0] VAR7; output [VAR17-1:0] VAR56; output VAR30; output VAR51; output VAR35; output [3:0] VAR23; input VAR39; input [VAR57 - 1:0] VAR26; output VAR49; input VAR28; input VAR13; input [31:0] VAR8; wire VAR33; wire [VAR38-2:0] VAR4; wire [VAR17-1:0] VAR47; wire [VAR17-1:0] VAR15; wire [3:0] VAR12; wire VAR2; wire [31:0] VAR50; reg VAR18; wire [VAR21:VAR32] VAR1; wire VAR34; wire VAR20; wire VAR29; wire VAR24; wire VAR3 = VAR39; wire VAR55 = VAR29; assign VAR49 = VAR24; VAR48 VAR48( .clk(clk), .rst(rst), .VAR37(VAR37), .VAR36(VAR36), .VAR44(VAR44), .VAR53(VAR53), .VAR22(VAR22), .VAR14(VAR14), .VAR54(VAR54), .VAR7(VAR7), .VAR56(VAR56), .VAR30(VAR30), .VAR51(VAR51), .VAR35(VAR35), .VAR23(VAR23), .VAR28(VAR28), .VAR13(VAR13), .VAR8(VAR8[VAR21:VAR32]), .VAR41(VAR41), .VAR43(VAR43), .VAR10(VAR10), .VAR16(VAR16), .VAR31(VAR31), .VAR25(VAR25), .VAR52(VAR52), .VAR11(VAR11), .VAR45(VAR45), .VAR42(VAR42), .VAR33(VAR33), .VAR4(VAR4), .VAR15(VAR15), .VAR50(VAR50), .VAR12(VAR12), .VAR47(VAR47), .VAR1(VAR1), .VAR34(VAR34), .VAR2(VAR2), .VAR20(VAR20) ); VAR19 VAR19( .clk(clk), .rst(rst), .addr(VAR50[VAR21:2]), .en(VAR37), .VAR40(VAR12), .VAR6(VAR47), .VAR9(VAR15) ); VAR46 VAR46( .clk(clk), .rst(rst), .addr(VAR1), .en(VAR34), .VAR40(VAR2), .VAR6({VAR50[31:VAR27], VAR20}), .VAR33(VAR33), .VAR4(VAR4) ); endmodule
gpl-3.0
google/myelin-acorn-electron-hardware
bga_in_two_layers/10m04_cpu_socket/internal_flash/synthesis/submodules/altera_onchip_flash.v
11,127
module MODULE1 ( VAR50, VAR107, VAR96, VAR110, VAR69, VAR120, VAR88, VAR11, VAR112, VAR12, VAR17, VAR30, VAR13, VAR117, VAR74 ); parameter VAR73 = "VAR47 10"; parameter VAR56 = "VAR95"; parameter VAR3 = "VAR60"; parameter VAR39 = "VAR60"; parameter VAR7 = "VAR60"; parameter VAR108 = ""; parameter VAR109 = "08"; parameter VAR92 = ""; parameter VAR46 = 0; parameter VAR42 = 0; parameter VAR89 = 0; parameter VAR76 = 32; parameter VAR35 = 32; parameter VAR103 = 20; parameter VAR18 = 13; parameter VAR67 = 32; parameter VAR58 = 23; parameter VAR27 = 2; parameter VAR82 = 3; parameter VAR16 = 1; parameter VAR21 = 28; parameter VAR102 = 112; parameter VAR115 = 40603248; parameter VAR119 = 35382; parameter VAR22 = 1; parameter VAR91 = 1; parameter VAR8 = 1; parameter VAR29 = 1; parameter VAR41 = 1; parameter VAR54 = 1; parameter VAR14 = 1; parameter VAR55 = 1; parameter VAR40 = 1; parameter VAR38 = 1; parameter VAR93 = 1; parameter VAR75 = 1; parameter VAR51 = 1; parameter VAR71 = 1; parameter VAR20 = 5'b11111; parameter VAR10 = 1; parameter VAR81 = 1; parameter VAR101 = 1; parameter VAR53 = 1; parameter VAR79 = 1; parameter VAR100 = 1; parameter VAR94 = 1; parameter VAR28 = 1; input VAR50; input VAR107; input VAR96; input VAR110; input [VAR103-1:0] VAR69; input [VAR35-1:0] VAR120; input [VAR18-1:0] VAR88; output VAR11; output VAR112; output [VAR35-1:0] VAR12; input VAR17; input VAR30; input VAR13; input [VAR76-1:0] VAR117; output [VAR76-1:0] VAR74; wire [VAR35-1:0] VAR5; wire [VAR76-1:0] VAR65; wire [31:0] VAR43; wire [9:0] VAR80; wire [VAR58-1:0] VAR52; wire [VAR67-1:0] VAR36; wire VAR90; wire VAR70; wire VAR1; wire VAR6; wire VAR37; wire VAR78; wire VAR4; wire VAR45; wire VAR116; wire VAR121; wire VAR99; wire VAR105; wire VAR34; wire VAR114; wire VAR111; wire VAR31; assign VAR12 = VAR5; generate if (VAR42 == 0) begin assign VAR74 = 32'hffffffff; assign VAR43 = 32'h3fffffff; end else begin assign VAR74 = VAR65; end endgenerate generate if (VAR109 == "02" || VAR109 == "01") begin assign VAR114 = 1'b1; assign VAR37 = 1'b1; assign VAR78 = 1'b1; end else begin assign VAR114 = VAR46[0]; assign VAR37 = VAR111; assign VAR78 = VAR31; end endgenerate generate if (VAR42) begin VAR86 VAR97 ( .VAR50(VAR50), .VAR107(VAR107), .VAR84(VAR17), .VAR62(VAR30), .VAR68(VAR13), .VAR118(VAR117), .VAR26(VAR65), .VAR113(VAR43), .VAR48(VAR80) ); end endgenerate VAR49 # ( .VAR42 (VAR42), .VAR89 (VAR89), .VAR103 (VAR103), .VAR18 (VAR18), .VAR27 (VAR27), .VAR82 (VAR82), .VAR16 (VAR16), .VAR21 (VAR21), .VAR102 (VAR102), .VAR115 (VAR115), .VAR119 (VAR119), .VAR22 (VAR22), .VAR91 (VAR91), .VAR41 (VAR41), .VAR54 (VAR54), .VAR14 (VAR14), .VAR55 (VAR55), .VAR40 (VAR40), .VAR38 (VAR38), .VAR93 (VAR93), .VAR75 (VAR75), .VAR51 (VAR51), .VAR71 (VAR71), .VAR20 (VAR20), .VAR10 (VAR10), .VAR81 (VAR81), .VAR101 (VAR101), .VAR53 (VAR53), .VAR79 (VAR79), .VAR100 (VAR100), .VAR94 (VAR94), .VAR28 (VAR28) ) VAR57 ( .VAR50(VAR50), .VAR107(VAR107), .VAR90(VAR90), .VAR70(VAR70), .VAR1(VAR1), .VAR6(VAR6), .VAR59(VAR36), .VAR37(VAR111), .VAR78(VAR31), .VAR4(VAR4), .VAR45(VAR45), .VAR116(VAR116), .VAR121(VAR121), .VAR99(VAR99), .VAR105(VAR105), .VAR34(VAR34), .VAR2(VAR52), .VAR84(VAR96), .VAR62(VAR110), .VAR68(VAR69), .VAR118(VAR120), .VAR104(VAR88), .VAR19(VAR11), .VAR33(VAR112), .VAR26(VAR5), .VAR113(VAR43), .VAR48(VAR80) ); VAR98 # ( .VAR73 (VAR73), .VAR56 (VAR56), .VAR3 (VAR3), .VAR39 (VAR39), .VAR7 (VAR7), .VAR108 (VAR108), .VAR22 (VAR22), .VAR91 (VAR91), .VAR8 (VAR8), .VAR29 (VAR29), .VAR100 (VAR100), .VAR94 (VAR94), .VAR28 (VAR28), .VAR109 (VAR109), .VAR92 (VAR92) ) VAR98 ( .VAR77(VAR37), .VAR24(VAR78), .VAR9(VAR4), .VAR87(VAR45), .VAR64(VAR52), .VAR61(VAR116), .VAR15(VAR121), .VAR83(VAR99), .VAR63(VAR105), .VAR23(VAR34), .VAR72(1'b0), .VAR66(VAR114), .VAR44(VAR36), .VAR106(VAR90), .VAR32(VAR70), .VAR25(VAR1), .VAR85(VAR6) ); endmodule
apache-2.0
ncos/Xilinx-Verilog
INTERFACES/src/ARINC429/reciever.v
3,670
module MODULE1( input clk, input VAR9, input VAR4, output [22:0] VAR16, output [7:0] VAR7, output VAR5 ); parameter VAR11 = 50000000; parameter VAR19 = 100000; parameter VAR14 = 50000; parameter VAR18 = 12500; parameter VAR21 = VAR11/VAR19; parameter VAR10 = VAR11/VAR14; parameter VAR13 = VAR11/VAR18; reg [31:0]VAR20 = 0; reg [6:0] VAR12 = 0; reg [10:0] VAR8 = 0; reg [1:0] VAR6 = 0; reg [1:0] VAR17 = 0; reg [1:0] VAR23 = 0; reg [0:0] VAR3 = 0; genvar VAR2; generate for (VAR2 = 23; VAR2 >= 1; VAR2 = VAR2 - 1) begin assign VAR16[VAR2-1] = VAR20[24-VAR2]; end endgenerate assign VAR7 = VAR20[31:24]; assign VAR15 =^ VAR20[31:1]; assign VAR5 = (!VAR15 == VAR20[0]) && (VAR12 == 32); assign VAR1 = (VAR4 | VAR9); assign VAR22 = ((!VAR1) && ((VAR8 != VAR13) || (VAR8 != VAR13-1)) && ((VAR8 != VAR10) || (VAR8 != VAR10-1)) && ((VAR8 != VAR21) || (VAR8 != VAR21-1))); always @(posedge clk) begin if (!VAR1 & !VAR22) VAR17 = VAR6; if (!VAR1 & !VAR22) VAR6 = ((VAR8 == VAR13) || (VAR8 == VAR13-1)) ? 1 : ((VAR8 == VAR10) || (VAR8 == VAR10-1)) ? 2 : ((VAR8 == VAR21) || (VAR8 == VAR21-1)) ? 3 : 0; if ((!VAR1) && (VAR6 != VAR17) && (VAR12 != 32)) VAR3 <= 1; VAR20 <= ((!VAR3) && (!VAR1) && (VAR23 == 1)) ? ((VAR20 << 1) + 1) : ((!VAR3) && (!VAR1) && (VAR23 == 0)) ? (VAR20 << 1): ((!VAR3) && (!VAR1)) ? VAR20: (VAR1) ? VAR20 : 0; if ((!VAR3) && (!VAR1) && (VAR12 != 32) && ((VAR23 == 1) ||(VAR23 == 0))) VAR12 <= VAR12 + 1; VAR23 <= (VAR4 && !VAR22 && !VAR3) ? 1 : (VAR9 && !VAR22 && !VAR3) ? 0 : 2; if (VAR23 == 2) VAR8 <= 0; if (VAR1) VAR8 <= VAR8 + 1; if (VAR22) VAR8 <= 0; end endmodule
mit
VerticalResearchGroup/miaow
scripts/xilinx/axi_slave_v1_0.v
3,340
module MODULE1 # ( parameter integer VAR10 = 32, parameter integer VAR35 = 11 ) ( output wire VAR40, output wire VAR15, output wire [VAR35-1 : 0] VAR8, output wire [2 : 0] VAR31, output wire VAR19, input wire VAR7, output wire [VAR10-1 : 0] VAR44, output wire [(VAR10/8)-1 : 0] VAR12, output wire VAR30, input wire VAR1, input wire [1 : 0] VAR32, input wire VAR4, output wire VAR21, output wire [VAR35-1 : 0] VAR16, output wire [2 : 0] VAR34, output wire VAR25, input wire VAR23, input wire [VAR10-1 : 0] VAR18, input wire [1 : 0] VAR22, input wire VAR37, output wire VAR26, input wire VAR42, input wire VAR9, input wire [VAR35-1 : 0] VAR27, input wire [2 : 0] VAR43, input wire VAR38, output wire VAR24, input wire [VAR10-1 : 0] VAR29, input wire [(VAR10/8)-1 : 0] VAR5, input wire VAR2, output wire VAR41, output wire [1 : 0] VAR14, output wire VAR20, input wire VAR33, input wire [VAR35-1 : 0] VAR39, input wire [2 : 0] VAR11, input wire VAR28, output wire VAR3, output wire [VAR10-1 : 0] VAR36, output wire [1 : 0] VAR6, output wire VAR17, input wire VAR13 ); assign VAR40 = VAR42; assign VAR15 = VAR9; assign VAR8 = VAR27; assign VAR31 = VAR43; assign VAR19 = VAR38; assign VAR24 = VAR7; assign VAR44 = VAR29; assign VAR12 = VAR5; assign VAR30 = VAR2; assign VAR41 = VAR1; assign VAR14 = VAR32; assign VAR20 = VAR4; assign VAR21 = VAR33; assign VAR16 = VAR39; assign VAR34 = VAR11; assign VAR25 = VAR28; assign VAR3 = VAR23; assign VAR36 = VAR18; assign VAR6 = VAR22; assign VAR17 = VAR37; assign VAR26 = VAR13; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fa/sky130_fd_sc_ls__fa.functional.v
1,881
module MODULE1 ( VAR4, VAR13 , VAR12 , VAR9 , VAR1 ); output VAR4; output VAR13 ; input VAR12 ; input VAR9 ; input VAR1 ; wire VAR7 ; wire VAR6 ; wire VAR10 ; wire VAR19 ; wire VAR3 ; wire VAR17 ; wire VAR23; wire VAR15 ; or VAR11 (VAR7 , VAR1, VAR9 ); and VAR16 (VAR6 , VAR7, VAR12 ); and VAR18 (VAR10 , VAR9, VAR1 ); or VAR22 (VAR23, VAR10, VAR6); buf VAR20 (VAR4 , VAR23 ); and VAR21 (VAR19 , VAR1, VAR12, VAR9 ); nor VAR5 (VAR3 , VAR12, VAR7 ); nor VAR2 (VAR17 , VAR3, VAR4 ); or VAR14 (VAR15 , VAR17, VAR19); buf VAR8 (VAR13 , VAR15 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nand4/gf180mcu_fd_sc_mcu9t5v0__nand4_4.functional.pp.v
1,416
module MODULE1( VAR6, VAR11, VAR13, VAR4, VAR14, VAR3, VAR16 ); input VAR14, VAR4, VAR6, VAR13; inout VAR3, VAR16; output VAR11; wire VAR2; not VAR15( VAR2, VAR14 ); wire VAR7; not VAR1( VAR7, VAR4 ); wire VAR12; not VAR10( VAR12, VAR6 ); wire VAR5; not VAR8( VAR5, VAR13 ); or VAR9( VAR11, VAR2, VAR7, VAR12, VAR5 ); endmodule
apache-2.0
walkthetalk/fsref
ip/axis_interconnector/src/axis_interconnector.v
9,627
module MODULE1 # ( parameter integer VAR42 = 8, parameter integer VAR40 = 8, parameter integer VAR73 = 8, parameter integer VAR21 = 0 ) ( input wire clk, input wire VAR29, input wire VAR3, input wire [VAR42-1:0] VAR67, input wire VAR19, input wire VAR58, output wire VAR108, input wire [VAR73-1:0] VAR87, output wire VAR50, output wire [VAR42-1:0] VAR128, output wire VAR37, output wire VAR84, input wire VAR71, input wire VAR45, input wire [VAR42-1:0] VAR103, input wire VAR59, input wire VAR54, output wire VAR43, input wire [VAR73-1:0] VAR68, output wire VAR95, output wire [VAR42-1:0] VAR117, output wire VAR101, output wire VAR102, input wire VAR12, input wire VAR105, input wire [VAR42-1:0] VAR52, input wire VAR80, input wire VAR62, output wire VAR39, input wire [VAR73-1:0] VAR109, output wire VAR104, output wire [VAR42-1:0] VAR9, output wire VAR24, output wire VAR121, input wire VAR86, input wire VAR48, input wire [VAR42-1:0] VAR79, input wire VAR16, input wire VAR88, output wire VAR93, input wire [VAR73-1:0] VAR38, output wire VAR49, output wire [VAR42-1:0] VAR56, output wire VAR130, output wire VAR81, input wire VAR124, input wire VAR115, input wire [VAR42-1:0] VAR53, input wire VAR8, input wire VAR36, output wire VAR123, input wire [VAR73-1:0] VAR106, output wire VAR122, output wire [VAR42-1:0] VAR28, output wire VAR96, output wire VAR135, input wire VAR65, input wire VAR57, input wire [VAR42-1:0] VAR90, input wire VAR5, input wire VAR17, output wire VAR91, input wire [VAR73-1:0] VAR60, output wire VAR27, output wire [VAR42-1:0] VAR25, output wire VAR119, output wire VAR136, input wire VAR76, input wire VAR30, input wire [VAR42-1:0] VAR82, input wire VAR34, input wire VAR31, output wire VAR69, input wire [VAR73-1:0] VAR125, output wire VAR89, output wire [VAR42-1:0] VAR112, output wire VAR32, output wire VAR13, input wire VAR77, input wire VAR129, input wire [VAR42-1:0] VAR14, input wire VAR83, input wire VAR66, output wire VAR18, input wire [VAR73-1:0] VAR63, output wire VAR98, output wire [VAR42-1:0] VAR138, output wire VAR7, output wire VAR33, input wire VAR126 ); localparam integer VAR61 = 8; generate genvar VAR120; genvar VAR70; endgenerate wire VAR51 [VAR61-1:0]; wire [VAR42-1:0] VAR41 [VAR61-1:0]; wire VAR110 [VAR61-1:0]; wire VAR23 [VAR61-1:0]; wire VAR47 [VAR61-1:0]; wire [VAR73-1:0] VAR4[VAR61-1:0]; wire VAR137 [VAR61-1:0]; wire [VAR42-1:0] VAR111 [VAR61-1:0]; wire VAR100 [VAR61-1:0]; wire VAR1 [VAR61-1:0]; wire VAR97 [VAR61-1:0]; assign VAR51[VAR120] = VAR6; \ assign VAR41[VAR120] = VAR99; \ assign VAR110[VAR120] = VAR74; \ assign VAR23[VAR120] = VAR72; \ assign VAR55 = VAR47[VAR120]; \ assign VAR4[VAR120] = VAR75; \ assign VAR116 = VAR137[VAR120]; \ assign VAR11 = VAR111[VAR120] ; \ assign VAR26 = VAR100[VAR120] ; \ assign VAR46 = VAR1[VAR120] ; \ assign VAR97[VAR120] = VAR133; \ wire [VAR40-1:0] VAR113 ; wire [VAR40-1:0] VAR118 [VAR42-1:0]; wire [VAR40-1:0] VAR20 ; wire [VAR40-1:0] VAR85 ; wire [VAR40-1:0] VAR131 ; reg VAR15[VAR73-1:0]; reg [VAR42-1:0] VAR22 [VAR73-1:0]; reg VAR114 [VAR73-1:0]; reg VAR94 [VAR73-1:0]; wire [VAR73-1:0] VAR127; wire [VAR73-1:0] VAR2; wire [VAR73-1:0] VAR134; wire [VAR40-1:0] VAR132[VAR73-1:0]; generate for (VAR120 = 0; VAR120 < VAR40; VAR120 = VAR120+1) begin: VAR44 assign VAR113[VAR120] = VAR51[VAR120]; assign VAR20 [VAR120] = VAR110 [VAR120]; assign VAR85 [VAR120] = VAR23 [VAR120]; assign VAR47[VAR120] = VAR131[VAR120]; for (VAR70 = 0; VAR70 < VAR42; VAR70 = VAR70 + 1) begin: VAR92 assign VAR118 [VAR70][VAR120] = VAR41 [VAR120][VAR70]; end if (VAR21) assign VAR131[VAR120] = ((VAR127 & VAR4[VAR120]) == VAR4[VAR120]); end else assign VAR131[VAR120] = ((VAR127 & VAR4[VAR120]) != 0); end for (VAR120 = VAR40; VAR120 < VAR61; VAR120 = VAR120+1) begin: VAR10 assign VAR47[VAR120] = 0; end endgenerate generate for (VAR120 = 0; VAR120 < VAR73; VAR120 = VAR120+1) begin: VAR107 for (VAR70 = 0; VAR70 < VAR40; VAR70 = VAR70+1) begin: VAR35 assign VAR132[VAR120][VAR70] = VAR4[VAR70][VAR120]; end assign VAR127[VAR120] = (~VAR137[VAR120] | VAR97[VAR120]); assign VAR137[VAR120] = VAR15[VAR120]; assign VAR111 [VAR120] = VAR22[VAR120]; assign VAR100 [VAR120] = VAR114[VAR120]; assign VAR1 [VAR120] = VAR94[VAR120]; assign VAR2[VAR120] = ((VAR113 & VAR132[VAR120]) != 0); if (VAR21) begin assign VAR134[VAR120] = (VAR2[VAR120] && ((VAR131 & VAR132[VAR120]) != 0)); always @ (posedge clk) begin if (VAR29 == 1'b0) VAR15[VAR120] <= 0; end else if (VAR134[VAR120]) VAR15[VAR120] <= 1; end else if (VAR97[VAR120]) VAR15[VAR120] <= 0; end end else begin assign VAR134[VAR120] = (VAR2[VAR120] && VAR127[VAR120]); always @ (posedge clk) begin if (VAR29 == 1'b0) VAR15[VAR120] <= 0; end else if (VAR2[VAR120]) VAR15[VAR120] <= 1; end else if (VAR97[VAR120]) VAR15[VAR120] <= 0; end end always @ (posedge clk) begin if (VAR29 == 1'b0) begin VAR114[VAR120] <= 0; VAR94[VAR120] <= 0; end else if (VAR134[VAR120]) begin VAR114[VAR120] <= ((VAR20 & VAR132[VAR120]) != 0); VAR94[VAR120] <= ((VAR85 & VAR132[VAR120]) != 0); end end for (VAR70 = 0; VAR70 < VAR42; VAR70=VAR70+1) begin: VAR64 always @ (posedge clk) begin if (VAR29 == 1'b0) begin VAR22[VAR120][VAR70] <= 0; end else if (VAR134[VAR120]) begin VAR22[VAR120][VAR70] <= ((VAR118[VAR70] & VAR132[VAR120]) != 0); end end end end for (VAR120 = VAR73; VAR120 < VAR61; VAR120 = VAR120+1) begin: VAR78 assign VAR137[VAR120] = 0; assign VAR111 [VAR120] = 0; assign VAR100 [VAR120] = 0; assign VAR1 [VAR120] = 0; end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
models/udp_pwrgood_pp_pg/sky130_fd_sc_ls__udp_pwrgood_pp_pg.symbol.v
1,332
module MODULE1 ( input VAR1 , output VAR4, input VAR3 , input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand4/sky130_fd_sc_ls__nand4.behavioral.v
1,408
module MODULE1 ( VAR6, VAR5, VAR10, VAR4, VAR7 ); output VAR6; input VAR5; input VAR10; input VAR4; input VAR7; supply1 VAR3; supply0 VAR2; supply1 VAR12 ; supply0 VAR11 ; wire VAR1; nand VAR8 (VAR1, VAR7, VAR4, VAR10, VAR5 ); buf VAR9 (VAR6 , VAR1 ); endmodule
apache-2.0
scalable-networks/ext
uhd/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v
5,396
module MODULE1( rst, VAR93, VAR74, din, VAR10, VAR92, dout, VAR79, VAR18, VAR41, VAR5); input rst; input VAR93; input VAR74; input [17 : 0] din; input VAR10; input VAR92; output [35 : 0] dout; output VAR79; output VAR18; output VAR41; output VAR5; VAR68 #( .VAR15(0), .VAR81(0), .VAR24(10), .VAR26("VAR77"), .VAR51(18), .VAR21("0"), .VAR90(36), .VAR37(0), .VAR70(1), .VAR85(0), .VAR80("VAR52"), .VAR1(0), .VAR35(0), .VAR63(1), .VAR91(0), .VAR8(0), .VAR34(0), .VAR49(0), .VAR9(0), .VAR87(0), .VAR82(0), .VAR88(1), .VAR103(0), .VAR36(0), .VAR38(0), .VAR16(0), .VAR62(0), .VAR65(0), .VAR99(2), .VAR32(0), .VAR42(1), .VAR19("VAR77"), .VAR107(1), .VAR14(0), .VAR73(0), .VAR95(0), .VAR71(1), .VAR3("1kx18"), .VAR28(4), .VAR75(5), .VAR25(0), .VAR109(1015), .VAR110(1014), .VAR44(1), .VAR7(9), .VAR84(512), .VAR86(1), .VAR43(9), .VAR67(0), .VAR55(1), .VAR17(0), .VAR66(0), .VAR57(0), .VAR6(0), .VAR53(0), .VAR29(0), .VAR30(10), .VAR101(1024), .VAR98(1), .VAR56(10), .VAR40(1)) VAR50 ( .VAR106(rst), .VAR20(VAR93), .VAR61(VAR74), .VAR69(din), .VAR39(VAR10), .VAR108(VAR92), .VAR96(dout), .VAR22(VAR79), .VAR2(VAR18), .VAR78(VAR41), .VAR46(VAR5), .VAR94(), .VAR48(), .VAR45(), .VAR58(), .VAR60(), .VAR54(), .VAR64(), .VAR13(), .VAR47(), .VAR11(), .VAR12(), .VAR33(), .VAR102(), .VAR59(), .VAR105(), .VAR23(), .VAR72(), .VAR104(), .VAR31(), .VAR76(), .VAR97(), .VAR100(), .VAR83(), .VAR89(), .VAR4(), .VAR27()); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dfrtp/sky130_fd_sc_hdll__dfrtp.pp.symbol.v
1,410
module MODULE1 ( input VAR5 , output VAR8 , input VAR3, input VAR1 , input VAR7 , input VAR6 , input VAR4 , input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlymetal6s4s/sky130_fd_sc_ls__dlymetal6s4s_1.v
2,160
module MODULE1 ( VAR1 , VAR3 , VAR4, VAR8, VAR6 , VAR5 ); output VAR1 ; input VAR3 ; input VAR4; input VAR8; input VAR6 ; input VAR5 ; VAR2 VAR7 ( .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8), .VAR6(VAR6), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR1, VAR3 ); output VAR1; input VAR3; supply1 VAR4; supply0 VAR8; supply1 VAR6 ; supply0 VAR5 ; VAR2 VAR7 ( .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
alexforencich/verilog-ethernet
rtl/iddr.v
4,130
module MODULE1 # ( parameter VAR44 = "VAR33", parameter VAR17 = "VAR30", parameter VAR20 = 1 ) ( input wire clk, input wire [VAR20-1:0] VAR7, output wire [VAR20-1:0] VAR34, output wire [VAR20-1:0] VAR5 ); genvar VAR26; generate if (VAR44 == "VAR31") begin for (VAR26 = 0; VAR26 < VAR20; VAR26 = VAR26 + 1) begin : MODULE1 if (VAR17 == "VAR22") begin VAR24 #( .VAR27("VAR35"), .VAR14("VAR46") ) VAR39 ( .VAR11(VAR34[VAR26]), .VAR4(VAR5[VAR26]), .VAR38(clk), .VAR42(1'b1), .VAR8(VAR7[VAR26]), .VAR29(1'b0), .VAR12(1'b0) ); end else if (VAR17 == "VAR30") begin VAR25 #( .VAR13("VAR45") ) VAR39 ( .VAR19(VAR34[VAR26]), .VAR11(VAR5[VAR26]), .VAR45(clk), .VAR43(~clk), .VAR42(1'b1), .VAR8(VAR7[VAR26]), .VAR29(1'b0), .VAR12(1'b0) ); end end end else if (VAR44 == "VAR21") begin wire [VAR20-1:0] VAR6; reg [VAR20-1:0] VAR28; VAR40 #( .VAR20(VAR20), .VAR23("VAR37") ) VAR1 ( .VAR36(1'b0), .VAR9(VAR7), .VAR32(1'b1), .VAR18(clk), .VAR16(1'b0), .VAR3(VAR6), .VAR2(VAR5) ); always @(posedge clk) begin VAR28 <= VAR6; end assign VAR34 = VAR28; end else begin reg [VAR20-1:0] VAR47 = {VAR20{1'b0}}; reg [VAR20-1:0] VAR41 = {VAR20{1'b0}}; reg [VAR20-1:0] VAR15 = {VAR20{1'b0}}; reg [VAR20-1:0] VAR10 = {VAR20{1'b0}}; always @(posedge clk) begin VAR47 <= VAR7; end always @(negedge clk) begin VAR41 <= VAR7; end always @(posedge clk) begin VAR15 <= VAR47; VAR10 <= VAR41; end assign VAR34 = VAR15; assign VAR5 = VAR10; end endgenerate endmodule
mit
HectorTorres/Verilog-ceti-tonala
S4/S4A2.v
2,009
module MODULE1(VAR5, VAR9, VAR12, VAR4,VAR2); input VAR5; output reg VAR9; output reg [6:0] VAR12 = 7'h3F; output reg [3:0] VAR4 = 4'h0; output reg [3:0] VAR2 = 0; reg [25:0] VAR1 = 0; reg [25:0] VAR10 = 0; reg VAR14 = 0; reg [3:0] VAR8 = 0; reg [3:0] VAR6 = 0; parameter [6:0] VAR3 = ~7'h3F; parameter [6:0] VAR7 = ~7'h06; parameter [6:0] VAR13 = ~7'h5B; parameter [6:0] VAR11 = ~7'h4F; always @(posedge VAR5) begin VAR1 = VAR1 + 1; if(VAR1 == 25000000) begin VAR9 = ~VAR9; VAR1 = 0; end end always @(posedge VAR5) begin VAR10 = VAR10 + 1; if(VAR10 == 2550000) begin VAR14 = ~VAR14; VAR10 = 0; end end always @(posedge VAR14) begin case(VAR8) 0: VAR8 <= 1; 1: VAR8 <= 2; 2: VAR8 <= 3; 3: VAR8 <= 0; endcase end always @(VAR8) begin case(VAR8) 0: VAR4 = 4'b1110; 1: VAR4 = 4'b1101; 2: VAR4 = 4'b1011; 3: VAR4 = 4'b0111; endcase end always @(posedge VAR9) begin case(VAR2) 0: VAR2 <= 1; 1: VAR2 <= 2; 2: VAR2 <= 3; 3: VAR2 <= 0; endcase end always @(VAR8) begin case(VAR8) 0: VAR12 = VAR3; 1: VAR12 = VAR7; 2: VAR12 = VAR13; 3: VAR12 = VAR11; endcase end endmodule
unlicense
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/buf/sky130_fd_sc_hvl__buf.functional.v
1,227
module MODULE1 ( VAR3, VAR4 ); output VAR3; input VAR4; wire VAR5; buf VAR1 (VAR5, VAR4 ); buf VAR2 (VAR3 , VAR5 ); endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/Quartus/C5G/CPC2_C5G.v
4,042
module MODULE1( VAR42, VAR41, VAR75, VAR9, VAR33, VAR22, VAR29, VAR49, VAR16, VAR3, VAR66, VAR70, VAR39, VAR21, VAR36, VAR24, VAR30, VAR26, VAR25, VAR71, VAR14, VAR73, VAR31, VAR58, VAR78, VAR55, VAR27, VAR11, VAR56, VAR79, VAR67, VAR57, VAR52, VAR68, VAR48, VAR37, VAR4 ); input VAR42; input VAR41; input VAR75; input VAR9; input VAR33; output [7:0] VAR22; output [9:0] VAR29; input VAR49; input [3:0] VAR16; input [9:0] VAR3; output VAR66; output [23:0] VAR70; output VAR39; output VAR21; input VAR36; output VAR24; input VAR30; inout VAR26; inout VAR25; output VAR71; inout VAR14; output VAR73; output VAR31; inout VAR58; output VAR78; inout VAR55; inout [3:0] VAR27; input VAR11; output VAR56; output [17:0] VAR79; output VAR67; inout [15:0] VAR57; output VAR52; output VAR68; output VAR48; output VAR37; inout [35:0] VAR4; wire [2:0] VAR59; VAR47 VAR18 ( .VAR28(VAR41), .VAR7(VAR75), .VAR10(VAR9), .VAR43(), .VAR19(), .VAR44(VAR16 != 4'b1111), .VAR31(VAR31), .VAR58(VAR58), .VAR54(VAR22[0]), .VAR46(VAR24), .VAR23(VAR21), .VAR45(VAR39), .VAR6(VAR66), .VAR61(VAR70[23:16]), .VAR64(VAR70[15:8]), .VAR77(VAR70[7:0]), .VAR60(), .VAR50(), .VAR38(), .VAR13(), .VAR69(VAR11), .VAR40(VAR56), .VAR20(), .VAR8(), .VAR2(), .VAR12(), .VAR15(), .VAR1(), .VAR62(), .VAR5(), .VAR63(), .VAR35(), .VAR72(), .VAR53(), .VAR51(), .VAR34(), .VAR32(), .VAR65(), .VAR17(), .VAR74(), .VAR76() ); endmodule
gpl-3.0
mammenx/pegasus
wxp/dgn/rtl/l2/mac/peg_l2_mac_rx.v
4,563
module MODULE1 #( parameter VAR5 = 8, parameter VAR10 = 16, parameter VAR1 = 8, parameter VAR22 = 48 ) ( input clk, input VAR15, output VAR25, ); wire [VAR1-1:0] VAR32; wire [VAR22-1:0] VAR28; wire VAR3; wire VAR33; wire [VAR5-1:0] VAR4; VAR34 #( .VAR5(VAR5), .VAR10(VAR10), .VAR1(VAR1), .VAR22(VAR22) ) VAR39 ( .clk (clk), .VAR15 (VAR15), .VAR16, .VAR14, .VAR37, .VAR27, .VAR31, .VAR26 (VAR32), .VAR23 (VAR28), .VAR30 (VAR3), .VAR20 (VAR33), .VAR9 (VAR4), ); VAR29 #( .VAR17(VAR5) ) VAR8 ( .clk (clk), .VAR15 (VAR15), .VAR18 (), .VAR24 (VAR32[VAR19]), .VAR2 (VAR28[15:0]), .VAR38 (VAR25) ); VAR11 #( .VAR13(VAR5), .VAR36(32'd0) ) VAR21 ( .clk (clk), .VAR15 (VAR15), .VAR12 (VAR3), .VAR35 (VAR33), .VAR7 (VAR4), .VAR6 () ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/einvp/sky130_fd_sc_ms__einvp_1.v
2,130
module MODULE1 ( VAR1 , VAR2 , VAR4 , VAR9, VAR3, VAR8 , VAR7 ); output VAR1 ; input VAR2 ; input VAR4 ; input VAR9; input VAR3; input VAR8 ; input VAR7 ; VAR6 VAR5 ( .VAR1(VAR1), .VAR2(VAR2), .VAR4(VAR4), .VAR9(VAR9), .VAR3(VAR3), .VAR8(VAR8), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR1 , VAR2 , VAR4 ); output VAR1 ; input VAR2 ; input VAR4; supply1 VAR9; supply0 VAR3; supply1 VAR8 ; supply0 VAR7 ; VAR6 VAR5 ( .VAR1(VAR1), .VAR2(VAR2), .VAR4(VAR4) ); endmodule
apache-2.0
yipenghuang0302/csee4840_14
software/peripheral/db/ip/ik_swift/submodules/altera_reset_synchronizer.v
3,481
module MODULE1 parameter VAR5 = 1, parameter VAR3 = 2 ) ( input VAR4 , input clk, output VAR1 ); reg [VAR3-1:0] VAR2; reg VAR6; generate if (VAR5) begin always @(posedge clk or posedge VAR4) begin if (VAR4) begin VAR2 <= {VAR3{1'b1}}; VAR6 <= 1'b1; end else begin VAR2[VAR3-2:0] <= VAR2[VAR3-1:1]; VAR2[VAR3-1] <= 0; VAR6 <= VAR2[0]; end end assign VAR1 = VAR6; end else begin always @(posedge clk) begin VAR2[VAR3-2:0] <= VAR2[VAR3-1:1]; VAR2[VAR3-1] <= VAR4; VAR6 <= VAR2[0]; end assign VAR1 = VAR6; end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_p_pp_pg/sky130_fd_sc_hs__udp_dff_p_pp_pg.symbol.v
1,364
module MODULE1 ( input VAR4 , output VAR2 , input VAR5 , input VAR1, input VAR3 ); endmodule
apache-2.0
rkrajnc/minimig-mist
rtl/minimig/paula_audio_channel.v
11,424
module MODULE1 ( input clk, input VAR12, input VAR53, input reset, input VAR51, input VAR50, input [3:1] VAR15, input [15:0] VAR7, output [6:0] VAR27, output [7:0] VAR9, output VAR19, input VAR41, output reg VAR26, output reg VAR25, input VAR52 ); parameter VAR13 = 4'h4; parameter VAR28 = 4'h6; parameter VAR30 = 4'h8; parameter VAR32 = 4'ha; reg [15:0] VAR11; reg [15:0] VAR47; reg [6:0] VAR40; reg [15:0] VAR5; reg [15:0] VAR39; reg [2:0] VAR33; reg [2:0] VAR22; wire VAR10; reg VAR36; reg VAR45; reg [15:0] VAR6; reg VAR24; reg VAR1; wire VAR2; reg [15:0] VAR34; reg VAR35; reg VAR29; wire VAR44; reg VAR16; wire VAR23; reg VAR38; reg VAR17; wire VAR43; reg VAR21; reg VAR48; reg VAR3; reg VAR37; reg VAR31; reg VAR18; reg VAR14; reg VAR8; always @(posedge clk) begin if (VAR12) begin if (reset) VAR11[15:0] <= 16'h0000; end else if (VAR51 && (VAR15[3:1]==VAR13[3:1])) VAR11[15:0] <= VAR7[15:0]; end end always @(posedge clk) begin if (VAR12) begin if (reset) VAR47[15:0] <= 16'h0000; end else if (VAR51 && (VAR15[3:1]==VAR28[3:1])) VAR47[15:0] <= VAR7[15:0]; end end always @(posedge clk) begin if (VAR12) begin if (reset) VAR40[6:0] <= 7'b0000000; end else if (VAR51 && (VAR15[3:1]==VAR30[3:1])) VAR40[6:0] <= VAR7[6:0]; end end assign VAR10 = (VAR51 && (VAR15[3:1]==VAR32[3:1])) ? 1'b1 : 1'b0; always @(posedge clk) begin if (VAR12) begin if (reset) VAR5[15:0] <= 16'h0000; end else if (VAR10) VAR5[15:0] <= VAR7[15:0]; end end always @(posedge clk) begin if (VAR12) begin if (VAR10) VAR16 <= 1'b1; end else if (VAR53) VAR16 <= 1'b0; end end assign VAR23 = VAR50; assign VAR43 = VAR41; assign VAR19 = VAR17; always @(posedge clk) begin if (VAR12) begin if (VAR1 && VAR53) VAR6[15:0] <= VAR47[15:0]; end else if (VAR24 && VAR53) VAR6[15:0] <= VAR6[15:0] - 16'd1; end end assign VAR2 = (VAR6[15:0]==1 && VAR53) ? 1'b1 : 1'b0; always @(posedge clk) begin if (VAR12) begin if (VAR29 && VAR53) begin VAR34[15:0] <= (VAR11[15:0]); VAR18<=1'b0; if(VAR11==1 || VAR11==0) VAR18<=1'b1; end else if (VAR35 && VAR53) VAR34[15:0] <= (VAR34[15:0] - 1); VAR8<=VAR50; if(VAR8==1'b1 && VAR50==1'b0) begin VAR14<=1'b1; VAR18<=1'b1; end if(VAR16 && VAR53) if(VAR14) VAR14<=1'b0; end else VAR18<=1'b0; end end assign VAR44 = (VAR34[15:0]==1 && VAR53) ? 1'b1 : 1'b0; always @(posedge clk) begin if (VAR12) begin if (reset) VAR39[15:0] <= 16'h0000; end else if (VAR45 && VAR53) VAR39[15:0] <= VAR5[15:0]; end end assign VAR9[7:0] = VAR18 ? 8'b0 : (VAR31 ? VAR39[15:8] : VAR39[7:0]); assign VAR27[6:0] = VAR40[6:0]; always @(posedge clk) begin if (VAR12) begin if (reset) begin VAR26 <= 1'b0; VAR25 <= 1'b0; end else if (VAR38 && VAR53) begin VAR26 <= 1'b1; VAR25 <= VAR37 | VAR44; end else if (VAR52) begin VAR26 <= 1'b0; VAR25 <= 1'b0; end end end always @(posedge clk) begin if (VAR12) begin if (VAR53) if (VAR21) VAR3 <= 1'b1; end else if (VAR48) VAR3 <= 1'b0; end end parameter VAR42 = 3'b000; parameter VAR4 = 3'b001; parameter VAR46 = 3'b011; parameter VAR49 = 3'b010; parameter VAR20 = 3'b110; always @(posedge clk) begin if (VAR12) begin if (reset) VAR33 <= VAR42; end else if (VAR53) VAR33 <= VAR22; end end always @(*) begin case (VAR33) VAR42: begin VAR48 = 1'b1; VAR21 = 1'b0; VAR35 = 1'b0; VAR31 = 1'b0; VAR24 = 1'b0; VAR1 = 1'b1; if (VAR23) begin VAR22 = VAR4; VAR38 = 1'b1; VAR17 = 1'b0; VAR37 = 1'b1; VAR29 = 1'b1; VAR45 = 1'b0; VAR36 = 1'b0; end else if (VAR16 && !VAR23 && !VAR43) begin VAR22 = VAR49; VAR38 = 1'b0; VAR17 = 1'b1; VAR37 = 1'b0; VAR29 = 1'b0; VAR45 = 1'b1; VAR36 = 1'b1; end else begin VAR22 = VAR42; VAR38 = 1'b0; VAR17 = 1'b0; VAR37 = 1'b0; VAR29 = 1'b0; VAR45 = 1'b0; VAR36 = 1'b0; end end VAR4: begin VAR37 = 1'b0; VAR48 = 1'b1; VAR21 = 1'b0; VAR29 = 1'b0; VAR31 = 1'b0; VAR24 = 1'b0; if (VAR23 && VAR16) begin VAR22 = VAR46; VAR38 = 1'b1; VAR17 = 1'b1; VAR35 = ~VAR44; VAR45 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end else if (!VAR23) begin VAR22 = VAR42; VAR38 = 1'b0; VAR17 = 1'b0; VAR35 = 1'b0; VAR45 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end else begin VAR22 = VAR4; VAR38 = 1'b0; VAR17 = 1'b0; VAR35 = 1'b0; VAR45 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end end VAR46: begin VAR37 = 1'b0; VAR48 = 1'b1; VAR21 = 1'b0; VAR29 = 1'b0; VAR31 = 1'b0; VAR24 = 1'b0; if (VAR23 && VAR16) begin VAR22 = VAR49; VAR38 = 1'b1; VAR17 = 1'b0; VAR35 = ~VAR44; VAR45 = 1'b1; VAR1 = 1'b1; VAR36 = 1'b1; end else if (!VAR23) begin VAR22 = VAR42; VAR38 = 1'b0; VAR17 = 1'b0; VAR35 = 1'b0; VAR45 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end else begin VAR22 = VAR46; VAR38 = 1'b0; VAR17 = 1'b0; VAR35 = 1'b0; VAR45 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end end VAR49: begin VAR38 = 1'b0; VAR17 = 1'b0; VAR37 = 1'b0; VAR48 = 1'b0; VAR21 = VAR44 & VAR23 & VAR16; VAR35 = ~VAR44 & VAR23 & VAR16; VAR29 = VAR44 & VAR23 & VAR16; VAR45 = 1'b0; VAR31 = 1'b1; VAR36 = 1'b0; if (VAR2) begin VAR22 = VAR20; VAR24 = 1'b0; VAR1 = 1'b1; end else begin VAR22 = VAR49; VAR24 = 1'b1; VAR1 = 1'b0; end end VAR20: begin VAR37 = 1'b0; VAR21 = VAR44 & VAR23 & VAR16; VAR35 = ~VAR44 & VAR23 & VAR16; VAR29 = VAR44 & VAR23 & VAR16; VAR31 = 1'b0; VAR36 = 1'b0; if (VAR2 && (VAR23 || !VAR43)) begin VAR22 = VAR49; VAR38 = VAR23; VAR17 = (VAR3 & VAR23) | ~VAR23; VAR48 = VAR3; VAR45 = 1'b1; VAR24 = 1'b0; VAR1 = 1'b1; end else if (VAR2 && !VAR23 && VAR43) begin VAR22 = VAR42; VAR38 = 1'b0; VAR17 = 1'b0; VAR48 = 1'b0; VAR45 = 1'b0; VAR24 = 1'b0; VAR1 = 1'b0; end else begin VAR22 = VAR20; VAR38 = 1'b0; VAR17 = 1'b0; VAR48 = 1'b0; VAR45 = 1'b0; VAR24 = 1'b1; VAR1 = 1'b0; end end default: begin VAR22 = VAR42; VAR38 = 1'b0; VAR17 = 1'b0; VAR37 = 1'b0; VAR48 = 1'b0; VAR21 = 1'b0; VAR29 = 1'b0; VAR35 = 1'b0; VAR45 = 1'b0; VAR31 = 1'b0; VAR24 = 1'b0; VAR1 = 1'b0; VAR36 = 1'b0; end endcase end endmodule
gpl-3.0
UCR-CS179-SUMMER2014/NES_FPGA
source/NES_FPGA/nios_system/synthesis/submodules/nios_system_CPU_jtag_debug_module_tck.v
8,185
module MODULE1 ( VAR21, VAR31, VAR17, VAR29, VAR4, VAR22, VAR20, VAR24, VAR7, VAR1, VAR19, VAR37, VAR35, VAR36, VAR18, VAR10, VAR5, VAR12, VAR14, VAR38, VAR34, VAR33, VAR25, VAR30, VAR9, VAR2, VAR3, VAR8, VAR6, VAR39, VAR23 ) ; output [ 1: 0] VAR3; output VAR8; output [ 37: 0] VAR6; output VAR39; output VAR23; input [ 31: 0] VAR21; input [ 31: 0] VAR31; input VAR17; input VAR29; input VAR4; input VAR22; input VAR20; input [ 1: 0] VAR24; input VAR7; input VAR1; input VAR19; input VAR37; input VAR35; input VAR36; input VAR18; input VAR10; input [ 35: 0] VAR5; input VAR12; input [ 6: 0] VAR14; input VAR38; input VAR34; input VAR33; input VAR25; input VAR30; input VAR9; input VAR2; reg [ 2: 0] VAR27 ; wire VAR32; reg [ 1: 0] VAR3; wire VAR8; wire VAR16; reg [ 37: 0] VAR6 ; wire VAR39; wire VAR23; wire VAR28; wire VAR13; always @(posedge VAR36) begin if (VAR30) case (VAR24) 2'b00: begin VAR6[35] <= VAR32; VAR6[34] <= VAR1; VAR6[33] <= VAR35; VAR6[32 : 1] <= VAR21; VAR6[0] <= VAR16; end 2'b01: begin VAR6[35 : 0] <= VAR5; VAR6[37] <= VAR12; VAR6[36] <= VAR10; end 2'b10: begin VAR6[37] <= VAR25; VAR6[36] <= VAR22; VAR6[35] <= VAR4; VAR6[34] <= VAR29; VAR6[33] <= VAR17; VAR6[32 : 1] <= VAR31; VAR6[0] <= VAR33; end 2'b11: begin VAR6[15 : 12] <= 1'b0; VAR6[11 : 2] <= VAR14; VAR6[1] <= VAR34; VAR6[0] <= VAR38; end endcase if (VAR9) case (VAR27) 3'b000: begin VAR6 <= {VAR18, VAR6[37 : 2], VAR18}; end 3'b001: begin VAR6 <= {VAR18, VAR6[37 : 9], VAR18, VAR6[7 : 1]}; end 3'b010: begin VAR6 <= {VAR18, VAR6[37 : 17], VAR18, VAR6[15 : 1]}; end 3'b011: begin VAR6 <= {VAR18, VAR6[37 : 33], VAR18, VAR6[31 : 1]}; end 3'b100: begin VAR6 <= {VAR18, VAR6[37], VAR18, VAR6[35 : 1]}; end 3'b101: begin VAR6 <= {VAR18, VAR6[37 : 1]}; end default: begin VAR6 <= {VAR18, VAR6[37 : 2], VAR18}; end endcase if (VAR2) case (VAR24) 2'b00: begin VAR27 <= 3'b100; end 2'b01: begin VAR27 <= 3'b101; end 2'b10: begin VAR27 <= 3'b101; end 2'b11: begin VAR27 <= 3'b010; end endcase end assign VAR23 = VAR6[0]; assign VAR39 = VAR7; assign VAR28 = VAR8; VAR11 VAR40 ( .clk (VAR36), .din (VAR20), .dout (VAR32), .VAR37 (VAR28) ); assign VAR13 = VAR8; VAR11 VAR26 ( .clk (VAR36), .din (VAR19), .dout (VAR16), .VAR37 (VAR13) ); always @(posedge VAR36 or negedge VAR8) begin if (VAR8 == 0) VAR3 <= 2'b0; end else VAR3 <= {VAR32, VAR16}; end assign VAR8 = VAR37; endmodule
mit
Ribeiro/sd2snes
verilog/sd2sneslite/spi.v
3,109
module MODULE1( input clk, input VAR6, input VAR2, inout VAR24, input VAR12, output VAR21, output VAR26, output [7:0] VAR9, output [7:0] VAR25, input [7:0] VAR16, output [31:0] VAR17, output [2:0] VAR3 ); reg [7:0] VAR27; reg [7:0] VAR20; reg [2:0] VAR22; reg [2:0] VAR5; always @(posedge clk) VAR22 <= {VAR22[1:0], VAR12}; always @(posedge VAR6) VAR5 <= {VAR5[1:0], VAR12}; wire VAR13 = VAR22[1]; wire VAR8 = ~VAR22[1]; wire VAR11 = (VAR22[2:1]==2'b10); wire VAR32 = (VAR22[2:1]==2'b01); reg [2:0] VAR3; VAR23 VAR3 = 3'b000; wire VAR30 = VAR3[2]; reg [2:0] VAR10; always @(posedge clk) VAR10 <= {VAR10[1:0], VAR30}; wire VAR31 = (VAR10[2:1] == 2'b10); reg [31:0] VAR15; reg VAR19; reg [7:0] VAR18; assign VAR3 = VAR3; always @(posedge VAR6) begin if(VAR5[1]) VAR3 <= 3'b000; end else VAR3 <= VAR3 + 3'b001; end always @(posedge VAR6) begin if(~VAR5[1]) begin VAR18 <= {VAR18[6:0], VAR2}; end if(~VAR5[1] && VAR3==3'b111) VAR19 <= 1'b1; end else VAR19 <= 1'b0; end always @(posedge clk) begin if(VAR13) begin VAR15 <= 16'h0000; end else if(VAR31) begin VAR15 <= VAR15 + 16'h0001; end end reg [7:0] VAR4; assign VAR24 = ~VAR12 ? VAR16[7-VAR3] : 1'VAR28; reg VAR14; reg VAR1; reg VAR29; reg VAR7; assign VAR21 = VAR14; assign VAR26 = VAR1; assign VAR9 = VAR27; assign VAR25 = VAR20; assign VAR17 = VAR15; always @(posedge clk) VAR29 = VAR31 && VAR15 == 32'h0; always @(posedge clk) VAR7 = VAR31 && VAR15 > 32'h0; always @(posedge clk) begin if (VAR11) VAR27 <= 8'h00; end else if(VAR29) VAR27 <= VAR18; end else if(VAR7) VAR20 <= VAR18; end always @(posedge clk) begin VAR14 <= VAR29; VAR1 <= VAR7; end endmodule
gpl-2.0
fpgasystems/caribou
hw/src/net/rx_interface.v
21,447
module MODULE1 #( parameter VAR75 = 11 ) ( input [63:0] VAR65, input [7:0] VAR40, input VAR33, input VAR45, input VAR15, input VAR29, output [63:0] VAR27, output [7:0] VAR5, output VAR14, output VAR70, output [15:0] VAR39, output reg VAR63 = 1'b0, input [29:0] VAR77, input VAR57, output [VAR75-1:0] VAR36 , input VAR23, input VAR50, input reset ); wire VAR1; wire VAR51; wire [63:0] VAR49; wire [7:0] VAR37; wire VAR13; wire VAR22; wire [63:0] VAR73; wire [7:0] VAR16; wire VAR72; wire VAR61; wire VAR4; wire VAR34; wire [15:0] VAR58; wire VAR74; wire [VAR75-1:0] VAR11 ; wire [VAR75-1:0] VAR60; wire VAR6; wire VAR30; wire VAR83; reg [63:0] VAR80 ; reg [7:0] VAR9 ; reg VAR71; reg VAR55 ; reg VAR62 ; reg VAR7='d0 ; reg VAR56 = 'd0; reg VAR82='d0; reg [15:0] VAR32 = 'd0; reg VAR17=1'b0; reg VAR53=1'b0; reg VAR69='d0 ; reg VAR24=1'b0; reg [3:0] VAR46; reg [12:0] VAR81='d0; reg [13:0] VAR10='d0; reg [3:0] VAR12; localparam VAR52 = 4'b0001, VAR25 = 4'b0010, VAR35 = 4'b0100, VAR54 = 4'b1000, VAR21 = 4'b0001, VAR42 = 4'b0010, VAR31 = 4'b0100, VAR64 = 4'b1000; localparam VAR41 = 200; localparam VAR2 = 400; reg [3:0] VAR79 = VAR52; reg [3:0] VAR66 = VAR21; always @(posedge VAR23) begin VAR80 <= VAR65; VAR9 <= VAR40; VAR71 <= VAR33; VAR55 <= VAR45; VAR62 <= VAR15; end begin assign VAR22 = (VAR79==VAR54) ? 1'b0 : (VAR71 | (VAR7 & (VAR79 == VAR35))); end assign VAR13 = (VAR55 | VAR7); assign VAR16 = VAR9; assign VAR73 = VAR80; always @(posedge VAR23) begin if(VAR57) VAR10 <= VAR77[18:5] - 3'd4; end assign VAR60 = {1'b1,{(VAR75-1){1'b0}}} - VAR11[VAR75-1:0]; assign VAR6 = (VAR60 < VAR41)?1'b1:1'b0; assign VAR30 = (VAR60 < VAR2)?1'b1:1'b0; always @(posedge VAR23) begin if(VAR7) VAR7 <= 1'b0; end else if(VAR6 & !(VAR45 & VAR33)) VAR7 <= 1'b1; end always @(posedge VAR23) begin if (reset) VAR12 <= 'd0; end else if (VAR45 & VAR33) VAR12 <= 'd0; else if (VAR12 > 4'h8) VAR12 <= VAR12; else if(VAR33) VAR12 <= VAR12+1; end assign VAR83 = (VAR12 != 0) & (VAR12 < 8) & VAR33 & VAR45; always @(VAR40) case(VAR40) 'h00 : VAR46 <= 'd0; 'h01 : VAR46 <= 'd1; 'h03 : VAR46 <= 'd2; 'h07 : VAR46 <= 'd3; 'h0F : VAR46 <= 'd4; 'h1F : VAR46 <= 'd5; 'h3F : VAR46 <= 'd6; 'h7F : VAR46 <= 'd7; 'hFF : VAR46 <= 'd8; default : VAR46 <= 'h00; endcase always @(posedge VAR23) begin if(reset) VAR79 <= VAR52; end else begin case(VAR79) VAR52 : begin VAR32 <= 'b0; VAR17 <= 1'b0; if(VAR33 & (VAR72 | VAR6)) begin VAR79 <= VAR54; end else if(VAR33) begin VAR79 <= VAR25; end else begin VAR79 <= VAR52; end end VAR25 : begin VAR17 <= 1'b0; VAR32[1] <= 1'b1; VAR79 <= VAR35; end VAR35 : begin VAR32[15:2] <= VAR83 ? ((VAR12 << 3) + VAR46) : VAR10; if(VAR7) begin VAR17 <= 1'b1; VAR32[0] <= 1'b0; VAR79 <= VAR54; end else if(VAR45 & VAR33) begin VAR17 <= 1'b1; VAR32[0] <= VAR15; VAR79 <= VAR52; end else begin VAR17 <= 1'b0; VAR32[0] <= 1'b0; VAR79 <= VAR35; end end VAR54 : begin VAR17 <= 1'b0; if(VAR55 & VAR71 & !VAR30) begin if(VAR33) begin VAR79 <= VAR25; end else VAR79 <= VAR52; end else VAR79 <= VAR54; end default : VAR79 <= VAR52; endcase end end assign VAR4 = VAR58[1]; assign VAR34 = VAR58[0]; assign VAR39 = {2'b0,VAR58[15:2]}; always @(posedge VAR23) begin if(reset) begin VAR66 <= VAR21; end else begin case(VAR66) VAR21 : begin if(VAR29 & !VAR61) begin VAR66 <= VAR42; VAR53 <= 1'b1; end else begin VAR66 <= VAR21; end end VAR42 : begin VAR53 <= 1'b0; VAR66 <= VAR31; end VAR31 : begin if(VAR4 & VAR34) begin VAR66 <= VAR64; end else begin VAR66 <= VAR64; end end VAR64 : begin if(VAR1 & VAR51 & VAR69) begin VAR66 <= VAR21; end else begin VAR66 <= VAR64; end end default : VAR66 <= VAR21; endcase end end always @(VAR66, VAR4, VAR34,VAR1,VAR51,VAR29) begin if(VAR66==VAR31) begin if(VAR4 & VAR34) begin VAR69 <= VAR29; VAR24 <= VAR51; end else begin VAR69 <= 1'b1; VAR24 <= 1'b0; end end else if(VAR66==VAR64) begin if (VAR4 & VAR34) begin VAR69 <= VAR29; VAR24 <= VAR51; end else begin VAR69 <= 1'b1; VAR24 <= 1'b0; end end else begin VAR69 <= 1'b0; VAR24 <= 1'b0; end end VAR44 VAR38 ( .VAR28 (VAR69 ), .VAR48 (~reset ), .VAR47 (VAR74 ), .VAR43 (VAR22 ), .VAR76 (VAR51 ), .VAR78 (VAR23 ), .VAR8 (VAR1 ), .VAR59 (VAR13 ), .VAR26 (VAR73 ), .VAR20 (VAR49 ), .VAR3 (VAR16 ), .VAR18 (VAR37 ), .VAR19 (VAR11 ) ); VAR67 VAR68 ( .clk (VAR23 ), .rst (reset ), .din (VAR32 ), .VAR17 (VAR17 ), .VAR53 (VAR53 ), .dout (VAR58 ), .VAR72 (VAR72 ), .VAR61 (VAR61 ) ); assign VAR27 = VAR49; assign VAR5 = VAR37; assign VAR70 = VAR1; assign VAR14 = VAR24; always @(posedge VAR23) if (reset | VAR50) VAR63 <= 1'b0; else if (VAR79==VAR54) VAR63 <= 1'b1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a31o/sky130_fd_sc_hs__a31o.functional.v
1,918
module MODULE1 ( VAR9, VAR4, VAR11 , VAR14 , VAR6 , VAR13 , VAR2 ); input VAR9; input VAR4; output VAR11 ; input VAR14 ; input VAR6 ; input VAR13 ; input VAR2 ; wire VAR2 VAR12 ; wire VAR7 ; wire VAR5; and VAR15 (VAR12 , VAR13, VAR14, VAR6 ); or VAR10 (VAR7 , VAR12, VAR2 ); VAR1 VAR3 (VAR5, VAR7, VAR9, VAR4); buf VAR8 (VAR11 , VAR5 ); endmodule
apache-2.0
hj3938/FPGA-Imaging-Library
LocalFilter/ThresholdLocal/HDL/ThresholdLocal.srcs/sources_1/new/ThresholdLocal.v
4,319
module MODULE1( clk, VAR1, VAR2, VAR10, VAR8, VAR3, VAR12, VAR7); parameter[0 : 0] VAR14 = 0; parameter[3 : 0] VAR5 = 1; parameter[3 : 0] VAR18 = 8; parameter VAR13 = 8; parameter VAR11 = 4; input clk; input VAR1; input VAR2; input [VAR18 * VAR5 * VAR5 - 1 : 0] VAR10; input VAR8; input[VAR18 - 1 : 0] VAR3; output VAR12; output VAR7; reg VAR16; reg VAR4; reg[VAR11 - 1 : 0] VAR6; genvar VAR17, VAR9; generate if(VAR14 == 0) begin reg[VAR18 - 1 : 0] buffer[0 : VAR13 - 1]; wire[VAR13 - 1 : 0] VAR15; always @(posedge clk or negedge VAR1 or negedge VAR2) begin if(~VAR1 || ~VAR2) VAR6 <= 0; end else if(VAR6 == VAR13) VAR6 <= VAR6; end else if(~VAR8) VAR6 <= VAR6 + 1; else VAR6 <= VAR6; end always @(posedge clk or negedge VAR1 or negedge VAR8) begin if(~VAR1 || ~VAR8) begin VAR16 <= 0; VAR4 <= 0; end else begin VAR16 <= 1; VAR4 <= VAR15[VAR6 - 1]; end end for (VAR17 = 0; VAR17 < VAR13; VAR17 = VAR17 + 1) begin if(VAR17 == 0) begin always @(posedge clk) buffer[VAR17] <= VAR10[((VAR5 * VAR5 >> 1) + 1) * VAR18 - 1 : (VAR5 * VAR5 >> 1) * VAR18]; end else begin always @(posedge clk) buffer[VAR17] <= buffer[VAR17 - 1]; end assign VAR15[VAR17] = buffer[VAR17] < VAR3 ? 0 : 1; end end else begin always @(posedge clk or negedge VAR1 or negedge VAR8) begin if(~VAR1 || ~VAR8) begin VAR16 <= 0; VAR4 <= 0; end else begin VAR16 <= 1; VAR4 <= VAR10 < VAR3 ? 0 : 1; end end end assign VAR12 = VAR16; assign VAR7 = VAR4; endgenerate endmodule
lgpl-2.1
bluespec/Flute
builds/RV64ACDFIMSU_Flute_verilator/Verilog_RTL/mkGPR_RegFile.v
6,924
module MODULE1(VAR30, VAR1, VAR62, VAR70, VAR55, VAR17, VAR5, VAR44, VAR13, VAR46, VAR2, VAR58, VAR26, VAR51, VAR39); input VAR30; input VAR1; input VAR62; output VAR70; input VAR55; output VAR17; input [4 : 0] VAR5; output [63 : 0] VAR44; input [4 : 0] VAR13; output [63 : 0] VAR46; input [4 : 0] VAR2; output [63 : 0] VAR58; input [4 : 0] VAR26; input [63 : 0] VAR51; input VAR39; wire [63 : 0] VAR44, VAR46, VAR58; wire VAR70, VAR17; reg [1 : 0] VAR63; reg [1 : 0] VAR73; wire VAR22; wire VAR37, VAR18, VAR3, VAR49, VAR43; wire [63 : 0] VAR74, VAR61, VAR36, VAR28; wire [4 : 0] VAR14, VAR10, VAR53, VAR7, VAR34, VAR19; wire VAR4; wire VAR47, VAR15, VAR11, VAR45, VAR33, VAR6, VAR29, VAR50, VAR35, VAR57; assign VAR70 = VAR43 ; assign VAR11 = VAR43 ; assign VAR50 = VAR62 ; assign VAR17 = VAR63 == 2'd2 && VAR3 ; assign VAR45 = VAR63 == 2'd2 && VAR3 ; assign VAR35 = VAR55 ; assign VAR44 = (VAR5 == 5'd0) ? 64'd0 : VAR28 ; assign VAR46 = (VAR13 == 5'd0) ? 64'd0 : VAR36 ; assign VAR58 = (VAR2 == 5'd0) ? 64'd0 : VAR61 ; assign VAR33 = 1'd1 ; assign VAR57 = VAR39 ; VAR41 #(.VAR9(1'd1)) VAR23(.VAR20(VAR1), .VAR30(VAR30), .VAR40(VAR49), .VAR21(VAR18), .VAR12(VAR37), .VAR56(VAR43), .VAR54(VAR3)); VAR27 #(.VAR71(32'd5), .VAR60(32'd64), .VAR8(5'h0), .VAR69(5'd31)) VAR25(.VAR30(VAR30), .VAR24(VAR14), .VAR48(VAR10), .VAR32(VAR53), .VAR16(VAR7), .VAR59(VAR34), .VAR38(VAR19), .VAR72(VAR74), .VAR67(VAR4), .VAR66(VAR61), .VAR52(VAR36), .VAR42(VAR28), .VAR64(), .VAR68()); assign VAR15 = VAR63 == 2'd0 ; assign VAR29 = VAR15 ; assign VAR47 = VAR63 == 2'd1 ; assign VAR6 = VAR47 ; always@(VAR62 or VAR6 or VAR29) case (1'b1) VAR62: VAR73 = 2'd0; VAR6: VAR73 = 2'd2; VAR29: VAR73 = 2'd1; default: VAR73 = 2'b10 ; endcase assign VAR22 = VAR62 || VAR29 || VAR6 ; assign VAR49 = VAR62 ; assign VAR18 = VAR55 ; assign VAR37 = 1'b0 ; assign VAR14 = VAR2 ; assign VAR10 = VAR13 ; assign VAR53 = VAR5 ; assign VAR7 = 5'h0 ; assign VAR34 = 5'h0 ; assign VAR19 = VAR26 ; assign VAR74 = VAR51 ; assign VAR4 = VAR39 && VAR26 != 5'd0 ; always@(posedge VAR30) begin if (VAR1 == VAR31) begin VAR63 <= VAR65 2'd0; end else begin if (VAR22) VAR63 <= VAR65 VAR73; end end begin VAR63 = 2'h2; end
apache-2.0
nyaxt/dmix
nkmdhpa.v
22,654
module MODULE1#( parameter VAR98 = 2, parameter VAR214 = 1, parameter VAR420 = 5, parameter VAR204 = VAR98*32, parameter VAR178 = 16*8, parameter VAR105 = VAR214*VAR420, parameter VAR56 = VAR214*192, parameter VAR147 = VAR56, parameter VAR167 = 16, parameter VAR176 = 13, parameter VAR272 = 3, parameter VAR245 = 16, parameter VAR121 = 13, parameter VAR223 = 3 )( input wire VAR162, input wire VAR44, input wire rst, input wire VAR236, output wire VAR380, output wire [3:0] VAR101, output wire VAR83, output wire VAR93, output wire VAR423, output wire VAR114, input wire VAR273, output wire VAR409, input wire VAR439, input wire VAR60, input wire VAR194, output wire VAR328, output wire [5:0] VAR14, output wire [5:0] VAR46, output wire [5:0] VAR249, output wire VAR398, output wire VAR139, output wire VAR15, output wire VAR367, inout wire [VAR167-1:0] VAR137, output wire [VAR176-1:0] VAR53, output wire [VAR272-1:0] VAR151, output wire VAR30, output wire VAR391, output wire VAR405, output wire VAR292, output wire VAR95, output wire VAR69, output wire VAR262, inout wire VAR382, inout wire VAR35, inout wire VAR51, inout wire VAR428, output wire VAR300, inout wire VAR131, inout wire VAR13, output wire VAR379, output wire VAR45, inout wire [VAR245-1:0] VAR87, output wire [VAR121-1:0] VAR200, output wire [VAR223-1:0] VAR247, output wire VAR79, output wire VAR161, output wire VAR360, output wire VAR370, output wire VAR62, output wire VAR238, output wire VAR37, inout wire VAR108, inout wire VAR408, inout wire VAR8, inout wire VAR376, output wire VAR320, inout wire VAR299, inout wire VAR349, output wire VAR427, output wire VAR58); wire VAR325; wire VAR368; wire VAR433; VAR9 VAR1( .VAR162(VAR162), .VAR316(rst), .VAR325(VAR325), .VAR368(VAR368), .VAR433(VAR433)); assign VAR380 = 1'b1; reg [22:0] VAR248; always @(posedge VAR162) VAR248 <= VAR248 + 1; assign VAR101[2:0] = VAR248[22:20]; assign VAR101[3] = rst; assign VAR83 = VAR248[16]; assign VAR93 = VAR248[18]; assign VAR423 = VAR248[17]; assign VAR114 = VAR248[15]; assign VAR409 = VAR248[18]; assign VAR328 = VAR248[18]; assign VAR83 = VAR325; VAR241 #( .VAR279("VAR253"), .VAR295(1'b0), .VAR333("VAR343")) VAR199 ( .VAR321(VAR83), .VAR48(VAR325), .VAR383(~VAR325), .VAR67(1'b1), .VAR389(1'b0), .VAR155(1'b1), .VAR97(1'b0), .VAR336(1'b0)); reg [5:0] VAR312; always @(posedge VAR325) begin if (rst) begin VAR312 <= 0; end else if(VAR312 != 6'h3f) begin VAR312 <= VAR312 + 1; end end reg VAR168; reg VAR66; always @(posedge VAR325) begin VAR168 <= VAR312 == 6'h1f; VAR66 <= VAR312 == 6'h3e; end wire VAR203 = VAR168; wire VAR374 = VAR66; wire VAR246; wire [(VAR105-1):0] VAR235; wire [(VAR56-1):0] VAR366; wire [(VAR147-1):0] VAR396; wire VAR23; wire [(VAR178-1):0] VAR250; wire [(VAR178-1):0] VAR159; wire [31:0] VAR290; wire [31:0] VAR3; wire VAR132; wire [27:0] VAR179; wire [31:0] VAR85; wire VAR404; wire VAR227; wire [31:0] VAR59; wire VAR152; wire VAR215; VAR181 #( .VAR98(VAR98), .VAR214(VAR214)) VAR181( .clk(VAR368), .rst(VAR374), .VAR365(VAR273), .VAR68(VAR409), .VAR362(VAR439), .VAR254(VAR60), .VAR213(VAR23), .VAR416(VAR250), .VAR104(VAR159), .VAR311(VAR235), .VAR432(VAR366), .VAR52(VAR396), .VAR40(VAR290), .VAR354(VAR3), .VAR430(VAR132), .VAR123(VAR179), .VAR219(VAR85), .VAR234(VAR404), .VAR50(VAR227), .VAR288(VAR59), .VAR126(VAR152), .VAR64(VAR215)); assign VAR101[0] = VAR374; assign VAR101[1] = VAR409; assign VAR101[2] = VAR439; assign VAR101[3] = VAR60; wire [23:0] VAR170; wire VAR118; wire VAR217; wire VAR399; assign VAR380 = VAR399; wire [(VAR420-1):0] VAR392; VAR185 VAR197( .clk(VAR433), .rst(VAR374), .VAR282(VAR236), .VAR135(VAR170), .VAR259(VAR217), .VAR369(VAR118), .VAR42(VAR399), .VAR296(VAR366), .VAR24(VAR396), .VAR82(VAR392)); assign VAR235 = VAR392; wire [23:0] VAR237; wire VAR418; reg VAR434; wire VAR301; VAR413 #(.VAR86(24 + 1)) VAR345( .VAR182(VAR433), .VAR401(VAR374), .VAR302({VAR170, VAR118}), .VAR377(VAR217), .VAR361(VAR368), .VAR220(VAR374), .VAR135({VAR237, VAR418}), .VAR156(VAR434), .VAR174(VAR301)); always @(posedge VAR368) begin if (VAR374) VAR434 <= 0; end else VAR434 <= VAR301 ? 0 : 1; end wire [1:0] VAR438; wire [47:0] VAR189; wire [1:0] VAR76; wire [1:0] VAR426 = {VAR434 & ~VAR418, VAR434 & VAR418}; VAR209 #(.VAR98(2), .VAR348(1)) VAR25( .clk(VAR368), .rst(VAR374), .VAR129({2{~VAR399}}), .VAR311({2{VAR392}}), .VAR377(VAR426), .VAR302({2{VAR237}}), .VAR156(VAR438), .VAR135(VAR189), .VAR259(VAR76)); wire [23:0] VAR358 = VAR76[1] ? VAR189[47:24] : VAR189[23:0]; VAR431 VAR431( .clk(VAR368), .rst(VAR374), .VAR205(VAR114), .VAR369(VAR93), .VAR135(VAR423), .VAR377(VAR76), .VAR302(VAR358), .VAR36(VAR438)); VAR224 VAR224( .clk(VAR368), .rst(VAR23), .VAR436(VAR194), .VAR195(VAR328), .VAR18(24'b0), .VAR7(1'b0), .VAR327(1'b0), .VAR110(VAR290), .VAR424(VAR3), .VAR102(VAR132), .VAR421(VAR250), .VAR275(VAR159)); wire [8:0] VAR371; wire [6:0] VAR32; wire VAR172; wire [5:0] VAR352; wire [5:0] VAR285; wire [5:0] VAR107; wire VAR119; VAR173 VAR173( .clk(VAR368), .rst(VAR374), .VAR269(VAR371), .VAR154(VAR32), .VAR36(VAR172), .VAR191(VAR352), .VAR133(VAR285), .VAR54(VAR107), .VAR377(VAR119), .VAR14(VAR14), .VAR46(VAR46), .VAR249(VAR249), .VAR398(VAR398), .VAR139(VAR139), .VAR15(VAR15), .VAR367(VAR367)); VAR364 VAR364( .clk(VAR368), .rst(VAR374), .VAR222(VAR371), .VAR136(VAR32), .VAR156(VAR172), .VAR160(VAR352), .VAR92(VAR285), .VAR287(VAR107), .VAR259(VAR119)); wire VAR252; wire VAR403; wire [2:0] VAR417; wire [5:0] VAR4; wire [29:0] VAR286; wire VAR263; wire VAR211; wire VAR363; wire VAR61; wire [3:0] VAR120; wire [31:0] VAR29; wire VAR81; wire VAR38; wire [6:0] VAR109; wire VAR394; wire VAR122; wire VAR437; wire VAR304; wire [31:0] VAR216; wire VAR31; wire VAR10; wire [6:0] VAR99; wire VAR165; wire VAR145; VAR177 VAR177( .clk(VAR368), .rst(VAR374), .VAR201(VAR246), .VAR141(VAR252), .VAR239(VAR403), .VAR268(VAR417), .VAR149(VAR4), .VAR130(VAR286), .VAR347(VAR263), .VAR187(VAR211), .VAR77(VAR363), .VAR255(VAR61), .VAR318(VAR120), .VAR267(VAR29), .VAR258(VAR81), .VAR278(VAR38), .VAR406(VAR109), .VAR388(VAR394), .VAR183(VAR122), .VAR308(VAR437), .VAR180(VAR304), .VAR146(VAR216), .VAR390(VAR31), .VAR186(VAR10), .VAR134(VAR99), .VAR375(VAR165), .VAR373(VAR145), .VAR222(VAR371), .VAR136(VAR32), .VAR156(VAR172), .VAR160(VAR352), .VAR92(VAR285), .VAR287(VAR107), .VAR259(VAR119)); wire VAR276; wire VAR138; wire [2:0] VAR228; wire [5:0] VAR184; wire [29:0] VAR90; wire VAR57; wire VAR210; wire VAR435; wire VAR106; wire [3:0] VAR294; wire [31:0] VAR298; wire VAR91; wire VAR22; wire [6:0] VAR270; wire VAR26; wire VAR78; wire VAR198; wire VAR281; wire [31:0] VAR359; wire VAR63; wire VAR112; wire [6:0] VAR70; wire VAR330; wire VAR231; VAR33 VAR169( .clk(VAR368), .rst(VAR374), .VAR395(VAR179), .VAR302(VAR85), .VAR372(VAR404), .VAR156(VAR227), .VAR135(VAR59), .VAR259(VAR152), .VAR144(VAR215), .VAR141(VAR276), .VAR239(VAR138), .VAR268(VAR228), .VAR149(VAR184), .VAR130(VAR90), .VAR347(VAR57), .VAR187(VAR210), .VAR77(VAR435), .VAR255(VAR106), .VAR318(VAR294), .VAR267(VAR298), .VAR258(VAR91), .VAR278(VAR22), .VAR406(VAR270), .VAR388(VAR26), .VAR183(VAR78), .VAR308(VAR198), .VAR180(VAR281), .VAR146(VAR359), .VAR390(VAR63), .VAR186(VAR112), .VAR134(VAR70), .VAR375(VAR330), .VAR373(VAR231)); wire VAR266; VAR71 VAR71( .VAR309(VAR44), .VAR243(VAR266)); VAR350 #( .VAR229(4), .VAR39(32), .VAR206(4), .VAR385(32), .VAR283(0), .VAR84(3000), .VAR307("VAR265"), .VAR75("VAR335"), .VAR387(0), .VAR340("VAR113"), .VAR313("VAR16"), .VAR167(16), .VAR176(13), .VAR272(3), .VAR158(4), .VAR284(32), .VAR346(4), .VAR326(32), .VAR221(3000), .VAR297("VAR265"), .VAR251("VAR335"), .VAR65(0), .VAR17("VAR113"), .VAR344("VAR16"), .VAR245(16), .VAR121(13), .VAR223(3) ) VAR260 ( .VAR117(VAR266), .VAR111(VAR203), .VAR137(VAR137), .VAR53(VAR53), .VAR151(VAR151), .VAR30(VAR30), .VAR391(VAR391), .VAR405(VAR405), .VAR292(VAR292), .VAR69(VAR69), .VAR379(VAR379), .VAR45(VAR45), .VAR131(VAR131), .VAR13(VAR13), .VAR382(VAR382), .VAR35(VAR35), .VAR300(VAR300), .VAR262(VAR262), .VAR95(VAR95), .VAR261(VAR246), .VAR51(VAR51), .VAR428(VAR428), .VAR163(VAR276), .VAR277(VAR138), .VAR142(VAR228), .VAR271(VAR184), .VAR103(VAR90), .VAR319(VAR57), .VAR397(VAR210), .VAR125(VAR435), .VAR143(VAR106), .VAR140(VAR294), .VAR315(VAR298), .VAR55(VAR91), .VAR100(VAR22), .VAR188(VAR270), .VAR422(VAR26), .VAR280(VAR78), .VAR27(VAR198), .VAR411(VAR281), .VAR127(VAR359), .VAR314(VAR63), .VAR414(VAR112), .VAR28(VAR70), .VAR19(VAR330), .VAR381(VAR231), .VAR351(1'b0), .VAR274(1'b0), .VAR384(3'b0), .VAR329(6'b0), .VAR324(30'b0), .VAR12(1'b0), .VAR337(1'b0), .VAR175(4'b0), .VAR225(32'b0), .VAR124(1'b0), .VAR440(1'b0), .VAR351(VAR252), .VAR274(VAR403), .VAR384(VAR417), .VAR329(VAR4), .VAR324(VAR286), .VAR332(VAR263), .VAR353(VAR211), .VAR12(VAR363), .VAR337(VAR61), .VAR175(VAR120), .VAR225(VAR29), .VAR89(VAR81), .VAR196(VAR38), .VAR226(VAR109), .VAR128(VAR394), .VAR2(VAR122), .VAR124(VAR437), .VAR440(VAR304), .VAR264(VAR216), .VAR293(VAR31), .VAR5(VAR10), .VAR256(VAR99), .VAR322(VAR165), .VAR80(VAR145), .VAR218(1'b0), .VAR171(1'b0), .VAR407(3'b0), .VAR355(6'b0), .VAR207(30'b0), .VAR166(1'b0), .VAR88(1'b0), .VAR233(4'b0), .VAR323(32'b0), .VAR73(1'b0), .VAR157(1'b0), .VAR49(1'b0), .VAR257(1'b0), .VAR334(3'b0), .VAR11(6'b0), .VAR303(30'b0), .VAR402(1'b0), .VAR356(1'b0), .VAR47(4'b0), .VAR153(32'b0), .VAR212(1'b0), .VAR400(1'b0), .VAR429(VAR266), .VAR317(VAR203), .VAR87(VAR87), .VAR200(VAR200), .VAR247(VAR247), .VAR79(VAR79), .VAR161(VAR161), .VAR360(VAR360), .VAR370(VAR370), .VAR238(VAR238), .VAR427(VAR427), .VAR58(VAR58), .VAR299(VAR299), .VAR349(VAR349), .VAR108(VAR108), .VAR408(VAR408), .VAR320(VAR320), .VAR37(VAR37), .VAR62(VAR62), .VAR8(VAR8), .VAR376(VAR376), .VAR193(1'b0), .VAR164(1'b0), .VAR208(3'b0), .VAR230(6'b0), .VAR116(30'b0), .VAR386(1'b0), .VAR244(1'b0), .VAR94(4'b0), .VAR21(32'b0), .VAR202(1'b0), .VAR341(1'b0), .VAR190(1'b0), .VAR240(1'b0), .VAR291(3'b0), .VAR150(6'b0), .VAR72(30'b0), .VAR412(1'b0), .VAR115(1'b0), .VAR192(4'b0), .VAR357(32'b0), .VAR415(1'b0), .VAR331(1'b0), .VAR20(1'b0), .VAR41(1'b0), .VAR232(3'b0), .VAR342(6'b0), .VAR393(30'b0), .VAR34(1'b0), .VAR339(1'b0), .VAR96(4'b0), .VAR410(32'b0), .VAR338(1'b0), .VAR74(1'b0), .VAR305(1'b0), .VAR289(1'b0), .VAR310(3'b0), .VAR425(6'b0), .VAR419(30'b0), .VAR378(1'b0), .VAR148(1'b0), .VAR43(4'b0), .VAR306(32'b0), .VAR6(1'b0), .VAR242(1'b0) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a221o/sky130_fd_sc_ms__a221o.behavioral.v
1,662
module MODULE1 ( VAR3 , VAR9, VAR11, VAR16, VAR5, VAR4 ); output VAR3 ; input VAR9; input VAR11; input VAR16; input VAR5; input VAR4; supply1 VAR12; supply0 VAR2; supply1 VAR6 ; supply0 VAR15 ; wire VAR1 ; wire VAR13 ; wire VAR14; and VAR17 (VAR1 , VAR16, VAR5 ); and VAR7 (VAR13 , VAR9, VAR11 ); or VAR8 (VAR14, VAR13, VAR1, VAR4); buf VAR10 (VAR3 , VAR14 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor2/sky130_fd_sc_hd__nor2.behavioral.v
1,350
module MODULE1 ( VAR1, VAR7, VAR2 ); output VAR1; input VAR7; input VAR2; supply1 VAR3; supply0 VAR10; supply1 VAR9 ; supply0 VAR5 ; wire VAR6; nor VAR8 (VAR6, VAR7, VAR2 ); buf VAR4 (VAR1 , VAR6 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffnrsnq/gf180mcu_fd_sc_mcu9t5v0__dffnrsnq_4.functional.pp.v
1,027
module MODULE1( VAR3, VAR10, VAR18, VAR12, VAR5, VAR14, VAR13, VAR9 ); input VAR3, VAR10, VAR12, VAR18, VAR14, VAR13, VAR9; output VAR5; not VAR4( VAR7, VAR3 ); not VAR19( VAR11, VAR12 ); not VAR2( VAR1, VAR18 ); not VAR17( VAR16, VAR10 ); VAR15( VAR8, VAR1, VAR11, VAR7, VAR16, VAR9 ); not VAR6( VAR5, VAR8 ); endmodule
apache-2.0
Tao-J/nexys3MIPSSoC
vgachar.v
2,153
module MODULE1( input wire clk, input wire VAR21, input wire VAR18, input wire [6:0] VAR16, input wire [7:0] VAR14, input wire [7:0] VAR12, input wire VAR9, input wire VAR20, input wire VAR11, input wire [11:0] VAR4, input wire [11:0] VAR7, input wire valid, output reg [2:0] VAR3, output reg [2:0] VAR22, output reg [2:1] VAR13, output reg VAR8, output reg VAR19 ); wire [10:0] VAR5; wire [7:0] VAR1; wire VAR10; VAR2 VAR6 ( .VAR15(VAR5), .VAR17({VAR1[0], VAR1[1], VAR1[2], VAR1[3], VAR1[4], VAR1[5], VAR1[6], VAR1[7]}) ); assign VAR5 = {VAR16, VAR7[3:0]}; assign VAR10 = VAR1[VAR4[2:0]]; always @(posedge clk or posedge VAR21) if(VAR21 == 1) begin VAR3 <= 3'b000; VAR22 <= 3'b000; VAR13[2:1] <= 2'b00; VAR8 <= 0; VAR19 <= 0; end else begin VAR8 <= VAR20; VAR19 <= VAR11; if(valid == 0) begin VAR3 <= 3'b000; VAR22 <= 3'b000; VAR13[2:1] <= 2'b00; end else if(VAR10 && ~(VAR9 && VAR18)) begin VAR3 <= VAR14[7:5]; VAR22 <= VAR14[4:2]; VAR13[2:1] <= VAR14[1:0]; end else begin VAR3 <= VAR12[7:5]; VAR22 <= VAR12[4:2]; VAR13[2:1] <= VAR12[1:0]; end end endmodule
gpl-3.0
AnAtomInTheUniverse/578_project_col_panic
final_verilog/src/vcr_op_ctrl_mac.v
13,456
module MODULE1 (clk, reset, VAR50, VAR61, VAR57, VAR10, VAR47, VAR14, VAR70, VAR79, VAR65, VAR103, VAR17, VAR46, VAR94, VAR80, VAR100, VAR19, VAR1); parameter VAR43 = 32; parameter VAR99 = 2; parameter VAR98 = 2; localparam VAR76 = VAR99 * VAR98; parameter VAR73 = 1; localparam VAR35 = VAR76 * VAR73; localparam VAR55 = VAR58(VAR35); parameter VAR90 = 5; parameter VAR51 = VAR88; parameter VAR54 = VAR34; parameter VAR64 = 1; localparam VAR11 = (VAR54 == VAR34) ? (1 + VAR55) : -1; parameter VAR9 = 1; localparam VAR41 = VAR9 ? 1 : 0; localparam VAR95 = (VAR51 == VAR33) ? (1 + VAR55 + 1 + 1) : (VAR51 == VAR69) ? (1 + VAR55 + 1) : (VAR51 == VAR88) ? (1 + VAR55 + 1) : -1; parameter VAR40 = 64; localparam VAR53 = VAR41 + VAR95 + VAR40; parameter VAR23 = VAR75; parameter VAR68 = VAR110; parameter VAR13 = 0; parameter VAR82 = VAR31; localparam VAR29 = VAR64 && (VAR82 == VAR112); parameter VAR66 = 1; parameter VAR12 = VAR97; input clk; input reset; input [0:VAR11-1] VAR50; input VAR61; input [0:VAR35-1] VAR57; input [0:VAR35*VAR90-1] VAR10; input [0:VAR35*VAR35-1] VAR47; input VAR14; input VAR70; input [0:VAR90-1] VAR79; input [0:VAR35-1] VAR65; input VAR103; input VAR17; input [0:VAR40-1] VAR46; output [0:VAR53-1] VAR94; wire [0:VAR53-1] VAR94; output [0:VAR35-1] VAR80; wire [0:VAR35-1] VAR80; output [0:VAR35-1] VAR100; wire [0:VAR35-1] VAR100; output [0:VAR35-1] VAR19; wire [0:VAR35-1] VAR19; output VAR1; wire VAR1; wire VAR102; wire VAR6; assign VAR6 = VAR102; wire VAR30; wire [0:VAR35-1] VAR15; VAR84 .VAR54(VAR54), .VAR12(VAR12)) VAR107 (.clk(clk), .reset(reset), .VAR44(VAR6), .VAR50(VAR50), .VAR52(VAR30), .VAR108(VAR15)); wire VAR115; wire VAR27, VAR59; assign VAR27 = VAR70; VAR36 .VAR12(VAR12)) VAR59 (.clk(clk), .reset(reset), .VAR44(VAR115), .VAR101(VAR27), .VAR87(VAR59)); assign VAR115 = VAR14 | VAR59; wire VAR77, VAR20; assign VAR77 = VAR70 ? VAR103 : VAR20; VAR36 .VAR12(VAR12)) VAR20 (.clk(clk), .reset(1'b0), .VAR44(VAR14), .VAR101(VAR77), .VAR87(VAR20)); wire VAR96, VAR32; assign VAR96 = VAR70 ? VAR17 : VAR32; VAR36 .VAR12(VAR12)) VAR32 (.clk(clk), .reset(1'b0), .VAR44(VAR14), .VAR101(VAR96), .VAR87(VAR32)); wire [0:VAR35-1] VAR18; wire VAR114; generate if(VAR66) assign VAR114 = VAR59 & (|VAR18); else assign VAR114 = VAR59; endgenerate wire VAR39; assign VAR39 = VAR59 | VAR30; wire [0:VAR35-1] VAR22; wire [0:VAR35-1] VAR37; wire [0:VAR35*2-1] VAR26; VAR81 .VAR43(VAR43), .VAR54(VAR54), .VAR64(VAR64), .VAR7(VAR68), .VAR29(VAR29), .VAR13(VAR13), .VAR12(VAR12)) VAR78 (.clk(clk), .reset(reset), .VAR44(VAR39), .VAR16(VAR114), .VAR103(VAR20), .VAR17(VAR32), .VAR18(VAR18), .VAR30(VAR30), .VAR15(VAR15), .VAR102(VAR102), .VAR22(VAR22), .VAR80(VAR80), .VAR100(VAR100), .VAR37(VAR37), .VAR109(VAR26)); genvar VAR74; generate for(VAR74 = 0; VAR74 < VAR35; VAR74 = VAR74 + 1) begin:VAR117 wire VAR45; assign VAR45 = VAR57[VAR74]; wire [0:VAR90-1] VAR3; assign VAR3 = VAR10[VAR74*VAR90:(VAR74+1)*VAR90-1]; wire [0:VAR35-1] VAR2; assign VAR2 = VAR47[VAR74*VAR35:(VAR74+1)*VAR35-1]; wire VAR116; assign VAR116 = VAR15[VAR74]; wire VAR5; assign VAR5 = VAR22[VAR74]; wire VAR83; assign VAR83 = VAR100[VAR74]; wire VAR91; assign VAR91 = VAR37[VAR74]; wire VAR118; wire VAR113; VAR60 .VAR90(VAR90), .VAR66(VAR66), .VAR82(VAR82), .VAR12(VAR12)) VAR85 (.clk(clk), .reset(reset), .VAR61(VAR61), .VAR45(VAR45), .VAR3(VAR3), .VAR2(VAR2), .VAR14(VAR14), .VAR70(VAR70), .VAR79(VAR79), .VAR65(VAR65), .VAR16(VAR59), .VAR17(VAR32), .VAR118(VAR118), .VAR113(VAR113), .VAR83(VAR83), .VAR91(VAR91), .VAR5(VAR5)); assign VAR18[VAR74] = VAR118; assign VAR19[VAR74] = (VAR59 && VAR20 && VAR46[VAR40-1] && VAR74 == 0) ? 1 : (VAR59 && VAR20 && VAR46[VAR40-1]) ? 0 : (VAR74 == 0) ? 0 : VAR113; end endgenerate wire VAR106; generate if(VAR66) assign VAR106 = 1'b0; else assign VAR106 = VAR59 & ~|VAR18; endgenerate wire VAR93; VAR111 VAR4 (.VAR24(VAR18), .VAR49(VAR93)); wire VAR8; assign VAR8 = VAR59 & VAR93; wire VAR21; assign VAR21 = VAR59; VAR71 .VAR51(VAR51), .VAR9(VAR9), .VAR40(VAR40), .VAR12(VAR12)) VAR62 (.clk(clk), .reset(reset), .VAR44(VAR21), .VAR105(VAR114), .VAR67(VAR20), .VAR38(VAR32), .VAR28(VAR46), .VAR25(VAR18), .VAR94(VAR94)); always @(posedge clk) begin if(VAR106) if(VAR8) end generate if(VAR23 != VAR86) begin wire [0:2+VAR35*2-1] VAR72, VAR89; assign VAR72 = {VAR106, VAR8, VAR26}; VAR48 .VAR92(VAR23), .VAR12(VAR12)) VAR56 (.clk(clk), .reset(reset), .VAR44(1'b1), .VAR63(VAR72), .VAR42(VAR89)); assign VAR1 = |VAR89; end else assign VAR1 = 1'VAR104; endgenerate endmodule
gpl-2.0
takeshineshiro/fpga_linear_128
ABS.v
3,027
module MODULE1 ( VAR5, VAR9); input [29:0] VAR5; output [29:0] VAR9; wire [29:0] VAR3; wire [29:0] VAR9 = VAR3[29:0]; VAR7 VAR1 ( .VAR5 (VAR5), .VAR9 (VAR3), .VAR2 ()); VAR1.VAR4 = "VAR8", VAR1.VAR6 = 30; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a222o/sky130_fd_sc_ms__a222o.functional.pp.v
2,387
module MODULE1 ( VAR2 , VAR16 , VAR20 , VAR10 , VAR9 , VAR19 , VAR7 , VAR8, VAR11, VAR3 , VAR22 ); output VAR2 ; input VAR16 ; input VAR20 ; input VAR10 ; input VAR9 ; input VAR19 ; input VAR7 ; input VAR8; input VAR11; input VAR3 ; input VAR22 ; wire VAR5 ; wire VAR17 ; wire VAR13 ; wire VAR4 ; wire VAR1; and VAR15 (VAR5 , VAR10, VAR9 ); and VAR6 (VAR17 , VAR16, VAR20 ); and VAR23 (VAR13 , VAR19, VAR7 ); or VAR14 (VAR4 , VAR17, VAR5, VAR13); VAR18 VAR21 (VAR1, VAR4, VAR8, VAR11 ); buf VAR12 (VAR2 , VAR1 ); endmodule
apache-2.0
Siliciumer/DOS-Mario-FPGA
sources/game_engine.v
62,463
module MODULE1 ( input wire clk, input wire VAR113, input wire rst, input wire VAR4, input wire VAR5, input wire VAR8, input wire VAR91, input wire VAR122, input wire VAR68, input wire VAR98, input wire VAR92, input wire VAR13, input wire VAR89, input wire VAR66, input wire VAR95, input wire [5:0] VAR71, input wire VAR44, output reg [7:0] VAR23, output reg [3:0] VAR46, output reg [9:0] VAR30, output reg [7:0] VAR22, output reg [5:0] VAR69, output reg [9:0] VAR56, output reg [8:0] VAR2, output reg VAR128, output reg VAR29, output reg [1:0] VAR121, output reg VAR39, output reg [5:0] VAR51, output reg VAR76, output reg VAR125, output reg [3:0] VAR64, output reg [11:0] VAR81, output reg [3:0] VAR54 ); always @(posedge clk) begin VAR54 <=1 ; end reg VAR60; reg VAR87; reg VAR26; reg VAR118; reg VAR112; reg VAR36; reg VAR77; reg VAR7; reg VAR31; reg VAR88; reg [3:0] VAR82; reg [1:0] VAR117; reg [1:0] VAR96; reg [1:0] VAR83; reg [1:0] VAR11; reg [7:0] VAR48; reg [7:0] VAR73; reg [9:0] VAR58; reg [8:0] VAR16; reg VAR104; reg [1:0] VAR43; localparam VAR108 = 2'b00; localparam VAR126 = 2'b01; localparam VAR114 = 2'b10; localparam VAR49 = 2'b01; localparam VAR38 = 2'b10; localparam VAR110 = 1'b0; localparam VAR75 = 1'b1; localparam VAR40 = 2'b00; localparam VAR107 = 2'b01; localparam VAR12 = 2'b10; localparam VAR102 = 40; localparam VAR28 = 480; localparam VAR32 = 120; localparam VAR111 = 480; always @* begin if(VAR89 || VAR66) begin if(VAR8 || VAR91) VAR43 = VAR12; end else VAR43 = VAR107; end else VAR43 = VAR40; end always @(posedge clk or posedge rst) begin if(rst) begin VAR64 <= 3; VAR39 <= 0; VAR125 <= 0; end else begin VAR64 <= VAR82; VAR39 <= VAR60; VAR125 <= VAR87; end end reg [11:0] VAR109; reg [11:0] VAR59; reg [11:0] VAR119; always @(posedge clk or posedge rst) begin if(rst) begin VAR81 <= 0; VAR59 <= 0; end else begin VAR81 <= VAR109; VAR59 <= VAR119; end end always @* begin if((VAR35) && (VAR59 == VAR81)) begin VAR109 = VAR81 + 1; VAR119 = VAR59; end else begin VAR109 = VAR81; if(VAR35) VAR119 = VAR59; end else VAR119 = VAR81; end end always @* begin if(VAR39) begin VAR87 = 1; VAR82 = 0; VAR60 = 1; end else if(VAR125) begin if((VAR26) && (VAR118) && (VAR36) && (VAR112) && (VAR44)) begin VAR87 = 0; VAR82 = VAR64; VAR60 = VAR39; end else begin VAR87 = 1; VAR82 = VAR64; VAR60 = VAR39; end end else if(VAR2 == 0) begin if(VAR64 == 1) begin VAR60 = 1; VAR82 = 0; VAR87 = 1; end else begin VAR82 = VAR64 - 1; VAR60 = 0; VAR87 = 1; end end else begin VAR60 = 0; VAR82 = VAR64; VAR87 = 0; end end always @* begin if(VAR125) begin VAR58 = 120; VAR83= 0; VAR104 = 0; VAR77 = 1; end else begin VAR77 = 0; case(VAR117) VAR108: begin if(VAR89) begin if(VAR55[1]) VAR83 = VAR108; end else VAR83 = VAR114; end else if(VAR66) begin if(VAR55[0]) VAR83 = VAR108; end else VAR83 = VAR126; end else begin VAR83 = VAR108; end VAR58 = VAR56; VAR104 = VAR128; end VAR126: begin if(VAR89) begin if(VAR55[1]) VAR83 = VAR108; end else VAR83 = VAR114; end else if(VAR66) begin if(VAR55[0]) VAR83 = VAR108; end else VAR83 = VAR126; end else begin VAR83 = VAR108; end if(((VAR56) < VAR28)&&(VAR55[0]==0)) begin VAR58 = VAR56 + 1; VAR104 = VAR110; end else begin VAR58 = VAR56; VAR104 = VAR110; end end VAR114: begin if(VAR89) begin if(VAR55[1]) VAR83 = VAR108; end else VAR83 = VAR114; end else if(VAR66) begin if(VAR55[0]) VAR83 = VAR108; end else VAR83 = VAR126; end else begin VAR83 = VAR108; end if(((VAR56) > VAR32)&&(VAR55[1] == 0)) begin VAR58 = VAR56 - 1; VAR104 = VAR75; end else begin VAR58 = VAR56; VAR104 = VAR75; end end default: begin VAR83 = VAR108; VAR58 = VAR56; VAR104 = VAR128; end endcase end end always @* begin if(VAR125) begin VAR16 = 100; VAR11= 0; VAR73 = 0; VAR7 = 1; end else begin VAR7 = 0; case(VAR96) VAR108: begin if(VAR4 || VAR5) begin if(VAR55[2]) VAR11 = VAR108; end else VAR11 = VAR49; end else if(VAR55[3] == 0) VAR11 = VAR38; end else VAR11 = VAR108; VAR16 = VAR2; VAR73 = 0; end VAR49: begin if((VAR48 < 200) && (VAR55[2] == 0)) begin VAR11 = VAR49; VAR73 = VAR48 + 1; if(VAR2 == VAR111) VAR16 = VAR2; end else VAR16 = VAR2 + 1; end else begin VAR11 = VAR38; VAR73 = VAR48; VAR16 = VAR2; end end VAR38: begin if((VAR55[3] == 0)) begin VAR11 = VAR38; VAR73 = VAR48 - 1; VAR16 = VAR2 - 1; end else begin VAR11 = VAR108; VAR73 = VAR48; VAR16 = VAR2; end end default: begin VAR11 = VAR108; VAR73 = VAR48; VAR16 = VAR2; end endcase end end always @(posedge VAR113 or posedge rst) begin if(rst) begin VAR2 <= 100; VAR96<= 0; VAR48 <= 0; VAR118 <= 0; end else begin VAR2 <= VAR16; VAR96<= VAR11; VAR48 <= VAR73; VAR118 <= VAR7; end end reg [1:0] VAR3; always @(posedge VAR113 or posedge rst) begin if(rst) begin VAR26 <= 0; VAR56 <= 120; VAR117<= 0; VAR128 <= 0; VAR3 <= 2'b00; end else begin if((VAR3 == 2'b01)&&(VAR121 == VAR12)) begin VAR56 <= VAR58; VAR117<= VAR83; VAR128 <= VAR104; VAR3 <= 2'b10; VAR26 <= 0; end else if(VAR3 == 2'b11) begin VAR56 <= VAR58; VAR117<= VAR83; VAR128 <= VAR104; VAR3 <= 2'b00; VAR26 <= VAR77; end else VAR3 <= VAR3 + 1; end end always @(posedge VAR113 or posedge rst) begin if(rst) VAR121 <= VAR108; end else if(VAR3 == 2'b11) VAR121 <= VAR43; end reg [9:0] VAR9; localparam VAR105 = 640; always @* begin if(VAR125) begin VAR9 = 0; VAR31 = 1; end else begin VAR31 = 0; case(VAR117) VAR108: VAR9 = VAR30; VAR126: if((VAR56 == VAR28)&&((VAR69 != VAR24)||(VAR22 != VAR37))) VAR9 = (VAR30 + 2*VAR121) % (VAR105 - 1); end else VAR9 = VAR30; VAR114: if((VAR56 == VAR32)&&((VAR69 != 0)||(VAR22 != 0))) if(VAR30 < 2*VAR121) VAR9 = ((VAR105-1) + VAR30 - 2*VAR121); end else VAR9 = VAR30 - 2*VAR121; else VAR9 = VAR30; default: VAR9 = VAR30; endcase end end reg [7:0] VAR6; reg [5:0] VAR79; localparam VAR24 = 39; localparam VAR37 = 163; always @* begin if(VAR125) begin VAR79 = 0; VAR6 = 0; VAR88 = 1; end else begin VAR88 = 0; case(VAR117) VAR108: begin VAR79 = VAR69; VAR6 = VAR22; end VAR126: if(VAR56 == VAR28) begin if(VAR55[0] == 0) begin if(VAR69 == VAR24) begin if(VAR22 == VAR37) begin VAR79 = VAR24; VAR6 = VAR37; end else begin VAR79 = 0; VAR6 = VAR22 + 1; end end else begin VAR79 = VAR69 + 1; VAR6 = VAR22; end end else begin VAR79 = VAR69; VAR6 = VAR22; end end else begin VAR79 = VAR69; VAR6 = VAR22; end VAR114: begin if(VAR56 == VAR32) begin if(VAR55[1] == 0) begin if(VAR69 == 0) begin if(VAR22 == 0) begin VAR79 = 0; VAR6 = 0; end else begin VAR79 = VAR24; VAR6 = VAR22 - 1; end end else begin VAR79 = VAR69 - 1; VAR6 = VAR22; end end else begin VAR79 = VAR69; VAR6 = VAR22; end end else begin VAR79 = VAR69; VAR6 = VAR22; end end default: begin VAR79 = VAR69; VAR6 = VAR22; end endcase end end always @(posedge VAR113 or posedge rst) begin if(rst) begin VAR69 <= 0; VAR22 <= 0; VAR36 <= 0; end else begin if((VAR3 == 2'b01)&&(VAR121 == VAR12)) begin VAR69 <= VAR79; VAR22 <= VAR6; VAR36 <= 0; end else if(VAR3 == 2'b11) begin VAR69 <= VAR79; VAR22 <= VAR6; VAR36 <= VAR88; end end end always @(posedge VAR113 or posedge rst) begin if(rst) begin VAR30 <= 0; VAR112 <= 0; end else begin if(VAR3 == 2'b11) begin VAR30 <= VAR9; VAR112 <= VAR31; end end end reg [7:0] VAR25; reg [3:0] VAR129; reg [3:0] VAR55; reg [3:0] VAR97; reg [47:0] VAR127; reg [47:0] VAR50; reg [3:0] VAR62; reg [3:0] VAR27; reg [1:0] VAR33; reg [1:0] VAR85; reg [5:0] VAR41; reg VAR72; reg [1:0] VAR101; reg [1:0] VAR123; reg VAR57; reg VAR67; localparam VAR99 = 2'b01; localparam VAR47 = 2'b00; localparam VAR70 = 2'b11; localparam VAR100 = 4'b0000; localparam VAR61 = 4'b0001; localparam VAR90 = 4'b0010; localparam VAR86 = 4'b0011; localparam VAR52 = 4'b0100; localparam VAR124 = 4'b0101; localparam VAR15 = 4'b0110; localparam VAR19 = 4'b0111; localparam VAR94 = 4'b1000; localparam VAR115 = 4'b1001; reg [7:0] VAR42; reg [5:0] VAR78; reg [9:0] VAR65; reg [8:0] VAR120; reg [7:0] VAR14; reg [5:0] VAR21; reg [9:0] VAR93; reg [8:0] VAR63; reg VAR74; reg VAR84; always @* begin VAR14 = VAR22; VAR21 = VAR69; VAR93 = VAR56; VAR63 = VAR2; if((VAR42 != VAR22) || (VAR78 != VAR69) || (VAR65 != VAR56) || (VAR120 != VAR2)) VAR84 = 1; end else VAR84 = 0; end always @(posedge clk or posedge rst) begin if(rst) begin VAR42 <= 0; VAR78 <= 0; VAR65 <= 0; VAR120 <= 0; VAR74 <= 0; end else begin VAR74 <= VAR84; VAR42 <= VAR14; VAR78 <= VAR21; VAR65 <= VAR93; VAR120 <= VAR63; end end always @(posedge clk or posedge rst) begin if(rst) begin VAR33 <= 0; VAR62 <= VAR100; VAR46 <= 0; VAR23 <= 0; VAR55 <= 4'b1000; VAR127 <= 0; VAR51 <= 0; VAR101 <= 2'b00; VAR20 <=0 ; VAR57 <= 0; end else begin VAR127 <= VAR50; VAR33 <= VAR85; VAR62 <= VAR27; VAR23 <= VAR25; VAR46 <= VAR129; VAR55 <= VAR97; VAR51 <= VAR41; VAR101 <= VAR123; VAR20 <= VAR18; VAR57 <= VAR67; end end always @* begin if(VAR57) begin case(VAR33) VAR47: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR123 = 2'b00; VAR41 = 0; VAR76 = 0; VAR18 = 0; VAR50 = VAR127; case(VAR62) VAR100: begin VAR27 = VAR61; VAR25 = VAR22 + (VAR56 + VAR69)/40; VAR129 = VAR2/40; VAR97 = VAR55; VAR85 = VAR47; end VAR61: begin VAR27 = VAR90; VAR25 = VAR23; VAR129 = VAR46 - 1; VAR97 = VAR55; VAR85 = VAR47; end VAR90: begin VAR85 = VAR47; if((VAR56 + VAR69)%40 == 0) begin VAR27 = VAR52; VAR25 = VAR23; VAR129 = VAR46 + 2; end else begin VAR25 = VAR23 + 1; VAR129 = VAR46; VAR27 = VAR86; end if(VAR2 % 40 == 0) VAR97[3] = VAR95; end else VAR97[3] = 0; VAR97[2] = VAR55[2]; VAR97[1] = VAR55[1]; VAR97[0] = VAR55[0]; end VAR86: begin VAR85 = VAR47; VAR27 = VAR52; VAR25 = VAR23 - 1; VAR129 = VAR46 + 2; if(VAR2 % 40 == 0) VAR97[3] = (VAR95 || VAR55[3]); end else VAR97[3] = 0; VAR97[2] = VAR55[2]; VAR97[1] = VAR55[1]; VAR97[0] = VAR55[0]; end VAR52: begin VAR85 = VAR47; if((VAR56 + VAR69)%40 == 0) begin VAR27 = VAR15; VAR25 = VAR23 - 1; VAR129 = VAR46 - 1; end else begin VAR25 = VAR23 + 1; VAR27 = VAR124; VAR129 = VAR46; end VAR97[3] = VAR55[3]; if(VAR2 % 40 == 0) VAR97[2] = VAR95; end else VAR97[2] = 0; VAR97[1] = VAR55[1]; VAR97[0] = VAR55[0]; end VAR124: begin VAR85 = VAR47; VAR27 = VAR15; VAR25 = VAR23 - 2; VAR129 = VAR46 - 1; VAR97[3] = VAR55[3]; if(VAR2 % 40 == 0) VAR97[2] = (VAR95 || VAR55[2]); end else VAR97[2] = 0; VAR97[1] = VAR55[1]; VAR97[0] = VAR55[0]; end VAR15: begin VAR85 = VAR47; if(VAR2 % 40 == 0) begin VAR27 = VAR94; VAR25 = VAR23 + 2; VAR129 = VAR46; end else begin VAR27 = VAR19; VAR25 = VAR23; VAR129 = VAR46 + 1; end VAR97[3] = VAR55[3]; VAR97[2] = VAR55[2]; if((VAR56 + VAR69) % 40 == 0) VAR97[1] = VAR95; end else VAR97[1] = 0; VAR97[0] = VAR55[0]; end VAR19: begin VAR85 = VAR47; VAR27 = VAR94; VAR25 = VAR23 + 2; VAR129 = VAR46 - 1; VAR97[3] = VAR55[3]; VAR97[2] = VAR55[2]; if((VAR56 + VAR69) % 40 == 0) VAR97[1] = (VAR95 || VAR55[1]); end else VAR97[1] = 0; VAR97[0] = VAR55[0]; end VAR94: begin if(VAR2 % 40 == 0) begin VAR27 = VAR100; VAR85 = VAR99; VAR25 = 0; VAR129 = 0; end else begin VAR85 = VAR47; VAR27 = VAR115; VAR25 = VAR23; VAR129 = VAR46 + 1; end VAR97[3] = VAR55[3]; VAR97[2] = VAR55[2]; VAR97[1] = VAR55[1]; if((VAR56 + VAR69) % 40 == 0) VAR97[0] = VAR95; end else VAR97[0] = 0; end VAR115: begin VAR85 = VAR99; VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97[3] = VAR55[3]; VAR97[2] = VAR55[2]; VAR97[1] = VAR55[1]; if((VAR56 + VAR69) % 40 == 0) VAR97[0] = (VAR95 || VAR55[0]); end else VAR97[0] = 0; end default: begin VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97 = VAR55; VAR85 = VAR47; end endcase end VAR99: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR123 = 2'b00; VAR76 = 0; VAR18 = 0; VAR41 = 0; case(VAR62) VAR100: begin VAR27 = VAR61; VAR25 = VAR22 + (VAR56 + VAR69)/40; VAR129 = VAR2/40; VAR97 = VAR55; VAR85 = VAR99; VAR50 = 0; end VAR61: begin VAR27 = VAR90; VAR25 = VAR23; VAR129 = VAR46 - 1; VAR97 = VAR55; VAR85 = VAR99; VAR50 = 0; end VAR90: begin VAR85 = VAR99; VAR97 = VAR55; if((VAR56 + VAR69)%40 == 0) begin VAR27 = VAR52; VAR25 = VAR23; VAR129 = VAR46 + 2; end else begin VAR25 = VAR23 + 1; VAR27 = VAR86; VAR129 = VAR46; end if(VAR2 % 40 == 0) VAR50[47:42] = VAR71; end else VAR50[47:42] = 0; VAR50[41:0] = 0; end VAR86: begin VAR85 = VAR99; VAR97 = VAR55; VAR27 = VAR52; VAR25 = VAR23 - 1; VAR129 = VAR46 + 2; if(VAR2 % 40 == 0) VAR50[41:36] = VAR71; end else VAR50[41:36] = 0; VAR50[47:42] = VAR127[47:42]; VAR50[35:0] = 0; end VAR52: begin VAR85 = VAR99; VAR97 = VAR55; if((VAR56 + VAR69)%40 == 0) begin VAR27 = VAR15; VAR25 = VAR23 - 1; VAR129 = VAR46 - 1; end else begin VAR25 = VAR23 + 1; VAR27 = VAR124; VAR129 = VAR46; end if(VAR2 % 40 == 0) VAR50[35:30] = VAR71; end else VAR50[35:30] = 0; VAR50[47:36] = VAR127[47:36]; VAR50[29:0] = 0; end VAR124: begin VAR85 = VAR99; VAR97 = VAR55; VAR27 = VAR15; VAR25 = VAR23 - 2; VAR129 = VAR46 - 1; if(VAR2 % 40 == 0) VAR50[29:24] = VAR71; end else VAR50[29:24] = 0; VAR50[47:30] = VAR127[47:30]; VAR50[23:0] = 0; end VAR15: begin VAR85 = VAR99; VAR97 = VAR55; if(VAR2 % 40 == 0) begin VAR27 = VAR94; VAR25 = VAR23 + 2; VAR129 = VAR46; end else begin VAR27 = VAR19; VAR25 = VAR23; VAR129 = VAR46 + 1; end if((VAR56 + VAR69) % 40 == 0) VAR50[23:18] = VAR71; end else VAR50[23:18] = 0; VAR50[47:24] = VAR127[47:24]; VAR50[17:0] = 0; end VAR19: begin VAR85 = VAR99; VAR97 = VAR55; VAR27 = VAR94; VAR25 = VAR23 + 2; VAR129 = VAR46 - 1; if((VAR56 + VAR69) % 40 == 0) VAR50[17:12] = VAR71; end else VAR50[17:12] = 0; VAR50[47:18] = VAR127[47:18]; VAR50[11:0] = 0; end VAR94: begin VAR97 = VAR55; if(VAR2 % 40 == 0) begin VAR27 = VAR100; VAR85 = VAR70; VAR25 = 0; VAR129 = 0; end else begin VAR85 = VAR99; VAR27 = VAR115; VAR25 = VAR23; VAR129 = VAR46 + 1; end if((VAR56 + VAR69) % 40 == 0) VAR50[11:6] = VAR71; end else VAR50[11:6] = 0; VAR50[47:12] = VAR127[47:12]; VAR50[5:0] = 0; end VAR115: begin VAR97 = VAR55; VAR27 = VAR100; VAR85 = VAR70; VAR25 = 0; VAR129 = 0; if((VAR56 + VAR69) % 40 == 0) VAR50[5:0] = VAR71; end else VAR50[5:0] = VAR71; VAR50[47:6] = VAR127[47:6]; end default: begin VAR85 = VAR47; VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97 = VAR55; VAR50 = VAR127; end endcase end VAR70: begin case(VAR62) VAR100: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR27 = VAR61; VAR25 = VAR22 + (VAR56 + VAR69)/40; VAR129 = VAR2/40; VAR97 = VAR55; VAR85 = VAR70; VAR50 = VAR127; VAR123 = 2'b00; VAR18 = 0; VAR76 = 0; VAR41 = 0; end VAR61: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR27 = VAR90; VAR25 = VAR23; VAR129 = VAR46 - 1; VAR97 = VAR55; VAR85 = VAR70; VAR50 = VAR127; VAR41 = VAR116; VAR18 = VAR127[47:42]; VAR123 = 2'b00; VAR76 = 0; end VAR90: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[47:42]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[47:42]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23 + 1; VAR27 = VAR86; VAR129 = VAR46; VAR18 = VAR127[41:36]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR86: begin VAR53 = 1; VAR67 = VAR57; VAR45 = 0; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[41:36]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[41:36]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23 - 1; VAR27 = VAR52; VAR129 = VAR46 + 2; VAR18 = VAR127[35:30]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR52: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 1; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[35:30]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[35:30]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23 + 1; VAR27 = VAR124; VAR129 = VAR46; VAR18 = VAR127[29:24]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR124: begin VAR53 = 1; VAR67 = VAR57; VAR45 = 1; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[29:24]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[29:24]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23 - 2; VAR27 = VAR15; VAR129 = VAR46 - 1; VAR18 = VAR127[23:18]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR15: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[23:18]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[23:18]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23; VAR27 = VAR19; VAR129 = VAR46 + 1; VAR18 = VAR127[17:12]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR19: begin VAR53 = 0; VAR67 = VAR57; VAR45 = 0; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[17:12]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[17:12]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23 + 2; VAR27 = VAR94; VAR129 = VAR46 - 1; VAR18 = VAR127[11:6]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR94: begin VAR53 = 1; VAR67 = VAR57; VAR45 = 0; VAR85 = VAR70; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[11:6]; end 2'b01: begin VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[11:6]; end 2'b11: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23; VAR27 = VAR115; VAR129 = VAR46 + 1; VAR18 = VAR127[5:0]; end default: begin VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end VAR115: begin VAR53 = 1; VAR67 = 0; VAR45 = 0; VAR97 = VAR55; VAR50 = VAR127; VAR41 = VAR116; case(VAR101) 2'b00: begin VAR85 = VAR70; VAR123 = 2'b01; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[5:0]; end 2'b01: begin VAR85 = VAR70; VAR123 = 2'b11; VAR76 = VAR1; VAR25 = VAR23; VAR27 = VAR62; VAR129 = VAR46; VAR18 = VAR127[5:0]; end 2'b11: begin VAR85 = VAR47; VAR123 = 2'b00; VAR76 = 0; VAR25 = VAR23; VAR27 = VAR100; VAR129 = VAR46; VAR18 = VAR20; end default: begin VAR85 = VAR47; VAR123 = 2'b00; VAR76 = 0; VAR25 = 0; VAR27 = VAR100; VAR129 = 0; VAR18 = 0; end endcase end default: begin VAR53 = 0; VAR67 = 0; VAR45 = 0; VAR41 = 0; VAR85 = VAR47; VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97 = VAR55; VAR50 = VAR127; VAR123 = 2'b00; VAR18 = 0; VAR76 = 0; end endcase end default: begin VAR53 = 0; VAR67 = 0; VAR45 = 0; VAR41 = 0; VAR76 = 0; VAR50 = VAR127; VAR85 = VAR47; VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97 = VAR55; VAR123 = 2'b00; VAR18 = 0; end endcase end else begin if(VAR74) VAR67 = 1; end else VAR67 = 0; VAR53 = 0; VAR45 = 0; VAR41 = 0; VAR76 = 0; VAR50 = VAR127; VAR85 = VAR47; VAR27 = VAR100; VAR25 = 0; VAR129 = 0; VAR97 = VAR55; VAR123 = 2'b00; VAR18 = 0; end end wire [5:0] VAR116; reg [5:0] VAR20, VAR18; reg VAR45, VAR53; VAR116 VAR10( .VAR71(VAR20), .VAR45(VAR45), .VAR17(VAR53), .VAR80(VAR116), .VAR34(VAR56 + VAR69), .VAR106(VAR2), .VAR103(VAR1), .VAR35(VAR35) ); endmodule
mit
asicguy/gplgpu
hdl/altera_project/sfifo_31x128/sfifo_31x128_bb.v
5,846
module MODULE1 ( VAR9, VAR5, VAR10, VAR7, VAR8, VAR4, VAR3, VAR6, VAR1, VAR2); input [30:0] VAR9; input VAR5; input VAR10; input VAR7; input VAR8; output [30:0] VAR4; output VAR3; output VAR6; output [6:0] VAR1; output VAR2; endmodule
gpl-3.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/SSDS_profiler.v
7,081
module MODULE1( clk, VAR16, VAR39, VAR52, VAR24, VAR57, VAR35, VAR38, VAR20, VAR43, VAR36, VAR33, VAR7, VAR10, VAR31, reset ); input clk; input VAR16; input VAR39; input VAR52; input VAR24; input VAR57; input VAR35; input VAR38; input VAR20; input VAR43; input VAR36; input [31:0] VAR10; input [31:0] VAR31; input [31:0] VAR33; input reset; output [31:0] VAR7; wire clk; wire VAR16; wire VAR39; wire VAR52; wire VAR24; wire VAR57; wire VAR35; wire VAR38; wire VAR20; wire VAR43; wire VAR36; wire [31:0] VAR10; wire [31:0] VAR31; wire [31:0] VAR33; wire reset; reg [31:0] VAR7; reg VAR42; reg [31:0] VAR25; wire [31:0] VAR32; wire [31:0] VAR54; wire [31:0] VAR9; wire [31:0] VAR47; wire [31:0] VAR22; wire [31:0] VAR48; wire [31:0] VAR18; reg [31:0] VAR51; reg [31:0] VAR40; reg [31:0] VAR8; reg [31:0] VAR50; reg [31:0] VAR56; reg [31:0] VAR44; reg [31:0] VAR55; reg enable; reg [3:0] VAR5; reg [2:0] VAR29; reg [2:0] VAR28; VAR6 VAR26( .clk(VAR39), .VAR49(VAR24), .VAR17(VAR35), .VAR27(VAR20), .VAR15(VAR47), .VAR53(VAR22), .VAR1(VAR48), .reset(VAR36), .VAR42(VAR42) ); VAR6 VAR23( .clk(VAR16), .VAR49(VAR52), .VAR17(VAR57), .VAR27(VAR38), .VAR15(VAR32), .VAR53(VAR54), .VAR1(VAR9), .reset(VAR43), .VAR42(VAR42) ); VAR4 VAR3( .VAR14(clk), .reset(reset), .enable(enable), .VAR46(32'hF000000A), .VAR13(32'h00000000), .in(VAR10 ^ VAR31), .out(VAR18) ); localparam VAR12 = 4'd3; localparam VAR37 = 3'd0, VAR2 = 3'd1, VAR41 = 3'd2, VAR11 = 3'd3, VAR30 = 3'd4, VAR34 = 3'd5, VAR45 = 3'd6, VAR19 = 3'd7; always@(posedge clk , posedge reset) begin if(reset) begin VAR25 <= VAR33; VAR5 <= 0; VAR29 <= VAR37; end else begin VAR29 <= VAR28; if(VAR25 == 0) begin VAR25 <= VAR33; VAR51 <= VAR32; VAR40 <= VAR54; VAR8 <= VAR9; VAR50 <= VAR47; VAR56 <= VAR22; VAR44 <= VAR48; VAR55 <= VAR18; end else begin if(VAR29 == VAR37) VAR25 <= VAR25 - 1; end if(VAR5 != 0 && VAR29 != VAR37) VAR5 <= VAR5 - 1; end else VAR5 <= VAR12; end end always@(posedge clk, posedge reset) begin if(reset) VAR7 <= 32'VAR21; end else begin case(VAR29) VAR37: VAR7 <= 32'VAR21; VAR2: VAR7 <= VAR55; VAR41: VAR7 <= VAR51; VAR11: VAR7 <= VAR40; VAR30: VAR7 <= VAR8; VAR34: VAR7 <= VAR50; VAR45: VAR7 <= VAR56; VAR19: VAR7 <= VAR44; endcase if(VAR25 == 1) VAR42 <= 1'b1; end else VAR42 <= 1'b0; end enable <= 1'b1;end always@(*) begin if(VAR25 == 0) begin VAR28 <= VAR2; end else begin case(VAR29) VAR37: begin VAR28 <= VAR37; end VAR2: begin if(VAR5 == 0) begin VAR28 <= VAR41; end else begin VAR28 <= VAR29; end end VAR2: begin if(VAR5 == 0) begin VAR28 <= VAR41; end else begin VAR28 <= VAR29; end end VAR41: begin if(VAR5 == 0) begin VAR28 <= VAR11; end else begin VAR28 <= VAR29; end end VAR11: begin if(VAR5 == 0) begin VAR28 <= VAR30; end else begin VAR28 <= VAR29; end end VAR30: begin if(VAR5 == 0) begin VAR28 <= VAR34; end else begin VAR28 <= VAR29; end end VAR34: begin if(VAR5 == 0) begin VAR28 <= VAR45; end else begin VAR28 <= VAR29; end end VAR45: begin if(VAR5 == 0) begin VAR28 <= VAR19; end else begin VAR28 <= VAR29; end end VAR19: begin if(VAR5 == 0) begin VAR28 <= VAR37; end else begin VAR28 <= VAR29; end end endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/iso1n/sky130_fd_sc_lp__iso1n.symbol.v
1,305
module MODULE1 ( input VAR7 , output VAR2 , input VAR6 ); supply1 VAR3 ; supply0 VAR4; supply1 VAR5 ; supply0 VAR1 ; endmodule
apache-2.0
asicguy/gplgpu
hdl/de/der_reg_2.v
7,112
module MODULE1 ( input VAR29, input VAR7, input VAR10, input VAR33, input [12:0] VAR22, input [31:0] VAR17, input [31:0] VAR3, input [11:0] VAR18, input [11:0] VAR44, input [3:0] VAR13, input [3:0] VAR11, input [4:0] VAR2, input VAR21, input [1:0] VAR6, input [2:0] VAR12, input [31:0] VAR43, input [31:0] VAR19, input [3:0] VAR41, input [23:0] VAR20, input [15:0] VAR25, input [17:0] VAR28, input [1:0] VAR4, output [12:0] VAR1, output reg [31:0] VAR14, output reg [31:0] VAR27, output reg [11:0] VAR38, output reg [11:0] VAR36, output reg [3:0] VAR31, output reg [4:0] VAR45, output reg VAR30, output reg [1:0] VAR34, output reg [2:0] VAR39, output reg [31:0] VAR42, output reg [31:0] VAR40, output reg [3:0] VAR23, output reg [23:0] VAR5, output reg [15:0] VAR26, output reg [17:0] VAR8, output reg [1:0] VAR16, output reg [3:0] VAR9 ); reg [12:0] VAR35; assign VAR1 = { VAR35[12:4], (VAR35[3] | (VAR35[2] & VAR35[0])), VAR35[2:0] }; wire [1:0] VAR32; reg [31:0] VAR24; reg [31:0] VAR15; assign VAR32 = VAR22[8:7]; always @* casex (VAR32) 2'b00: begin VAR24 = {4{VAR43[7:0]}}; VAR15 = {4{VAR19[7:0]}}; end 2'VAR37: begin VAR24 = {2{VAR43[15:0]}}; VAR15 = {2{VAR19[15:0]}}; end default: begin VAR24 = VAR43; VAR15 = VAR19; end endcase always @(posedge VAR29, negedge VAR7) begin if(!VAR7) VAR9 <= 4'b0; end else if(VAR33) VAR9 <= 4'b0; else if(!VAR10) VAR9 <= VAR13; end always @(posedge VAR29 or negedge VAR7) begin if(!VAR7) begin VAR35 <= 13'b0; VAR14 <= 32'b0; VAR27 <= 32'b0; VAR31 <= 4'b0; VAR45 <= 5'b0; VAR30 <= 1'b0; VAR34 <= 2'b0; VAR39 <= 3'b0; VAR16 <= 2'b0; VAR38 <= 12'b0; VAR36 <= 12'b0; VAR42 <= 32'b0; VAR40 <= 32'b0; VAR23 <= 4'b0; VAR5 <= 24'b0; VAR26 <= 16'b0; VAR8 <= 18'b0; end else if(!VAR10) begin VAR35 <= VAR22; VAR14 <= VAR17; VAR27 <= VAR3; VAR31 <= VAR11; VAR45 <= VAR2; VAR30 <= VAR21; VAR34 <= VAR6; VAR39 <= VAR12; VAR16 <= VAR4; VAR38 <= VAR18; VAR36 <= VAR44; VAR42 <= VAR24; VAR40 <= VAR15; VAR23 <= VAR41; VAR5 <= VAR20; VAR26 <= VAR25; VAR8 <= VAR28; end end endmodule
gpl-3.0
mrehkopf/sd2snes
verilog/sd2snes_gsu/cheat.v
12,795
module MODULE1( input clk, input [7:0] VAR45, input [23:0] VAR29, input [7:0] VAR34, input VAR17, input VAR14, input VAR18, input VAR37, input VAR58, input VAR8, input VAR25, input VAR9, input VAR70, input VAR7, input VAR33, input VAR69, input [2:0] VAR51, input VAR6, input [31:0] VAR66, input VAR30, output [7:0] VAR49, output VAR19, output VAR48 ); reg [23:0] VAR52; always @(posedge clk) VAR52 <= VAR29; wire VAR47 = VAR37 & VAR17; reg VAR21 = 0; reg VAR12 = 0; reg VAR64 = 0; reg VAR59 = 0; reg VAR63 = 0; reg VAR61 = 0; wire VAR57 = VAR21 & VAR61; reg VAR60 = 1; reg VAR41 = 0; reg VAR24 = 0; reg VAR4 = 0; reg VAR42 = 0; reg [1:0] VAR2 = 2'b10; reg [4:0] VAR15 = 5'h00; reg [4:0] VAR13 = 5'h00; reg [20:0] VAR27 = 21'h1fffff; reg [29:0] VAR16 = 0; reg VAR50 = 0; reg [1:0] VAR56 = 0; wire VAR10 = |VAR56; reg [1:0] VAR35 = 2'b10; wire VAR43 = |VAR35; reg [23:0] VAR54[5:0]; reg [7:0] VAR28[5:0]; reg [5:0] VAR3; reg VAR44 = 0; assign VAR48 = VAR44; reg [7:0] VAR65 = 0; reg [7:0] VAR22 = 8'hea; reg [7:0] VAR26 = 8'h00; reg [7:0] VAR53 = 8'h00; reg [7:0] VAR32 = 8'h04; reg [15:0] VAR36 = 0; wire [5:0] VAR5 ={(VAR3[5] & (VAR29 == VAR54[5])), (VAR3[4] & (VAR29 == VAR54[4])), (VAR3[3] & (VAR29 == VAR54[3])), (VAR3[2] & (VAR29 == VAR54[2])), (VAR3[1] & (VAR29 == VAR54[1])), (VAR3[0] & (VAR29 == VAR54[0]))}; wire VAR11 = |VAR5; wire [1:0] VAR23 = {VAR29 == 24'h00FFEA, VAR29 == 24'h00FFEB}; wire [1:0] VAR38 = {VAR29 == 24'h00FFEE, VAR29 == 24'h00FFEF}; wire [1:0] VAR46 = {VAR29 == 24'h00FFFC, VAR29 == 24'h00FFFD}; wire VAR68 = |VAR23; wire VAR55 = |VAR38; wire VAR62 = |VAR46; wire VAR67 = ~|VAR16; assign VAR49 = VAR5[0] ? VAR28[0] : VAR5[1] ? VAR28[1] : VAR5[2] ? VAR28[2] : VAR5[3] ? VAR28[3] : VAR5[4] ? VAR28[4] : VAR5[5] ? VAR28[5] : VAR23[1] ? 8'h10 : VAR38[1] ? 8'h10 : VAR46[1] ? 8'h7D : VAR58 ? VAR65 : VAR8 ? VAR22 : VAR25 ? VAR26 : VAR9 ? VAR53 : VAR70 ? VAR32 : 8'h2a; assign VAR19 = (VAR48 & VAR42 & (VAR58 | VAR8 | VAR25 | VAR9 | VAR70)) | (VAR43 & VAR62) | (VAR21 & VAR11) | (VAR42 & (((VAR24 & VAR12) & VAR68 & VAR10) |((VAR4 & VAR64) & VAR55 & VAR10))); reg [7:0] VAR31 = 0; reg [2:0] VAR1 = 0; always @(posedge clk) begin if(VAR18) begin VAR1 <= 0; end else if(VAR17) begin VAR1 <= VAR1 + 1; if(VAR1 == 3'b0) begin VAR31 <= VAR45 - 1; end else begin if(VAR45 == VAR31) begin VAR31 <= VAR31 - 1; end else begin VAR1 <= 3'b0; end end end else if(VAR14) begin VAR1 <= 3'b0; end end always @(posedge clk) begin if(VAR18) begin VAR56 <= 2'b00; end else if(VAR14) begin if(VAR42 & ((VAR24 & VAR12 & VAR23[1]) |(VAR4 & VAR64 & VAR38[1])) & VAR1 == 4) begin VAR56 <= 2'b10; end else if(|VAR56 & (|VAR23 | |VAR38)) begin VAR56 <= VAR56 - 1; end end end always @(posedge clk) begin if(VAR18) begin VAR35 <= 2'b11; end else if(VAR69) begin if(VAR62 & |VAR35) begin VAR35 <= VAR35 - 1; end end end reg VAR40 = 1'b0; reg [6:0] VAR20 = 0; reg VAR39 = 0; always @(posedge clk) begin if(VAR18) begin VAR44 <= 0; VAR39 <= 0; end else begin if(VAR14) begin if(VAR42 & ((VAR24 & VAR12 & VAR23[1]) |(VAR4 & VAR64 & VAR38[1])) & VAR1 == 4) begin VAR22 <= VAR29[7:0]; VAR44 <= 1; VAR39 <= 0; VAR20 <= 0; end else if(VAR46[1] & |VAR35) begin VAR44 <= 1; VAR39 <= 0; VAR20 <= 0; end end else if(VAR69) begin if(VAR39) begin if(|VAR20) begin VAR20 <= VAR20 - 1; end else if(VAR20 == 0) begin VAR44 <= 0; VAR39 <= 0; end end end else if(VAR40) begin VAR20 <= 7'd72; VAR39 <= 1; end end end always @(posedge clk) VAR27 <= VAR27 - 1; always @(posedge clk) begin if(VAR27 == 21'b0) begin VAR15 <= VAR69 & VAR23[1]; VAR13 <= VAR69 & VAR38[1]; if(|VAR15 & |VAR13) begin VAR60 <= 1'b1; VAR41 <= 1'b0; end else if(VAR13 == 5'b0) begin VAR60 <= 1'b1; VAR41 <= 1'b0; end else if(VAR15 == 5'b0) begin VAR60 <= 1'b0; VAR41 <= 1'b1; end end else begin if(VAR69 & VAR23[0]) VAR15 <= VAR15 + 1; if(VAR69 & VAR38[0]) VAR13 <= VAR13 + 1; end end always @(posedge clk) begin if(VAR69) begin if(VAR68 | VAR55) VAR2 <= 2'b10; end else begin if (|VAR2) VAR2 <= VAR2 - 1; if (VAR2 == 2'b00) begin VAR24 <= VAR60; VAR4 <= VAR41; VAR42 <= VAR67; end end end end always @(posedge clk) begin if((VAR48 & VAR47 & ~|VAR29[8:0] & (VAR34 == 8'h85)) | (VAR59 & VAR18)) begin VAR16 <= 30'd960000000; end else if (|VAR16) begin VAR16 <= VAR16 - 1; end end always @(posedge clk) begin if(VAR18) begin VAR40 <= 1'b0; end else begin VAR40 <= 1'b0; if(VAR48 & VAR47) begin if(~|VAR29[8:0]) begin case(VAR34) 8'h82: VAR21 <= 1; 8'h83: VAR21 <= 0; 8'h84: {VAR12, VAR64} <= 2'b00; endcase end else if(VAR29[8:0] == 9'h1fd) begin VAR40 <= 1'b1; end end else if(VAR6) begin if(VAR51 < 6) begin VAR54[VAR51] <= VAR66[31:8]; VAR28[VAR51] <= VAR66[7:0]; end else if(VAR51 == 6) begin VAR3 <= VAR66[5:0]; end else if(VAR51 == 7) begin {VAR61, VAR63, VAR59, VAR64, VAR12, VAR21} <= ({VAR61, VAR63, VAR59, VAR64, VAR12, VAR21} & ~VAR66[13:8]) | VAR66[5:0]; end end end end always @(posedge clk) begin if(VAR47) begin if(VAR29[8:0] == 9'h1f0) begin VAR36[7:0] <= VAR34; end else if(VAR29[8:0] == 9'h1f1) begin VAR36[15:8] <= VAR34; end end end always @* begin case(VAR36) 16'h3030: VAR65 = 8'h80; 16'h2070: VAR65 = 8'h81; 16'h10b0: VAR65 = 8'h82; 16'h9030: VAR65 = 8'h83; 16'h5030: VAR65 = 8'h84; 16'h1070: VAR65 = 8'h85; default: VAR65 = 8'h00; endcase end always @* begin if(VAR63) begin if(VAR33) begin if(VAR65) begin VAR26 = 8'h30; end else begin if(VAR57) begin VAR26 = 8'h3a; end else begin VAR26 = 8'h43; end end end else begin if(VAR7) begin if(VAR57) begin VAR26 = 8'h3a; end else begin VAR26 = 8'h43; end end else begin VAR26 = 8'h00; end end end else begin if(VAR57) begin VAR26 = 8'h3a; end else begin VAR26 = 8'h43; end end end always @* begin if(VAR65 == 8'h81) begin VAR53 = 8'h14; end else if(VAR57) begin VAR53 = 8'h00; end else begin VAR53 = 8'h09; end end endmodule
gpl-2.0
qeedquan/fpga
de2-115/lcdprint/lcd.v
1,297
module MODULE1 ( input wire clk, output reg [4:0] VAR6, output reg [7:0] VAR15 ); localparam VAR12 = 0; localparam VAR2 = 1; localparam VAR11 = 2; localparam VAR1 = 3; localparam VAR13 = 4; localparam VAR14 = 0; localparam VAR9 = 5; localparam VAR4 = VAR9 + 16; localparam VAR3 = VAR4 + 1; localparam VAR5 = VAR3 + 16; reg [8:0] VAR10[VAR5]; reg [63:0] VAR7; integer pos, state, VAR8;
mit
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/hdl/verilog/ANN_fadd_32ns_32ns_32_5_full_dsp.v
1,912
module MODULE1 VAR9 = 0, VAR7 = 5, VAR18 = 32, VAR26 = 32, VAR4 = 32 )( input wire clk, input wire reset, input wire VAR12, input wire [VAR18-1:0] VAR16, input wire [VAR26-1:0] VAR6, output wire [VAR4-1:0] dout ); wire VAR27; wire VAR24; wire VAR2; wire [31:0] VAR25; wire VAR17; wire [31:0] VAR10; wire VAR8; wire [31:0] VAR3; reg [VAR18-1:0] VAR20; reg [VAR26-1:0] VAR15; VAR22 VAR5 ( .VAR27 ( VAR27 ), .VAR24 ( VAR24 ), .VAR14 ( VAR2 ), .VAR1 ( VAR25 ), .VAR23 ( VAR17 ), .VAR11 ( VAR10 ), .VAR21 ( VAR8 ), .VAR13 ( VAR3 ) ); assign VAR27 = clk; assign VAR24 = VAR12; assign VAR2 = 1'b1; assign VAR25 = VAR20==='VAR19 ? 'b0 : VAR20; assign VAR17 = 1'b1; assign VAR10 = VAR15==='VAR19 ? 'b0 : VAR15; assign dout = VAR3; always @(posedge clk) begin if (VAR12) begin VAR20 <= VAR16; VAR15 <= VAR6; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fa/sky130_fd_sc_ls__fa.behavioral.pp.v
3,007
module MODULE1 ( VAR17, VAR27 , VAR24 , VAR2 , VAR26 , VAR6, VAR29, VAR14 , VAR10 ); output VAR17; output VAR27 ; input VAR24 ; input VAR2 ; input VAR26 ; input VAR6; input VAR29; input VAR14 ; input VAR10 ; wire VAR9 ; wire VAR16 ; wire VAR25 ; wire VAR3 ; wire VAR30 ; wire VAR4 ; wire VAR32 ; wire VAR7; wire VAR1 ; wire VAR22 ; or VAR31 (VAR9 , VAR26, VAR2 ); and VAR23 (VAR16 , VAR9, VAR24 ); and VAR18 (VAR25 , VAR2, VAR26 ); or VAR28 (VAR32 , VAR25, VAR16 ); VAR21 VAR11 (VAR7, VAR32, VAR6, VAR29); buf VAR5 (VAR17 , VAR7 ); and VAR15 (VAR3 , VAR26, VAR24, VAR2 ); nor VAR12 (VAR30 , VAR24, VAR9 ); nor VAR8 (VAR4 , VAR30, VAR17 ); or VAR20 (VAR1 , VAR4, VAR3 ); VAR21 VAR13 (VAR22 , VAR1, VAR6, VAR29 ); buf VAR19 (VAR27 , VAR22 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and3/sky130_fd_sc_ls__and3.pp.blackbox.v
1,287
module MODULE1 ( VAR5 , VAR3 , VAR6 , VAR1 , VAR4, VAR8, VAR2 , VAR7 ); output VAR5 ; input VAR3 ; input VAR6 ; input VAR1 ; input VAR4; input VAR8; input VAR2 ; input VAR7 ; endmodule
apache-2.0
takeshineshiro/fpga_linear_128
mult30_9_bb.v
4,078
module MODULE1 ( VAR4, VAR1, VAR2, VAR3); input VAR4; input [29:0] VAR1; input [8:0] VAR2; output [38:0] VAR3; endmodule
mit
jairov4/accel-oil
solution_virtex5_plb/syn/verilog/nfa_accept_sample_multi_next_buckets.v
1,444
module MODULE2 (VAR8, VAR4, VAR1, VAR11, VAR7, clk); parameter VAR5 = 64; parameter VAR13 = 4; parameter VAR9 = 16; input[VAR13-1:0] VAR8; input VAR4; input[VAR5-1:0] VAR1; input VAR11; output reg[VAR5-1:0] VAR7; input clk; reg [VAR5-1:0] VAR12[VAR9-1:0]; always @(posedge clk) begin if (VAR4) begin if (VAR11) begin VAR12[VAR8] <= VAR1; VAR7 <= VAR1; end else VAR7 <= VAR12[VAR8]; end end endmodule module MODULE1( reset, clk, VAR14, VAR4, VAR11, VAR1, VAR7); parameter VAR2 = 32'd64; parameter VAR3 = 32'd16; parameter VAR6 = 32'd4; input reset; input clk; input[VAR6 - 1:0] VAR14; input VAR4; input VAR11; input[VAR2 - 1:0] VAR1; output[VAR2 - 1:0] VAR7; MODULE2 VAR10( .clk( clk ), .VAR8( VAR14 ), .VAR4( VAR4 ), .VAR1( VAR1 ), .VAR11( VAR11 ), .VAR7( VAR7 )); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32a/sky130_fd_sc_lp__o32a.functional.v
1,539
module MODULE1 ( VAR8 , VAR12, VAR6, VAR4, VAR7, VAR5 ); output VAR8 ; input VAR12; input VAR6; input VAR4; input VAR7; input VAR5; wire VAR9 ; wire VAR13 ; wire VAR1; or VAR2 (VAR9 , VAR6, VAR12, VAR4 ); or VAR10 (VAR13 , VAR5, VAR7 ); and VAR11 (VAR1, VAR9, VAR13); buf VAR3 (VAR8 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand2b/sky130_fd_sc_ls__nand2b.pp.symbol.v
1,296
module MODULE1 ( input VAR6 , input VAR1 , output VAR4 , input VAR2 , input VAR3, input VAR7, input VAR5 ); endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
Gaussian_Filter/ip/Gaussian_Filter/logicblock_add.v
2,294
module MODULE1(VAR3, VAR23, VAR6, VAR20, VAR1, VAR14, VAR11, VAR8, VAR5, VAR12, VAR27); parameter VAR2 = 32; parameter VAR7 = 64; input VAR3, VAR23; input [VAR2-1:0] VAR6; input [VAR2-1:0] VAR14; input VAR20, VAR11; output VAR1, VAR8; output [VAR2-1:0] VAR5; output VAR12; input VAR27; wire [VAR2-1:0] VAR10; wire [VAR2-1:0] VAR21; wire VAR9; wire VAR18; wire VAR24; VAR28 VAR17 ( .VAR3(VAR3), .VAR23(VAR23), .VAR16(VAR6), .VAR19(VAR10), .VAR26(VAR20), .VAR4( VAR9 ), .VAR15(VAR24), .VAR13(VAR1) ); VAR28 VAR22 ( .VAR3(VAR3), .VAR23(VAR23), .VAR16(VAR14), .VAR19(VAR21), .VAR26(VAR11), .VAR4( VAR18 ), .VAR15(VAR24), .VAR13(VAR8) ); assign VAR24 = ~(VAR9 & VAR18 & ~VAR27); assign VAR5 = VAR10 + VAR21; assign VAR12 = VAR9 & VAR18; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/tapvpwrvgnd/sky130_fd_sc_hd__tapvpwrvgnd.behavioral.v
1,163
module MODULE1 (); supply1 VAR4; supply0 VAR2; supply1 VAR3 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a2111o/sky130_fd_sc_lp__a2111o_m.v
2,445
module MODULE1 ( VAR3 , VAR1 , VAR2 , VAR11 , VAR9 , VAR7 , VAR8, VAR5, VAR10 , VAR12 ); output VAR3 ; input VAR1 ; input VAR2 ; input VAR11 ; input VAR9 ; input VAR7 ; input VAR8; input VAR5; input VAR10 ; input VAR12 ; VAR4 VAR6 ( .VAR3(VAR3), .VAR1(VAR1), .VAR2(VAR2), .VAR11(VAR11), .VAR9(VAR9), .VAR7(VAR7), .VAR8(VAR8), .VAR5(VAR5), .VAR10(VAR10), .VAR12(VAR12) ); endmodule module MODULE1 ( VAR3 , VAR1, VAR2, VAR11, VAR9, VAR7 ); output VAR3 ; input VAR1; input VAR2; input VAR11; input VAR9; input VAR7; supply1 VAR8; supply0 VAR5; supply1 VAR10 ; supply0 VAR12 ; VAR4 VAR6 ( .VAR3(VAR3), .VAR1(VAR1), .VAR2(VAR2), .VAR11(VAR11), .VAR9(VAR9), .VAR7(VAR7) ); endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dac_4d_2c_v1_00_a/hdl/verilog/cf_ddsv.v
5,507
module MODULE1 ( VAR26, VAR3, VAR25, VAR13, VAR18, VAR2, VAR20, VAR11, VAR23, VAR4, VAR9, VAR17, VAR10, VAR28, VAR21, VAR5, VAR8, VAR15, VAR27, VAR31, VAR29, VAR32, VAR12, VAR16); input VAR26; output VAR3; input VAR25; input [63:0] VAR13; output VAR18; output VAR2; output VAR20; input VAR11; input VAR23; output [15:0] VAR4; output [15:0] VAR9; output [15:0] VAR17; output [15:0] VAR10; output [15:0] VAR28; output [15:0] VAR21; input VAR5; input VAR8; input [15:0] VAR15; input [15:0] VAR27; input [15:0] VAR31; output [198:0] VAR29; output [ 7:0] VAR32; output [195:0] VAR12; output [ 7:0] VAR16; wire VAR19; wire [95:0] VAR30; VAR22 VAR1 ( .VAR11 (VAR11), .VAR14 (VAR19), .VAR7 (VAR30), .VAR4 (VAR4), .VAR9 (VAR9), .VAR17 (VAR17), .VAR10 (VAR10), .VAR28 (VAR28), .VAR21 (VAR21), .VAR5 (VAR5), .VAR8 (VAR8), .VAR15 (VAR15), .VAR27 (VAR27), .VAR12 (VAR12), .VAR16 (VAR16)); VAR24 VAR6 ( .VAR26 (VAR26), .VAR3 (VAR3), .VAR25 (VAR25), .VAR13 (VAR13), .VAR18 (VAR18), .VAR2 (VAR2), .VAR20 (VAR20), .VAR11 (VAR11), .VAR23 (VAR23), .VAR14 (VAR19), .VAR7 (VAR30), .VAR31 (VAR31), .VAR29 (VAR29), .VAR32 (VAR32), .VAR12 (), .VAR16 ()); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and2/sky130_fd_sc_hdll__and2.symbol.v
1,268
module MODULE1 ( input VAR5, input VAR6, output VAR1 ); supply1 VAR2; supply0 VAR3; supply1 VAR7 ; supply0 VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor2/sky130_fd_sc_lp__nor2_lp2.v
2,113
module MODULE2 ( VAR8 , VAR5 , VAR1 , VAR6, VAR2, VAR4 , VAR7 ); output VAR8 ; input VAR5 ; input VAR1 ; input VAR6; input VAR2; input VAR4 ; input VAR7 ; VAR3 VAR9 ( .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1), .VAR6(VAR6), .VAR2(VAR2), .VAR4(VAR4), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR8, VAR5, VAR1 ); output VAR8; input VAR5; input VAR1; supply1 VAR6; supply0 VAR2; supply1 VAR4 ; supply0 VAR7 ; VAR3 VAR9 ( .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1) ); endmodule
apache-2.0
eda-globetrotter/PicenoDecoders
final/src/alu_shift.v
95,821
module MODULE1 (VAR1,VAR8,VAR2,VAR4,VAR3); output [0:127] VAR3; input [0:127] VAR1; input [0:127] VAR8; input [0:1] VAR2; input [0:4] VAR4; parameter VAR6 = 128'hffffffffffffffffffffffffffffffff; reg [0:127] VAR3; integer VAR7; integer VAR9; integer VAR5; always @(VAR1 or VAR8 or VAR2 or VAR4) begin case(VAR4) begin case(VAR2) VAR3[0:7]<=VAR1[0:7]<<VAR8[5:7]; VAR3[8:15]<=VAR1[8:15]<<VAR8[13:15]; VAR3[16:23]<=VAR1[16:23]<<VAR8[21:23]; VAR3[24:31]<=VAR1[24:31]<<VAR8[29:31]; VAR3[32:39]<=VAR1[32:39]<<VAR8[37:39]; VAR3[40:47]<=VAR1[40:47]<<VAR8[45:47]; VAR3[48:55]<=VAR1[48:55]<<VAR8[53:55]; VAR3[56:63]<=VAR1[56:63]<<VAR8[61:63]; VAR3[64:71]<=VAR1[64:71]<<VAR8[69:71]; VAR3[72:79]<=VAR1[72:79]<<VAR8[77:79]; VAR3[80:87]<=VAR1[80:87]<<VAR8[85:87]; VAR3[88:95]<=VAR1[88:95]<<VAR8[93:95]; VAR3[96:103]<=VAR1[96:103]<<VAR8[101:103]; VAR3[104:111]<=VAR1[104:111]<<VAR8[109:111]; VAR3[112:119]<=VAR1[112:119]<<VAR8[117:119]; VAR3[120:127]<=VAR1[120:127]<<VAR8[125:127]; end VAR3[0:15]<=VAR1[0:15]<<VAR8[12:15]; VAR3[16:31]<=VAR1[16:31]<<VAR8[28:31]; VAR3[32:47]<=VAR1[32:47]<<VAR8[44:47]; VAR3[48:63]<=VAR1[48:63]<<VAR8[60:63]; VAR3[64:79]<=VAR1[64:79]<<VAR8[76:79]; VAR3[80:95]<=VAR1[80:95]<<VAR8[92:95]; VAR3[96:111]<=VAR1[96:111]<<VAR8[108:111]; VAR3[112:127]<=VAR1[112:127]<<VAR8[124:127]; end VAR3[0:31]<=VAR1[0:31]<<VAR8[27:31]; VAR3[32:63]<=VAR1[32:63]<<VAR8[59:63]; VAR3[64:95]<=VAR1[64:95]<<VAR8[91:95]; VAR3[96:127]<=VAR1[96:127]<<VAR8[123:127]; end default: begin VAR3<=128'd0; end endcase end begin case(VAR2) VAR3[0:7]<=VAR1[0:7]>>VAR8[5:7]; VAR3[8:15]<=VAR1[8:15]>>VAR8[13:15]; VAR3[16:23]<=VAR1[16:23]>>VAR8[21:23]; VAR3[24:31]<=VAR1[24:31]>>VAR8[29:31]; VAR3[32:39]<=VAR1[32:39]>>VAR8[37:39]; VAR3[40:47]<=VAR1[40:47]>>VAR8[45:47]; VAR3[48:55]<=VAR1[48:55]>>VAR8[53:55]; VAR3[56:63]<=VAR1[56:63]>>VAR8[61:63]; VAR3[64:71]<=VAR1[64:71]>>VAR8[69:71]; VAR3[72:79]<=VAR1[72:79]>>VAR8[77:79]; VAR3[80:87]<=VAR1[80:87]>>VAR8[85:87]; VAR3[88:95]<=VAR1[88:95]>>VAR8[93:95]; VAR3[96:103]<=VAR1[96:103]>>VAR8[101:103]; VAR3[104:111]<=VAR1[104:111]>>VAR8[109:111]; VAR3[112:119]<=VAR1[112:119]>>VAR8[117:119]; VAR3[120:127]<=VAR1[120:127]>>VAR8[125:127]; end VAR3[0:15]<=VAR1[0:15]>>VAR8[12:15]; VAR3[16:31]<=VAR1[16:31]>>VAR8[28:31]; VAR3[32:47]<=VAR1[32:47]>>VAR8[44:47]; VAR3[48:63]<=VAR1[48:63]>>VAR8[60:63]; VAR3[64:79]<=VAR1[64:79]>>VAR8[76:79]; VAR3[80:95]<=VAR1[80:95]>>VAR8[92:95]; VAR3[96:111]<=VAR1[96:111]>>VAR8[108:111]; VAR3[112:127]<=VAR1[112:127]>>VAR8[124:127]; end VAR3[0:31]<=VAR1[0:31]>>VAR8[27:31]; VAR3[32:63]<=VAR1[32:63]>>VAR8[59:63]; VAR3[64:95]<=VAR1[64:95]>>VAR8[91:95]; VAR3[96:127]<=VAR1[96:127]>>VAR8[123:127]; end default: begin VAR3<=128'd0; end endcase end begin case(VAR2) begin case(VAR8[2:4]) 3'd0: begin VAR3[0:127]<=VAR1[0:127]; end 3'd1: begin VAR3[0:7]<={VAR1[1:7],{1'b0}}; VAR3[8:15]<={VAR1[9:15],{1'b0}}; VAR3[16:23]<={VAR1[17:23],{1'b0}}; VAR3[24:31]<={VAR1[25:31],{1'b0}}; VAR3[32:39]<={VAR1[33:39],{1'b0}}; VAR3[40:47]<={VAR1[41:47],{1'b0}}; VAR3[48:55]<={VAR1[49:55],{1'b0}}; VAR3[56:63]<={VAR1[57:63],{1'b0}}; VAR3[64:71]<={VAR1[65:71],{1'b0}}; VAR3[72:79]<={VAR1[73:79],{1'b0}}; VAR3[80:87]<={VAR1[81:87],{1'b0}}; VAR3[88:95]<={VAR1[89:95],{1'b0}}; VAR3[96:103]<={VAR1[97:103],{1'b0}}; VAR3[104:111]<={VAR1[105:111],{1'b0}}; VAR3[112:119]<={VAR1[113:119],{1'b0}}; VAR3[120:127]<={VAR1[121:127],{1'b0}}; end 3'd2: begin VAR3[0:7]<={VAR1[2:7],{2{1'b0}}}; VAR3[8:15]<={VAR1[10:15],{2{1'b0}}}; VAR3[16:23]<={VAR1[18:23],{2{1'b0}}}; VAR3[24:31]<={VAR1[26:31],{2{1'b0}}}; VAR3[32:39]<={VAR1[34:39],{2{1'b0}}}; VAR3[40:47]<={VAR1[42:47],{2{1'b0}}}; VAR3[48:55]<={VAR1[50:55],{2{1'b0}}}; VAR3[56:63]<={VAR1[58:63],{2{1'b0}}}; VAR3[64:71]<={VAR1[66:71],{2{1'b0}}}; VAR3[72:79]<={VAR1[74:79],{2{1'b0}}}; VAR3[80:87]<={VAR1[82:87],{2{1'b0}}}; VAR3[88:95]<={VAR1[90:95],{2{1'b0}}}; VAR3[96:103]<={VAR1[98:103],{2{1'b0}}}; VAR3[104:111]<={VAR1[106:111],{2{1'b0}}}; VAR3[112:119]<={VAR1[114:119],{2{1'b0}}}; VAR3[120:127]<={VAR1[122:127],{2{1'b0}}}; end 3'd3: begin VAR3[0:7]<={VAR1[3:7],{3{1'b0}}}; VAR3[8:15]<={VAR1[11:15],{3{1'b0}}}; VAR3[16:23]<={VAR1[19:23],{3{1'b0}}}; VAR3[24:31]<={VAR1[27:31],{3{1'b0}}}; VAR3[32:39]<={VAR1[35:39],{3{1'b0}}}; VAR3[40:47]<={VAR1[43:47],{3{1'b0}}}; VAR3[48:55]<={VAR1[51:55],{3{1'b0}}}; VAR3[56:63]<={VAR1[59:63],{3{1'b0}}}; VAR3[64:71]<={VAR1[67:71],{3{1'b0}}}; VAR3[72:79]<={VAR1[75:79],{3{1'b0}}}; VAR3[80:87]<={VAR1[83:87],{3{1'b0}}}; VAR3[88:95]<={VAR1[91:95],{3{1'b0}}}; VAR3[96:103]<={VAR1[99:103],{3{1'b0}}}; VAR3[104:111]<={VAR1[107:111],{3{1'b0}}}; VAR3[112:119]<={VAR1[115:119],{3{1'b0}}}; VAR3[120:127]<={VAR1[123:127],{3{1'b0}}}; end 3'd4: begin VAR3[0:7]<={VAR1[4:7],{4{1'b0}}}; VAR3[8:15]<={VAR1[12:15],{4{1'b0}}}; VAR3[16:23]<={VAR1[20:23],{4{1'b0}}}; VAR3[24:31]<={VAR1[28:31],{4{1'b0}}}; VAR3[32:39]<={VAR1[36:39],{4{1'b0}}}; VAR3[40:47]<={VAR1[44:47],{4{1'b0}}}; VAR3[48:55]<={VAR1[52:55],{4{1'b0}}}; VAR3[56:63]<={VAR1[60:63],{4{1'b0}}}; VAR3[64:71]<={VAR1[68:71],{4{1'b0}}}; VAR3[72:79]<={VAR1[76:79],{4{1'b0}}}; VAR3[80:87]<={VAR1[84:87],{4{1'b0}}}; VAR3[88:95]<={VAR1[92:95],{4{1'b0}}}; VAR3[96:103]<={VAR1[100:103],{4{1'b0}}}; VAR3[104:111]<={VAR1[108:111],{4{1'b0}}}; VAR3[112:119]<={VAR1[116:119],{4{1'b0}}}; VAR3[120:127]<={VAR1[124:127],{4{1'b0}}}; end 3'd5: begin VAR3[0:7]<={VAR1[5:7],{5{1'b0}}}; VAR3[8:15]<={VAR1[13:15],{5{1'b0}}}; VAR3[16:23]<={VAR1[21:23],{5{1'b0}}}; VAR3[24:31]<={VAR1[29:31],{5{1'b0}}}; VAR3[32:39]<={VAR1[37:39],{5{1'b0}}}; VAR3[40:47]<={VAR1[45:47],{5{1'b0}}}; VAR3[48:55]<={VAR1[53:55],{5{1'b0}}}; VAR3[56:63]<={VAR1[61:63],{5{1'b0}}}; VAR3[64:71]<={VAR1[69:71],{5{1'b0}}}; VAR3[72:79]<={VAR1[77:79],{5{1'b0}}}; VAR3[80:87]<={VAR1[85:87],{5{1'b0}}}; VAR3[88:95]<={VAR1[93:95],{5{1'b0}}}; VAR3[96:103]<={VAR1[101:103],{5{1'b0}}}; VAR3[104:111]<={VAR1[109:111],{5{1'b0}}}; VAR3[112:119]<={VAR1[117:119],{5{1'b0}}}; VAR3[120:127]<={VAR1[125:127],{5{1'b0}}}; end 3'd6: begin VAR3[0:7]<={VAR1[6:7],{6{1'b0}}}; VAR3[8:15]<={VAR1[14:15],{6{1'b0}}}; VAR3[16:23]<={VAR1[22:23],{6{1'b0}}}; VAR3[24:31]<={VAR1[30:31],{6{1'b0}}}; VAR3[32:39]<={VAR1[38:39],{6{1'b0}}}; VAR3[40:47]<={VAR1[46:47],{6{1'b0}}}; VAR3[48:55]<={VAR1[54:55],{6{1'b0}}}; VAR3[56:63]<={VAR1[62:63],{6{1'b0}}}; VAR3[64:71]<={VAR1[70:71],{6{1'b0}}}; VAR3[72:79]<={VAR1[78:79],{6{1'b0}}}; VAR3[80:87]<={VAR1[86:87],{6{1'b0}}}; VAR3[88:95]<={VAR1[94:95],{6{1'b0}}}; VAR3[96:103]<={VAR1[102:103],{6{1'b0}}}; VAR3[104:111]<={VAR1[110:111],{6{1'b0}}}; VAR3[112:119]<={VAR1[118:119],{6{1'b0}}}; VAR3[120:127]<={VAR1[126:127],{6{1'b0}}}; end 3'd7: begin VAR3[0:7]<={VAR1[7],{7{1'b0}}}; VAR3[8:15]<={VAR1[15],{7{1'b0}}}; VAR3[16:23]<={VAR1[23],{7{1'b0}}}; VAR3[24:31]<={VAR1[31],{7{1'b0}}}; VAR3[32:39]<={VAR1[39],{7{1'b0}}}; VAR3[40:47]<={VAR1[47],{7{1'b0}}}; VAR3[48:55]<={VAR1[55],{7{1'b0}}}; VAR3[56:63]<={VAR1[63],{7{1'b0}}}; VAR3[64:71]<={VAR1[71],{7{1'b0}}}; VAR3[72:79]<={VAR1[79],{7{1'b0}}}; VAR3[80:87]<={VAR1[87],{7{1'b0}}}; VAR3[88:95]<={VAR1[95],{7{1'b0}}}; VAR3[96:103]<={VAR1[103],{7{1'b0}}}; VAR3[104:111]<={VAR1[111],{7{1'b0}}}; VAR3[112:119]<={VAR1[119],{7{1'b0}}}; VAR3[120:127]<={VAR1[127],{7{1'b0}}}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR3[0:127]<=VAR1[0:127]; end 4'd1: begin VAR3[0:15]<={VAR1[1:15],{1'b0}}; VAR3[16:31]<={VAR1[17:31],{1'b0}}; VAR3[32:47]<={VAR1[33:47],{1'b0}}; VAR3[48:63]<={VAR1[49:63],{1'b0}}; VAR3[64:79]<={VAR1[65:79],{1'b0}}; VAR3[80:95]<={VAR1[81:95],{1'b0}}; VAR3[96:111]<={VAR1[97:111],{1'b0}}; VAR3[112:127]<={VAR1[113:127],{1'b0}}; end 4'd2: begin VAR3[0:15]<={VAR1[2:15],{2{1'b0}}}; VAR3[16:31]<={VAR1[18:31],{2{1'b0}}}; VAR3[32:47]<={VAR1[34:47],{2{1'b0}}}; VAR3[48:63]<={VAR1[50:63],{2{1'b0}}}; VAR3[64:79]<={VAR1[66:79],{2{1'b0}}}; VAR3[80:95]<={VAR1[82:95],{2{1'b0}}}; VAR3[96:111]<={VAR1[98:111],{2{1'b0}}}; VAR3[112:127]<={VAR1[114:127],{2{1'b0}}}; end 4'd3: begin VAR3[0:15]<={VAR1[3:15],{3{1'b0}}}; VAR3[16:31]<={VAR1[19:31],{3{1'b0}}}; VAR3[32:47]<={VAR1[35:47],{3{1'b0}}}; VAR3[48:63]<={VAR1[51:63],{3{1'b0}}}; VAR3[64:79]<={VAR1[67:79],{3{1'b0}}}; VAR3[80:95]<={VAR1[83:95],{3{1'b0}}}; VAR3[96:111]<={VAR1[99:111],{3{1'b0}}}; VAR3[112:127]<={VAR1[115:127],{3{1'b0}}}; end 4'd4: begin VAR3[0:15]<={VAR1[4:15],{4{1'b0}}}; VAR3[16:31]<={VAR1[20:31],{4{1'b0}}}; VAR3[32:47]<={VAR1[36:47],{4{1'b0}}}; VAR3[48:63]<={VAR1[52:63],{4{1'b0}}}; VAR3[64:79]<={VAR1[68:79],{4{1'b0}}}; VAR3[80:95]<={VAR1[84:95],{4{1'b0}}}; VAR3[96:111]<={VAR1[100:111],{4{1'b0}}}; VAR3[112:127]<={VAR1[116:127],{4{1'b0}}}; end 4'd5: begin VAR3[0:15]<={VAR1[5:15],{5{1'b0}}}; VAR3[16:31]<={VAR1[21:31],{5{1'b0}}}; VAR3[32:47]<={VAR1[37:47],{5{1'b0}}}; VAR3[48:63]<={VAR1[52:63],{5{1'b0}}}; VAR3[64:79]<={VAR1[69:79],{5{1'b0}}}; VAR3[80:95]<={VAR1[85:95],{5{1'b0}}}; VAR3[96:111]<={VAR1[101:111],{5{1'b0}}}; VAR3[112:127]<={VAR1[117:127],{5{1'b0}}}; end 4'd6: begin VAR3[0:15]<={VAR1[6:15],{6{1'b0}}}; VAR3[16:31]<={VAR1[22:31],{6{1'b0}}}; VAR3[32:47]<={VAR1[38:47],{6{1'b0}}}; VAR3[48:63]<={VAR1[53:63],{6{1'b0}}}; VAR3[64:79]<={VAR1[70:79],{6{1'b0}}}; VAR3[80:95]<={VAR1[86:95],{6{1'b0}}}; VAR3[96:111]<={VAR1[102:111],{6{1'b0}}}; VAR3[112:127]<={VAR1[118:127],{6{1'b0}}}; end 4'd7: begin VAR3[0:15]<={VAR1[7:15],{7{1'b0}}}; VAR3[16:31]<={VAR1[23:31],{7{1'b0}}}; VAR3[32:47]<={VAR1[39:47],{7{1'b0}}}; VAR3[48:63]<={VAR1[54:63],{7{1'b0}}}; VAR3[64:79]<={VAR1[71:79],{7{1'b0}}}; VAR3[80:95]<={VAR1[87:95],{7{1'b0}}}; VAR3[96:111]<={VAR1[103:111],{7{1'b0}}}; VAR3[112:127]<={VAR1[119:127],{7{1'b0}}}; end 4'd8: begin VAR3[0:15]<={VAR1[8:15],{8{1'b0}}}; VAR3[16:31]<={VAR1[24:31],{8{1'b0}}}; VAR3[32:47]<={VAR1[40:47],{8{1'b0}}}; VAR3[48:63]<={VAR1[55:63],{8{1'b0}}}; VAR3[64:79]<={VAR1[72:79],{8{1'b0}}}; VAR3[80:95]<={VAR1[88:95],{8{1'b0}}}; VAR3[96:111]<={VAR1[104:111],{8{1'b0}}}; VAR3[112:127]<={VAR1[120:127],{8{1'b0}}}; end 4'd9: begin VAR3[0:15]<={VAR1[9:15],{9{1'b0}}}; VAR3[16:31]<={VAR1[25:31],{9{1'b0}}}; VAR3[32:47]<={VAR1[41:47],{9{1'b0}}}; VAR3[48:63]<={VAR1[56:63],{9{1'b0}}}; VAR3[64:79]<={VAR1[73:79],{9{1'b0}}}; VAR3[80:95]<={VAR1[89:95],{9{1'b0}}}; VAR3[96:111]<={VAR1[105:111],{9{1'b0}}}; VAR3[112:127]<={VAR1[121:127],{9{1'b0}}}; end 4'd10: begin VAR3[0:15]<={VAR1[10:15],{10{1'b0}}}; VAR3[16:31]<={VAR1[26:31],{10{1'b0}}}; VAR3[32:47]<={VAR1[42:47],{10{1'b0}}}; VAR3[48:63]<={VAR1[58:63],{10{1'b0}}}; VAR3[64:79]<={VAR1[74:79],{10{1'b0}}}; VAR3[80:95]<={VAR1[90:95],{10{1'b0}}}; VAR3[96:111]<={VAR1[106:111],{10{1'b0}}}; VAR3[112:127]<={VAR1[122:127],{10{1'b0}}}; end 4'd11: begin VAR3[0:15]<={VAR1[11:15],{11{1'b0}}}; VAR3[16:31]<={VAR1[27:31],{11{1'b0}}}; VAR3[32:47]<={VAR1[43:47],{11{1'b0}}}; VAR3[48:63]<={VAR1[59:63],{11{1'b0}}}; VAR3[64:79]<={VAR1[75:79],{11{1'b0}}}; VAR3[80:95]<={VAR1[91:95],{11{1'b0}}}; VAR3[96:111]<={VAR1[107:111],{11{1'b0}}}; VAR3[112:127]<={VAR1[123:127],{11{1'b0}}}; end 4'd12: begin VAR3[0:15]<={VAR1[12:15],{12{1'b0}}}; VAR3[16:31]<={VAR1[28:31],{12{1'b0}}}; VAR3[32:47]<={VAR1[44:47],{12{1'b0}}}; VAR3[48:63]<={VAR1[60:63],{12{1'b0}}}; VAR3[64:79]<={VAR1[76:79],{12{1'b0}}}; VAR3[80:95]<={VAR1[92:95],{12{1'b0}}}; VAR3[96:111]<={VAR1[108:111],{12{1'b0}}}; VAR3[112:127]<={VAR1[124:127],{12{1'b0}}}; end 4'd13: begin VAR3[0:15]<={VAR1[13:15],{13{1'b0}}}; VAR3[16:31]<={VAR1[29:31],{13{1'b0}}}; VAR3[32:47]<={VAR1[45:47],{13{1'b0}}}; VAR3[48:63]<={VAR1[61:63],{13{1'b0}}}; VAR3[64:79]<={VAR1[77:79],{13{1'b0}}}; VAR3[80:95]<={VAR1[93:95],{13{1'b0}}}; VAR3[96:111]<={VAR1[109:111],{13{1'b0}}}; VAR3[112:127]<={VAR1[125:127],{13{1'b0}}}; end 4'd14: begin VAR3[0:15]<={VAR1[14:15],{14{1'b0}}}; VAR3[16:31]<={VAR1[30:31],{14{1'b0}}}; VAR3[32:47]<={VAR1[46:47],{14{1'b0}}}; VAR3[48:63]<={VAR1[62:63],{14{1'b0}}}; VAR3[64:79]<={VAR1[78:79],{14{1'b0}}}; VAR3[80:95]<={VAR1[94:95],{14{1'b0}}}; VAR3[96:111]<={VAR1[110:111],{14{1'b0}}}; VAR3[112:127]<={VAR1[126:127],{14{1'b0}}}; end 4'd15: begin VAR3[0:15]<={VAR1[15],{15{1'b0}}}; VAR3[16:31]<={VAR1[31],{15{1'b0}}}; VAR3[32:47]<={VAR1[47],{15{1'b0}}}; VAR3[48:63]<={VAR1[63],{15{1'b0}}}; VAR3[64:79]<={VAR1[79],{15{1'b0}}}; VAR3[80:95]<={VAR1[95],{15{1'b0}}}; VAR3[96:111]<={VAR1[111],{15{1'b0}}}; VAR3[112:127]<={VAR1[127],{15{1'b0}}}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR3[0:127]<=VAR1[0:127]; end 5'd1: begin VAR3[0:31]<={VAR1[1:31],{1'b0}}; VAR3[32:63]<={VAR1[33:63],{1'b0}}; VAR3[64:95]<={VAR1[65:95],{1'b0}}; VAR3[96:127]<={VAR1[97:127],{1'b0}}; end 5'd2: begin VAR3[0:31]<={VAR1[2:31],{2{1'b0}}}; VAR3[32:63]<={VAR1[34:63],{2{1'b0}}}; VAR3[64:95]<={VAR1[66:95],{2{1'b0}}}; VAR3[96:127]<={VAR1[98:127],{2{1'b0}}}; end 5'd3: begin VAR3[0:31]<={VAR1[3:31],{3{1'b0}}}; VAR3[32:63]<={VAR1[35:63],{3{1'b0}}}; VAR3[64:95]<={VAR1[67:95],{3{1'b0}}}; VAR3[96:127]<={VAR1[99:127],{3{1'b0}}}; end 5'd4: begin VAR3[0:31]<={VAR1[4:31],{4{1'b0}}}; VAR3[32:63]<={VAR1[36:63],{4{1'b0}}}; VAR3[64:95]<={VAR1[68:95],{4{1'b0}}}; VAR3[96:127]<={VAR1[100:127],{4{1'b0}}}; end 5'd5: begin VAR3[0:31]<={VAR1[5:31],{5{1'b0}}}; VAR3[32:63]<={VAR1[37:63],{5{1'b0}}}; VAR3[64:95]<={VAR1[69:95],{5{1'b0}}}; VAR3[96:127]<={VAR1[101:127],{5{1'b0}}}; end 5'd6: begin VAR3[0:31]<={VAR1[6:31],{6{1'b0}}}; VAR3[32:63]<={VAR1[38:63],{6{1'b0}}}; VAR3[64:95]<={VAR1[70:95],{6{1'b0}}}; VAR3[96:127]<={VAR1[102:127],{6{1'b0}}}; end 5'd7: begin VAR3[0:31]<={VAR1[7:31],{7{1'b0}}}; VAR3[32:63]<={VAR1[39:63],{7{1'b0}}}; VAR3[64:95]<={VAR1[71:95],{7{1'b0}}}; VAR3[96:127]<={VAR1[103:127],{7{1'b0}}}; end 5'd8: begin VAR3[0:31]<={VAR1[8:31],{8{1'b0}}}; VAR3[32:63]<={VAR1[40:63],{8{1'b0}}}; VAR3[64:95]<={VAR1[72:95],{8{1'b0}}}; VAR3[96:127]<={VAR1[104:127],{8{1'b0}}}; end 5'd9: begin VAR3[0:31]<={VAR1[9:31],{9{1'b0}}}; VAR3[32:63]<={VAR1[41:63],{9{1'b0}}}; VAR3[64:95]<={VAR1[73:95],{9{1'b0}}}; VAR3[96:127]<={VAR1[105:127],{9{1'b0}}}; end 5'd10: begin VAR3[0:31]<={VAR1[10:31],{10{1'b0}}}; VAR3[32:63]<={VAR1[42:63],{10{1'b0}}}; VAR3[64:95]<={VAR1[74:95],{10{1'b0}}}; VAR3[96:127]<={VAR1[106:127],{10{1'b0}}}; end 5'd11: begin VAR3[0:31]<={VAR1[11:31],{11{1'b0}}}; VAR3[32:63]<={VAR1[43:63],{11{1'b0}}}; VAR3[64:95]<={VAR1[75:95],{11{1'b0}}}; VAR3[96:127]<={VAR1[107:127],{11{1'b0}}}; end 5'd12: begin VAR3[0:31]<={VAR1[12:31],{12{1'b0}}}; VAR3[32:63]<={VAR1[44:63],{12{1'b0}}}; VAR3[64:95]<={VAR1[76:95],{12{1'b0}}}; VAR3[96:127]<={VAR1[108:127],{12{1'b0}}}; end 5'd13: begin VAR3[0:31]<={VAR1[13:31],{13{1'b0}}}; VAR3[32:63]<={VAR1[45:63],{13{1'b0}}}; VAR3[64:95]<={VAR1[77:95],{13{1'b0}}}; VAR3[96:127]<={VAR1[109:127],{13{1'b0}}}; end 5'd14: begin VAR3[0:31]<={VAR1[14:31],{14{1'b0}}}; VAR3[32:63]<={VAR1[46:63],{14{1'b0}}}; VAR3[64:95]<={VAR1[78:95],{14{1'b0}}}; VAR3[96:127]<={VAR1[110:127],{14{1'b0}}}; end 5'd15: begin VAR3[0:31]<={VAR1[15:31],{15{1'b0}}}; VAR3[32:63]<={VAR1[47:63],{15{1'b0}}}; VAR3[64:95]<={VAR1[79:95],{15{1'b0}}}; VAR3[96:127]<={VAR1[111:127],{15{1'b0}}}; end 5'd16: begin VAR3[0:31]<={VAR1[16:31],{16{1'b0}}}; VAR3[32:63]<={VAR1[48:63],{16{1'b0}}}; VAR3[64:95]<={VAR1[80:95],{16{1'b0}}}; VAR3[96:127]<={VAR1[112:127],{16{1'b0}}}; end 5'd17: begin VAR3[0:31]<={VAR1[17:31],{17{1'b0}}}; VAR3[32:63]<={VAR1[49:63],{17{1'b0}}}; VAR3[64:95]<={VAR1[81:95],{17{1'b0}}}; VAR3[96:127]<={VAR1[113:127],{17{1'b0}}}; end 5'd18: begin VAR3[0:31]<={VAR1[18:31],{18{1'b0}}}; VAR3[32:63]<={VAR1[50:63],{18{1'b0}}}; VAR3[64:95]<={VAR1[82:95],{18{1'b0}}}; VAR3[96:127]<={VAR1[114:127],{18{1'b0}}}; end 5'd19: begin VAR3[0:31]<={VAR1[19:31],{19{1'b0}}}; VAR3[32:63]<={VAR1[51:63],{19{1'b0}}}; VAR3[64:95]<={VAR1[83:95],{19{1'b0}}}; VAR3[96:127]<={VAR1[115:127],{19{1'b0}}}; end 5'd20: begin VAR3[0:31]<={VAR1[20:31],{20{1'b0}}}; VAR3[32:63]<={VAR1[52:63],{20{1'b0}}}; VAR3[64:95]<={VAR1[84:95],{20{1'b0}}}; VAR3[96:127]<={VAR1[116:127],{20{1'b0}}}; end 5'd21: begin VAR3[0:31]<={VAR1[21:31],{21{1'b0}}}; VAR3[32:63]<={VAR1[53:63],{21{1'b0}}}; VAR3[64:95]<={VAR1[85:95],{21{1'b0}}}; VAR3[96:127]<={VAR1[117:127],{21{1'b0}}}; end 5'd22: begin VAR3[0:31]<={VAR1[22:31],{22{1'b0}}}; VAR3[32:63]<={VAR1[54:63],{22{1'b0}}}; VAR3[64:95]<={VAR1[86:95],{22{1'b0}}}; VAR3[96:127]<={VAR1[118:127],{22{1'b0}}}; end 5'd23: begin VAR3[0:31]<={VAR1[23:31],{23{1'b0}}}; VAR3[32:63]<={VAR1[55:63],{23{1'b0}}}; VAR3[64:95]<={VAR1[87:95],{23{1'b0}}}; VAR3[96:127]<={VAR1[119:127],{23{1'b0}}}; end 5'd24: begin VAR3[0:31]<={VAR1[24:31],{24{1'b0}}}; VAR3[32:63]<={VAR1[56:63],{24{1'b0}}}; VAR3[64:95]<={VAR1[88:95],{24{1'b0}}}; VAR3[96:127]<={VAR1[120:127],{24{1'b0}}}; end 5'd25: begin VAR3[0:31]<={VAR1[25:31],{25{1'b0}}}; VAR3[32:63]<={VAR1[57:63],{25{1'b0}}}; VAR3[64:95]<={VAR1[89:95],{25{1'b0}}}; VAR3[96:127]<={VAR1[121:127],{25{1'b0}}}; end 5'd26: begin VAR3[0:31]<={VAR1[26:31],{26{1'b0}}}; VAR3[32:63]<={VAR1[58:63],{26{1'b0}}}; VAR3[64:95]<={VAR1[90:95],{26{1'b0}}}; VAR3[96:127]<={VAR1[122:127],{26{1'b0}}}; end 5'd27: begin VAR3[0:31]<={VAR1[27:31],{27{1'b0}}}; VAR3[32:63]<={VAR1[59:63],{27{1'b0}}}; VAR3[64:95]<={VAR1[91:95],{27{1'b0}}}; VAR3[96:127]<={VAR1[123:127],{27{1'b0}}}; end 5'd28: begin VAR3[0:31]<={VAR1[28:31],{28{1'b0}}}; VAR3[32:63]<={VAR1[60:63],{28{1'b0}}}; VAR3[64:95]<={VAR1[92:95],{28{1'b0}}}; VAR3[96:127]<={VAR1[124:127],{28{1'b0}}}; end 5'd29: begin VAR3[0:31]<={VAR1[29:31],{29{1'b0}}}; VAR3[32:63]<={VAR1[61:63],{29{1'b0}}}; VAR3[64:95]<={VAR1[93:95],{29{1'b0}}}; VAR3[96:127]<={VAR1[125:127],{29{1'b0}}}; end 5'd30: begin VAR3[0:31]<={VAR1[30:31],{30{1'b0}}}; VAR3[32:63]<={VAR1[62:63],{30{1'b0}}}; VAR3[64:95]<={VAR1[94:95],{30{1'b0}}}; VAR3[96:127]<={VAR1[126:127],{30{1'b0}}}; end 5'd31: begin VAR3[0:31]<={VAR1[31],{31{1'b0}}}; VAR3[32:63]<={VAR1[63],{31{1'b0}}}; VAR3[64:95]<={VAR1[95],{31{1'b0}}}; VAR3[96:127]<={VAR1[127],{31{1'b0}}}; end default: begin VAR3<=128'b0; end endcase end default: VAR3<=128'b0; endcase end begin case(VAR2) begin case(VAR8[2:4]) 3'd0: begin VAR3[0:127]<=VAR1[0:127]; end 3'd1: begin VAR3[0:7]<={{1'b0},VAR1[0:6]}; VAR3[8:15]<={{1'b0},VAR1[8:14]}; VAR3[16:23]<={{1'b0},VAR1[16:22]}; VAR3[24:31]<={{1'b0},VAR1[24:30]}; VAR3[32:39]<={{1'b0},VAR1[32:38]}; VAR3[40:47]<={{1'b0},VAR1[40:46]}; VAR3[48:55]<={{1'b0},VAR1[48:54]}; VAR3[56:63]<={{1'b0},VAR1[56:62]}; VAR3[64:71]<={{1'b0},VAR1[64:70]}; VAR3[72:79]<={{1'b0},VAR1[72:78]}; VAR3[80:87]<={{1'b0},VAR1[80:86]}; VAR3[88:95]<={{1'b0},VAR1[88:94]}; VAR3[96:103]<={{1'b0},VAR1[96:102]}; VAR3[104:111]<={{1'b0},VAR1[104:110]}; VAR3[112:119]<={{1'b0},VAR1[112:118]}; VAR3[120:127]<={{1'b0},VAR1[120:126]}; end 3'd2: begin VAR3[0:7]<={{2{1'b0}},VAR1[0:5]}; VAR3[8:15]<={{2{1'b0}},VAR1[8:13]}; VAR3[16:23]<={{2{1'b0}},VAR1[16:21]}; VAR3[24:31]<={{2{1'b0}},VAR1[24:29]}; VAR3[32:39]<={{2{1'b0}},VAR1[32:37]}; VAR3[40:47]<={{2{1'b0}},VAR1[40:45]}; VAR3[48:55]<={{2{1'b0}},VAR1[48:53]}; VAR3[56:63]<={{2{1'b0}},VAR1[56:61]}; VAR3[64:71]<={{2{1'b0}},VAR1[64:69]}; VAR3[72:79]<={{2{1'b0}},VAR1[72:77]}; VAR3[80:87]<={{2{1'b0}},VAR1[80:85]}; VAR3[88:95]<={{2{1'b0}},VAR1[88:93]}; VAR3[96:103]<={{2{1'b0}},VAR1[96:101]}; VAR3[104:111]<={{2{1'b0}},VAR1[104:109]}; VAR3[112:119]<={{2{1'b0}},VAR1[112:117]}; VAR3[120:127]<={{2{1'b0}},VAR1[120:125]}; end 3'd3: begin VAR3[0:7]<={{3{1'b0}},VAR1[0:4]}; VAR3[8:15]<={{3{1'b0}},VAR1[8:12]}; VAR3[16:23]<={{3{1'b0}},VAR1[16:20]}; VAR3[24:31]<={{3{1'b0}},VAR1[24:28]}; VAR3[32:39]<={{3{1'b0}},VAR1[32:36]}; VAR3[40:47]<={{3{1'b0}},VAR1[40:44]}; VAR3[48:55]<={{3{1'b0}},VAR1[48:52]}; VAR3[56:63]<={{3{1'b0}},VAR1[56:60]}; VAR3[64:71]<={{3{1'b0}},VAR1[64:68]}; VAR3[72:79]<={{3{1'b0}},VAR1[72:76]}; VAR3[80:87]<={{3{1'b0}},VAR1[80:84]}; VAR3[88:95]<={{3{1'b0}},VAR1[88:92]}; VAR3[96:103]<={{3{1'b0}},VAR1[96:100]}; VAR3[104:111]<={{3{1'b0}},VAR1[104:108]}; VAR3[112:119]<={{3{1'b0}},VAR1[112:116]}; VAR3[120:127]<={{3{1'b0}},VAR1[120:124]}; end 3'd4: begin VAR3[0:7]<={{4{1'b0}},VAR1[0:3]}; VAR3[8:15]<={{4{1'b0}},VAR1[8:11]}; VAR3[16:23]<={{4{1'b0}},VAR1[16:19]}; VAR3[24:31]<={{4{1'b0}},VAR1[24:27]}; VAR3[32:39]<={{4{1'b0}},VAR1[32:35]}; VAR3[40:47]<={{4{1'b0}},VAR1[40:43]}; VAR3[48:55]<={{4{1'b0}},VAR1[48:51]}; VAR3[56:63]<={{4{1'b0}},VAR1[56:69]}; VAR3[64:71]<={{4{1'b0}},VAR1[64:67]}; VAR3[72:79]<={{4{1'b0}},VAR1[72:75]}; VAR3[80:87]<={{4{1'b0}},VAR1[80:83]}; VAR3[88:95]<={{4{1'b0}},VAR1[88:91]}; VAR3[96:103]<={{4{1'b0}},VAR1[96:99]}; VAR3[104:111]<={{4{1'b0}},VAR1[104:107]}; VAR3[112:119]<={{4{1'b0}},VAR1[112:115]}; VAR3[120:127]<={{4{1'b0}},VAR1[120:123]}; end 3'd5: begin VAR3[0:7]<={{5{1'b0}},VAR1[0:2]}; VAR3[8:15]<={{5{1'b0}},VAR1[8:10]}; VAR3[16:23]<={{5{1'b0}},VAR1[16:18]}; VAR3[24:31]<={{5{1'b0}},VAR1[24:26]}; VAR3[32:39]<={{5{1'b0}},VAR1[32:34]}; VAR3[40:47]<={{5{1'b0}},VAR1[40:42]}; VAR3[48:55]<={{5{1'b0}},VAR1[48:50]}; VAR3[56:63]<={{5{1'b0}},VAR1[56:68]}; VAR3[64:71]<={{5{1'b0}},VAR1[64:66]}; VAR3[72:79]<={{5{1'b0}},VAR1[72:74]}; VAR3[80:87]<={{5{1'b0}},VAR1[80:82]}; VAR3[88:95]<={{5{1'b0}},VAR1[88:90]}; VAR3[96:103]<={{5{1'b0}},VAR1[96:98]}; VAR3[104:111]<={{5{1'b0}},VAR1[104:106]}; VAR3[112:119]<={{5{1'b0}},VAR1[112:114]}; VAR3[120:127]<={{5{1'b0}},VAR1[120:122]}; end 3'd6: begin VAR3[0:7]<={{6{1'b0}},VAR1[0:1]}; VAR3[8:15]<={{6{1'b0}},VAR1[8:9]}; VAR3[16:23]<={{6{1'b0}},VAR1[16:17]}; VAR3[24:31]<={{6{1'b0}},VAR1[24:25]}; VAR3[32:39]<={{6{1'b0}},VAR1[32:33]}; VAR3[40:47]<={{6{1'b0}},VAR1[40:41]}; VAR3[48:55]<={{6{1'b0}},VAR1[48:49]}; VAR3[56:63]<={{6{1'b0}},VAR1[56:67]}; VAR3[64:71]<={{6{1'b0}},VAR1[64:65]}; VAR3[72:79]<={{6{1'b0}},VAR1[72:73]}; VAR3[80:87]<={{6{1'b0}},VAR1[80:81]}; VAR3[88:95]<={{6{1'b0}},VAR1[88:89]}; VAR3[96:103]<={{6{1'b0}},VAR1[96:97]}; VAR3[104:111]<={{6{1'b0}},VAR1[104:105]}; VAR3[112:119]<={{6{1'b0}},VAR1[112:113]}; VAR3[120:127]<={{6{1'b0}},VAR1[120:121]}; end 3'd7: begin VAR3[0:7]<={{7{1'b0}},VAR1[0]}; VAR3[8:15]<={{7{1'b0}},VAR1[8]}; VAR3[16:23]<={{7{1'b0}},VAR1[16]}; VAR3[24:31]<={{7{1'b0}},VAR1[24]}; VAR3[32:39]<={{7{1'b0}},VAR1[32]}; VAR3[40:47]<={{7{1'b0}},VAR1[40]}; VAR3[48:55]<={{7{1'b0}},VAR1[48]}; VAR3[56:63]<={{7{1'b0}},VAR1[56]}; VAR3[64:71]<={{7{1'b0}},VAR1[64]}; VAR3[72:79]<={{7{1'b0}},VAR1[72]}; VAR3[80:87]<={{7{1'b0}},VAR1[80]}; VAR3[88:95]<={{7{1'b0}},VAR1[88]}; VAR3[96:103]<={{7{1'b0}},VAR1[96]}; VAR3[104:111]<={{7{1'b0}},VAR1[104]}; VAR3[112:119]<={{7{1'b0}},VAR1[112]}; VAR3[120:127]<={{7{1'b0}},VAR1[120]}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR3[0:127]<=VAR1[0:127]; end 4'd1: begin VAR3[0:15]<={{1'b0},VAR1[0:14]}; VAR3[16:31]<={{1'b0},VAR1[16:30]}; VAR3[32:47]<={{1'b0},VAR1[32:46]}; VAR3[48:63]<={{1'b0},VAR1[48:62]}; VAR3[64:79]<={{1'b0},VAR1[64:78]}; VAR3[80:95]<={{1'b0},VAR1[80:94]}; VAR3[96:111]<={{1'b0},VAR1[96:110]}; VAR3[112:127]<={{1'b0},VAR1[112:126]}; end 4'd2: begin VAR3[0:15]<={{2{1'b0}},VAR1[0:13]}; VAR3[16:31]<={{2{1'b0}},VAR1[16:29]}; VAR3[32:47]<={{2{1'b0}},VAR1[32:45]}; VAR3[48:63]<={{2{1'b0}},VAR1[48:61]}; VAR3[64:79]<={{2{1'b0}},VAR1[64:77]}; VAR3[80:95]<={{2{1'b0}},VAR1[80:93]}; VAR3[96:111]<={{2{1'b0}},VAR1[96:109]}; VAR3[112:127]<={{2{1'b0}},VAR1[112:125]}; end 4'd3: begin VAR3[0:15]<={{3{1'b0}},VAR1[0:12]}; VAR3[16:31]<={{3{1'b0}},VAR1[16:28]}; VAR3[32:47]<={{3{1'b0}},VAR1[32:44]}; VAR3[48:63]<={{3{1'b0}},VAR1[48:60]}; VAR3[64:79]<={{3{1'b0}},VAR1[64:76]}; VAR3[80:95]<={{3{1'b0}},VAR1[80:92]}; VAR3[96:111]<={{3{1'b0}},VAR1[96:108]}; VAR3[112:127]<={{3{1'b0}},VAR1[112:124]}; end 4'd4: begin VAR3[0:15]<={{4{1'b0}},VAR1[0:11]}; VAR3[16:31]<={{4{1'b0}},VAR1[16:27]}; VAR3[32:47]<={{4{1'b0}},VAR1[32:43]}; VAR3[48:63]<={{4{1'b0}},VAR1[48:59]}; VAR3[64:79]<={{4{1'b0}},VAR1[64:75]}; VAR3[80:95]<={{4{1'b0}},VAR1[80:91]}; VAR3[96:111]<={{4{1'b0}},VAR1[96:107]}; VAR3[112:127]<={{4{1'b0}},VAR1[112:123]}; end 4'd5: begin VAR3[0:15]<={{5{1'b0}},VAR1[0:10]}; VAR3[16:31]<={{5{1'b0}},VAR1[16:26]}; VAR3[32:47]<={{5{1'b0}},VAR1[32:42]}; VAR3[48:63]<={{5{1'b0}},VAR1[48:58]}; VAR3[64:79]<={{5{1'b0}},VAR1[64:74]}; VAR3[80:95]<={{5{1'b0}},VAR1[80:90]}; VAR3[96:111]<={{5{1'b0}},VAR1[96:106]}; VAR3[112:127]<={{5{1'b0}},VAR1[112:122]}; end 4'd6: begin VAR3[0:15]<={{6{1'b0}},VAR1[0:9]}; VAR3[16:31]<={{6{1'b0}},VAR1[16:25]}; VAR3[32:47]<={{6{1'b0}},VAR1[32:41]}; VAR3[48:63]<={{6{1'b0}},VAR1[48:57]}; VAR3[64:79]<={{6{1'b0}},VAR1[64:73]}; VAR3[80:95]<={{6{1'b0}},VAR1[80:89]}; VAR3[96:111]<={{6{1'b0}},VAR1[96:105]}; VAR3[112:127]<={{6{1'b0}},VAR1[112:121]}; end 4'd7: begin VAR3[0:15]<={{7{1'b0}},VAR1[0:8]}; VAR3[16:31]<={{7{1'b0}},VAR1[16:24]}; VAR3[32:47]<={{7{1'b0}},VAR1[32:40]}; VAR3[48:63]<={{7{1'b0}},VAR1[48:56]}; VAR3[64:79]<={{7{1'b0}},VAR1[64:72]}; VAR3[80:95]<={{7{1'b0}},VAR1[80:88]}; VAR3[96:111]<={{7{1'b0}},VAR1[96:104]}; VAR3[112:127]<={{7{1'b0}},VAR1[112:120]}; end 4'd8: begin VAR3[0:15]<={{8{1'b0}},VAR1[0:7]}; VAR3[16:31]<={{8{1'b0}},VAR1[16:23]}; VAR3[32:47]<={{8{1'b0}},VAR1[32:39]}; VAR3[48:63]<={{8{1'b0}},VAR1[48:55]}; VAR3[64:79]<={{8{1'b0}},VAR1[64:71]}; VAR3[80:95]<={{8{1'b0}},VAR1[80:87]}; VAR3[96:111]<={{8{1'b0}},VAR1[96:103]}; VAR3[112:127]<={{8{1'b0}},VAR1[112:119]}; end 4'd9: begin VAR3[0:15]<={{9{1'b0}},VAR1[0:6]}; VAR3[16:31]<={{9{1'b0}},VAR1[16:22]}; VAR3[32:47]<={{9{1'b0}},VAR1[32:38]}; VAR3[48:63]<={{9{1'b0}},VAR1[48:54]}; VAR3[64:79]<={{9{1'b0}},VAR1[64:70]}; VAR3[80:95]<={{9{1'b0}},VAR1[80:86]}; VAR3[96:111]<={{9{1'b0}},VAR1[96:102]}; VAR3[112:127]<={{9{1'b0}},VAR1[112:118]}; end 4'd10: begin VAR3[0:15]<={{10{1'b0}},VAR1[0:5]}; VAR3[16:31]<={{10{1'b0}},VAR1[16:21]}; VAR3[32:47]<={{10{1'b0}},VAR1[32:37]}; VAR3[48:63]<={{10{1'b0}},VAR1[48:53]}; VAR3[64:79]<={{10{1'b0}},VAR1[64:69]}; VAR3[80:95]<={{10{1'b0}},VAR1[80:85]}; VAR3[96:111]<={{10{1'b0}},VAR1[96:101]}; VAR3[112:127]<={{10{1'b0}},VAR1[112:117]}; end 4'd11: begin VAR3[0:15]<={{11{1'b0}},VAR1[0:4]}; VAR3[16:31]<={{11{1'b0}},VAR1[16:20]}; VAR3[32:47]<={{11{1'b0}},VAR1[32:36]}; VAR3[48:63]<={{11{1'b0}},VAR1[48:52]}; VAR3[64:79]<={{11{1'b0}},VAR1[64:68]}; VAR3[80:95]<={{11{1'b0}},VAR1[80:84]}; VAR3[96:111]<={{11{1'b0}},VAR1[96:100]}; VAR3[112:127]<={{11{1'b0}},VAR1[112:116]}; end 4'd12: begin VAR3[0:15]<={{12{1'b0}},VAR1[0:3]}; VAR3[16:31]<={{12{1'b0}},VAR1[16:19]}; VAR3[32:47]<={{12{1'b0}},VAR1[32:35]}; VAR3[48:63]<={{12{1'b0}},VAR1[48:51]}; VAR3[64:79]<={{12{1'b0}},VAR1[64:67]}; VAR3[80:95]<={{12{1'b0}},VAR1[80:83]}; VAR3[96:111]<={{12{1'b0}},VAR1[96:99]}; VAR3[112:127]<={{12{1'b0}},VAR1[112:115]}; end 4'd13: begin VAR3[0:15]<={{13{1'b0}},VAR1[0:2]}; VAR3[16:31]<={{13{1'b0}},VAR1[16:18]}; VAR3[32:47]<={{13{1'b0}},VAR1[32:34]}; VAR3[48:63]<={{13{1'b0}},VAR1[48:50]}; VAR3[64:79]<={{13{1'b0}},VAR1[64:66]}; VAR3[80:95]<={{13{1'b0}},VAR1[80:82]}; VAR3[96:111]<={{13{1'b0}},VAR1[96:98]}; VAR3[112:127]<={{13{1'b0}},VAR1[112:114]}; end 4'd14: begin VAR3[0:15]<={{14{1'b0}},VAR1[0:1]}; VAR3[16:31]<={{14{1'b0}},VAR1[16:17]}; VAR3[32:47]<={{14{1'b0}},VAR1[32:33]}; VAR3[48:63]<={{14{1'b0}},VAR1[48:49]}; VAR3[64:79]<={{14{1'b0}},VAR1[64:65]}; VAR3[80:95]<={{14{1'b0}},VAR1[80:81]}; VAR3[96:111]<={{14{1'b0}},VAR1[96:97]}; VAR3[112:127]<={{14{1'b0}},VAR1[112:113]}; end 4'd15: begin VAR3[0:15]<={{15{1'b0}},VAR1[0]}; VAR3[16:31]<={{15{1'b0}},VAR1[16]}; VAR3[32:47]<={{15{1'b0}},VAR1[32]}; VAR3[48:63]<={{15{1'b0}},VAR1[48]}; VAR3[64:79]<={{15{1'b0}},VAR1[64]}; VAR3[80:95]<={{15{1'b0}},VAR1[80]}; VAR3[96:111]<={{15{1'b0}},VAR1[96]}; VAR3[112:127]<={{15{1'b0}},VAR1[112]}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR3[0:127]<=VAR1[0:127]; end 5'd1: begin VAR3[0:31]<={{1'b0},VAR1[0:30]}; VAR3[32:63]<={{1'b0},VAR1[32:62]}; VAR3[64:95]<={{1'b0},VAR1[64:94]}; VAR3[96:127]<={{1'b0},VAR1[96:126]}; end 5'd2: begin VAR3[0:31]<={{2{1'b0}},VAR1[0:29]}; VAR3[32:63]<={{2{1'b0}},VAR1[32:61]}; VAR3[64:95]<={{2{1'b0}},VAR1[64:93]}; VAR3[96:127]<={{2{1'b0}},VAR1[96:125]}; end 5'd3: begin VAR3[0:31]<={{3{1'b0}},VAR1[0:28]}; VAR3[32:63]<={{3{1'b0}},VAR1[32:60]}; VAR3[64:95]<={{3{1'b0}},VAR1[64:92]}; VAR3[96:127]<={{3{1'b0}},VAR1[96:124]}; end 5'd4: begin VAR3[0:31]<={{4{1'b0}},VAR1[0:27]}; VAR3[32:63]<={{4{1'b0}},VAR1[32:59]}; VAR3[64:95]<={{4{1'b0}},VAR1[64:91]}; VAR3[96:127]<={{4{1'b0}},VAR1[96:123]}; end 5'd5: begin VAR3[0:31]<={{5{1'b0}},VAR1[0:26]}; VAR3[32:63]<={{5{1'b0}},VAR1[32:58]}; VAR3[64:95]<={{5{1'b0}},VAR1[64:90]}; VAR3[96:127]<={{5{1'b0}},VAR1[96:122]}; end 5'd6: begin VAR3[0:31]<={{6{1'b0}},VAR1[0:25]}; VAR3[32:63]<={{6{1'b0}},VAR1[32:57]}; VAR3[64:95]<={{6{1'b0}},VAR1[64:89]}; VAR3[96:127]<={{6{1'b0}},VAR1[96:121]}; end 5'd7: begin VAR3[0:31]<={{7{1'b0}},VAR1[0:24]}; VAR3[32:63]<={{7{1'b0}},VAR1[32:56]}; VAR3[64:95]<={{7{1'b0}},VAR1[64:88]}; VAR3[96:127]<={{7{1'b0}},VAR1[96:120]}; end 5'd8: begin VAR3[0:31]<={{8{1'b0}},VAR1[0:23]}; VAR3[32:63]<={{8{1'b0}},VAR1[32:55]}; VAR3[64:95]<={{8{1'b0}},VAR1[64:87]}; VAR3[96:127]<={{8{1'b0}},VAR1[96:119]}; end 5'd9: begin VAR3[0:31]<={{9{1'b0}},VAR1[0:22]}; VAR3[32:63]<={{9{1'b0}},VAR1[32:54]}; VAR3[64:95]<={{9{1'b0}},VAR1[64:86]}; VAR3[96:127]<={{9{1'b0}},VAR1[96:118]}; end 5'd10: begin VAR3[0:31]<={{10{1'b0}},VAR1[0:21]}; VAR3[32:63]<={{10{1'b0}},VAR1[32:53]}; VAR3[64:95]<={{10{1'b0}},VAR1[64:85]}; VAR3[96:127]<={{10{1'b0}},VAR1[96:117]}; end 5'd11: begin VAR3[0:31]<={{11{1'b0}},VAR1[0:20]}; VAR3[32:63]<={{11{1'b0}},VAR1[32:52]}; VAR3[64:95]<={{11{1'b0}},VAR1[64:84]}; VAR3[96:127]<={{11{1'b0}},VAR1[96:116]}; end 5'd12: begin VAR3[0:31]<={{12{1'b0}},VAR1[0:19]}; VAR3[32:63]<={{12{1'b0}},VAR1[32:51]}; VAR3[64:95]<={{12{1'b0}},VAR1[64:83]}; VAR3[96:127]<={{12{1'b0}},VAR1[96:115]}; end 5'd13: begin VAR3[0:31]<={{13{1'b0}},VAR1[0:18]}; VAR3[32:63]<={{13{1'b0}},VAR1[32:50]}; VAR3[64:95]<={{13{1'b0}},VAR1[64:82]}; VAR3[96:127]<={{13{1'b0}},VAR1[96:114]}; end 5'd14: begin VAR3[0:31]<={{14{1'b0}},VAR1[0:17]}; VAR3[32:63]<={{14{1'b0}},VAR1[32:49]}; VAR3[64:95]<={{14{1'b0}},VAR1[64:81]}; VAR3[96:127]<={{14{1'b0}},VAR1[96:113]}; end 5'd15: begin VAR3[0:31]<={{15{1'b0}},VAR1[0:16]}; VAR3[32:63]<={{15{1'b0}},VAR1[32:48]}; VAR3[64:95]<={{15{1'b0}},VAR1[64:80]}; VAR3[96:127]<={{15{1'b0}},VAR1[96:112]}; end 5'd16: begin VAR3[0:31]<={{16{1'b0}},VAR1[0:15]}; VAR3[32:63]<={{16{1'b0}},VAR1[32:47]}; VAR3[64:95]<={{16{1'b0}},VAR1[64:79]}; VAR3[96:127]<={{16{1'b0}},VAR1[96:111]}; end 5'd17: begin VAR3[0:31]<={{17{1'b0}},VAR1[0:14]}; VAR3[32:63]<={{17{1'b0}},VAR1[32:46]}; VAR3[64:95]<={{17{1'b0}},VAR1[64:78]}; VAR3[96:127]<={{17{1'b0}},VAR1[96:110]}; end 5'd18: begin VAR3[0:31]<={{18{1'b0}},VAR1[0:13]}; VAR3[32:63]<={{18{1'b0}},VAR1[32:45]}; VAR3[64:95]<={{18{1'b0}},VAR1[64:77]}; VAR3[96:127]<={{18{1'b0}},VAR1[96:109]}; end 5'd19: begin VAR3[0:31]<={{19{1'b0}},VAR1[0:12]}; VAR3[32:63]<={{19{1'b0}},VAR1[32:44]}; VAR3[64:95]<={{19{1'b0}},VAR1[64:76]}; VAR3[96:127]<={{19{1'b0}},VAR1[96:108]}; end 5'd20: begin VAR3[0:31]<={{20{1'b0}},VAR1[0:11]}; VAR3[32:63]<={{20{1'b0}},VAR1[32:43]}; VAR3[64:95]<={{20{1'b0}},VAR1[64:75]}; VAR3[96:127]<={{20{1'b0}},VAR1[96:107]}; end 5'd21: begin VAR3[0:31]<={{21{1'b0}},VAR1[0:10]}; VAR3[32:63]<={{21{1'b0}},VAR1[32:42]}; VAR3[64:95]<={{21{1'b0}},VAR1[64:74]}; VAR3[96:127]<={{21{1'b0}},VAR1[96:106]}; end 5'd22: begin VAR3[0:31]<={{22{1'b0}},VAR1[0:9]}; VAR3[32:63]<={{22{1'b0}},VAR1[32:41]}; VAR3[64:95]<={{22{1'b0}},VAR1[64:73]}; VAR3[96:127]<={{22{1'b0}},VAR1[96:105]}; end 5'd23: begin VAR3[0:31]<={{23{1'b0}},VAR1[0:8]}; VAR3[32:63]<={{23{1'b0}},VAR1[32:40]}; VAR3[64:95]<={{23{1'b0}},VAR1[64:72]}; VAR3[96:127]<={{23{1'b0}},VAR1[96:104]}; end 5'd24: begin VAR3[0:31]<={{24{1'b0}},VAR1[0:7]}; VAR3[32:63]<={{24{1'b0}},VAR1[32:39]}; VAR3[64:95]<={{24{1'b0}},VAR1[64:71]}; VAR3[96:127]<={{24{1'b0}},VAR1[96:103]}; end 5'd25: begin VAR3[0:31]<={{25{1'b0}},VAR1[0:6]}; VAR3[32:63]<={{25{1'b0}},VAR1[32:38]}; VAR3[64:95]<={{25{1'b0}},VAR1[64:70]}; VAR3[96:127]<={{25{1'b0}},VAR1[96:102]}; end 5'd26: begin VAR3[0:31]<={{26{1'b0}},VAR1[0:5]}; VAR3[32:63]<={{26{1'b0}},VAR1[32:37]}; VAR3[64:95]<={{26{1'b0}},VAR1[64:69]}; VAR3[96:127]<={{26{1'b0}},VAR1[96:101]}; end 5'd27: begin VAR3[0:31]<={{27{1'b0}},VAR1[0:4]}; VAR3[32:63]<={{27{1'b0}},VAR1[32:36]}; VAR3[64:95]<={{27{1'b0}},VAR1[64:68]}; VAR3[96:127]<={{27{1'b0}},VAR1[96:100]}; end 5'd28: begin VAR3[0:31]<={{28{1'b0}},VAR1[0:3]}; VAR3[32:63]<={{28{1'b0}},VAR1[32:35]}; VAR3[64:95]<={{28{1'b0}},VAR1[64:67]}; VAR3[96:127]<={{28{1'b0}},VAR1[96:99]}; end 5'd29: begin VAR3[0:31]<={{29{1'b0}},VAR1[0:2]}; VAR3[32:63]<={{29{1'b0}},VAR1[32:34]}; VAR3[64:95]<={{29{1'b0}},VAR1[64:66]}; VAR3[96:127]<={{29{1'b0}},VAR1[96:98]}; end 5'd30: begin VAR3[0:31]<={{30{1'b0}},VAR1[0:1]}; VAR3[32:63]<={{30{1'b0}},VAR1[32:33]}; VAR3[64:95]<={{30{1'b0}},VAR1[64:65]}; VAR3[96:127]<={{30{1'b0}},VAR1[96:97]}; end 5'd31: begin VAR3[0:31]<={{31{1'b0}},VAR1[0]}; VAR3[32:63]<={{31{1'b0}},VAR1[32]}; VAR3[64:95]<={{31{1'b0}},VAR1[64]}; VAR3[96:127]<={{31{1'b0}},VAR1[96]}; end default: begin VAR3<=128'b0; end endcase end default: begin VAR3<=128'b0; end endcase end begin case(VAR2) begin case(VAR8[2:4]) 3'd0: begin VAR3[0:127]<=VAR1[0:127]; end 3'd1: begin VAR3[0:7]<={{VAR1[0]},VAR1[0:6]}; VAR3[8:15]<={{VAR1[8]},VAR1[8:14]}; VAR3[16:23]<={{VAR1[16]},VAR1[16:22]}; VAR3[24:31]<={{VAR1[24]},VAR1[24:30]}; VAR3[32:39]<={{VAR1[32]},VAR1[32:38]}; VAR3[40:47]<={{VAR1[40]},VAR1[40:46]}; VAR3[48:55]<={{VAR1[48]},VAR1[48:54]}; VAR3[56:63]<={{VAR1[56]},VAR1[56:62]}; VAR3[64:71]<={{VAR1[64]},VAR1[64:70]}; VAR3[72:79]<={{VAR1[72]},VAR1[72:78]}; VAR3[80:87]<={{VAR1[80]},VAR1[80:86]}; VAR3[88:95]<={{VAR1[88]},VAR1[88:94]}; VAR3[96:103]<={{VAR1[96]},VAR1[96:102]}; VAR3[104:111]<={{VAR1[104]},VAR1[104:110]}; VAR3[112:119]<={{VAR1[112]},VAR1[112:118]}; VAR3[120:127]<={{VAR1[120]},VAR1[120:126]}; end 3'd2: begin VAR3[0:7]<={{2{VAR1[0]}},VAR1[0:5]}; VAR3[8:15]<={{2{VAR1[8]}},VAR1[8:13]}; VAR3[16:23]<={{2{VAR1[16]}},VAR1[16:21]}; VAR3[24:31]<={{2{VAR1[24]}},VAR1[24:29]}; VAR3[32:39]<={{2{VAR1[32]}},VAR1[32:37]}; VAR3[40:47]<={{2{VAR1[40]}},VAR1[40:45]}; VAR3[48:55]<={{2{VAR1[48]}},VAR1[48:53]}; VAR3[56:63]<={{2{VAR1[56]}},VAR1[56:61]}; VAR3[64:71]<={{2{VAR1[64]}},VAR1[64:69]}; VAR3[72:79]<={{2{VAR1[72]}},VAR1[72:77]}; VAR3[80:87]<={{2{VAR1[80]}},VAR1[80:85]}; VAR3[88:95]<={{2{VAR1[88]}},VAR1[88:93]}; VAR3[96:103]<={{2{VAR1[96]}},VAR1[96:101]}; VAR3[104:111]<={{2{VAR1[104]}},VAR1[104:109]}; VAR3[112:119]<={{2{VAR1[112]}},VAR1[112:117]}; VAR3[120:127]<={{2{VAR1[120]}},VAR1[120:125]}; end 3'd3: begin VAR3[0:7]<={{3{VAR1[0]}},VAR1[0:4]}; VAR3[8:15]<={{3{VAR1[8]}},VAR1[8:12]}; VAR3[16:23]<={{3{VAR1[16]}},VAR1[16:20]}; VAR3[24:31]<={{3{VAR1[24]}},VAR1[24:28]}; VAR3[32:39]<={{3{VAR1[32]}},VAR1[32:36]}; VAR3[40:47]<={{3{VAR1[40]}},VAR1[40:44]}; VAR3[48:55]<={{3{VAR1[48]}},VAR1[48:52]}; VAR3[56:63]<={{3{VAR1[56]}},VAR1[56:60]}; VAR3[64:71]<={{3{VAR1[64]}},VAR1[64:68]}; VAR3[72:79]<={{3{VAR1[72]}},VAR1[72:76]}; VAR3[80:87]<={{3{VAR1[80]}},VAR1[80:84]}; VAR3[88:95]<={{3{VAR1[88]}},VAR1[88:92]}; VAR3[96:103]<={{3{VAR1[96]}},VAR1[96:100]}; VAR3[104:111]<={{3{VAR1[104]}},VAR1[104:108]}; VAR3[112:119]<={{3{VAR1[112]}},VAR1[112:116]}; VAR3[120:127]<={{3{VAR1[120]}},VAR1[120:124]}; end 3'd4: begin VAR3[0:7]<={{4{VAR1[0]}},VAR1[0:3]}; VAR3[8:15]<={{4{VAR1[8]}},VAR1[8:11]}; VAR3[16:23]<={{4{VAR1[16]}},VAR1[16:19]}; VAR3[24:31]<={{4{VAR1[24]}},VAR1[24:27]}; VAR3[32:39]<={{4{VAR1[32]}},VAR1[32:35]}; VAR3[40:47]<={{4{VAR1[40]}},VAR1[40:43]}; VAR3[48:55]<={{4{VAR1[48]}},VAR1[48:51]}; VAR3[56:63]<={{4{VAR1[56]}},VAR1[56:69]}; VAR3[64:71]<={{4{VAR1[64]}},VAR1[64:67]}; VAR3[72:79]<={{4{VAR1[72]}},VAR1[72:75]}; VAR3[80:87]<={{4{VAR1[80]}},VAR1[80:83]}; VAR3[88:95]<={{4{VAR1[88]}},VAR1[88:91]}; VAR3[96:103]<={{4{VAR1[96]}},VAR1[96:99]}; VAR3[104:111]<={{4{VAR1[104]}},VAR1[104:107]}; VAR3[112:119]<={{4{VAR1[112]}},VAR1[112:115]}; VAR3[120:127]<={{4{VAR1[120]}},VAR1[120:123]}; end 3'd5: begin VAR3[0:7]<={{5{VAR1[0]}},VAR1[0:2]}; VAR3[8:15]<={{5{VAR1[8]}},VAR1[8:10]}; VAR3[16:23]<={{5{VAR1[16]}},VAR1[16:18]}; VAR3[24:31]<={{5{VAR1[24]}},VAR1[24:26]}; VAR3[32:39]<={{5{VAR1[32]}},VAR1[32:34]}; VAR3[40:47]<={{5{VAR1[40]}},VAR1[40:42]}; VAR3[48:55]<={{5{VAR1[48]}},VAR1[48:50]}; VAR3[56:63]<={{5{VAR1[56]}},VAR1[56:68]}; VAR3[64:71]<={{5{VAR1[64]}},VAR1[64:66]}; VAR3[72:79]<={{5{VAR1[72]}},VAR1[72:74]}; VAR3[80:87]<={{5{VAR1[80]}},VAR1[80:82]}; VAR3[88:95]<={{5{VAR1[88]}},VAR1[88:90]}; VAR3[96:103]<={{5{VAR1[96]}},VAR1[96:98]}; VAR3[104:111]<={{5{VAR1[104]}},VAR1[104:106]}; VAR3[112:119]<={{5{VAR1[112]}},VAR1[112:114]}; VAR3[120:127]<={{5{VAR1[120]}},VAR1[120:122]}; end 3'd6: begin VAR3[0:7]<={{6{VAR1[0]}},VAR1[0:1]}; VAR3[8:15]<={{6{VAR1[8]}},VAR1[8:9]}; VAR3[16:23]<={{6{VAR1[16]}},VAR1[16:17]}; VAR3[24:31]<={{6{VAR1[24]}},VAR1[24:25]}; VAR3[32:39]<={{6{VAR1[32]}},VAR1[32:33]}; VAR3[40:47]<={{6{VAR1[40]}},VAR1[40:41]}; VAR3[48:55]<={{6{VAR1[48]}},VAR1[48:49]}; VAR3[56:63]<={{6{VAR1[56]}},VAR1[56:67]}; VAR3[64:71]<={{6{VAR1[64]}},VAR1[64:65]}; VAR3[72:79]<={{6{VAR1[72]}},VAR1[72:73]}; VAR3[80:87]<={{6{VAR1[80]}},VAR1[80:81]}; VAR3[88:95]<={{6{VAR1[88]}},VAR1[88:89]}; VAR3[96:103]<={{6{VAR1[96]}},VAR1[96:97]}; VAR3[104:111]<={{6{VAR1[104]}},VAR1[104:105]}; VAR3[112:119]<={{6{VAR1[112]}},VAR1[112:113]}; VAR3[120:127]<={{6{VAR1[120]}},VAR1[120:121]}; end 3'd7: begin VAR3[0:7]<={{7{VAR1[0]}},VAR1[0]}; VAR3[8:15]<={{7{VAR1[8]}},VAR1[8]}; VAR3[16:23]<={{7{VAR1[16]}},VAR1[16]}; VAR3[24:31]<={{7{VAR1[24]}},VAR1[24]}; VAR3[32:39]<={{7{VAR1[32]}},VAR1[32]}; VAR3[40:47]<={{7{VAR1[40]}},VAR1[40]}; VAR3[48:55]<={{7{VAR1[48]}},VAR1[48]}; VAR3[56:63]<={{7{VAR1[56]}},VAR1[56]}; VAR3[64:71]<={{7{VAR1[64]}},VAR1[64]}; VAR3[72:79]<={{7{VAR1[72]}},VAR1[72]}; VAR3[80:87]<={{7{VAR1[80]}},VAR1[80]}; VAR3[88:95]<={{7{VAR1[88]}},VAR1[88]}; VAR3[96:103]<={{7{VAR1[96]}},VAR1[96]}; VAR3[104:111]<={{7{VAR1[104]}},VAR1[104]}; VAR3[112:119]<={{7{VAR1[112]}},VAR1[112]}; VAR3[120:127]<={{7{VAR1[120]}},VAR1[120]}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR3[0:127]<=VAR1[0:127]; end 4'd1: begin VAR3[0:15]<={{VAR1[0]},VAR1[0:14]}; VAR3[16:31]<={{VAR1[16]},VAR1[16:30]}; VAR3[32:47]<={{VAR1[32]},VAR1[32:46]}; VAR3[48:63]<={{VAR1[48]},VAR1[48:62]}; VAR3[64:79]<={{VAR1[64]},VAR1[64:78]}; VAR3[80:95]<={{VAR1[80]},VAR1[80:94]}; VAR3[96:111]<={{VAR1[96]},VAR1[96:110]}; VAR3[112:127]<={{VAR1[112]},VAR1[112:126]}; end 4'd2: begin VAR3[0:15]<={{2{VAR1[0]}},VAR1[0:13]}; VAR3[16:31]<={{2{VAR1[16]}},VAR1[16:29]}; VAR3[32:47]<={{2{VAR1[32]}},VAR1[32:45]}; VAR3[48:63]<={{2{VAR1[48]}},VAR1[48:61]}; VAR3[64:79]<={{2{VAR1[64]}},VAR1[64:77]}; VAR3[80:95]<={{2{VAR1[80]}},VAR1[80:93]}; VAR3[96:111]<={{2{VAR1[96]}},VAR1[96:109]}; VAR3[112:127]<={{2{VAR1[112]}},VAR1[112:125]}; end 4'd3: begin VAR3[0:15]<={{3{VAR1[0]}},VAR1[0:12]}; VAR3[16:31]<={{3{VAR1[16]}},VAR1[16:28]}; VAR3[32:47]<={{3{VAR1[32]}},VAR1[32:44]}; VAR3[48:63]<={{3{VAR1[48]}},VAR1[48:60]}; VAR3[64:79]<={{3{VAR1[64]}},VAR1[64:76]}; VAR3[80:95]<={{3{VAR1[80]}},VAR1[80:92]}; VAR3[96:111]<={{3{VAR1[96]}},VAR1[96:108]}; VAR3[112:127]<={{3{VAR1[112]}},VAR1[112:124]}; end 4'd4: begin VAR3[0:15]<={{4{VAR1[0]}},VAR1[0:11]}; VAR3[16:31]<={{4{VAR1[8]}},VAR1[16:27]}; VAR3[32:47]<={{4{VAR1[16]}},VAR1[32:43]}; VAR3[48:63]<={{4{VAR1[32]}},VAR1[48:59]}; VAR3[64:79]<={{4{VAR1[48]}},VAR1[64:75]}; VAR3[80:95]<={{4{VAR1[64]}},VAR1[80:91]}; VAR3[96:111]<={{4{VAR1[80]}},VAR1[96:107]}; VAR3[112:127]<={{4{VAR1[112]}},VAR1[112:123]}; end 4'd5: begin VAR3[0:15]<={{5{VAR1[0]}},VAR1[0:10]}; VAR3[16:31]<={{5{VAR1[16]}},VAR1[16:26]}; VAR3[32:47]<={{5{VAR1[32]}},VAR1[32:42]}; VAR3[48:63]<={{5{VAR1[48]}},VAR1[48:58]}; VAR3[64:79]<={{5{VAR1[64]}},VAR1[64:74]}; VAR3[80:95]<={{5{VAR1[80]}},VAR1[80:90]}; VAR3[96:111]<={{5{VAR1[96]}},VAR1[96:106]}; VAR3[112:127]<={{5{VAR1[112]}},VAR1[112:122]}; end 4'd6: begin VAR3[0:15]<={{6{VAR1[0]}},VAR1[0:9]}; VAR3[16:31]<={{6{VAR1[16]}},VAR1[16:25]}; VAR3[32:47]<={{6{VAR1[32]}},VAR1[32:41]}; VAR3[48:63]<={{6{VAR1[48]}},VAR1[48:57]}; VAR3[64:79]<={{6{VAR1[64]}},VAR1[64:73]}; VAR3[80:95]<={{6{VAR1[80]}},VAR1[80:89]}; VAR3[96:111]<={{6{VAR1[96]}},VAR1[96:105]}; VAR3[112:127]<={{6{VAR1[112]}},VAR1[112:121]}; end 4'd7: begin VAR3[0:15]<={{7{VAR1[0]}},VAR1[0:8]}; VAR3[16:31]<={{7{VAR1[16]}},VAR1[16:24]}; VAR3[32:47]<={{7{VAR1[32]}},VAR1[32:40]}; VAR3[48:63]<={{7{VAR1[48]}},VAR1[48:56]}; VAR3[64:79]<={{7{VAR1[64]}},VAR1[64:72]}; VAR3[80:95]<={{7{VAR1[80]}},VAR1[80:88]}; VAR3[96:111]<={{7{VAR1[96]}},VAR1[96:104]}; VAR3[112:127]<={{7{VAR1[112]}},VAR1[112:120]}; end 4'd8: begin VAR3[0:15]<={{8{VAR1[0]}},VAR1[0:7]}; VAR3[16:31]<={{8{VAR1[16]}},VAR1[16:23]}; VAR3[32:47]<={{8{VAR1[32]}},VAR1[32:39]}; VAR3[48:63]<={{8{VAR1[48]}},VAR1[48:55]}; VAR3[64:79]<={{8{VAR1[64]}},VAR1[64:71]}; VAR3[80:95]<={{8{VAR1[80]}},VAR1[80:87]}; VAR3[96:111]<={{8{VAR1[96]}},VAR1[96:103]}; VAR3[112:127]<={{8{VAR1[112]}},VAR1[112:119]}; end 4'd9: begin VAR3[0:15]<={{9{VAR1[0]}},VAR1[0:6]}; VAR3[16:31]<={{9{VAR1[16]}},VAR1[16:22]}; VAR3[32:47]<={{9{VAR1[32]}},VAR1[32:38]}; VAR3[48:63]<={{9{VAR1[48]}},VAR1[48:54]}; VAR3[64:79]<={{9{VAR1[64]}},VAR1[64:70]}; VAR3[80:95]<={{9{VAR1[80]}},VAR1[80:86]}; VAR3[96:111]<={{9{VAR1[96]}},VAR1[96:102]}; VAR3[112:127]<={{9{VAR1[112]}},VAR1[112:118]}; end 4'd10: begin VAR3[0:15]<={{10{VAR1[0]}},VAR1[0:5]}; VAR3[16:31]<={{10{VAR1[16]}},VAR1[16:21]}; VAR3[32:47]<={{10{VAR1[32]}},VAR1[32:37]}; VAR3[48:63]<={{10{VAR1[48]}},VAR1[48:53]}; VAR3[64:79]<={{10{VAR1[64]}},VAR1[64:69]}; VAR3[80:95]<={{10{VAR1[80]}},VAR1[80:85]}; VAR3[96:111]<={{10{VAR1[96]}},VAR1[96:101]}; VAR3[112:127]<={{10{VAR1[112]}},VAR1[112:117]}; end 4'd11: begin VAR3[0:15]<={{11{VAR1[0]}},VAR1[0:4]}; VAR3[16:31]<={{11{VAR1[16]}},VAR1[16:20]}; VAR3[32:47]<={{11{VAR1[32]}},VAR1[32:36]}; VAR3[48:63]<={{11{VAR1[48]}},VAR1[48:52]}; VAR3[64:79]<={{11{VAR1[64]}},VAR1[64:68]}; VAR3[80:95]<={{11{VAR1[80]}},VAR1[80:84]}; VAR3[96:111]<={{11{VAR1[96]}},VAR1[96:100]}; VAR3[112:127]<={{11{VAR1[112]}},VAR1[112:116]}; end 4'd12: begin VAR3[0:15]<={{12{VAR1[0]}},VAR1[0:3]}; VAR3[16:31]<={{12{VAR1[16]}},VAR1[16:19]}; VAR3[32:47]<={{12{VAR1[32]}},VAR1[32:35]}; VAR3[48:63]<={{12{VAR1[48]}},VAR1[48:51]}; VAR3[64:79]<={{12{VAR1[64]}},VAR1[64:67]}; VAR3[80:95]<={{12{VAR1[80]}},VAR1[80:83]}; VAR3[96:111]<={{12{VAR1[96]}},VAR1[96:99]}; VAR3[112:127]<={{12{VAR1[112]}},VAR1[112:115]}; end 4'd13: begin VAR3[0:15]<={{13{VAR1[0]}},VAR1[0:2]}; VAR3[16:31]<={{13{VAR1[16]}},VAR1[16:18]}; VAR3[32:47]<={{13{VAR1[32]}},VAR1[32:34]}; VAR3[48:63]<={{13{VAR1[48]}},VAR1[48:50]}; VAR3[64:79]<={{13{VAR1[64]}},VAR1[64:66]}; VAR3[80:95]<={{13{VAR1[80]}},VAR1[80:82]}; VAR3[96:111]<={{13{VAR1[96]}},VAR1[96:98]}; VAR3[112:127]<={{13{VAR1[112]}},VAR1[112:114]}; end 4'd14: begin VAR3[0:15]<={{14{VAR1[0]}},VAR1[0:1]}; VAR3[16:31]<={{14{VAR1[16]}},VAR1[16:17]}; VAR3[32:47]<={{14{VAR1[32]}},VAR1[32:33]}; VAR3[48:63]<={{14{VAR1[48]}},VAR1[48:49]}; VAR3[64:79]<={{14{VAR1[64]}},VAR1[64:65]}; VAR3[80:95]<={{14{VAR1[80]}},VAR1[80:81]}; VAR3[96:111]<={{14{VAR1[96]}},VAR1[96:97]}; VAR3[112:127]<={{14{VAR1[112]}},VAR1[112:113]}; end 4'd15: begin VAR3[0:15]<={{15{VAR1[0]}},VAR1[0]}; VAR3[16:31]<={{15{VAR1[16]}},VAR1[16]}; VAR3[32:47]<={{15{VAR1[32]}},VAR1[32]}; VAR3[48:63]<={{15{VAR1[48]}},VAR1[48]}; VAR3[64:79]<={{15{VAR1[64]}},VAR1[64]}; VAR3[80:95]<={{15{VAR1[80]}},VAR1[80]}; VAR3[96:111]<={{15{VAR1[96]}},VAR1[96]}; VAR3[112:127]<={{15{VAR1[112]}},VAR1[112]}; end default: begin VAR3<=128'b0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR3[0:127]<=VAR1[0:127]; end 5'd1: begin VAR3[0:31]<={{VAR1[0]},VAR1[0:30]}; VAR3[32:63]<={{VAR1[32]},VAR1[32:62]}; VAR3[64:95]<={{VAR1[64]},VAR1[64:94]}; VAR3[96:127]<={{VAR1[96]},VAR1[96:126]}; end 5'd2: begin VAR3[0:31]<={{2{VAR1[0]}},VAR1[0:29]}; VAR3[32:63]<={{2{VAR1[32]}},VAR1[32:61]}; VAR3[64:95]<={{2{VAR1[64]}},VAR1[64:93]}; VAR3[96:127]<={{2{VAR1[96]}},VAR1[96:125]}; end 5'd3: begin VAR3[0:31]<={{3{VAR1[0]}},VAR1[0:28]}; VAR3[32:63]<={{3{VAR1[32]}},VAR1[32:60]}; VAR3[64:95]<={{3{VAR1[64]}},VAR1[64:92]}; VAR3[96:127]<={{3{VAR1[96]}},VAR1[96:124]}; end 5'd4: begin VAR3[0:31]<={{4{VAR1[0]}},VAR1[0:27]}; VAR3[32:63]<={{4{VAR1[32]}},VAR1[32:59]}; VAR3[64:95]<={{4{VAR1[64]}},VAR1[64:91]}; VAR3[96:127]<={{4{VAR1[96]}},VAR1[96:123]}; end 5'd5: begin VAR3[0:31]<={{5{VAR1[0]}},VAR1[0:26]}; VAR3[32:63]<={{5{VAR1[32]}},VAR1[32:58]}; VAR3[64:95]<={{5{VAR1[64]}},VAR1[64:90]}; VAR3[96:127]<={{5{VAR1[96]}},VAR1[96:122]}; end 5'd6: begin VAR3[0:31]<={{6{VAR1[0]}},VAR1[0:25]}; VAR3[32:63]<={{6{VAR1[32]}},VAR1[32:57]}; VAR3[64:95]<={{6{VAR1[64]}},VAR1[64:89]}; VAR3[96:127]<={{6{VAR1[96]}},VAR1[96:121]}; end 5'd7: begin VAR3[0:31]<={{7{VAR1[0]}},VAR1[0:24]}; VAR3[32:63]<={{7{VAR1[32]}},VAR1[32:56]}; VAR3[64:95]<={{7{VAR1[64]}},VAR1[64:88]}; VAR3[96:127]<={{7{VAR1[96]}},VAR1[96:120]}; end 5'd8: begin VAR3[0:31]<={{8{VAR1[0]}},VAR1[0:23]}; VAR3[32:63]<={{8{VAR1[32]}},VAR1[32:55]}; VAR3[64:95]<={{8{VAR1[64]}},VAR1[64:87]}; VAR3[96:127]<={{8{VAR1[96]}},VAR1[96:119]}; end 5'd9: begin VAR3[0:31]<={{9{VAR1[0]}},VAR1[0:22]}; VAR3[32:63]<={{9{VAR1[32]}},VAR1[32:54]}; VAR3[64:95]<={{9{VAR1[64]}},VAR1[64:86]}; VAR3[96:127]<={{9{VAR1[96]}},VAR1[96:118]}; end 5'd10: begin VAR3[0:31]<={{10{VAR1[0]}},VAR1[0:21]}; VAR3[32:63]<={{10{VAR1[32]}},VAR1[32:53]}; VAR3[64:95]<={{10{VAR1[64]}},VAR1[64:85]}; VAR3[96:127]<={{10{VAR1[96]}},VAR1[96:117]}; end 5'd11: begin VAR3[0:31]<={{11{VAR1[0]}},VAR1[0:20]}; VAR3[32:63]<={{11{VAR1[32]}},VAR1[32:52]}; VAR3[64:95]<={{11{VAR1[64]}},VAR1[64:84]}; VAR3[96:127]<={{11{VAR1[96]}},VAR1[96:116]}; end 5'd12: begin VAR3[0:31]<={{12{VAR1[0]}},VAR1[0:19]}; VAR3[32:63]<={{12{VAR1[32]}},VAR1[32:51]}; VAR3[64:95]<={{12{VAR1[64]}},VAR1[64:83]}; VAR3[96:127]<={{12{VAR1[96]}},VAR1[96:115]}; end 5'd13: begin VAR3[0:31]<={{13{VAR1[0]}},VAR1[0:18]}; VAR3[32:63]<={{13{VAR1[32]}},VAR1[32:50]}; VAR3[64:95]<={{13{VAR1[64]}},VAR1[64:82]}; VAR3[96:127]<={{13{VAR1[96]}},VAR1[96:114]}; end 5'd14: begin VAR3[0:31]<={{14{VAR1[0]}},VAR1[0:17]}; VAR3[32:63]<={{14{VAR1[32]}},VAR1[32:49]}; VAR3[64:95]<={{14{VAR1[64]}},VAR1[64:81]}; VAR3[96:127]<={{14{VAR1[96]}},VAR1[96:113]}; end 5'd15: begin VAR3[0:31]<={{15{VAR1[0]}},VAR1[0:16]}; VAR3[32:63]<={{15{VAR1[32]}},VAR1[32:48]}; VAR3[64:95]<={{15{VAR1[64]}},VAR1[64:80]}; VAR3[96:127]<={{15{VAR1[96]}},VAR1[96:112]}; end 5'd16: begin VAR3[0:31]<={{16{VAR1[0]}},VAR1[0:15]}; VAR3[32:63]<={{16{VAR1[32]}},VAR1[32:47]}; VAR3[64:95]<={{16{VAR1[64]}},VAR1[64:79]}; VAR3[96:127]<={{16{VAR1[96]}},VAR1[96:111]}; end 5'd17: begin VAR3[0:31]<={{17{VAR1[0]}},VAR1[0:14]}; VAR3[32:63]<={{17{VAR1[32]}},VAR1[32:46]}; VAR3[64:95]<={{17{VAR1[64]}},VAR1[64:78]}; VAR3[96:127]<={{17{VAR1[96]}},VAR1[96:110]}; end 5'd18: begin VAR3[0:31]<={{18{VAR1[0]}},VAR1[0:13]}; VAR3[32:63]<={{18{VAR1[32]}},VAR1[32:45]}; VAR3[64:95]<={{18{VAR1[64]}},VAR1[64:77]}; VAR3[96:127]<={{18{VAR1[96]}},VAR1[96:109]}; end 5'd19: begin VAR3[0:31]<={{19{VAR1[0]}},VAR1[0:12]}; VAR3[32:63]<={{19{VAR1[32]}},VAR1[32:44]}; VAR3[64:95]<={{19{VAR1[64]}},VAR1[64:76]}; VAR3[96:127]<={{19{VAR1[96]}},VAR1[96:108]}; end 5'd20: begin VAR3[0:31]<={{20{VAR1[0]}},VAR1[0:11]}; VAR3[32:63]<={{20{VAR1[32]}},VAR1[32:43]}; VAR3[64:95]<={{20{VAR1[64]}},VAR1[64:75]}; VAR3[96:127]<={{20{VAR1[96]}},VAR1[96:107]}; end 5'd21: begin VAR3[0:31]<={{21{VAR1[0]}},VAR1[0:10]}; VAR3[32:63]<={{21{VAR1[32]}},VAR1[32:42]}; VAR3[64:95]<={{21{VAR1[64]}},VAR1[64:74]}; VAR3[96:127]<={{21{VAR1[96]}},VAR1[96:106]}; end 5'd22: begin VAR3[0:31]<={{22{VAR1[0]}},VAR1[0:9]}; VAR3[32:63]<={{22{VAR1[32]}},VAR1[32:41]}; VAR3[64:95]<={{22{VAR1[64]}},VAR1[64:73]}; VAR3[96:127]<={{22{VAR1[96]}},VAR1[96:105]}; end 5'd23: begin VAR3[0:31]<={{23{VAR1[0]}},VAR1[0:8]}; VAR3[32:63]<={{23{VAR1[32]}},VAR1[32:40]}; VAR3[64:95]<={{23{VAR1[64]}},VAR1[64:72]}; VAR3[96:127]<={{23{VAR1[96]}},VAR1[96:104]}; end 5'd24: begin VAR3[0:31]<={{24{VAR1[0]}},VAR1[0:7]}; VAR3[32:63]<={{24{VAR1[32]}},VAR1[32:39]}; VAR3[64:95]<={{24{VAR1[64]}},VAR1[64:71]}; VAR3[96:127]<={{24{VAR1[96]}},VAR1[96:103]}; end 5'd25: begin VAR3[0:31]<={{25{VAR1[0]}},VAR1[0:6]}; VAR3[32:63]<={{25{VAR1[32]}},VAR1[32:38]}; VAR3[64:95]<={{25{VAR1[64]}},VAR1[64:70]}; VAR3[96:127]<={{25{VAR1[96]}},VAR1[96:102]}; end 5'd26: begin VAR3[0:31]<={{26{VAR1[0]}},VAR1[0:5]}; VAR3[32:63]<={{26{VAR1[32]}},VAR1[32:37]}; VAR3[64:95]<={{26{VAR1[64]}},VAR1[64:69]}; VAR3[96:127]<={{26{VAR1[96]}},VAR1[96:101]}; end 5'd27: begin VAR3[0:31]<={{27{VAR1[0]}},VAR1[0:4]}; VAR3[32:63]<={{27{VAR1[32]}},VAR1[32:36]}; VAR3[64:95]<={{27{VAR1[64]}},VAR1[64:68]}; VAR3[96:127]<={{27{VAR1[96]}},VAR1[96:100]}; end 5'd28: begin VAR3[0:31]<={{28{VAR1[0]}},VAR1[0:3]}; VAR3[32:63]<={{28{VAR1[32]}},VAR1[32:35]}; VAR3[64:95]<={{28{VAR1[64]}},VAR1[64:67]}; VAR3[96:127]<={{28{VAR1[96]}},VAR1[96:99]}; end 5'd29: begin VAR3[0:31]<={{29{VAR1[0]}},VAR1[0:2]}; VAR3[32:63]<={{29{VAR1[32]}},VAR1[32:34]}; VAR3[64:95]<={{29{VAR1[64]}},VAR1[64:66]}; VAR3[96:127]<={{29{VAR1[96]}},VAR1[96:98]}; end 5'd30: begin VAR3[0:31]<={{30{VAR1[0]}},VAR1[0:1]}; VAR3[32:63]<={{30{VAR1[32]}},VAR1[32:33]}; VAR3[64:95]<={{30{VAR1[64]}},VAR1[64:65]}; VAR3[96:127]<={{30{VAR1[96]}},VAR1[96:97]}; end 5'd31: begin VAR3[0:31]<={{31{VAR1[0]}},VAR1[0]}; VAR3[32:63]<={{31{VAR1[32]}},VAR1[32]}; VAR3[64:95]<={{31{VAR1[64]}},VAR1[64]}; VAR3[96:127]<={{31{VAR1[96]}},VAR1[96]}; end default: begin VAR3<=128'b0; end endcase end default: begin VAR3<=128'b0; end endcase end begin case(VAR2) begin case(VAR8[5:7]) 3'd0: VAR3[0:7]<=VAR1[0:7]; 3'd1: VAR3[0:7]<={{1{VAR1[0]}},VAR1[0:6]}; 3'd2: VAR3[0:7]<={{2{VAR1[0]}},VAR1[0:5]}; 3'd3: VAR3[0:7]<={{3{VAR1[0]}},VAR1[0:4]}; 3'd4: VAR3[0:7]<={{4{VAR1[0]}},VAR1[0:3]}; 3'd5: VAR3[0:7]<={{5{VAR1[0]}},VAR1[0:2]}; 3'd6: VAR3[0:7]<={{6{VAR1[0]}},VAR1[0:1]}; 3'd7: VAR3[0:7]<={{7{VAR1[0]}},VAR1[0]}; default: VAR3[0:7]<=8'b0; endcase case(VAR8[13:15]) 3'd0: VAR3[8:15]<=VAR1[8:15]; 3'd1: VAR3[8:15]<={{1{VAR1[8]}},VAR1[8:14]}; 3'd2: VAR3[8:15]<={{2{VAR1[8]}},VAR1[8:13]}; 3'd3: VAR3[8:15]<={{3{VAR1[8]}},VAR1[8:12]}; 3'd4: VAR3[8:15]<={{4{VAR1[8]}},VAR1[8:11]}; 3'd5: VAR3[8:15]<={{5{VAR1[8]}},VAR1[8:10]}; 3'd6: VAR3[8:15]<={{6{VAR1[8]}},VAR1[8:9]}; 3'd7: VAR3[8:15]<={{7{VAR1[8]}},VAR1[8]}; default: VAR3[8:15]<=8'b0; endcase case(VAR8[21:23]) 3'd0: VAR3[16:23]<=VAR1[16:23]; 3'd1: VAR3[16:23]<={{1{VAR1[16]}},VAR1[16:22]}; 3'd2: VAR3[16:23]<={{2{VAR1[16]}},VAR1[16:21]}; 3'd3: VAR3[16:23]<={{3{VAR1[16]}},VAR1[16:20]}; 3'd4: VAR3[16:23]<={{4{VAR1[16]}},VAR1[16:19]}; 3'd5: VAR3[16:23]<={{5{VAR1[16]}},VAR1[16:18]}; 3'd6: VAR3[16:23]<={{6{VAR1[16]}},VAR1[16:17]}; 3'd7: VAR3[16:23]<={{7{VAR1[16]}},VAR1[16]}; default: VAR3[16:23]<=8'b0; endcase case(VAR8[29:31]) 3'd0: VAR3[24:31]<=VAR1[24:31]; 3'd1: VAR3[24:31]<={{1{VAR1[24]}},VAR1[24:30]}; 3'd2: VAR3[24:31]<={{2{VAR1[24]}},VAR1[24:29]}; 3'd3: VAR3[24:31]<={{3{VAR1[24]}},VAR1[24:28]}; 3'd4: VAR3[24:31]<={{4{VAR1[24]}},VAR1[24:27]}; 3'd5: VAR3[24:31]<={{5{VAR1[24]}},VAR1[24:26]}; 3'd6: VAR3[24:31]<={{6{VAR1[24]}},VAR1[24:25]}; 3'd7: VAR3[24:31]<={{7{VAR1[24]}},VAR1[24]}; default: VAR3[24:31]<=8'b0; endcase case(VAR8[37:39]) 3'd0: VAR3[32:39]<=VAR1[32:39]; 3'd1: VAR3[32:39]<={{1{VAR1[32]}},VAR1[32:38]}; 3'd2: VAR3[32:39]<={{2{VAR1[32]}},VAR1[32:37]}; 3'd3: VAR3[32:39]<={{3{VAR1[32]}},VAR1[32:36]}; 3'd4: VAR3[32:39]<={{4{VAR1[32]}},VAR1[32:35]}; 3'd5: VAR3[32:39]<={{5{VAR1[32]}},VAR1[32:34]}; 3'd6: VAR3[32:39]<={{6{VAR1[32]}},VAR1[32:33]}; 3'd7: VAR3[32:39]<={{7{VAR1[32]}},VAR1[32]}; default: VAR3[32:39]<=8'b0; endcase case(VAR8[45:47]) 3'd0: VAR3[40:47]<=VAR1[40:47]; 3'd1: VAR3[40:47]<={{1{VAR1[40]}},VAR1[40:46]}; 3'd2: VAR3[40:47]<={{2{VAR1[40]}},VAR1[40:45]}; 3'd3: VAR3[40:47]<={{3{VAR1[40]}},VAR1[40:44]}; 3'd4: VAR3[40:47]<={{4{VAR1[40]}},VAR1[40:43]}; 3'd5: VAR3[40:47]<={{5{VAR1[40]}},VAR1[40:42]}; 3'd6: VAR3[40:47]<={{6{VAR1[40]}},VAR1[40:41]}; 3'd7: VAR3[40:47]<={{7{VAR1[40]}},VAR1[40]}; default: VAR3[40:47]<=8'b0; endcase case(VAR8[53:55]) 3'd0: VAR3[48:55]<=VAR1[48:55]; 3'd1: VAR3[48:55]<={{1{VAR1[48]}},VAR1[48:54]}; 3'd2: VAR3[48:55]<={{2{VAR1[48]}},VAR1[48:53]}; 3'd3: VAR3[48:55]<={{3{VAR1[48]}},VAR1[48:52]}; 3'd4: VAR3[48:55]<={{4{VAR1[48]}},VAR1[48:51]}; 3'd5: VAR3[48:55]<={{5{VAR1[48]}},VAR1[48:50]}; 3'd6: VAR3[48:55]<={{6{VAR1[48]}},VAR1[48:49]}; 3'd7: VAR3[48:55]<={{7{VAR1[48]}},VAR1[48]}; default: VAR3[48:55]<=8'b0; endcase case(VAR8[61:63]) 3'd0: VAR3[56:63]<=VAR1[56:63]; 3'd1: VAR3[56:63]<={{1{VAR1[56]}},VAR1[56:62]}; 3'd2: VAR3[56:63]<={{2{VAR1[56]}},VAR1[56:61]}; 3'd3: VAR3[56:63]<={{3{VAR1[56]}},VAR1[56:60]}; 3'd4: VAR3[56:63]<={{4{VAR1[56]}},VAR1[56:59]}; 3'd5: VAR3[56:63]<={{5{VAR1[56]}},VAR1[56:58]}; 3'd6: VAR3[56:63]<={{6{VAR1[56]}},VAR1[56:57]}; 3'd7: VAR3[56:63]<={{7{VAR1[56]}},VAR1[56]}; default: VAR3[56:63]<=8'b0; endcase case(VAR8[69:71]) 3'd0: VAR3[64:71]<=VAR1[64:71]; 3'd1: VAR3[64:71]<={{1{VAR1[64]}},VAR1[64:70]}; 3'd2: VAR3[64:71]<={{2{VAR1[64]}},VAR1[64:69]}; 3'd3: VAR3[64:71]<={{3{VAR1[64]}},VAR1[64:68]}; 3'd4: VAR3[64:71]<={{4{VAR1[64]}},VAR1[64:67]}; 3'd5: VAR3[64:71]<={{5{VAR1[64]}},VAR1[64:66]}; 3'd6: VAR3[64:71]<={{6{VAR1[64]}},VAR1[64:65]}; 3'd7: VAR3[64:71]<={{7{VAR1[64]}},VAR1[64]}; default: VAR3[64:71]<=8'b0; endcase case(VAR8[77:79]) 3'd0: VAR3[72:79]<=VAR1[72:79]; 3'd1: VAR3[72:79]<={{1{VAR1[72]}},VAR1[72:78]}; 3'd2: VAR3[72:79]<={{2{VAR1[72]}},VAR1[72:77]}; 3'd3: VAR3[72:79]<={{3{VAR1[72]}},VAR1[72:76]}; 3'd4: VAR3[72:79]<={{4{VAR1[72]}},VAR1[72:75]}; 3'd5: VAR3[72:79]<={{5{VAR1[72]}},VAR1[72:74]}; 3'd6: VAR3[72:79]<={{6{VAR1[72]}},VAR1[72:73]}; 3'd7: VAR3[72:79]<={{7{VAR1[72]}},VAR1[72]}; default: VAR3[72:79]<=8'b0; endcase case(VAR8[85:87]) 3'd0: VAR3[80:87]<=VAR1[80:87]; 3'd1: VAR3[80:87]<={{1{VAR1[80]}},VAR1[80:86]}; 3'd2: VAR3[80:87]<={{2{VAR1[80]}},VAR1[80:85]}; 3'd3: VAR3[80:87]<={{3{VAR1[80]}},VAR1[80:84]}; 3'd4: VAR3[80:87]<={{4{VAR1[80]}},VAR1[80:83]}; 3'd5: VAR3[80:87]<={{5{VAR1[80]}},VAR1[80:82]}; 3'd6: VAR3[80:87]<={{6{VAR1[80]}},VAR1[80:81]}; 3'd7: VAR3[80:87]<={{7{VAR1[80]}},VAR1[80]}; default: VAR3[80:87]<=8'b0; endcase case(VAR8[93:95]) 3'd0: VAR3[88:95]<=VAR1[88:95]; 3'd1: VAR3[88:95]<={{1{VAR1[88]}},VAR1[88:94]}; 3'd2: VAR3[88:95]<={{2{VAR1[88]}},VAR1[88:93]}; 3'd3: VAR3[88:95]<={{3{VAR1[88]}},VAR1[88:92]}; 3'd4: VAR3[88:95]<={{4{VAR1[88]}},VAR1[88:91]}; 3'd5: VAR3[88:95]<={{5{VAR1[88]}},VAR1[88:90]}; 3'd6: VAR3[88:95]<={{6{VAR1[88]}},VAR1[88:89]}; 3'd7: VAR3[88:95]<={{7{VAR1[88]}},VAR1[88]}; default: VAR3[88:95]<=8'b0; endcase case(VAR8[101:103]) 3'd0: VAR3[96:103]<=VAR1[96:103]; 3'd1: VAR3[96:103]<={{1{VAR1[96]}},VAR1[96:102]}; 3'd2: VAR3[96:103]<={{2{VAR1[96]}},VAR1[96:101]}; 3'd3: VAR3[96:103]<={{3{VAR1[96]}},VAR1[96:100]}; 3'd4: VAR3[96:103]<={{4{VAR1[96]}},VAR1[96:99]}; 3'd5: VAR3[96:103]<={{5{VAR1[96]}},VAR1[96:98]}; 3'd6: VAR3[96:103]<={{6{VAR1[96]}},VAR1[96:97]}; 3'd7: VAR3[96:103]<={{7{VAR1[96]}},VAR1[96]}; default: VAR3[96:103]<=8'b0; endcase case(VAR8[109:111]) 3'd0: VAR3[104:111]<=VAR1[104:111]; 3'd1: VAR3[104:111]<={{1{VAR1[104]}},VAR1[104:110]}; 3'd2: VAR3[104:111]<={{2{VAR1[104]}},VAR1[104:109]}; 3'd3: VAR3[104:111]<={{3{VAR1[104]}},VAR1[104:108]}; 3'd4: VAR3[104:111]<={{4{VAR1[104]}},VAR1[104:107]}; 3'd5: VAR3[104:111]<={{5{VAR1[104]}},VAR1[104:106]}; 3'd6: VAR3[104:111]<={{6{VAR1[104]}},VAR1[104:105]}; 3'd7: VAR3[104:111]<={{7{VAR1[104]}},VAR1[104]}; default: VAR3[104:111]<=8'b0; endcase case(VAR8[117:119]) 3'd0: VAR3[112:119]<=VAR1[112:119]; 3'd1: VAR3[112:119]<={{1{VAR1[112]}},VAR1[112:118]}; 3'd2: VAR3[112:119]<={{2{VAR1[112]}},VAR1[112:117]}; 3'd3: VAR3[112:119]<={{3{VAR1[112]}},VAR1[112:116]}; 3'd4: VAR3[112:119]<={{4{VAR1[112]}},VAR1[112:115]}; 3'd5: VAR3[112:119]<={{5{VAR1[112]}},VAR1[112:114]}; 3'd6: VAR3[112:119]<={{6{VAR1[112]}},VAR1[112:113]}; 3'd7: VAR3[112:119]<={{7{VAR1[112]}},VAR1[112]}; default: VAR3[112:119]<=8'b0; endcase case(VAR8[125:127]) 3'd0: VAR3[120:127]<=VAR1[120:127]; 3'd1: VAR3[120:127]<={{1{VAR1[120]}},VAR1[120:126]}; 3'd2: VAR3[120:127]<={{2{VAR1[120]}},VAR1[120:125]}; 3'd3: VAR3[120:127]<={{3{VAR1[120]}},VAR1[120:124]}; 3'd4: VAR3[120:127]<={{4{VAR1[120]}},VAR1[120:123]}; 3'd5: VAR3[120:127]<={{5{VAR1[120]}},VAR1[120:122]}; 3'd6: VAR3[120:127]<={{6{VAR1[120]}},VAR1[120:121]}; 3'd7: VAR3[120:127]<={{7{VAR1[120]}},VAR1[120]}; default: VAR3[120:127]<=8'b0; endcase end begin case(VAR8[12:15]) 4'd0: VAR3[0:15]<=VAR1[0:15]; 4'd1: VAR3[0:15]<={{1{VAR1[0]}},VAR1[0:14]}; 4'd2: VAR3[0:15]<={{2{VAR1[0]}},VAR1[0:13]}; 4'd3: VAR3[0:15]<={{3{VAR1[0]}},VAR1[0:12]}; 4'd4: VAR3[0:15]<={{4{VAR1[0]}},VAR1[0:11]}; 4'd5: VAR3[0:15]<={{5{VAR1[0]}},VAR1[0:10]}; 4'd6: VAR3[0:15]<={{6{VAR1[0]}},VAR1[0:9]}; 4'd7: VAR3[0:15]<={{7{VAR1[0]}},VAR1[0:8]}; 4'd8: VAR3[0:15]<={{8{VAR1[0]}},VAR1[0:7]}; 4'd9: VAR3[0:15]<={{9{VAR1[0]}},VAR1[0:6]}; 4'd10: VAR3[0:15]<={{10{VAR1[0]}},VAR1[0:5]}; 4'd11: VAR3[0:15]<={{11{VAR1[0]}},VAR1[0:4]}; 4'd12: VAR3[0:15]<={{12{VAR1[0]}},VAR1[0:3]}; 4'd13: VAR3[0:15]<={{13{VAR1[0]}},VAR1[0:2]}; 4'd14: VAR3[0:15]<={{14{VAR1[0]}},VAR1[0:1]}; 4'd15: VAR3[0:15]<={{15{VAR1[0]}},VAR1[0]}; default: VAR3[0:15]<=16'b0; endcase case(VAR8[28:31]) 4'd0: VAR3[16:31]<=VAR1[16:31]; 4'd1: VAR3[16:31]<={{1{VAR1[16]}},VAR1[16:30]}; 4'd2: VAR3[16:31]<={{2{VAR1[16]}},VAR1[16:29]}; 4'd3: VAR3[16:31]<={{3{VAR1[16]}},VAR1[16:28]}; 4'd4: VAR3[16:31]<={{4{VAR1[16]}},VAR1[16:27]}; 4'd5: VAR3[16:31]<={{5{VAR1[16]}},VAR1[16:26]}; 4'd6: VAR3[16:31]<={{6{VAR1[16]}},VAR1[16:25]}; 4'd7: VAR3[16:31]<={{7{VAR1[16]}},VAR1[16:24]}; 4'd8: VAR3[16:31]<={{8{VAR1[16]}},VAR1[16:23]}; 4'd9: VAR3[16:31]<={{9{VAR1[16]}},VAR1[16:22]}; 4'd10: VAR3[16:31]<={{10{VAR1[16]}},VAR1[16:21]}; 4'd11: VAR3[16:31]<={{11{VAR1[16]}},VAR1[16:20]}; 4'd12: VAR3[16:31]<={{12{VAR1[16]}},VAR1[16:19]}; 4'd13: VAR3[16:31]<={{13{VAR1[16]}},VAR1[16:18]}; 4'd14: VAR3[16:31]<={{14{VAR1[16]}},VAR1[16:17]}; 4'd15: VAR3[16:31]<={{15{VAR1[16]}},VAR1[16]}; default: VAR3[16:31]<=16'b0; endcase case(VAR8[44:47]) 4'd0: VAR3[32:47]<=VAR1[32:47]; 4'd1: VAR3[32:47]<={{1{VAR1[32]}},VAR1[32:46]}; 4'd2: VAR3[32:47]<={{2{VAR1[32]}},VAR1[32:45]}; 4'd3: VAR3[32:47]<={{3{VAR1[32]}},VAR1[32:44]}; 4'd4: VAR3[32:47]<={{4{VAR1[32]}},VAR1[32:43]}; 4'd5: VAR3[32:47]<={{5{VAR1[32]}},VAR1[32:42]}; 4'd6: VAR3[32:47]<={{6{VAR1[32]}},VAR1[32:41]}; 4'd7: VAR3[32:47]<={{7{VAR1[32]}},VAR1[32:40]}; 4'd8: VAR3[32:47]<={{8{VAR1[32]}},VAR1[32:39]}; 4'd9: VAR3[32:47]<={{9{VAR1[32]}},VAR1[32:38]}; 4'd10: VAR3[32:47]<={{10{VAR1[32]}},VAR1[32:37]}; 4'd11: VAR3[32:47]<={{11{VAR1[32]}},VAR1[32:36]}; 4'd12: VAR3[32:47]<={{12{VAR1[32]}},VAR1[32:35]}; 4'd13: VAR3[32:47]<={{13{VAR1[32]}},VAR1[32:34]}; 4'd14: VAR3[32:47]<={{14{VAR1[32]}},VAR1[32:33]}; 4'd15: VAR3[32:47]<={{15{VAR1[32]}},VAR1[32]}; endcase case(VAR8[60:63]) 4'd0: VAR3[48:63]<=VAR1[48:63]; 4'd1: VAR3[48:63]<={{1{VAR1[48]}},VAR1[48:62]}; 4'd2: VAR3[48:63]<={{2{VAR1[48]}},VAR1[48:61]}; 4'd3: VAR3[48:63]<={{3{VAR1[48]}},VAR1[48:60]}; 4'd4: VAR3[48:63]<={{4{VAR1[48]}},VAR1[48:59]}; 4'd5: VAR3[48:63]<={{5{VAR1[48]}},VAR1[48:58]}; 4'd6: VAR3[48:63]<={{6{VAR1[48]}},VAR1[48:57]}; 4'd7: VAR3[48:63]<={{7{VAR1[48]}},VAR1[48:56]}; 4'd8: VAR3[48:63]<={{8{VAR1[48]}},VAR1[48:55]}; 4'd9: VAR3[48:63]<={{9{VAR1[48]}},VAR1[48:54]}; 4'd10: VAR3[48:63]<={{10{VAR1[48]}},VAR1[48:53]}; 4'd11: VAR3[48:63]<={{11{VAR1[48]}},VAR1[48:52]}; 4'd12: VAR3[48:63]<={{12{VAR1[48]}},VAR1[48:51]}; 4'd13: VAR3[48:63]<={{13{VAR1[48]}},VAR1[48:50]}; 4'd14: VAR3[48:63]<={{14{VAR1[48]}},VAR1[48:49]}; 4'd15: VAR3[48:63]<={{15{VAR1[48]}},VAR1[48]}; default: VAR3[48:63]<=16'b0; endcase case(VAR8[76:79]) 4'd0: VAR3[64:79]<=VAR1[64:79]; 4'd1: VAR3[64:79]<={{1{VAR1[64]}},VAR1[64:78]}; 4'd2: VAR3[64:79]<={{2{VAR1[64]}},VAR1[64:77]}; 4'd3: VAR3[64:79]<={{3{VAR1[64]}},VAR1[64:76]}; 4'd4: VAR3[64:79]<={{4{VAR1[64]}},VAR1[64:75]}; 4'd5: VAR3[64:79]<={{5{VAR1[64]}},VAR1[64:74]}; 4'd6: VAR3[64:79]<={{6{VAR1[64]}},VAR1[64:73]}; 4'd7: VAR3[64:79]<={{7{VAR1[64]}},VAR1[64:72]}; 4'd8: VAR3[64:79]<={{8{VAR1[64]}},VAR1[64:71]}; 4'd9: VAR3[64:79]<={{9{VAR1[64]}},VAR1[64:70]}; 4'd10: VAR3[64:79]<={{10{VAR1[64]}},VAR1[64:69]}; 4'd11: VAR3[64:79]<={{11{VAR1[64]}},VAR1[64:68]}; 4'd12: VAR3[64:79]<={{12{VAR1[64]}},VAR1[64:67]}; 4'd13: VAR3[64:79]<={{13{VAR1[64]}},VAR1[64:66]}; 4'd14: VAR3[64:79]<={{14{VAR1[64]}},VAR1[64:65]}; 4'd15: VAR3[64:79]<={{15{VAR1[64]}},VAR1[64]}; default: VAR3[64:79]<=16'b0; endcase case(VAR8[92:95]) 4'd0: VAR3[80:95]<=VAR1[80:95]; 4'd1: VAR3[80:95]<={{1{VAR1[80]}},VAR1[80:94]}; 4'd2: VAR3[80:95]<={{2{VAR1[80]}},VAR1[80:93]}; 4'd3: VAR3[80:95]<={{3{VAR1[80]}},VAR1[80:92]}; 4'd4: VAR3[80:95]<={{4{VAR1[80]}},VAR1[80:91]}; 4'd5: VAR3[80:95]<={{5{VAR1[80]}},VAR1[80:90]}; 4'd6: VAR3[80:95]<={{6{VAR1[80]}},VAR1[80:89]}; 4'd7: VAR3[80:95]<={{7{VAR1[80]}},VAR1[80:88]}; 4'd8: VAR3[80:95]<={{8{VAR1[80]}},VAR1[80:87]}; 4'd9: VAR3[80:95]<={{9{VAR1[80]}},VAR1[80:86]}; 4'd10: VAR3[80:95]<={{10{VAR1[80]}},VAR1[80:85]}; 4'd11: VAR3[80:95]<={{11{VAR1[80]}},VAR1[80:84]}; 4'd12: VAR3[80:95]<={{12{VAR1[80]}},VAR1[80:83]}; 4'd13: VAR3[80:95]<={{13{VAR1[80]}},VAR1[80:82]}; 4'd14: VAR3[80:95]<={{14{VAR1[80]}},VAR1[80:81]}; 4'd15: VAR3[80:95]<={{15{VAR1[80]}},VAR1[80]}; default: VAR3[80:95]<=16'b0; endcase case(VAR8[92:111]) 4'd0: VAR3[96:111]<=VAR1[96:111]; 4'd1: VAR3[96:111]<={{1{VAR1[96]}},VAR1[96:110]}; 4'd2: VAR3[96:111]<={{2{VAR1[96]}},VAR1[96:109]}; 4'd3: VAR3[96:111]<={{3{VAR1[96]}},VAR1[96:108]}; 4'd4: VAR3[96:111]<={{4{VAR1[96]}},VAR1[96:107]}; 4'd5: VAR3[96:111]<={{5{VAR1[96]}},VAR1[96:106]}; 4'd6: VAR3[96:111]<={{6{VAR1[96]}},VAR1[96:105]}; 4'd7: VAR3[96:111]<={{7{VAR1[96]}},VAR1[96:104]}; 4'd8: VAR3[96:111]<={{8{VAR1[96]}},VAR1[96:103]}; 4'd9: VAR3[96:111]<={{9{VAR1[96]}},VAR1[96:102]}; 4'd10: VAR3[96:111]<={{10{VAR1[96]}},VAR1[96:101]}; 4'd11: VAR3[96:111]<={{11{VAR1[96]}},VAR1[96:100]}; 4'd12: VAR3[96:111]<={{12{VAR1[96]}},VAR1[96:99]}; 4'd13: VAR3[96:111]<={{13{VAR1[96]}},VAR1[96:98]}; 4'd14: VAR3[96:111]<={{14{VAR1[96]}},VAR1[96:97]}; 4'd15: VAR3[96:111]<={{15{VAR1[96]}},VAR1[96]}; default: VAR3[96:111]<=16'b0; endcase case(VAR8[92:127]) 4'd0: VAR3[112:127]<=VAR1[112:127]; 4'd1: VAR3[112:127]<={{1{VAR1[112]}},VAR1[112:126]}; 4'd2: VAR3[112:127]<={{2{VAR1[112]}},VAR1[112:125]}; 4'd3: VAR3[112:127]<={{3{VAR1[112]}},VAR1[112:124]}; 4'd4: VAR3[112:127]<={{4{VAR1[112]}},VAR1[112:123]}; 4'd5: VAR3[112:127]<={{5{VAR1[112]}},VAR1[112:122]}; 4'd6: VAR3[112:127]<={{6{VAR1[112]}},VAR1[112:121]}; 4'd7: VAR3[112:127]<={{7{VAR1[112]}},VAR1[112:120]}; 4'd8: VAR3[112:127]<={{8{VAR1[112]}},VAR1[112:119]}; 4'd9: VAR3[112:127]<={{9{VAR1[112]}},VAR1[112:118]}; 4'd10: VAR3[112:127]<={{10{VAR1[112]}},VAR1[112:117]}; 4'd11: VAR3[112:127]<={{11{VAR1[112]}},VAR1[112:116]}; 4'd12: VAR3[112:127]<={{12{VAR1[112]}},VAR1[112:115]}; 4'd13: VAR3[112:127]<={{13{VAR1[112]}},VAR1[112:114]}; 4'd14: VAR3[112:127]<={{14{VAR1[112]}},VAR1[112:113]}; 4'd15: VAR3[112:127]<={{15{VAR1[112]}},VAR1[112]}; default: VAR3[112:127]<=16'b0; endcase end begin case(VAR8[27:31]) 5'd0: VAR3[0:31]<=VAR1[0:31]; 5'd1: VAR3[0:31]<={{1{VAR1[0]}},VAR1[0:30]}; 5'd2: VAR3[0:31]<={{2{VAR1[0]}},VAR1[0:29]}; 5'd3: VAR3[0:31]<={{3{VAR1[0]}},VAR1[0:28]}; 5'd4: VAR3[0:31]<={{4{VAR1[0]}},VAR1[0:27]}; 5'd5: VAR3[0:31]<={{5{VAR1[0]}},VAR1[0:26]}; 5'd6: VAR3[0:31]<={{6{VAR1[0]}},VAR1[0:25]}; 5'd7: VAR3[0:31]<={{7{VAR1[0]}},VAR1[0:24]}; 5'd8: VAR3[0:31]<={{8{VAR1[0]}},VAR1[0:23]}; 5'd9: VAR3[0:31]<={{9{VAR1[0]}},VAR1[0:22]}; 5'd10: VAR3[0:31]<={{10{VAR1[0]}},VAR1[0:21]}; 5'd11: VAR3[0:31]<={{11{VAR1[0]}},VAR1[0:20]}; 5'd12: VAR3[0:31]<={{12{VAR1[0]}},VAR1[0:19]}; 5'd13: VAR3[0:31]<={{13{VAR1[0]}},VAR1[0:18]}; 5'd14: VAR3[0:31]<={{14{VAR1[0]}},VAR1[0:17]}; 5'd15: VAR3[0:31]<={{15{VAR1[0]}},VAR1[0:16]}; 5'd16: VAR3[0:31]<={{16{VAR1[0]}},VAR1[0:15]}; 5'd17: VAR3[0:31]<={{17{VAR1[0]}},VAR1[0:14]}; 5'd18: VAR3[0:31]<={{18{VAR1[0]}},VAR1[0:13]}; 5'd19: VAR3[0:31]<={{19{VAR1[0]}},VAR1[0:12]}; 5'd20: VAR3[0:31]<={{20{VAR1[0]}},VAR1[0:11]}; 5'd21: VAR3[0:31]<={{21{VAR1[0]}},VAR1[0:10]}; 5'd22: VAR3[0:31]<={{22{VAR1[0]}},VAR1[0:9]}; 5'd23: VAR3[0:31]<={{23{VAR1[0]}},VAR1[0:8]}; 5'd24: VAR3[0:31]<={{24{VAR1[0]}},VAR1[0:7]}; 5'd25: VAR3[0:31]<={{25{VAR1[0]}},VAR1[0:6]}; 5'd26: VAR3[0:31]<={{26{VAR1[0]}},VAR1[0:5]}; 5'd27: VAR3[0:31]<={{27{VAR1[0]}},VAR1[0:4]}; 5'd28: VAR3[0:31]<={{28{VAR1[0]}},VAR1[0:3]}; 5'd29: VAR3[0:31]<={{29{VAR1[0]}},VAR1[0:2]}; 5'd30: VAR3[0:31]<={{30{VAR1[0]}},VAR1[0:1]}; 5'd31: VAR3[0:31]<={{31{VAR1[0]}},VAR1[0]}; default: VAR3[0:31]<=32'b0; endcase case(VAR8[59:63]) 5'd0: VAR3[32:63]<=VAR1[32:63]; 5'd1: VAR3[32:63]<={{1{VAR1[32]}},VAR1[32:62]}; 5'd2: VAR3[32:63]<={{2{VAR1[32]}},VAR1[32:61]}; 5'd3: VAR3[32:63]<={{3{VAR1[32]}},VAR1[32:60]}; 5'd4: VAR3[32:63]<={{4{VAR1[32]}},VAR1[32:59]}; 5'd5: VAR3[32:63]<={{5{VAR1[32]}},VAR1[32:58]}; 5'd6: VAR3[32:63]<={{6{VAR1[32]}},VAR1[32:57]}; 5'd7: VAR3[32:63]<={{7{VAR1[32]}},VAR1[32:56]}; 5'd8: VAR3[32:63]<={{8{VAR1[32]}},VAR1[32:55]}; 5'd9: VAR3[32:63]<={{9{VAR1[32]}},VAR1[32:54]}; 5'd10: VAR3[32:63]<={{10{VAR1[32]}},VAR1[32:53]}; 5'd11: VAR3[32:63]<={{11{VAR1[32]}},VAR1[32:52]}; 5'd12: VAR3[32:63]<={{12{VAR1[32]}},VAR1[32:51]}; 5'd13: VAR3[32:63]<={{13{VAR1[32]}},VAR1[32:50]}; 5'd14: VAR3[32:63]<={{14{VAR1[32]}},VAR1[32:49]}; 5'd15: VAR3[32:63]<={{15{VAR1[32]}},VAR1[32:48]}; 5'd16: VAR3[32:63]<={{16{VAR1[32]}},VAR1[32:47]}; 5'd17: VAR3[32:63]<={{17{VAR1[32]}},VAR1[32:46]}; 5'd18: VAR3[32:63]<={{18{VAR1[32]}},VAR1[32:45]}; 5'd19: VAR3[32:63]<={{19{VAR1[32]}},VAR1[32:44]}; 5'd20: VAR3[32:63]<={{20{VAR1[32]}},VAR1[32:43]}; 5'd21: VAR3[32:63]<={{21{VAR1[32]}},VAR1[32:42]}; 5'd22: VAR3[32:63]<={{22{VAR1[32]}},VAR1[32:41]}; 5'd23: VAR3[32:63]<={{23{VAR1[32]}},VAR1[32:40]}; 5'd24: VAR3[32:63]<={{24{VAR1[32]}},VAR1[32:39]}; 5'd25: VAR3[32:63]<={{25{VAR1[32]}},VAR1[32:38]}; 5'd26: VAR3[32:63]<={{26{VAR1[32]}},VAR1[32:37]}; 5'd27: VAR3[32:63]<={{27{VAR1[32]}},VAR1[32:36]}; 5'd28: VAR3[32:63]<={{28{VAR1[32]}},VAR1[32:35]}; 5'd29: VAR3[32:63]<={{29{VAR1[32]}},VAR1[32:34]}; 5'd30: VAR3[32:63]<={{30{VAR1[32]}},VAR1[32:33]}; 5'd31: VAR3[32:63]<={{31{VAR1[32]}},VAR1[32]}; default: VAR3[32:63]<=32'b0; endcase case(VAR8[91:95]) 5'd0: VAR3[64:95]<=VAR1[64:95]; 5'd1: VAR3[64:95]<={{1{VAR1[64]}},VAR1[64:94]}; 5'd2: VAR3[64:95]<={{2{VAR1[64]}},VAR1[64:93]}; 5'd3: VAR3[64:95]<={{3{VAR1[64]}},VAR1[64:92]}; 5'd4: VAR3[64:95]<={{4{VAR1[64]}},VAR1[64:91]}; 5'd5: VAR3[64:95]<={{5{VAR1[64]}},VAR1[64:90]}; 5'd6: VAR3[64:95]<={{6{VAR1[64]}},VAR1[64:89]}; 5'd7: VAR3[64:95]<={{7{VAR1[64]}},VAR1[64:88]}; 5'd8: VAR3[64:95]<={{8{VAR1[64]}},VAR1[64:87]}; 5'd9: VAR3[64:95]<={{9{VAR1[64]}},VAR1[64:86]}; 5'd10: VAR3[64:95]<={{10{VAR1[64]}},VAR1[64:85]}; 5'd11: VAR3[64:95]<={{11{VAR1[64]}},VAR1[64:84]}; 5'd12: VAR3[64:95]<={{12{VAR1[64]}},VAR1[64:83]}; 5'd13: VAR3[64:95]<={{13{VAR1[64]}},VAR1[64:82]}; 5'd14: VAR3[64:95]<={{14{VAR1[64]}},VAR1[64:81]}; 5'd15: VAR3[64:95]<={{15{VAR1[64]}},VAR1[64:80]}; 5'd16: VAR3[64:95]<={{16{VAR1[64]}},VAR1[64:79]}; 5'd17: VAR3[64:95]<={{17{VAR1[64]}},VAR1[64:78]}; 5'd18: VAR3[64:95]<={{18{VAR1[64]}},VAR1[64:77]}; 5'd19: VAR3[64:95]<={{19{VAR1[64]}},VAR1[64:76]}; 5'd20: VAR3[64:95]<={{20{VAR1[64]}},VAR1[64:75]}; 5'd21: VAR3[64:95]<={{21{VAR1[64]}},VAR1[64:74]}; 5'd22: VAR3[64:95]<={{22{VAR1[64]}},VAR1[64:73]}; 5'd23: VAR3[64:95]<={{23{VAR1[64]}},VAR1[64:72]}; 5'd24: VAR3[64:95]<={{24{VAR1[64]}},VAR1[64:71]}; 5'd25: VAR3[64:95]<={{25{VAR1[64]}},VAR1[64:70]}; 5'd26: VAR3[64:95]<={{26{VAR1[64]}},VAR1[64:69]}; 5'd27: VAR3[64:95]<={{27{VAR1[64]}},VAR1[64:68]}; 5'd28: VAR3[64:95]<={{28{VAR1[64]}},VAR1[64:67]}; 5'd29: VAR3[64:95]<={{29{VAR1[64]}},VAR1[64:66]}; 5'd30: VAR3[64:95]<={{30{VAR1[64]}},VAR1[64:65]}; 5'd31: VAR3[64:95]<={{31{VAR1[64]}},VAR1[64]}; default: VAR3[64:95]<=32'b0; endcase case(VAR8[123:127]) 5'd0: VAR3[96:127]<=VAR1[96:127]; 5'd1: VAR3[96:127]<={{1{VAR1[96]}},VAR1[96:126]}; 5'd2: VAR3[96:127]<={{2{VAR1[96]}},VAR1[96:125]}; 5'd3: VAR3[96:127]<={{3{VAR1[96]}},VAR1[96:124]}; 5'd4: VAR3[96:127]<={{4{VAR1[96]}},VAR1[96:123]}; 5'd5: VAR3[96:127]<={{5{VAR1[96]}},VAR1[96:122]}; 5'd6: VAR3[96:127]<={{6{VAR1[96]}},VAR1[96:121]}; 5'd7: VAR3[96:127]<={{7{VAR1[96]}},VAR1[96:120]}; 5'd8: VAR3[96:127]<={{8{VAR1[96]}},VAR1[96:119]}; 5'd9: VAR3[96:127]<={{9{VAR1[96]}},VAR1[96:118]}; 5'd10: VAR3[96:127]<={{10{VAR1[96]}},VAR1[96:117]}; 5'd11: VAR3[96:127]<={{11{VAR1[96]}},VAR1[96:116]}; 5'd12: VAR3[96:127]<={{12{VAR1[96]}},VAR1[96:115]}; 5'd13: VAR3[96:127]<={{13{VAR1[96]}},VAR1[96:114]}; 5'd14: VAR3[96:127]<={{14{VAR1[96]}},VAR1[96:113]}; 5'd15: VAR3[96:127]<={{15{VAR1[96]}},VAR1[96:112]}; 5'd16: VAR3[96:127]<={{16{VAR1[96]}},VAR1[96:111]}; 5'd17: VAR3[96:127]<={{17{VAR1[96]}},VAR1[96:110]}; 5'd18: VAR3[96:127]<={{18{VAR1[96]}},VAR1[96:109]}; 5'd19: VAR3[96:127]<={{19{VAR1[96]}},VAR1[96:108]}; 5'd20: VAR3[96:127]<={{20{VAR1[96]}},VAR1[96:107]}; 5'd21: VAR3[96:127]<={{21{VAR1[96]}},VAR1[96:106]}; 5'd22: VAR3[96:127]<={{22{VAR1[96]}},VAR1[96:105]}; 5'd23: VAR3[96:127]<={{23{VAR1[96]}},VAR1[96:104]}; 5'd24: VAR3[96:127]<={{24{VAR1[96]}},VAR1[96:103]}; 5'd25: VAR3[96:127]<={{25{VAR1[96]}},VAR1[96:102]}; 5'd26: VAR3[96:127]<={{26{VAR1[96]}},VAR1[96:101]}; 5'd27: VAR3[96:127]<={{27{VAR1[96]}},VAR1[96:100]}; 5'd28: VAR3[96:127]<={{28{VAR1[96]}},VAR1[96:99]}; 5'd29: VAR3[96:127]<={{29{VAR1[96]}},VAR1[96:98]}; 5'd30: VAR3[96:127]<={{30{VAR1[96]}},VAR1[96:97]}; 5'd31: VAR3[96:127]<={{31{VAR1[96]}},VAR1[96]}; default: VAR3[96:127]<=32'b0; endcase end default VAR3<=128'b0; endcase end default: begin VAR3<=128'd0; end endcase end endmodule
mit
hj3938/FPGA-Imaging-Library
BoardInit_AXI/hdl/BoardInit_AXI_v1_0.v
3,663
module MODULE1 # ( parameter integer VAR44 = 9, parameter integer VAR27 = 8, parameter integer VAR29 = 8, parameter integer VAR49 = 32, parameter integer VAR9 = 7 ) ( input wire VAR67, input wire VAR42, output wire VAR38, output wire VAR13, output wire[VAR27 - 1 : 0] VAR43, output wire[VAR27 - 1 : 0] VAR20, output wire[23 : 0] VAR34, output wire signed[VAR27 : 0] VAR6, output wire[3 : 0] VAR25, output wire VAR57, output wire[VAR29 * VAR29 - 1 : 0] VAR62, output wire[VAR29 * VAR29 - 1 : 0] VAR50, output wire[VAR44 - 1 : 0] VAR23,VAR7,VAR48,VAR10, output wire[1 : 0] VAR66, output wire signed[VAR44 : 0] VAR54, VAR75, output wire [23 : 0] VAR63, VAR5, output wire signed[24 : 0] VAR26, VAR51, output wire[8 : 0] VAR59, output wire[31 : 0] VAR31, input wire VAR52, input wire VAR24, input wire [VAR9-1 : 0] VAR69, input wire [2 : 0] VAR64, input wire VAR71, output wire VAR32, input wire [VAR49-1 : 0] VAR30, input wire [(VAR49/8)-1 : 0] VAR4, input wire VAR8, output wire VAR73, output wire [1 : 0] VAR60, output wire VAR56, input wire VAR17, input wire [VAR9-1 : 0] VAR19, input wire [2 : 0] VAR18, input wire VAR76, output wire VAR58, output wire [VAR49-1 : 0] VAR22, output wire [1 : 0] VAR70, output wire VAR55, input wire VAR12 ); VAR3 # ( .VAR44(VAR44), .VAR39(VAR49), .VAR40(VAR9) ) VAR28 ( .VAR67(VAR67), .VAR42(VAR42), .VAR38(VAR38), .VAR13(VAR13), .VAR43(VAR43), .VAR20(VAR20), .VAR6(VAR6), .VAR34(VAR34), .VAR25(VAR25), .VAR57(VAR57), .VAR62(VAR62), .VAR50(VAR50), .VAR23(VAR23), .VAR7(VAR7), .VAR48(VAR48), .VAR10(VAR10), .VAR66(VAR66), .VAR54(VAR54), .VAR75(VAR75), .VAR63(VAR63), .VAR5(VAR5), .VAR26(VAR26), .VAR51(VAR51), .VAR59(VAR59), .VAR31(VAR31), .VAR36(VAR52), .VAR46(VAR24), .VAR21(VAR69), .VAR1(VAR64), .VAR41(VAR71), .VAR65(VAR32), .VAR33(VAR30), .VAR37(VAR4), .VAR2(VAR8), .VAR11(VAR73), .VAR53(VAR60), .VAR74(VAR56), .VAR15(VAR17), .VAR16(VAR19), .VAR45(VAR18), .VAR47(VAR76), .VAR68(VAR58), .VAR61(VAR22), .VAR14(VAR70), .VAR72(VAR55), .VAR35(VAR12) ); endmodule
lgpl-2.1