repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o21bai/sky130_fd_sc_hdll__o21bai.pp.blackbox.v
1,406
module MODULE1 ( VAR7 , VAR6 , VAR3 , VAR1, VAR2, VAR8, VAR5 , VAR4 ); output VAR7 ; input VAR6 ; input VAR3 ; input VAR1; input VAR2; input VAR8; input VAR5 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sedfxtp/sky130_fd_sc_ls__sedfxtp.symbol.v
1,493
module MODULE1 ( input VAR6 , output VAR10 , input VAR7 , input VAR2, input VAR1, input VAR8 ); supply1 VAR9; supply0 VAR4; supply1 VAR3 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nor2/sky130_fd_sc_hvl__nor2.behavioral.v
1,354
module MODULE1 ( VAR4, VAR10, VAR8 ); output VAR4; input VAR10; input VAR8; supply1 VAR7; supply0 VAR5; supply1 VAR3 ; supply0 VAR1 ; wire VAR9; nor VAR2 (VAR9, VAR10, VAR8 ); buf VAR6 (VAR4 , VAR9 ); endmodule
apache-2.0
cafe-alpha/wascafe
v13/r07c_de10_20201014_abus4/wasca/synthesis/submodules/altera_up_clock_edge.v
4,630
module MODULE1 ( clk, reset, VAR4, VAR6, VAR2 ); input clk; input reset; input VAR4; output VAR6; output VAR2; wire VAR3; reg VAR5; reg VAR1; always @(posedge clk) VAR5 <= VAR4; always @(posedge clk) VAR1 <= VAR5; assign VAR6 = VAR3 & VAR5; assign VAR2 = VAR3 & VAR1; assign VAR3 = VAR1 ^ VAR5; endmodule
gpl-2.0
ShepardSiegel/ocpi
coregen/dram_v5_mig34/mig_v3_4/user_design/rtl/ddr2_infrastructure.v
12,950
module MODULE1 # ( parameter VAR83 = 3000, parameter VAR71 = "VAR72", parameter VAR110 = "VAR113", parameter VAR11 = 0, parameter VAR104 = 1 ) ( input VAR109, input VAR118, input VAR77, input VAR125, input VAR46, input VAR6, output VAR30, output VAR17, output VAR106, output VAR40, input VAR74, input VAR134, output VAR124, output VAR111, output VAR88, output VAR139 ); localparam VAR36 = 25; localparam VAR29 = VAR83 / 1000.0; localparam VAR132 = VAR83/1000; localparam VAR117 = "VAR20"; wire VAR121; wire VAR70; wire VAR123; wire VAR80; wire VAR7; wire VAR60; wire VAR97; wire VAR99; wire VAR116; wire VAR129; reg [VAR36-1:0] VAR57 ; reg [VAR36-1:0] VAR141 ; reg [VAR36-1:0] VAR94 ; reg [(VAR36/2)-1:0] VAR92 ; wire VAR90; wire VAR131; wire VAR62; assign VAR62 = VAR104 ? ~VAR74: VAR74; assign VAR30 = VAR121; assign VAR17 = VAR123; assign VAR106 = VAR7; assign VAR40 = VAR97; generate if(VAR71 == "VAR72") begin : VAR138 VAR2 VAR3 ( .VAR68 (VAR109), .VAR101 (VAR118), .VAR51 (VAR131) ); VAR2 VAR10 ( .VAR68 (VAR125), .VAR101 (VAR46), .VAR51 (VAR60) ); end else if(VAR71 == "VAR61") begin : VAR28 VAR58 VAR3 ( .VAR68 (VAR77), .VAR51 (VAR131) ); if ( VAR11 == 0 ) begin : VAR142 VAR58 VAR10 ( .VAR68 (VAR6), .VAR51 (VAR60) ); end end endgenerate generate if ( ((VAR11 == 0) && (VAR71 == "VAR61")) || (VAR71 == "VAR72") ) begin : VAR133 VAR93 VAR107 ( .VAR51 (VAR7), .VAR68 (VAR60) ); end else begin : VAR26 assign VAR7 = 1'b0; end endgenerate generate if (VAR117 == "VAR20") begin : VAR69 VAR120 # ( .VAR140 ("VAR143"), .VAR34 (VAR29), .VAR86 (10.000), .VAR25 (VAR132), .VAR122 (VAR132), .VAR119 (VAR132*2), .VAR16 (1), .VAR38 (1), .VAR59 (1), .VAR78 (0.000), .VAR1 (90.000), .VAR22 (0.000), .VAR135 (0.000), .VAR103 (0.000), .VAR54 (0.000), .VAR32 (0.500), .VAR100 (0.500), .VAR95 (0.500), .VAR23 (0.500), .VAR44 (0.500), .VAR4 (0.500), .VAR63 ("VAR85"), .VAR114 (1), .VAR49 (VAR132), .VAR105 (0.0), .VAR39 (0.005000) ) VAR31 ( .VAR52 (VAR116), .VAR79 (1'b1), .VAR21 (VAR131), .VAR137 (1'b0), .VAR43 (5'b0), .VAR56 (1'b0), .VAR33 (1'b0), .VAR45 (16'b0), .VAR66 (1'b0), .VAR81 (1'b0), .VAR82 (VAR62), .VAR126 (), .VAR53 (VAR116), .VAR136 (), .VAR5 (), .VAR76 (), .VAR64 (), .VAR128 (), .VAR67 (), .VAR87 (VAR70), .VAR19 (VAR80), .VAR89 (VAR99), .VAR24 (), .VAR65 (), .VAR41 (), .VAR102 (), .VAR55 (), .VAR27 (VAR129) ); end else if (VAR117 == "VAR8") begin: VAR35 VAR42 # ( .VAR130 (VAR29), .VAR73 (2.0), .VAR50 (VAR110), .VAR115 ("VAR96"), .VAR91 (16'hF0F0) ) VAR37 ( .VAR98 (VAR70), .VAR48 (), .VAR12 (), .VAR75 (), .VAR9 (), .VAR15 (VAR80), .VAR47 (VAR99), .VAR112 (), .VAR13 (), .VAR27 (VAR129), .VAR84 (VAR121), .VAR108 (VAR131), .VAR82 (VAR62) ); end endgenerate VAR93 VAR127 ( .VAR51 (VAR121), .VAR68 (VAR70) ); VAR93 VAR18 ( .VAR51 (VAR123), .VAR68 (VAR80) ); VAR93 VAR14 ( .VAR51 (VAR97), .VAR68 (VAR99) ); assign VAR90 = VAR62 | ~VAR129 | ~VAR134; always @(posedge VAR121 or posedge VAR90) if (VAR90) VAR57 <= {VAR36{1'b1}}; end else VAR57 <= VAR57 << 1; always @(posedge VAR97 or posedge VAR90) if (VAR90) VAR92 <= {(VAR36/2){1'b1}}; end else VAR92 <= VAR92 << 1; always @(posedge VAR123 or posedge VAR90) if (VAR90) VAR94 <= {VAR36{1'b1}}; end else VAR94 <= VAR94 << 1; always @(posedge VAR7 or negedge VAR129) if (!VAR129) VAR141 <= {VAR36{1'b1}}; else VAR141 <= VAR141 << 1; assign VAR124 = VAR57[VAR36-1]; assign VAR111 = VAR94[VAR36-1]; assign VAR88 = VAR141[VAR36-1]; assign VAR139 = VAR92[(VAR36/2)-1]; endmodule
lgpl-3.0
CMU-SAFARI/NOCulator
hring/hw/buffered/src/c_rotator.v
2,695
module MODULE1 (VAR9, VAR1, VAR2); parameter VAR4 = 8; parameter VAR3 = VAR7; localparam VAR5 = VAR8(VAR4); input [0:VAR4-1] VAR1; input [0:VAR5-1] VAR9; output [0:VAR4-1] VAR2; wire [0:VAR4-1] VAR2; wire [0:(2*VAR4-1)-1] VAR10; wire [0:(2*VAR4-1)-1] VAR6; generate case (VAR3) begin assign VAR10 = {VAR1, VAR1[0:(VAR4-1)-1]}; assign VAR6 = VAR10 << VAR9; assign VAR2 = VAR6[0:VAR4-1]; end begin assign VAR10 = {VAR1[1:VAR4-1], VAR1}; assign VAR6 = VAR10 >> VAR9; assign VAR2 = VAR6[VAR4-1:(2*VAR4-1)-1]; end endcase endgenerate endmodule
mit
airin711/Verilog-caches
free_config_cache.v
42,119
module MODULE1(clk, rst, VAR21, VAR63, VAR5, VAR80, VAR69, VAR60, VAR40, VAR4, VAR27, VAR57, VAR28, VAR22, VAR39, VAR74, VAR52, VAR76, VAR51, VAR59, VAR75, VAR34, VAR15, VAR83, VAR61, VAR17); parameter VAR47 = 9; input wire clk, rst; input wire [24:0] VAR21; input wire [3:0] VAR63; input wire [31:0] VAR5; input wire VAR80, VAR69; output reg [31:0] VAR60; output reg VAR40; output wire VAR4; output reg [25:0] VAR27; output wire [3:0] VAR57; output reg [127:0] VAR28; output reg VAR22, VAR39; input wire [127:0] VAR74; input wire VAR52; input wire VAR76; output reg [31:0] VAR51; output reg [31:0] VAR59; output reg [31:0] VAR75; output reg [31:0] VAR34; output reg [31:0] VAR15; output reg [31:0] VAR83; input wire [3:0] VAR61; input wire VAR17; wire [7:0] VAR50; wire [7:0] VAR35; wire [7:0] VAR82; wire [7:0] valid; wire [127:0] VAR9, VAR38, VAR10, VAR1; wire [127:0] VAR25, VAR24, VAR67, VAR66; wire [127:0] VAR48; wire VAR81, VAR78, VAR30, VAR3; wire VAR43, VAR49, VAR53, VAR64; wire [3:0] VAR31; wire [3:0] VAR65; wire [22:0] addr; wire [22:0] VAR54, VAR23, VAR70, VAR36; wire [22:0] VAR32, VAR12, VAR45, VAR55; wire [7:0] VAR71; wire [3:0] VAR16; wire [3:0] VAR19; reg [3:0] state; reg [127:0] VAR58; reg [24:0] VAR11; reg [3:0] VAR13; reg VAR8, VAR18; reg [7:0] VAR44; reg [7:0] VAR7; reg [7:0] VAR26; reg VAR14; wire [2:0] VAR42; reg [1:0] VAR6; reg [3:0] VAR73; reg [VAR47:0] VAR33; wire [7:0] VAR79; reg [3:0] VAR37; localparam VAR56 = 0; localparam VAR68 = 1; localparam VAR20 = 2; localparam VAR29 = 3; localparam VAR72 = 4; localparam VAR46 = 5; localparam VAR41 = 6; localparam VAR62 = 7; localparam VAR2 = 8; integer VAR77;
mit
iceman1001/proxmark3
fpga/hi_flite.v
13,042
module MODULE1( VAR28, VAR17, VAR35, VAR24, VAR51, VAR38, VAR30, VAR31, VAR41, VAR18, VAR20, VAR48, VAR2, VAR33, VAR16, VAR14, VAR27, VAR37, VAR23 ); input VAR28, VAR17, VAR35; output VAR24, VAR51, VAR38, VAR30, VAR31, VAR41; input [7:0] VAR18; output VAR20; input VAR33; output VAR48, VAR2, VAR16; input VAR14, VAR27; output VAR37; input [2:0] VAR23; assign VAR37=0; wire VAR7= VAR23[2]; wire VAR40= VAR23[1]; wire VAR10= VAR23[0]; assign VAR24 = 1'b0; assign VAR20 = VAR17; reg [8:0] VAR19=VAR32; reg [8:0] VAR45=VAR49; reg [8:0] VAR50=VAR26; reg [8:0] VAR8=VAR3; reg VAR4 = 1'b1; reg [1:0] state=1'd0; reg VAR5=1'b0; reg VAR15=0; wire [7:0]VAR1= VAR40 ? VAR6 : VAR44; wire [7:0]VAR12= VAR40 ? VAR34 : VAR42; reg VAR16; reg VAR48; reg VAR39=1'b0; reg [7:0] VAR46=8'd0; reg [7:0] VAR13=8'd0; reg VAR25=1'b0; reg [8:0] VAR22=9'd0; always @(posedge VAR20) VAR22 <= (VAR22 + 1); always @(negedge VAR20) begin if( ((~VAR40) && (VAR22[5:0] == 6'b000000)) || (VAR40 &&(VAR22[4:0] == 5'b00000))) begin VAR16 <= 1'b1; VAR2 <= VAR39; end if( ( (~VAR40) && (VAR22[5:0] == 6'b100000)) ||(VAR40 && VAR22[4:0] == 5'b10000)) VAR16 <= 1'b0; if(( (~VAR40) && (VAR22[8:0] == 9'd31))||(VAR40 && VAR22[7:0] == 8'd15)) begin VAR48 <= 1'b1; end if(( (~VAR40) && (VAR22[8:0] == 9'b1011111))||(VAR40 &&VAR22[7:0] == 8'b101111) ) begin VAR48 <= 1'b0; end end reg VAR2; reg VAR29 =1'b1; reg[7:0] VAR47=8'd128; reg VAR11=1'b0; reg VAR36=1'b0; reg [11:0] VAR9=12'd0; reg VAR21; always @(negedge VAR20) begin if(VAR46==VAR12) begin if((~VAR5)&&(VAR18<VAR45)&&VAR10 ) begin VAR46<=1; end else begin VAR46<=0; end VAR21<=VAR33; if(VAR9>768) begin VAR9<=0; end else if((VAR7)) VAR9<=0; end else VAR9<=VAR9+1; end else begin if((~VAR5)&&(VAR18<VAR45) &&VAR10) begin VAR46<=1; end else begin VAR46<=VAR46+1; end end if (VAR18>VAR50) begin case (state) 0: begin VAR8 <= VAR18>VAR3? VAR18 :VAR3; state <= 2; end 1: begin VAR45 <= ( (VAR19>>1)+(VAR19>>2)+(VAR19>>4)+(VAR8>>3)+(VAR8>>4)); VAR50 <= ( (VAR8>>1)+(VAR8>>2)+(VAR8>>4)+(VAR19>>3)+(VAR19>>4)); VAR8 <= VAR18>155? VAR18 :155; state <= 2; end 2: begin if (VAR18>VAR8) VAR8 <= VAR18; end default: begin end endcase VAR4 <=1'b1; if(VAR5) VAR13<=0; end else if (VAR18<VAR45) begin case (state) 0: begin VAR19 <=VAR18<VAR32? VAR18 :VAR32; state <=1; end 1: begin if (VAR18<VAR19) VAR19 <= VAR18; end 2: begin VAR45 <= ( (VAR19>>1)+(VAR19>>2)+(VAR19>>4)+(VAR8>>3)+(VAR8>>4)); VAR50 <= ( (VAR8>>1)+(VAR8>>2)+(VAR8>>4)+(VAR19>>3)+(VAR19>>4)); VAR19 <=VAR18<VAR32? VAR18 :VAR32; state <=1; end default: begin end endcase VAR4 <=0; if (~VAR5 ) begin VAR5 <=1; VAR46 <= 1; VAR15<=0; VAR39<=0; VAR47 <=8'd127; VAR13<=0; VAR29 <=1; end else begin VAR13<=0; end end else begin VAR45 <= ( (VAR19>>1)+(VAR19>>2)+(VAR19>>4)+(VAR8>>3)+(VAR8>>4)); VAR50 <= ( (VAR8>>1)+(VAR8>>2)+(VAR8>>4)+(VAR19>>3)+(VAR19>>4)); state <=0; if (VAR5 ) begin if (VAR13>=(128)) begin VAR9<=1; VAR5<=0; VAR15<=0; VAR19 <=VAR32; VAR8 <=VAR3; VAR45 <=VAR49; VAR50 <=VAR26; VAR29 <=1; VAR13 <=0; VAR4 <=1'b1; VAR39 <=0; VAR47 <=8'd128; end else VAR13<=(VAR13+1); end end if (VAR5 && VAR13<128) begin if (VAR46==VAR1) begin if ((~VAR15) && ((VAR29==1&&(VAR47>128))||(VAR29==0&&(VAR47<=128)))) begin VAR15 <=1'b1; VAR25 <= ~VAR29; VAR39 <=1; end else VAR39 <= (VAR47>128) ? (~VAR25):VAR25; VAR29 <=(VAR47>128) ?1:0; if(VAR18>VAR50) VAR47 <=8'd129; end else if (VAR18<VAR45) VAR47 <=8'd127; end else begin if (VAR4) begin VAR47 <=8'd129; end else begin VAR47<=8'd127; end end end else begin if (VAR46==VAR12) begin VAR29 <=(VAR47>128)?1:0; VAR47 <=128; end else begin if(VAR18>VAR50) VAR47 <=VAR47+1; end else if (VAR18<VAR45) VAR47 <=VAR47-1; end else begin if (VAR4) begin VAR47 <=VAR47+1; end else begin VAR47<=VAR47-1; end end end end end else begin end VAR36 <=0; end reg VAR51; reg VAR38; reg VAR30; reg VAR31; reg VAR41; wire VAR43=((VAR46>=VAR1)^VAR21)&(~VAR10); always @(VAR35 or VAR33 or VAR7 or VAR10 or VAR43) begin if (VAR7) begin VAR51 <= VAR35; VAR38 <= 1'b0; VAR30 <= 1'b0; VAR31 <= 1'b0; VAR41 <= VAR43; end else begin VAR51 <= 1'b0; VAR38 <= 1'b0; VAR30 <= 1'b0; VAR31 <= 1'b0; VAR41 <= VAR43; end end endmodule
gpl-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/rw_manager_lfsr12.v
1,390
module MODULE1( clk, VAR2, VAR1, word ); input clk; input VAR2; input VAR1; output reg [11:0] word; always @(posedge clk or negedge VAR2) begin if(~VAR2) begin word <= 12'b101001101011; end else if(VAR1) begin word[11] <= word[0]; word[10] <= word[11]; word[9] <= word[10]; word[8] <= word[9]; word[7] <= word[8]; word[6] <= word[7]; word[5] <= word[6] ^ word[0]; word[4] <= word[5]; word[3] <= word[4] ^ word[0]; word[2] <= word[3]; word[1] <= word[2]; word[0] <= word[1] ^ word[0]; end end endmodule
gpl-3.0
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/ip_top/mig_7series_v2_0_memc_ui_top_std.v
36,626
module MODULE1 # ( parameter VAR111 = 100, parameter VAR1 = "135", parameter VAR152 = 64, parameter VAR81 = "VAR63", parameter VAR240 = "0", parameter VAR204 = 3, parameter VAR197 = 2, parameter VAR76 = "8", parameter VAR64 = "VAR299", parameter VAR278 = "VAR181", parameter VAR73 = 1, parameter VAR155 = 5, parameter VAR286 = 12, parameter VAR17 = "VAR169", parameter VAR87 = 1, parameter VAR229 = 1, parameter VAR241 = 5, parameter VAR82 = 64, parameter VAR150 = 5, parameter VAR102 = 1, parameter VAR227 = "VAR253", parameter VAR53 = 8, parameter VAR103 = 6, parameter VAR162 = 64, parameter VAR153 = 3, parameter VAR277 = 8, parameter VAR97 = "VAR39", parameter VAR20 = 8, parameter VAR202 = "VAR181", parameter VAR157 = 8, parameter VAR267 = "VAR181", parameter VAR91 = 31, parameter VAR58 = 0, parameter VAR65 = 0, parameter VAR45 = 4, parameter VAR7 = 2, parameter VAR231 = 1, parameter VAR306 = "VAR249", parameter VAR301 = "VAR181", parameter VAR85 = "VAR141", parameter VAR11 = "VAR4", parameter VAR21 = "VAR169", parameter VAR22 = "VAR226", parameter VAR288 = "VAR26", parameter VAR159 = "VAR181", parameter VAR147 = "60", parameter VAR266 = "120", parameter VAR128 = 2, parameter VAR216 = 2500, parameter VAR84 = 10000, parameter VAR151 = 40000, parameter VAR203 = 1000000, parameter VAR256 = 37500, parameter VAR225 = 12500, parameter VAR28 = 7800000, parameter VAR247 = 110000, parameter VAR60 = 12500, parameter VAR25 = 10000, parameter VAR238 = 7500, parameter VAR250 = 7500, parameter VAR55 = 128000000, parameter VAR112 = 64, parameter VAR105 = "VAR181", parameter VAR222 = "VAR169", parameter VAR44 = "VAR181", parameter VAR54 = "VAR181", parameter VAR175 = "VAR252", parameter VAR165 = 1, parameter VAR9 = 4, parameter VAR298 = 1, parameter VAR109 = 16, parameter VAR167 = 32, parameter VAR160 = 8, parameter VAR92 = 64, parameter [3:0] VAR43 = 4'b1111, parameter [3:0] VAR139 = 4'b1111, parameter [3:0] VAR106 = 4'b1111, parameter [3:0] VAR108 = 4'b1111, parameter [3:0] VAR291 = 4'b1111, parameter [3:0] VAR251 = 4'hc, parameter [3:0] VAR19 = 4'hf, parameter [3:0] VAR254 = 4'hf, parameter [3:0] VAR146 = 4'h0, parameter [3:0] VAR5 = 4'h0, parameter [47:0] VAR307 = 48'h000000000000, parameter [47:0] VAR170 = 48'h000000000000, parameter [47:0] VAR187 = 48'h000000000000, parameter [143:0] VAR263 = 144'h000000000000000000000000000000000000, parameter [191:0] VAR244 = 192'h000000000000000000000000000000000000000000000000, parameter [35:0] VAR114 = 36'h000000000, parameter [11:0] VAR59 = 12'h000, parameter [7:0] VAR31 = 8'h00, parameter [95:0] VAR164 = 96'h000000000000000000000000, parameter [95:0] VAR292 = 96'h000000000000000000000000, parameter VAR41 = "VAR264", parameter [119:0] VAR183 = 120'h000000000000000000000000000000, parameter [11:0] VAR280 = 12'h000, parameter [11:0] VAR304 = 12'h000, parameter [11:0] VAR283 = 12'h000, parameter [143:0] VAR192 = 144'h000000000000000000000000000000000000, parameter [95:0] VAR230 = 96'h000000000000000000000000, parameter [95:0] VAR116 = 96'h000000000000000000000000, parameter [95:0] VAR186 = 96'h000000000000000000000000, parameter [95:0] VAR215 = 96'h000000000000000000000000, parameter [95:0] VAR121 = 96'h000000000000000000000000, parameter [95:0] VAR176 = 96'h000000000000000000000000, parameter [95:0] VAR24 = 96'h000000000000000000000000, parameter [95:0] VAR258 = 96'h000000000000000000000000, parameter [95:0] VAR118 = 96'h000000000000000000000000, parameter [95:0] VAR127 = 96'h000000000000000000000000, parameter [95:0] VAR57 = 96'h000000000000000000000000, parameter [95:0] VAR284 = 96'h000000000000000000000000, parameter [95:0] VAR217 = 96'h000000000000000000000000, parameter [95:0] VAR125 = 96'h000000000000000000000000, parameter [95:0] VAR149 = 96'h000000000000000000000000, parameter [95:0] VAR96 = 96'h000000000000000000000000, parameter [95:0] VAR110 = 96'h000000000000000000000000, parameter [95:0] VAR243 = 96'h000000000000000000000000, parameter [107:0] VAR172 = 108'h000000000000000000000000000, parameter [107:0] VAR107 = 108'h000000000000000000000000000, parameter [7:0] VAR207 = 8'b00000001, parameter [7:0] VAR208 = 8'b00000000, parameter VAR276 = "VAR77", parameter [15:0] VAR99 = 16'h0000, parameter [11:0] VAR34 = 12'h000, parameter [2:0] VAR191 = 3'h0, parameter VAR206 = "VAR181", parameter VAR18 = 300.0, parameter VAR237 = 1, parameter VAR296 = 1, parameter VAR67 = 1 ) ( input clk, input VAR297, input VAR274 , input VAR35 , input VAR101, input VAR62 , input rst, inout [VAR162-1:0] VAR78, inout [VAR277-1:0] VAR32, inout [VAR277-1:0] VAR213, output [VAR109-1:0] VAR148, output [VAR204-1:0] VAR95, output VAR173, output [VAR73-1:0] VAR190, output [VAR73-1:0] VAR80, output [VAR229-1:0] VAR166, output [VAR87*VAR231-1:0] VAR260, output [VAR53-1:0] VAR117, output [VAR298-1:0] VAR37, output VAR220, output VAR144, output VAR265, output VAR255, output [VAR197-1:0] VAR94, input [VAR167-1:0] VAR72, input [2:0] VAR219, input VAR212, input VAR74, input [VAR92-1:0] VAR129, input VAR143, input [VAR160-1:0] VAR294, input VAR182, input VAR71, input [2*VAR7-1:0] VAR133, output [2*VAR7-1:0] VAR233, output [VAR92-1:0] VAR142, output VAR295, output VAR239, output VAR210, output VAR46, input VAR184, output VAR201, input VAR209, output VAR168, input VAR200, output VAR38, input [11:0] VAR100, input VAR289, input VAR124, input VAR13, input VAR221, input VAR126, input [VAR153-1:0] VAR23, output [6*VAR277*VAR9-1:0] VAR113, output [6*VAR277*VAR9-1:0] VAR228, output [VAR277-1:0] VAR47, output [2*VAR7*VAR162-1:0] VAR115, output [1:0] VAR180, output [1:0] VAR8, output [1:0] VAR171, output [5:0] VAR305, output VAR178, output VAR86, output VAR154, output VAR135, output [6*VAR277-1:0] VAR48, output [3*VAR277-1:0] VAR50, output VAR236, input VAR198, input VAR223, input [VAR153:0] VAR248, input VAR163, input VAR2, input VAR194, input VAR211, input VAR6, output [6*VAR277*VAR9-1:0] VAR123, output [5*VAR277*VAR9-1:0] VAR161, output VAR218, output [6*VAR277-1:0] VAR279, output [3*VAR277-1:0] VAR98, output VAR130, input VAR214, output [6*VAR9-1:0] VAR285, output [255:0] VAR29, output [255:0] VAR136, output [255:0] VAR12, output [99:0] VAR246, output [255:0] VAR185, output [255:0] VAR10, output [255:0] VAR134, output [5:0] VAR70, output [8:0] VAR83, output VAR193, output VAR300, output VAR282, output VAR122, output VAR205, output VAR27, output VAR138, output VAR69, output VAR30, output [11:0] VAR302, output [11:0] VAR66, output [6*VAR9-1:0] VAR259, output [6*VAR9-1:0] VAR188, output [5:0] VAR189, output [5:0] VAR16, output [5:0] VAR179, output VAR257, output VAR290, output [255:0] VAR33, output [VAR20*16 -1:0] VAR49 ); wire VAR271; wire [2*VAR7-1:0] VAR199; wire [2*VAR7-1:0] VAR51; wire [2*VAR7-1:0] VAR93; wire [VAR91-1:0] VAR56; wire [VAR162/8-1:0] VAR262; wire [VAR162-1:0] VAR303; wire [VAR102-1:0] VAR293; wire VAR68; wire [VAR150-1:0] VAR15; wire [VAR102-1:0] VAR88; wire VAR287; wire [VAR150-1:0] VAR132; wire VAR232; wire VAR36; wire [2*VAR7*VAR152-1:0] VAR137; wire VAR119; wire VAR195; wire VAR261; wire [VAR109-1:0] VAR3; wire [VAR165-1:0] VAR145; wire VAR272; wire [VAR150-1:0] VAR224; wire [VAR286-1:0] VAR234; wire [2:0] VAR140; wire [VAR204-1:0] VAR156; wire [2*VAR7*VAR152-1:0] VAR90; wire [2*VAR7*VAR152/8-1:0] VAR177; wire VAR158; wire VAR174; wire VAR79; wire VAR40; wire VAR270; wire VAR104; wire VAR131; wire VAR275; wire VAR61; reg reset ; always @(posedge clk) assign VAR262 = {VAR162/8{1'b0}} ; assign VAR303 = {VAR162{1'b0}} ; VAR196 # ( .VAR111 (VAR111), .VAR1 (VAR1), .VAR152 (VAR152), .VAR81 (VAR81), .VAR240 (VAR240), .VAR204 (VAR204), .VAR197 (VAR197), .VAR76 (VAR76), .VAR64 (VAR64), .VAR278 (VAR278), .VAR73 (VAR73), .VAR286 (VAR286), .VAR17 (VAR17), .VAR87 (VAR87), .VAR231 (VAR231), .VAR229 (VAR229), .VAR82 (VAR82), .VAR150 (VAR150), .VAR58 (VAR58), .VAR102 (VAR102), .VAR227 (VAR227), .VAR53 (VAR53), .VAR103 (VAR103), .VAR162 (VAR162), .VAR153 (VAR153), .VAR277 (VAR277), .VAR97 (VAR97), .VAR20 (VAR20), .VAR202 (VAR202), .VAR157 (VAR157), .VAR91 (VAR91), .VAR18 (VAR18), .VAR65 (VAR65), .VAR45 (VAR45), .VAR7 (VAR7), .VAR306 (VAR306), .VAR288 (VAR288), .VAR301 (VAR301), .VAR85 (VAR85), .VAR11 (VAR11), .VAR21 (VAR21), .VAR22 (VAR22), .VAR159 (VAR159), .VAR147 (VAR147), .VAR266 (VAR266), .VAR155 (VAR155), .VAR241 (VAR241), .VAR216 (VAR216), .VAR84 (VAR84), .VAR151 (VAR151), .VAR203 (VAR203), .VAR256 (VAR256), .VAR225 (VAR225), .VAR28 (VAR28), .VAR247 (VAR247), .VAR60 (VAR60), .VAR25 (VAR25), .VAR238 (VAR238), .VAR250 (VAR250), .VAR55 (VAR55), .VAR112 (VAR112), .VAR105 (VAR105), .VAR222 (VAR222), .VAR44 (VAR44), .VAR54 (VAR54), .VAR175 (VAR175), .VAR165 (VAR165), .VAR9 (VAR9), .VAR298 (VAR298), .VAR109 (VAR109), .VAR206 (VAR206), .VAR43 (VAR43), .VAR139 (VAR139), .VAR106 (VAR106), .VAR108 (VAR108), .VAR291 (VAR291), .VAR251 (VAR251), .VAR19 (VAR19), .VAR254 (VAR254), .VAR146 (VAR146), .VAR5 (VAR5), .VAR307 (VAR307), .VAR170 (VAR170), .VAR187 (VAR187), .VAR263 (VAR263), .VAR244 (VAR244), .VAR114 (VAR114), .VAR59 (VAR59), .VAR31 (VAR31), .VAR164 (VAR164), .VAR292 (VAR292), .VAR41 (VAR41), .VAR183 (VAR183), .VAR280 (VAR280), .VAR304 (VAR304), .VAR283 (VAR283), .VAR192 (VAR192), .VAR230 (VAR230), .VAR116 (VAR116), .VAR186 (VAR186), .VAR215 (VAR215), .VAR121 (VAR121), .VAR176 (VAR176), .VAR24 (VAR24), .VAR258 (VAR258), .VAR118 (VAR118), .VAR127 (VAR127), .VAR57 (VAR57), .VAR284 (VAR284), .VAR217 (VAR217), .VAR125 (VAR125), .VAR149 (VAR149), .VAR96 (VAR96), .VAR110 (VAR110), .VAR243 (VAR243), .VAR172 (VAR172), .VAR107 (VAR107), .VAR207 (VAR207), .VAR208 (VAR208), .VAR99 (VAR99), .VAR34 (VAR34), .VAR191 (VAR191), .VAR128 (VAR128), .VAR237 (VAR237), .VAR296 (VAR296), .VAR67 (VAR67) ) VAR42 ( .clk (clk), .VAR297 (VAR297), .VAR274 (VAR274), .VAR35 (VAR35), .VAR101 (VAR101), .VAR62 (VAR62), .rst (rst), .VAR275 (VAR275), .reset (reset), .VAR131 (VAR131), .VAR78 (VAR78), .VAR32 (VAR32), .VAR213 (VAR213), .VAR148 (VAR148), .VAR95 (VAR95), .VAR173 (VAR173), .VAR190 (VAR190), .VAR80 (VAR80), .VAR166 (VAR166), .VAR260 (VAR260), .VAR117 (VAR117), .VAR37 (VAR37), .VAR220 (VAR220), .VAR144 (VAR144), .VAR265 (VAR265), .VAR255 (VAR255), .VAR75 (VAR207), .VAR52 (VAR208), .VAR271 (VAR271), .VAR156 (VAR156), .VAR140 (VAR140), .VAR234 (VAR234), .VAR224 (VAR224), .VAR90 (VAR90), .VAR177 (VAR177), .VAR145 (VAR145), .VAR199 (VAR199), .VAR3 (VAR3), .VAR272 (VAR272), .VAR261 (VAR261), .VAR195 (VAR195), .VAR232 (VAR232), .VAR36 (VAR36), .VAR51 (VAR51), .VAR93 (VAR93), .VAR56 (VAR56), .VAR137 (VAR137), .VAR132 (VAR132), .VAR287 (VAR287), .VAR119 (VAR119), .VAR88 (VAR88), .VAR15 (VAR15), .VAR68 (VAR68), .VAR293 (VAR293), .VAR94 (VAR94), .VAR236 (VAR236), .VAR61 (VAR61), .VAR184 (VAR158), .VAR201 (VAR174), .VAR209 (VAR79), .VAR168 (VAR40), .VAR200 (VAR270), .VAR38 (VAR104), .VAR100 (VAR100), .VAR262 (VAR262), .VAR303 (VAR303), .VAR13 (VAR13), .VAR289 (VAR289), .VAR221 (VAR221), .VAR124 (VAR124), .VAR23 (VAR23), .VAR126 (VAR126), .VAR29 (VAR29), .VAR113 (VAR113), .VAR228 (VAR228), .VAR12 (VAR12), .VAR246 (VAR246), .VAR48 (VAR48), .VAR50 (VAR50), .VAR47 (VAR47), .VAR115 (VAR115), .VAR180 (VAR180), .VAR8 (VAR8), .VAR171 (VAR171), .VAR305 (VAR305), .VAR178 (VAR178), .VAR86 (VAR86), .VAR154 (VAR154), .VAR135 (VAR135), .VAR198 (VAR198), .VAR223 (VAR223), .VAR248 (VAR248), .VAR163 (VAR163), .VAR2 (VAR2), .VAR194 (VAR194), .VAR211 (VAR211), .VAR6 (VAR6), .VAR123 (VAR123), .VAR161 (VAR161), .VAR218 (VAR218), .VAR279 (VAR279), .VAR98 (VAR98), .VAR136 (VAR136), .VAR70 (VAR70), .VAR83 (VAR83), .VAR130 (VAR130), .VAR214 (VAR214), .VAR285 (VAR285), .VAR185 (VAR185), .VAR10 (VAR10), .VAR134 (VAR134), .VAR193 (VAR193), .VAR300 (VAR300), .VAR282 (VAR282), .VAR122 (VAR122), .VAR205 (VAR205), .VAR27 (VAR27), .VAR138 (VAR138), .VAR69 (VAR69), .VAR30 (VAR30), .VAR302 (VAR302), .VAR66 (VAR66), .VAR259 (VAR259), .VAR188 (VAR188), .VAR189 (VAR189), .VAR16 (VAR16), .VAR179 (VAR179), .VAR33 (VAR33), .VAR49 (VAR49), .VAR257 (VAR257), .VAR290 (VAR290) ); VAR14 # ( .VAR111 (VAR111), .VAR92 (VAR92), .VAR160 (VAR160), .VAR204 (VAR204), .VAR286 (VAR286), .VAR241 (VAR241), .VAR150 (VAR150), .VAR202 (VAR202), .VAR267 (VAR267), .VAR7 (VAR7), .VAR306 (VAR306), .VAR9 (VAR9), .VAR165 (VAR165), .VAR109 (VAR109), .VAR276 (VAR276) ) VAR273 ( .VAR177 (VAR177[VAR160-1:0]), .VAR90 (VAR90[VAR92-1:0]), .VAR195 (VAR195), .VAR261 (VAR261), .VAR3 (VAR3), .VAR199 (VAR199), .VAR145 (VAR145), .VAR272 (VAR272), .VAR224 (VAR224), .VAR234 (VAR234), .VAR140 (VAR140), .VAR156 (VAR156), .VAR46 (VAR46), .VAR210 (VAR210), .VAR239 (VAR239), .VAR295 (VAR295), .VAR142 (VAR142), .VAR233 (VAR233), .VAR271 (VAR271), .VAR293 (VAR293), .VAR68 (VAR68), .VAR15 (VAR15), .rst (reset), .VAR88 (VAR88), .VAR119 (VAR119), .VAR287 (VAR287), .VAR132 (VAR132), .VAR137 (VAR137[VAR92-1:0]), .VAR93 (VAR93), .clk (clk), .VAR182 (VAR182), .VAR294 (VAR294), .VAR143 (VAR143), .VAR129 (VAR129), .VAR269 (1'b1), .VAR133 (VAR133), .VAR74 (VAR74), .VAR212 (VAR212), .VAR219 (VAR219), .VAR72 (VAR72), .VAR36 (VAR36), .VAR232 (VAR232), .VAR120 (VAR71), .VAR184 (VAR184), .VAR235 (VAR158), .VAR89 (VAR174), .VAR201 (VAR201), .VAR209 (VAR209), .VAR281 (VAR79), .VAR242 (VAR40), .VAR168 (VAR168), .VAR200 (VAR200), .VAR268 (VAR270), .VAR245 (VAR104), .VAR38 (VAR38) ); endmodule
bsd-2-clause
trivoldus28/pulsarch-verilog
design/sys/iop/scdata/rtl/scdata_subbank.v
16,461
module MODULE1( VAR85, VAR53, VAR93, VAR12, VAR89, VAR48, VAR61, VAR55, VAR79, VAR64, VAR26, VAR69, VAR57, VAR67, VAR11, VAR43, VAR90, VAR98, VAR4, VAR80, VAR1, VAR7, VAR38, VAR24, VAR21, VAR54, VAR72, VAR73, VAR40, VAR66, VAR68 ); input [11:0] VAR66; input VAR68; input VAR40; input VAR73; input [155:0] VAR72; input VAR54; input VAR21; input VAR24; input VAR38; input [2:0] VAR7; input [5:0] VAR1; input VAR80; input VAR4; input VAR98; input [155:0] VAR90; input [155:0] VAR43; input [155:0] VAR11; input [155:0] VAR67; input VAR57; input VAR69; input [9:0] VAR26; input [3:0] VAR64; input VAR79; output VAR61; output VAR55; output [155:0] VAR48; output VAR89; output [155:0] VAR12; output [155:0] VAR93; output [155:0] VAR53; output [155:0] VAR85; wire [11:0] VAR37; wire [11:0] VAR2; wire [5:0] VAR97; wire [5:0] VAR94; wire VAR8; wire VAR31; wire VAR58; wire VAR14; wire [155:0] VAR88; wire [155:0] VAR50; wire [155:0] VAR75; wire [155:0] VAR19; wire VAR44; wire VAR84; wire VAR51; wire VAR47; wire VAR34; wire VAR86; wire VAR29; wire VAR76; wire [2:0] VAR25; wire [2:0] VAR13; wire VAR28; wire VAR41; wire VAR27; wire VAR17; wire VAR77; wire VAR18; wire [155:0] VAR83; wire [155:0] VAR56; wire [155:0] VAR81; wire [155:0] VAR52; wire [155:0] VAR10; wire [155:0] VAR59; wire [155:0] VAR65; wire [155:0] VAR42; wire VAR99; wire VAR9; wire VAR16; wire VAR5; wire [9:0] VAR87; wire [9:0] VAR45; wire [3:0] VAR49; wire [3:0] VAR15; wire VAR74; wire VAR23; VAR6 VAR36( .VAR43(VAR43[155:0]), .VAR90(VAR90[155:0]), .VAR82(156'b0), .VAR66({VAR37[7:0],4'b0}), .VAR1({VAR97[3:0],2'b0}), .VAR22(VAR85[155:0]), .VAR46(VAR53[155:0]), .VAR20 (), .VAR32 (), .VAR63 (), .VAR39(), .VAR33 (), .VAR71 (), .VAR55(VAR55), .VAR89(VAR89), .VAR35(), .VAR60(), .VAR95(), .VAR92(), .VAR96(), .VAR78(), .VAR91(), .VAR61(VAR61), .VAR62(), .VAR70 (), .VAR48 (VAR75[155:0]), .VAR12(VAR83[155:0]), .VAR93(VAR81[155:0]), .VAR40 (VAR8), .VAR73 (VAR58), .VAR72 (VAR88[155:0]), .VAR54(VAR44), .VAR21(VAR51), .VAR24(VAR34), .VAR38(VAR29), .VAR7 (VAR25[2:0]), .VAR80(VAR28), .VAR4(VAR27), .VAR98 (VAR98), .VAR11(VAR10[155:0]), .VAR67(VAR65[155:0]), .VAR57 (VAR99), .VAR69 (VAR16), .VAR26 (VAR87[9:0]), .VAR68 (VAR77), .VAR64 (VAR49[3:0]), .VAR79 (VAR74)); VAR6 VAR30( .VAR66({VAR2[7:0],4'b0}), .VAR1({VAR94[3:0],2'b0}), .VAR95(VAR25[2:0]), .VAR78(VAR34), .VAR91 (VAR8), .VAR61 (VAR99), .VAR62 (VAR16), .VAR92(VAR29), .VAR96(VAR97[5:0]), .VAR35(VAR44), .VAR60(VAR51), .VAR70(VAR27), .VAR39(VAR58), .VAR71 (VAR88[155:0]), .VAR48 (VAR19[155:0]), .VAR89(VAR28), .VAR12(VAR56[155:0]), .VAR93(VAR52[155:0]), .VAR46(VAR10[155:0]), .VAR22(VAR65[155:0]), .VAR63 (VAR87[9:0]), .VAR55 (VAR77), .VAR32 (VAR37[11:0]), .VAR20 (VAR49[3:0]), .VAR33 (VAR74), .VAR40 (VAR31), .VAR73 (VAR14), .VAR72 (VAR50[155:0]), .VAR82 (VAR75[155:0]), .VAR54(VAR84), .VAR21(VAR47), .VAR24(VAR86), .VAR38(VAR76), .VAR7 (VAR13[2:0]), .VAR80(VAR41), .VAR4(VAR17), .VAR98 (VAR98), .VAR90(VAR83[155:0]), .VAR43(VAR81[155:0]), .VAR11(VAR59[155:0]), .VAR67(VAR42[155:0]), .VAR57 (VAR9), .VAR69 (VAR5), .VAR26 (VAR45[9:0]), .VAR68 (VAR18), .VAR64 (VAR15[3:0]), .VAR79 (VAR23)); VAR6 VAR3( .VAR64(VAR64[3:0]), .VAR66(VAR66[11:0]), .VAR26(VAR26[9:0]), .VAR73(VAR73), .VAR79(VAR79), .VAR72(VAR72[155:0]), .VAR67(VAR67[155:0]), .VAR11(VAR11[155:0]), .VAR80(VAR80), .VAR68(VAR68), .VAR54(VAR54), .VAR21(VAR21), .VAR7(VAR7[2:0]), .VAR38(VAR38), .VAR1(VAR1[5:0]), .VAR24(VAR24), .VAR40(VAR40), .VAR57(VAR57), .VAR69(VAR69), .VAR4(VAR4), .VAR48(VAR48[155:0]), .VAR93(VAR93[155:0]), .VAR12(VAR12[155:0]), .VAR95(VAR13[2:0]), .VAR78(VAR86), .VAR91 (VAR31), .VAR61 (VAR9), .VAR62 (VAR5), .VAR92(VAR76), .VAR96(VAR94[5:0]), .VAR35(VAR84), .VAR60(VAR47), .VAR70(VAR17), .VAR39(VAR14), .VAR71 (VAR50[155:0]), .VAR89(VAR41), .VAR46(VAR59[155:0]), .VAR22(VAR42[155:0]), .VAR63 (VAR45[9:0]), .VAR55 (VAR18), .VAR32 (VAR2[11:0]), .VAR20 (VAR15[3:0]), .VAR33 (VAR23), .VAR82 (VAR19[155:0]), .VAR98 (VAR98), .VAR90(VAR56[155:0]), .VAR43(VAR52[155:0])); endmodule
gpl-2.0
bgelb/digilite_zl
rtl/zl_usb_fifo.v
3,428
module MODULE1 ( input clk, input VAR8, input VAR1, output reg VAR19, input [7:0] VAR15, output VAR6, input VAR9, output [7:0] VAR14 ); reg VAR16; reg VAR11; reg [7:0] VAR4; reg [7:0] VAR17; always @(posedge clk or negedge VAR8) begin if(!VAR8) begin VAR16 <= 1'b1; VAR11 <= 1'b1; VAR4 <= 8'b0; VAR17 <= 8'b0; end else begin VAR16 <= VAR1; VAR11 <= VAR16; VAR4 <= VAR15; VAR17 <= VAR4; end end localparam VAR5 = 0; localparam VAR12 = 1; localparam VAR2 = 2; localparam VAR13 = 3; localparam VAR10 = 4; localparam VAR3 = 5; localparam VAR7 = 6; reg [2:0] VAR18; always @(posedge clk or negedge VAR8) begin if(!VAR8) begin VAR18 <= VAR5; end else begin case (VAR18) VAR5: begin if(!VAR11) begin VAR18 <= VAR12; end end VAR12: begin VAR18 <= VAR2; end VAR2: begin VAR18 <= VAR13; end VAR13: begin VAR18 <= VAR10; end VAR10: begin if(VAR9) begin VAR18 <= VAR3; end end VAR3: begin VAR18 <= VAR7; end VAR7: begin VAR18 <= VAR5; end endcase end end assign VAR6 = (VAR18 == VAR10); assign VAR14 = VAR17; always @(posedge clk or negedge VAR8) begin if(!VAR8) begin VAR19 <= 1'b1; end else begin if(VAR18 == VAR5 && !VAR11) begin VAR19 <= 1'b0; end else if(VAR18 == VAR10 && VAR9) begin VAR19 <= 1'b1; end end end endmodule
bsd-2-clause
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_slice_12_8_0/RAT_slice_12_8_0_stub.v
1,219
module MODULE1(VAR1, VAR2) ; input [17:0]VAR1; output [4:0]VAR2; endmodule
mit
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/mig_7series_v1_8_ddr_phy_tempmon.v
13,336
module MODULE1 # ( parameter VAR11 = 100, parameter VAR49 = 0, parameter VAR46 = 12, parameter VAR32 = 46, parameter VAR35 = 82, parameter VAR36 = 5 ) ( input clk, input rst, input VAR34, input VAR33, input [11:0] VAR22, output VAR4, output VAR6, output VAR53 ); localparam VAR20 = (VAR36 * 4096) / 504; localparam VAR29 = ((VAR49 + 273) * 4096) / 504; localparam VAR50 = ((VAR46 + 273) * 4096) / 504; localparam VAR38 = ((VAR32 + 273) * 4096) / 504; localparam VAR12 = ((VAR35 + 273) * 4096) / 504; localparam VAR13 = VAR29 - VAR20 > 0 ? VAR29 - VAR20 : 0 ; localparam VAR2 = VAR29 + VAR20 < 4096 ? VAR29 + VAR20 : 4096 ; localparam VAR37 = VAR50 - VAR20 > 0 ? VAR50 - VAR20 : 0 ; localparam VAR19 = VAR50 + VAR20 < 4096 ? VAR50 + VAR20 : 4096 ; localparam VAR57 = VAR38 - VAR20 > 0 ? VAR38 - VAR20 : 0 ; localparam VAR39 = VAR38 + VAR20 < 4096 ? VAR38 + VAR20 : 4096 ; localparam VAR1 = VAR12 - VAR20 > 0 ? VAR12 - VAR20 : 0 ; localparam VAR5 = VAR12 + VAR20 < 4096 ? VAR12 + VAR20 : 4096 ; localparam VAR43 = 2'b00; localparam VAR17 = 2'b01; localparam VAR42 = 2'b10; localparam VAR14 = 2'b11; reg [2:0] VAR44 = VAR43; reg [2:0] VAR52 = VAR43; reg [11:0] VAR31 = 12'b0; reg [2:0] VAR54 = 3'b000; reg [2:0] VAR47 = 3'b000; reg VAR10 = 1'b0; reg VAR48 = 1'b0; reg VAR26 = 1'b0; reg VAR21 = 1'b0; reg VAR45 = 1'b0; reg VAR40 = 1'b0; reg VAR24 = 1'b0; reg VAR16 = 1'b0; reg VAR25 = 1'b0; reg VAR27 = 1'b0; reg VAR58 = 1'b0; reg VAR55 = 1'b0; reg VAR3 = 1'b0; reg VAR9 = 1'b0; reg VAR7 = 1'b0; reg VAR28 = 1'b0; reg VAR18 = 1'b0; reg VAR8 = 1'b0; reg VAR51 = 1'b0; reg VAR56 = 1'b0; reg VAR30 = 1'b0; reg VAR23 = 1'b0; reg VAR15 = 1'b0; reg VAR59 = 1'b0; reg VAR41 = 1'b0; assign VAR4 = VAR10; assign VAR6 = VAR48; assign VAR53 = VAR26; always @(posedge clk) if(rst) else always @(VAR44 or VAR34 or VAR33) begin VAR52 = VAR44; case(VAR44) VAR43: if(VAR34) VAR52 = VAR17; VAR17: if(VAR33) VAR52 = VAR42; VAR42: VAR52 = VAR14; VAR14: if(~VAR33) VAR52 = VAR17; default: VAR52 = VAR43; endcase end always @(posedge clk) if((VAR44 == VAR43) || (VAR44 == VAR42)) always @(posedge clk) begin if(VAR44 == VAR43) begin if(VAR40) end else if(VAR24) end else if(VAR16) else if(VAR25) else end else if(VAR44 == VAR17) begin if(VAR45) begin if(VAR18) end else if(VAR28 && VAR41) end else if(VAR7 && VAR59) else if(VAR9 && VAR15) end else if(VAR21) begin if(VAR27) end else if(VAR58 && VAR56) else if(VAR55 && VAR30) else if(VAR3 && VAR23) end end end always @(posedge clk) begin VAR8 = (VAR47 < VAR54) ? 1'b1 : 1'b0; VAR51 = (VAR47 > VAR54) ? 1'b1 : 1'b0; if(VAR44 == VAR43) begin if(VAR40) end else if(VAR24) end else if(VAR16) else if(VAR25) else end else if(VAR44 == VAR42) begin if(VAR8) end else if(VAR51) end end always @(posedge clk) begin if(rst) begin end else if(VAR44 == VAR42) begin if(VAR8) begin end else if(VAR51) begin end end else begin end end endmodule
mit
jairov4/accel-oil
solution_kintex7/impl/ip/hdl/verilog/nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4.v
1,511
module MODULE2(clk, VAR14, VAR7, VAR12, VAR9); input clk; input VAR14; input[8 - 1 : 0] VAR7; input[6 - 1 : 0] VAR12; output[14 - 1 : 0] VAR9; reg[8 - 1 : 0] VAR16; reg[6 - 1 : 0] VAR13; wire [14 - 1 : 0] VAR2; reg[14 - 1 : 0] VAR11; reg[14 - 1 : 0] VAR6; assign VAR9 = VAR6; assign VAR2 = VAR16 * VAR13; always @ (posedge clk) begin if (VAR14) begin VAR16 <= VAR7; VAR13 <= VAR12; VAR11 <= VAR2; VAR6 <= VAR11; end end endmodule module MODULE1( clk, reset, VAR14, VAR3, VAR17, dout); parameter VAR15 = 32'd1; parameter VAR4 = 32'd1; parameter VAR10 = 32'd1; parameter VAR8 = 32'd1; parameter VAR5 = 32'd1; input clk; input reset; input VAR14; input[VAR10 - 1:0] VAR3; input[VAR8 - 1:0] VAR17; output[VAR5 - 1:0] dout; MODULE2 VAR1( .clk( clk ), .VAR14( VAR14 ), .VAR7( VAR3 ), .VAR12( VAR17 ), .VAR9( dout )); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4b/sky130_fd_sc_lp__nor4b.functional.pp.v
1,988
module MODULE1 ( VAR12 , VAR17 , VAR2 , VAR6 , VAR9 , VAR14, VAR10, VAR1 , VAR5 ); output VAR12 ; input VAR17 ; input VAR2 ; input VAR6 ; input VAR9 ; input VAR14; input VAR10; input VAR1 ; input VAR5 ; wire VAR11 ; wire VAR3 ; wire VAR15; not VAR7 (VAR11 , VAR9 ); nor VAR8 (VAR3 , VAR17, VAR2, VAR6, VAR11 ); VAR13 VAR16 (VAR15, VAR3, VAR14, VAR10); buf VAR4 (VAR12 , VAR15 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkbuf/sky130_fd_sc_hs__clkbuf_1.v
1,907
module MODULE1 ( VAR1 , VAR4 , VAR2, VAR6 ); output VAR1 ; input VAR4 ; input VAR2; input VAR6; VAR3 VAR5 ( .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR1, VAR4 ); output VAR1; input VAR4; supply1 VAR2; supply0 VAR6; VAR3 VAR5 ( .VAR1(VAR1), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor3b/sky130_fd_sc_ls__nor3b.functional.v
1,417
module MODULE1 ( VAR4 , VAR1 , VAR5 , VAR8 ); output VAR4 ; input VAR1 ; input VAR5 ; input VAR8; wire VAR6 ; wire VAR9; nor VAR2 (VAR6 , VAR1, VAR5 ); and VAR7 (VAR9, VAR8, VAR6 ); buf VAR3 (VAR4 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or3/sky130_fd_sc_lp__or3.symbol.v
1,268
module MODULE1 ( input VAR3, input VAR8, input VAR4, output VAR7 ); supply1 VAR2; supply0 VAR6; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfrtn/sky130_fd_sc_ms__dfrtn.pp.symbol.v
1,436
module MODULE1 ( input VAR4 , output VAR1 , input VAR3, input VAR7 , input VAR5 , input VAR6 , input VAR8 , input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a41o/sky130_fd_sc_lp__a41o.functional.v
1,462
module MODULE1 ( VAR2 , VAR7, VAR6, VAR3, VAR11, VAR8 ); output VAR2 ; input VAR7; input VAR6; input VAR3; input VAR11; input VAR8; wire VAR1 ; wire VAR10; and VAR9 (VAR1 , VAR7, VAR6, VAR3, VAR11 ); or VAR5 (VAR10, VAR1, VAR8 ); buf VAR4 (VAR2 , VAR10 ); endmodule
apache-2.0
AbhishekShah212/School_Projects
ELEN232/pset5/FullAdderNoError.v
2,196
module MODULE1( input [3:0] VAR5, input [3:0] VAR16, input VAR4, output [4:0] VAR7 ); wire VAR14; wire VAR9, VAR3, VAR11, VAR15, VAR1; VAR12 VAR17 ( .VAR5(VAR5[0]), .VAR16(VAR16[0] ^ VAR4), .VAR7(VAR7[0]), .VAR13(VAR9), .VAR6(VAR4) ); VAR12 VAR8 ( .VAR5(VAR5[1]), .VAR16(VAR16[1] ^ VAR4), .VAR7(VAR7[1]), .VAR13(VAR3), .VAR6(VAR9) ); VAR12 VAR10 ( .VAR5(VAR5[2]), .VAR16(VAR16[2] ^ VAR4), .VAR7(VAR7[2]), .VAR13(VAR11), .VAR6(VAR3) ); VAR12 VAR18 ( .VAR5(VAR5[3]), .VAR16(VAR16[3] ^ VAR4), .VAR7(VAR7[3]), .VAR13(VAR14 ), .VAR6(VAR11) ); VAR12 VAR2 ( .VAR5(VAR5[3]), .VAR16(VAR16[3] ^ VAR4), .VAR7(VAR7[4]), .VAR13(VAR1), .VAR6(VAR14) ); endmodule
mit
Rmin1995/NoC
priority_all_vc.v
2,871
module MODULE1(VAR30, VAR12, VAR6, VAR22, VAR20, VAR10, VAR18, VAR4); parameter VAR27 = 4; output [1:VAR8 * VAR31] VAR30; output [0:VAR9*VAR31*VAR27-1] VAR12; output [0:VAR31 * VAR27 -1] VAR6; input [0:VAR31 * VAR27 -1] VAR22; input [1:VAR8 * VAR31] VAR20; input [0:VAR9*VAR31*VAR27-1] VAR10; input [0:VAR27*VAR31-1] VAR18; input [0:VAR13-1] VAR4; genvar VAR17; wire [0:VAR31-1] VAR2 [0:VAR27]; assign VAR2[0] = {VAR31{1'b0}}; wire [0:VAR31-1] VAR3 [0:VAR27]; assign VAR3[0] = {VAR31{1'b0}}; wire [1:VAR8 * VAR31] VAR11[0:VAR27]; assign VAR11[0] = {VAR8*VAR31{1'b0}}; genvar VAR25; generate for(VAR25=0;VAR25<VAR27;VAR25=VAR25+1) begin : VAR21 VAR32 #(.VAR27(VAR27)) VAR16( .VAR29(VAR2[VAR25+1]), .VAR1(VAR3[VAR25+1]), .VAR30(VAR11[VAR25+1]), .VAR6(VAR14[VAR25]), .VAR12(VAR26[VAR25]), .VAR18(VAR19[VAR25]), .VAR5(VAR2[VAR25]), .VAR28(VAR3[VAR25]), .VAR23(VAR15[VAR25]), .VAR20(VAR20), .VAR24(VAR11[VAR25]), .VAR10(VAR7[VAR25]), .VAR4(VAR4) ); end endgenerate endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai32/gf180mcu_fd_sc_mcu7t5v0__oai32_2.functional.v
1,666
module MODULE1( VAR3, VAR11, VAR21, VAR5, VAR20, VAR9 ); input VAR21, VAR11, VAR3, VAR9, VAR20; output VAR5; wire VAR19; not VAR14( VAR19, VAR21 ); wire VAR10; not VAR12( VAR10, VAR11 ); wire VAR13; not VAR7( VAR13, VAR3 ); wire VAR2; and VAR1( VAR2, VAR19, VAR10, VAR13 ); wire VAR8; not VAR4( VAR8, VAR9 ); wire VAR16; not VAR17( VAR16, VAR20 ); wire VAR18; and VAR6( VAR18, VAR8, VAR16 ); or VAR15( VAR5, VAR2, VAR18 ); endmodule
apache-2.0
SymbiFlow/yosys
techlibs/ice40/brams_map.v
7,976
module \VAR48 ( output [15:0] VAR44, input VAR56, VAR31, VAR19, input [10:0] VAR24, input VAR33, VAR51, VAR57, input [10:0] VAR28, input [15:0] VAR60, VAR47 ); parameter [1:0] VAR2 = 0; parameter [1:0] VAR17 = 0; parameter [0:0] VAR18 = 0; parameter [0:0] VAR45 = 0; parameter [255:0] VAR36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR55 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR1 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR53 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR42 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR59 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR8 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; generate case ({VAR18, VAR45}) 2'b00: VAR40 #( .VAR2(VAR2), .VAR17(VAR17), .VAR36(VAR36), .VAR55(VAR55), .VAR39(VAR39), .VAR1(VAR1), .VAR26(VAR26), .VAR53(VAR53), .VAR35(VAR35), .VAR11(VAR11), .VAR42(VAR42), .VAR21(VAR21), .VAR59(VAR59), .VAR15(VAR15), .VAR13(VAR13), .VAR14(VAR14), .VAR8(VAR8), .VAR34(VAR34) ) VAR32 ( .VAR44(VAR44), .VAR56 (VAR56 ), .VAR31(VAR31), .VAR19 (VAR19 ), .VAR24(VAR24), .VAR33 (VAR33 ), .VAR51(VAR51), .VAR57 (VAR57 ), .VAR28(VAR28), .VAR60 (VAR60 ), .VAR47(VAR47) ); 2'b01: VAR27 #( .VAR2(VAR2), .VAR17(VAR17), .VAR36(VAR36), .VAR55(VAR55), .VAR39(VAR39), .VAR1(VAR1), .VAR26(VAR26), .VAR53(VAR53), .VAR35(VAR35), .VAR11(VAR11), .VAR42(VAR42), .VAR21(VAR21), .VAR59(VAR59), .VAR15(VAR15), .VAR13(VAR13), .VAR14(VAR14), .VAR8(VAR8), .VAR34(VAR34) ) VAR32 ( .VAR44(VAR44), .VAR56 (VAR56 ), .VAR31(VAR31), .VAR19 (VAR19 ), .VAR24(VAR24), .VAR10(VAR33 ), .VAR51(VAR51), .VAR57 (VAR57 ), .VAR28(VAR28), .VAR60 (VAR60 ), .VAR47(VAR47) ); 2'b10: VAR12 #( .VAR2(VAR2), .VAR17(VAR17), .VAR36(VAR36), .VAR55(VAR55), .VAR39(VAR39), .VAR1(VAR1), .VAR26(VAR26), .VAR53(VAR53), .VAR35(VAR35), .VAR11(VAR11), .VAR42(VAR42), .VAR21(VAR21), .VAR59(VAR59), .VAR15(VAR15), .VAR13(VAR13), .VAR14(VAR14), .VAR8(VAR8), .VAR34(VAR34) ) VAR32 ( .VAR44(VAR44), .VAR23(VAR56 ), .VAR31(VAR31), .VAR19 (VAR19 ), .VAR24(VAR24), .VAR33 (VAR33 ), .VAR51(VAR51), .VAR57 (VAR57 ), .VAR28(VAR28), .VAR60 (VAR60 ), .VAR47(VAR47) ); 2'b11: VAR43 #( .VAR2(VAR2), .VAR17(VAR17), .VAR36(VAR36), .VAR55(VAR55), .VAR39(VAR39), .VAR1(VAR1), .VAR26(VAR26), .VAR53(VAR53), .VAR35(VAR35), .VAR11(VAR11), .VAR42(VAR42), .VAR21(VAR21), .VAR59(VAR59), .VAR15(VAR15), .VAR13(VAR13), .VAR14(VAR14), .VAR8(VAR8), .VAR34(VAR34) ) VAR32 ( .VAR44(VAR44), .VAR23(VAR56 ), .VAR31(VAR31), .VAR19 (VAR19 ), .VAR24(VAR24), .VAR10(VAR33 ), .VAR51(VAR51), .VAR57 (VAR57 ), .VAR28(VAR28), .VAR60 (VAR60 ), .VAR47(VAR47) ); endcase endgenerate endmodule module \VAR50 (VAR52, VAR46, VAR58, VAR37, VAR25, VAR20, VAR9, VAR30); parameter [0:0] VAR49 = 1; parameter [0:0] VAR6 = 1; parameter [4095:0] VAR54 = 4096'VAR22; input VAR52; input VAR46; input [7:0] VAR58; output [15:0] VAR37; input VAR25; input [7:0] VAR20; input [15:0] VAR9; input [15:0] VAR30; wire [10:0] VAR29 = VAR58; wire [10:0] VAR3 = VAR20; \VAR48 #( .VAR2(0), .VAR17(0), .VAR18(!VAR49), .VAR45(!VAR6), .VAR36(VAR54[ 0*256 +: 256]), .VAR55(VAR54[ 1*256 +: 256]), .VAR39(VAR54[ 2*256 +: 256]), .VAR1(VAR54[ 3*256 +: 256]), .VAR26(VAR54[ 4*256 +: 256]), .VAR53(VAR54[ 5*256 +: 256]), .VAR35(VAR54[ 6*256 +: 256]), .VAR11(VAR54[ 7*256 +: 256]), .VAR42(VAR54[ 8*256 +: 256]), .VAR21(VAR54[ 9*256 +: 256]), .VAR59(VAR54[10*256 +: 256]), .VAR15(VAR54[11*256 +: 256]), .VAR13(VAR54[12*256 +: 256]), .VAR14(VAR54[13*256 +: 256]), .VAR8(VAR54[14*256 +: 256]), .VAR34(VAR54[15*256 +: 256]) ) VAR32 ( .VAR44(VAR37), .VAR24(VAR29), .VAR56(VAR52), .VAR31(VAR25), .VAR19(1'b1), .VAR47(VAR9), .VAR28(VAR3), .VAR60(~VAR30), .VAR33(VAR46), .VAR51(|VAR30), .VAR57(1'b1) ); endmodule module \VAR38 (VAR52, VAR46, VAR58, VAR37, VAR25, VAR20, VAR9, VAR30); parameter VAR5 = 9; parameter VAR7 = 8; parameter [0:0] VAR49 = 1; parameter [0:0] VAR6 = 1; parameter [4095:0] VAR54 = 4096'VAR22; localparam VAR4 = VAR5 == 9 ? 1 : VAR5 == 10 ? 2 : VAR5 == 11 ? 3 : 'VAR22; input VAR52; input VAR46; input [VAR5-1:0] VAR58; output [VAR7-1:0] VAR37; input VAR25; input [VAR5-1:0] VAR20; input [VAR7-1:0] VAR9; input VAR30; wire [10:0] VAR29 = VAR58; wire [10:0] VAR3 = VAR20; wire [15:0] VAR16, VAR41; generate if (VAR4 == 1) begin assign VAR37 = {VAR16[14], VAR16[12], VAR16[10], VAR16[ 8], VAR16[ 6], VAR16[ 4], VAR16[ 2], VAR16[ 0]}; assign {VAR41[14], VAR41[12], VAR41[10], VAR41[ 8], VAR41[ 6], VAR41[ 4], VAR41[ 2], VAR41[ 0]} = VAR9; end if (VAR4 == 2) begin assign VAR37 = {VAR16[13], VAR16[9], VAR16[5], VAR16[1]}; assign {VAR41[13], VAR41[9], VAR41[5], VAR41[1]} = VAR9; end if (VAR4 == 3) begin assign VAR37 = {VAR16[11], VAR16[3]}; assign {VAR41[11], VAR41[3]} = VAR9; end endgenerate \VAR48 #( .VAR2(VAR4), .VAR17(VAR4), .VAR18(!VAR49), .VAR45(!VAR6), .VAR36(VAR36), .VAR55(VAR55), .VAR39(VAR39), .VAR1(VAR1), .VAR26(VAR26), .VAR53(VAR53), .VAR35(VAR35), .VAR11(VAR11), .VAR42(VAR42), .VAR21(VAR21), .VAR59(VAR59), .VAR15(VAR15), .VAR13(VAR13), .VAR14(VAR14), .VAR8(VAR8), .VAR34(VAR34) ) VAR32 ( .VAR44(VAR16), .VAR24(VAR29), .VAR56(VAR52), .VAR31(VAR25), .VAR19(1'b1), .VAR47(VAR41), .VAR28(VAR3), .VAR33(VAR46), .VAR51(|VAR30), .VAR57(1'b1) ); endmodule
isc
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai211/gf180mcu_fd_sc_mcu9t5v0__oai211_2.functional.v
1,443
module MODULE1( VAR10, VAR13, VAR11, VAR16, VAR4 ); input VAR11, VAR13, VAR16, VAR4; output VAR10; wire VAR7; not VAR3( VAR7, VAR11 ); wire VAR2; not VAR8( VAR2, VAR13 ); wire VAR6; and VAR12( VAR6, VAR7, VAR2 ); wire VAR9; not VAR1( VAR9, VAR16 ); wire VAR5; not VAR15( VAR5, VAR4 ); or VAR14( VAR10, VAR6, VAR9, VAR5 ); endmodule
apache-2.0
firemark/katp91
src/Alu.v
2,353
module MODULE1(VAR17, VAR3, VAR2, VAR7, VAR1, VAR9, VAR16, VAR5); input VAR17; input [15:0] VAR3, VAR2; input [3:0] VAR7; input VAR5; reg VAR15; wire VAR8, VAR14, VAR12; wire VAR6, VAR11, VAR4, VAR10; output [3:0] VAR9; output [3:0] VAR16; output reg [15:0] VAR1; assign VAR8 = VAR3[15] ^ VAR1[15]; assign VAR14 = ~|VAR1; assign VAR12 = VAR1[15]; assign VAR9 = {VAR15, VAR8, VAR14, VAR12}; assign VAR6 = VAR1[8]; assign VAR11 = VAR3[7] ^ VAR1[7]; assign VAR4 = ~|VAR1[7:0]; assign VAR10 = VAR1[7]; assign VAR16 = {VAR6, VAR11, VAR4, VAR10}; VAR13 VAR15 = 1'b0; VAR13 VAR1 = 16'b0; always @* begin if (!VAR17) case(VAR7) default: VAR1 = 16'hAAAA; endcase end else case (VAR7) default: VAR1 = 16'h0000; endcase end endmodule
mit
ShepardSiegel/ocpi
coregen/dram_v6_mig37/mig_37/user_design/rtl/ui/ui_wr_data.v
20,842
module MODULE1 # ( parameter VAR109 = 100, parameter VAR84 = 256, parameter VAR57 = 32, parameter VAR12 = "VAR103", parameter VAR60 = "VAR103", parameter VAR74 = 5 ) ( VAR85, VAR7, VAR62, VAR59, VAR31, VAR39, rst, clk, VAR26, VAR37, VAR73, VAR69, VAR46, VAR64, VAR66, VAR101, VAR6, VAR102, VAR16 ); input rst; input clk; input [VAR84-1:0] VAR26; input [VAR57-1:0] VAR37; input [3:0] VAR73; input VAR69; input VAR46; reg [VAR84-1:0] VAR105; reg [VAR57-1:0] VAR72; reg [3:0] VAR33 = 4'b0; reg VAR97; reg VAR98; reg VAR100; reg VAR70; reg VAR76; reg VAR25; reg VAR41; wire [VAR84-1:0] VAR8 = ~VAR76 ? VAR105 : VAR26; wire [VAR57-1:0] VAR30 = ~VAR76 ? VAR72 : VAR37; wire VAR40 = ~rst && (~VAR76 ? VAR97 : VAR69); wire VAR32 = ~rst && (~VAR76 ? VAR98 : VAR46); generate if (VAR60 != "VAR103") begin : VAR18 end endgenerate always @(posedge clk) begin end input VAR64; input [3:0] VAR66; reg VAR93; reg [3:0] VAR79; generate if (VAR12 == "VAR103" || VAR74 >= 7) begin : VAR58 always @(VAR64) VAR93 = VAR64; always @(VAR66) VAR79 = VAR66; end else begin : VAR67 end endgenerate input VAR101; wire VAR108 = VAR101 && ~VAR93; reg [3:0] VAR44; reg VAR38; generate begin : VAR92 reg [3:0] VAR52; always @(VAR108 or VAR44 or rst) begin VAR52 = VAR44; if (rst) VAR52 = 5'b0; end else if (VAR108) VAR52 = VAR44 + 5'h1; end end endgenerate input VAR6; reg [3:0] VAR96; generate begin : VAR13 reg [3:0] VAR10; always @(VAR96 or rst or VAR6) begin VAR10 = VAR96; if (rst) VAR10 = 4'b0; end else if (VAR6) VAR10 = VAR96 + 4'h1; end end endgenerate wire VAR27; always @( posedge clk ) begin end wire VAR20 = VAR98 && VAR70; wire [3:0] VAR80; wire [4:0] VAR24; reg [3:0] VAR42; generate begin : VAR106 wire VAR54 = (VAR20 && VAR27) || (VAR38 && ~VAR70); reg [3:0] VAR82; always @(rst or VAR54 or VAR42) begin VAR82 = VAR42; if (rst) VAR82 = 4'b1; end else if (VAR54) VAR82 = VAR42 + 4'h1; end reg [4:1] VAR19; reg [4:1] VAR49; always @(rst or VAR49 or VAR54 or VAR80) begin VAR19 = VAR49; if (rst) VAR19 = 4'b0; end else if (VAR54) VAR19 = VAR80; end reg VAR17; wire VAR104 = ~rst && ((VAR25 && VAR97 && ~VAR98) || (VAR17 && ~VAR97)); assign VAR24 = {VAR49, VAR17}; end endgenerate input VAR102; output wire VAR85; generate begin : VAR51 reg [15:0] VAR78; always @(posedge clk) begin if ( rst ) end else case ({VAR20, VAR38}) endcase end assign VAR27 = !(rst || ~VAR102 || (VAR78[14] && VAR20 && ~VAR38) || (VAR78[15] && ~VAR38)); assign VAR85 = VAR100; VAR3: cover property (@(posedge clk) (~rst && ~VAR100)); end endgenerate output wire VAR7; generate begin : VAR43 reg [4:0] VAR87; reg [4:0] VAR47; always @(VAR38 or rst or VAR6 or VAR47) begin VAR87 = VAR47; if (rst) VAR87 = 5'b0; end else case ({VAR6, VAR38}) 2'b01 : VAR87 = VAR47 - 5'b1; 2'b10 : VAR87 = VAR47 + 5'b1; endcase end assign VAR7 = (VAR87 == 5'h10); VAR36: cover property (@(posedge clk) (~rst && VAR7)); VAR86: cover property (@(posedge clk) (~rst && VAR6 && VAR38 && (VAR47 == 5'hf))); VAR83: assert property (@(posedge clk) (rst || !((VAR47 == 5'b0) && (VAR87 == 5'h1f)))); VAR68: assert property (@(posedge clk) (rst || !((VAR47 == 5'h10) && (VAR87 == 5'h11)))); end endgenerate input [3:0] VAR16; output wire [3:0] VAR62; localparam VAR45 = 2; generate begin : VAR55 wire VAR63 = VAR108 || ~VAR102; wire [3:0] VAR53 = VAR102 ? VAR79 : VAR16; wire [3:0] VAR23 = VAR102 ? VAR44 : VAR16; genvar VAR61; for (VAR61=0; VAR61<VAR45; VAR61=VAR61+1) begin : VAR50 VAR95 .VAR34(64'h0000000000000000), .VAR5(64'h0000000000000000), .VAR91(64'h0000000000000000) ) VAR77 ( .VAR29(), .VAR4(VAR62[VAR61*2+:2]), .VAR99(VAR80[VAR61*2+:2]), .VAR94(), .VAR71(2'b0), .VAR88(VAR53[VAR61*2+:2]), .VAR1(VAR53[VAR61*2+:2]), .VAR56(2'b0), .VAR28(5'b0), .VAR35({1'b0, VAR96}), .VAR11({1'b0, VAR42}), .VAR15({1'b0, VAR23}), .VAR48(VAR63), .VAR75(clk) ); end end endgenerate localparam VAR107 = VAR84 + VAR57 + (VAR60 == "VAR103" ? 0 : 4); localparam VAR14 = (VAR107/6); localparam VAR65 = VAR107 % 6; localparam VAR9 = VAR14 + ((VAR65 == 0 ) ? 0 : 1); localparam VAR81 = (VAR9*6); wire [VAR81-1:0] VAR89; generate begin : VAR22 wire [VAR81-1:0] VAR21; if (VAR65 == 0) if (VAR60 == "VAR103") assign VAR21 = {VAR72, VAR105}; end else assign VAR21 = {VAR33, VAR72, VAR105}; else if (VAR60 == "VAR103") assign VAR21 = {{6-VAR65{1'b0}}, VAR72, VAR105}; else assign VAR21 = {{6-VAR65{1'b0}}, VAR33, VAR72, VAR105}; reg [4:0] VAR2; genvar VAR61; for (VAR61=0; VAR61<VAR9; VAR61=VAR61+1) begin : VAR90 VAR95 .VAR34(64'h0000000000000000), .VAR5(64'h0000000000000000), .VAR91(64'h0000000000000000) ) VAR77 ( .VAR29(VAR89[((VAR61*6)+4)+:2]), .VAR4(VAR89[((VAR61*6)+2)+:2]), .VAR99(VAR89[((VAR61*6)+0)+:2]), .VAR94(), .VAR71(VAR21[((VAR61*6)+4)+:2]), .VAR88(VAR21[((VAR61*6)+2)+:2]), .VAR1(VAR21[((VAR61*6)+0)+:2]), .VAR56(2'b0), .VAR28(VAR2), .VAR35(VAR2), .VAR11(VAR2), .VAR15(VAR24), .VAR48(VAR41), .VAR75(clk) ); end end endgenerate output [VAR84-1:0] VAR59; output [VAR57-1:0] VAR31; assign {VAR31, VAR59} = VAR89[VAR107-1:0]; output [3:0] VAR39; generate if (VAR60 == "VAR103") assign VAR39 = 4'b0; else assign VAR39 = VAR89[VAR107-1-:4]; endgenerate endmodule
lgpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v
1,840
module MODULE1 #(parameter VAR10(VAR1 )) (input [VAR1-1:0] VAR4 , output [VAR1-1:0] VAR12 ); wire [VAR1-1:0] VAR7; VAR9 #(.VAR1(VAR1) ,.VAR3(1) ,.VAR13(1) ) VAR2 (.VAR5(VAR4), .VAR6(VAR7)); wire [VAR1:0] VAR8 = { 1'b0, VAR7[VAR1-2:0], 1'b1}; wire [VAR1-1:0] VAR11 = ~VAR8[VAR1:1] & VAR8[VAR1-1:0]; assign VAR12 = (VAR4 >> 1) ^ (VAR4) ^ VAR11; endmodule
bsd-3-clause
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/ui/mig_7series_v2_0_ui_wr_data.v
21,343
module MODULE1 # ( parameter VAR87 = 100, parameter VAR30 = 256, parameter VAR101 = 32, parameter VAR53 = "VAR5", parameter VAR4 = 2 , parameter VAR62 = "VAR5", parameter VAR29 = 5 ) ( VAR20, VAR41, VAR14, VAR37, VAR77, VAR98, rst, clk, VAR34, VAR47, VAR8, VAR83, VAR19, VAR36, VAR61, VAR33, VAR79, VAR46, VAR84 ); input rst; input clk; input [VAR30-1:0] VAR34; input [VAR101-1:0] VAR47; input [2*VAR4-1:0] VAR8; input VAR83; input VAR19; reg [VAR30-1:0] VAR10; reg [VAR101-1:0] VAR24; reg [2*VAR4-1:0] VAR89 = 4'b0; reg VAR75; reg VAR58; reg VAR65; reg VAR99; reg VAR68; reg VAR18; reg VAR109; wire [VAR30-1:0] VAR95 = ~VAR68 ? VAR10 : VAR34; wire [VAR101-1:0] VAR93 = ~VAR68 ? VAR24 : VAR47; wire VAR54 = ~rst && (~VAR68 ? VAR75 : VAR83); wire VAR22 = ~rst && (~VAR68 ? VAR58 : VAR19); generate if (VAR62 != "VAR5") begin : VAR108 always @(VAR8) VAR89 = VAR8; end endgenerate always @(posedge clk) begin end input VAR36; input [3:0] VAR61; reg VAR52; reg [3:0] VAR50; generate if (VAR53 == "VAR5" || VAR29 >= 0) begin : VAR78 always @(VAR36) VAR52 = VAR36; always @(VAR61) VAR50 = VAR61; end else begin : VAR81 end endgenerate input VAR33; wire VAR51 = VAR33 && ~VAR52; reg [3:0] VAR59; reg VAR64; generate begin : VAR103 reg [3:0] VAR90; always @(VAR51 or VAR59 or rst) begin VAR90 = VAR59; if (rst) VAR90 = 5'b0; end else if (VAR51) VAR90 = VAR59 + 5'h1; end end endgenerate input VAR79; reg [3:0] VAR107; generate begin : VAR91 reg [3:0] VAR106; always @(VAR107 or rst or VAR79) begin VAR106 = VAR107; if (rst) VAR106 = 4'b0; end else if (VAR79) VAR106 = VAR107 + 4'h1; end end endgenerate wire VAR7; always @( posedge clk ) begin end wire VAR27 = VAR58 && VAR99 && VAR75; wire [3:0] VAR112; wire [4:0] VAR31; wire [4:0] VAR9; reg [3:0] VAR92; generate begin : VAR105 wire VAR49 = (VAR27 && VAR7) || (VAR64 && ~VAR99); reg [3:0] VAR82; always @(rst or VAR49 or VAR92) begin VAR82 = VAR92; if (rst) VAR82 = 4'b1; end else if (VAR49) VAR82 = VAR92 + 4'h1; end reg [4:1] VAR66; reg [4:1] VAR71; always @(rst or VAR71 or VAR49 or VAR112) begin VAR66 = VAR71; if (rst) VAR66 = 4'b0; end else if (VAR49) VAR66 = VAR112; end reg VAR72; wire VAR32 = ~rst && ((VAR18 && VAR75 && ~VAR58) || (VAR72 && ~VAR75)); assign VAR31 = {VAR71, VAR72}; assign VAR9 = {VAR66, VAR32}; end endgenerate input VAR46; output wire VAR20; generate begin : VAR94 reg [15:0] VAR55; always @(posedge clk) begin if ( rst ) end else case ({VAR27, VAR64}) endcase end assign VAR7 = !(rst || ~VAR46 || (VAR55[14] && VAR27 && ~VAR64) || (VAR55[15] && ~VAR64)); assign VAR20 = VAR65; VAR73: cover property (@(posedge clk) (~rst && ~VAR65)); end endgenerate output wire VAR41; generate begin : VAR88 reg [4:0] VAR6; reg [4:0] VAR13; always @(VAR64 or rst or VAR79 or VAR13) begin VAR6 = VAR13; if (rst) VAR6 = 5'b0; end else case ({VAR79, VAR64}) 2'b01 : VAR6 = VAR13 - 5'b1; 2'b10 : VAR6 = VAR13 + 5'b1; endcase end assign VAR41 = (VAR6 == 5'h10); VAR111: cover property (@(posedge clk) (~rst && VAR41)); VAR48: cover property (@(posedge clk) (~rst && VAR79 && VAR64 && (VAR13 == 5'hf))); VAR104: assert property (@(posedge clk) (rst || !((VAR13 == 5'b0) && (VAR6 == 5'h1f)))); VAR97: assert property (@(posedge clk) (rst || !((VAR13 == 5'h10) && (VAR6 == 5'h11)))); end endgenerate input [3:0] VAR84; output wire [3:0] VAR14; localparam VAR80 = 2; generate begin : VAR23 wire VAR12 = VAR51 || ~VAR46; wire [3:0] VAR86 = VAR46 ? VAR50 : VAR84; wire [3:0] VAR15 = VAR46 ? VAR59 : VAR84; genvar VAR67; for (VAR67=0; VAR67<VAR80; VAR67=VAR67+1) begin : VAR3 VAR25 .VAR16(64'h0000000000000000), .VAR35(64'h0000000000000000), .VAR57(64'h0000000000000000) ) VAR43 ( .VAR26(), .VAR100(VAR14[VAR67*2+:2]), .VAR56(VAR112[VAR67*2+:2]), .VAR17(), .VAR2(2'b0), .VAR45(VAR86[VAR67*2+:2]), .VAR110(VAR86[VAR67*2+:2]), .VAR74(2'b0), .VAR76(5'b0), .VAR85({1'b0, VAR107}), .VAR69({1'b0, VAR92}), .VAR1({1'b0, VAR15}), .VAR44(VAR12), .VAR21(clk) ); end end endgenerate localparam VAR28 = VAR30 + VAR101 + (VAR62 == "VAR5" ? 0 : 2*VAR4); localparam VAR39 = (VAR28/6); localparam VAR42 = VAR28 % 6; localparam VAR11 = VAR39 + ((VAR42 == 0 ) ? 0 : 1); localparam VAR38 = (VAR11*6); wire [VAR38-1:0] VAR96; reg [VAR38-1:0] VAR40; generate begin : VAR102 wire [VAR38-1:0] VAR70; if (VAR42 == 0) if (VAR62 == "VAR5") assign VAR70 = {VAR93, VAR95}; end else assign VAR70 = {VAR89, VAR93, VAR95}; else if (VAR62 == "VAR5") assign VAR70 = {{6-VAR42{1'b0}}, VAR93, VAR95}; else assign VAR70 = {{6-VAR42{1'b0}}, VAR89, VAR93, VAR95}; wire [4:0] VAR63; assign VAR63 = {VAR61, VAR36}; genvar VAR67; for (VAR67=0; VAR67<VAR11; VAR67=VAR67+1) begin : VAR60 VAR25 .VAR16(64'h0000000000000000), .VAR35(64'h0000000000000000), .VAR57(64'h0000000000000000) ) VAR43 ( .VAR26(VAR96[((VAR67*6)+4)+:2]), .VAR100(VAR96[((VAR67*6)+2)+:2]), .VAR56(VAR96[((VAR67*6)+0)+:2]), .VAR17(), .VAR2(VAR70[((VAR67*6)+4)+:2]), .VAR45(VAR70[((VAR67*6)+2)+:2]), .VAR110(VAR70[((VAR67*6)+0)+:2]), .VAR74(2'b0), .VAR76(VAR63), .VAR85(VAR63), .VAR69(VAR63), .VAR1(VAR9), .VAR44(VAR7), .VAR21(clk) ); end end endgenerate output [VAR30-1:0] VAR37; output [VAR101-1:0] VAR77; assign {VAR77, VAR37} = VAR40[VAR28-1:0]; output [2*VAR4-1:0] VAR98; generate if (VAR62 == "VAR5") assign VAR98 = {2*VAR4{1'b0}}; else assign VAR98 = VAR40[VAR28-1-:(2*VAR4)]; endgenerate endmodule
bsd-2-clause
alexforencich/verilog-ethernet
example/HXT100G/fpga_cxpt16/rtl/fpga.v
27,807
module MODULE1 ( input wire VAR274, input wire VAR40, input wire [1:0] VAR177, input wire [3:0] VAR161, output wire [3:0] VAR100, output wire VAR7, input wire VAR370, output wire VAR130, output wire VAR286, input wire VAR117, output wire VAR138, input wire VAR18, output wire VAR309, input wire VAR97, output wire VAR220, inout wire VAR52, inout wire VAR132, output wire VAR25, input wire VAR21, input wire VAR320, input wire VAR262, input wire VAR302, output wire VAR332, inout wire VAR293, output wire VAR214, output wire VAR222, inout wire VAR173, output wire VAR329, input wire VAR253, input wire VAR281, output wire VAR240, output wire VAR42, input wire VAR134, input wire VAR331, output wire VAR215, output wire VAR382, input wire VAR383, input wire VAR126, output wire VAR113, output wire VAR157, input wire VAR283, input wire VAR89, output wire VAR106, output wire VAR98, input wire VAR174, input wire VAR183, input wire VAR150, input wire VAR127, output wire VAR263, output wire VAR234, input wire VAR318, input wire VAR37, output wire VAR125, output wire VAR244, input wire VAR334, input wire VAR317, output wire VAR48, output wire VAR68, input wire VAR228, input wire VAR360, output wire VAR207, output wire VAR248, input wire VAR229, input wire VAR57, input wire VAR30, input wire VAR195, output wire VAR15, output wire VAR259, input wire VAR401, input wire VAR189, output wire VAR209, output wire VAR53, input wire VAR353, input wire VAR251, output wire VAR250, output wire VAR313, input wire VAR85, input wire VAR372, output wire VAR70, output wire VAR133, input wire VAR291, input wire VAR319, input wire VAR91, input wire VAR142, output wire VAR275, output wire VAR238, input wire VAR392, input wire VAR80, output wire VAR285, output wire VAR81, input wire VAR182, input wire VAR2, output wire VAR381, output wire VAR363, input wire VAR311, input wire VAR39, output wire VAR239, output wire VAR357, input wire VAR322, input wire VAR404, input wire VAR165, input wire VAR190, output wire VAR169, output wire VAR337, input wire VAR342, input wire VAR226, output wire VAR235, output wire VAR292, input wire VAR236, input wire VAR73, output wire VAR258, output wire VAR162, input wire VAR376, input wire VAR33, output wire VAR348, output wire VAR290, input wire VAR299, input wire VAR371, input wire VAR361, input wire VAR163, output wire VAR366, output wire VAR310, input wire VAR23, input wire VAR333, output wire VAR380, output wire VAR315, input wire VAR213, input wire VAR225, output wire VAR206, output wire VAR242, input wire VAR45, input wire VAR90, output wire VAR390, output wire VAR156, input wire VAR185, input wire VAR129 ); wire VAR181; wire VAR164; wire VAR373; wire VAR247; wire VAR255; wire [1:0] VAR192; wire [3:0] VAR284; wire [3:0] VAR88; wire VAR223; wire VAR131; wire VAR82; wire VAR158; wire VAR12; wire VAR352; wire VAR362; wire VAR26; wire VAR198; wire VAR139; wire VAR237; wire VAR339; wire VAR83; wire VAR350; wire VAR306; wire VAR86; wire VAR101; wire VAR289; wire VAR276; wire VAR170; wire VAR324; wire VAR196; wire VAR358; wire VAR397; wire VAR51; wire VAR327; wire VAR141; wire VAR160; wire [63:0] VAR280; wire [7:0] VAR28; wire [63:0] VAR1; wire [7:0] VAR405; wire [63:0] VAR316; wire [7:0] VAR119; wire [63:0] VAR10; wire [7:0] VAR96; wire [63:0] VAR146; wire [7:0] VAR199; wire [63:0] VAR148; wire [7:0] VAR19; wire [63:0] VAR270; wire [7:0] VAR402; wire [63:0] VAR308; wire [7:0] VAR231; wire [63:0] VAR114; wire [7:0] VAR399; wire [63:0] VAR43; wire [7:0] VAR34; wire [63:0] VAR69; wire [7:0] VAR304; wire [63:0] VAR241; wire [7:0] VAR355; wire [63:0] VAR87; wire [7:0] VAR120; wire [63:0] VAR321; wire [7:0] VAR221; wire [63:0] VAR394; wire [7:0] VAR396; wire [63:0] VAR205; wire [7:0] VAR128; wire [63:0] VAR67; wire [7:0] VAR344; wire [63:0] VAR349; wire [7:0] VAR326; wire [63:0] VAR261; wire [7:0] VAR400; wire [63:0] VAR374; wire [7:0] VAR55; wire [63:0] VAR172; wire [7:0] VAR56; wire [63:0] VAR159; wire [7:0] VAR118; wire [63:0] VAR143; wire [7:0] VAR351; wire [63:0] VAR219; wire [7:0] VAR359; wire [63:0] VAR187; wire [7:0] VAR108; wire [63:0] VAR93; wire [7:0] VAR202; wire [63:0] VAR176; wire [7:0] VAR245; wire [63:0] VAR303; wire [7:0] VAR278; wire [63:0] VAR268; wire [7:0] VAR115; wire [63:0] VAR123; wire [7:0] VAR307; wire [63:0] VAR384; wire [7:0] VAR379; wire [63:0] VAR398; wire [7:0] VAR277; wire [63:0] VAR84; wire [7:0] VAR314; wire [63:0] VAR385; wire [7:0] VAR72; wire [63:0] VAR95; wire [7:0] VAR76; wire [63:0] VAR105; wire [7:0] VAR282; wire [63:0] VAR6; wire [7:0] VAR328; wire [63:0] VAR65; wire [7:0] VAR154; wire [63:0] VAR140; wire [7:0] VAR233; wire [63:0] VAR211; wire [7:0] VAR354; wire [63:0] VAR61; wire [7:0] VAR147; wire [63:0] VAR249; wire [7:0] VAR375; wire [63:0] VAR193; wire [7:0] VAR110; wire [63:0] VAR218; wire [7:0] VAR388; wire [63:0] VAR301; wire [7:0] VAR49; wire [63:0] VAR4; wire [7:0] VAR336; wire [63:0] VAR288; wire [7:0] VAR377; wire [63:0] VAR124; wire [7:0] VAR389; VAR395 VAR107 ( .VAR338(VAR274), .VAR356(VAR181) ); VAR330 VAR216 ( .VAR338(VAR181), .VAR356(VAR164) ); wire VAR64; VAR330 VAR364 ( .VAR338(VAR64), .VAR356(VAR373) ); VAR166 #( .VAR224(6) ) VAR92 ( .clk(VAR164), .rst(~VAR40), .out(VAR247) ); VAR297 #( .VAR32(4), .VAR224(2) ) VAR20 ( .clk(VAR164), .in({VAR21, VAR320, VAR262, VAR302}), .out({VAR101, VAR289, VAR276, VAR170}) ); VAR297 #( .VAR32(4), .VAR224(2) ) VAR60 ( .clk(VAR373), .in({VAR370, VAR117, VAR18, VAR97}), .out({VAR131, VAR12, VAR362, VAR198}) ); VAR54 #( .VAR32(6), .VAR224(4), .VAR109(50000) ) VAR260 ( .clk(VAR164), .rst(VAR247), .in({VAR177, VAR161}), .out({VAR192, VAR284}) ); assign VAR100 = VAR88; assign VAR7 = VAR50; assign VAR130 = VAR82; assign VAR286 = VAR158; assign VAR138 = VAR352; assign VAR309 = VAR26; assign VAR220 = VAR139; assign VAR237 = VAR52; assign VAR52 = VAR83 ? 1'VAR5 : VAR339; assign VAR350 = VAR132; assign VAR132 = VAR86 ? 1'VAR5 : VAR306; assign VAR25 = ~VAR247; wire [6:0] VAR44; wire VAR378; wire VAR122; wire VAR178; wire VAR3; wire VAR265; wire VAR188; wire VAR201; wire [7:0] VAR9; wire VAR298; wire VAR325; wire VAR266; VAR287 VAR267 ( .clk(VAR164), .rst(VAR247), .VAR155(VAR44), .VAR66(VAR378), .VAR227(VAR122), .VAR243(VAR178), .VAR200(VAR3), .VAR29(VAR265), .VAR208(VAR188), .VAR191(VAR201), .VAR335(VAR9), .VAR194(VAR298), .VAR264(VAR325), .VAR256(VAR266), .VAR31(), .VAR367(1) ); VAR257 VAR116 ( .clk(VAR164), .rst(VAR247), .VAR155(VAR44), .VAR66(VAR378), .VAR227(VAR122), .VAR243(VAR178), .VAR200(VAR3), .VAR29(VAR265), .VAR208(VAR188), .VAR191(VAR201), .VAR347(VAR9), .VAR135(VAR298), .VAR168(VAR325), .VAR111(VAR266), .VAR335(), .VAR194(), .VAR264(1), .VAR256(), .VAR104(VAR237), .VAR365(VAR339), .VAR167(VAR83), .VAR340(VAR350), .VAR62(VAR306), .VAR246(VAR86), .VAR31(), .VAR46(), .VAR59(), .VAR63(), .VAR254(312), .VAR184(1) ); wire VAR204; wire VAR368; wire VAR323; wire VAR145; wire VAR16; wire VAR78; wire VAR17; wire VAR179 = VAR368 & VAR323 & VAR145 & VAR16 & VAR78 & VAR17; wire VAR312 = ~VAR289 & ~VAR170; VAR166 #( .VAR224(6) ) VAR8 ( .clk(VAR164), .rst(VAR247 | ~VAR312), .out(VAR204) ); VAR166 #( .VAR224(6) ) VAR74 ( .clk(VAR373), .rst(VAR204 | ~VAR179), .out(VAR255) ); assign VAR214 = ~VAR255; assign VAR329 = ~VAR255; assign VAR332 = VAR324; assign VAR196 = VAR293; assign VAR293 = VAR397 ? 1'VAR5 : VAR358; assign VAR222 = VAR51; assign VAR327 = VAR173; assign VAR173 = VAR160 ? 1'VAR5 : VAR141; VAR171 VAR295 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(VAR64), .VAR204(VAR204), .VAR179(VAR368), .VAR94(VAR253), .VAR103(VAR281), .VAR210(VAR42), .VAR77(VAR240), .VAR24(VAR331), .VAR35(VAR134), .VAR137(VAR382), .VAR369(VAR215), .VAR38(VAR126), .VAR203(VAR383), .VAR230(VAR157), .VAR180(VAR113), .VAR272(VAR89), .VAR186(VAR283), .VAR279(VAR98), .VAR175(VAR106), .VAR112(VAR183), .VAR102(VAR174), .VAR387(VAR280), .VAR13(VAR28), .VAR11(VAR1), .VAR212(VAR405), .VAR36(VAR146), .VAR217(VAR199), .VAR152(VAR148), .VAR71(VAR19), .VAR58(VAR114), .VAR197(VAR399), .VAR136(VAR43), .VAR75(VAR34), .VAR273(VAR87), .VAR403(VAR120), .VAR294(VAR321), .VAR345(VAR221), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR171 VAR232 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(), .VAR204(VAR204), .VAR179(VAR323), .VAR94(VAR150), .VAR103(VAR127), .VAR210(VAR234), .VAR77(VAR263), .VAR24(VAR37), .VAR35(VAR318), .VAR137(VAR244), .VAR369(VAR125), .VAR38(VAR317), .VAR203(VAR334), .VAR230(VAR68), .VAR180(VAR48), .VAR272(VAR360), .VAR186(VAR228), .VAR279(VAR248), .VAR175(VAR207), .VAR112(VAR57), .VAR102(VAR229), .VAR387(VAR270), .VAR13(VAR402), .VAR11(VAR308), .VAR212(VAR231), .VAR36(VAR69), .VAR217(VAR304), .VAR152(VAR241), .VAR71(VAR355), .VAR58(VAR316), .VAR197(VAR119), .VAR136(VAR10), .VAR75(VAR96), .VAR273(VAR394), .VAR403(VAR396), .VAR294(VAR205), .VAR345(VAR128), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR171 VAR14 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(), .VAR204(VAR204), .VAR179(VAR145), .VAR94(VAR30), .VAR103(VAR195), .VAR210(VAR259), .VAR77(VAR15), .VAR24(VAR189), .VAR35(VAR401), .VAR137(VAR53), .VAR369(VAR209), .VAR38(VAR251), .VAR203(VAR353), .VAR230(VAR313), .VAR180(VAR250), .VAR272(VAR372), .VAR186(VAR85), .VAR279(VAR133), .VAR175(VAR70), .VAR112(VAR319), .VAR102(VAR291), .VAR387(VAR67), .VAR13(VAR344), .VAR11(VAR349), .VAR212(VAR326), .VAR36(VAR261), .VAR217(VAR400), .VAR152(VAR374), .VAR71(VAR55), .VAR58(VAR143), .VAR197(VAR351), .VAR136(VAR219), .VAR75(VAR359), .VAR273(VAR172), .VAR403(VAR56), .VAR294(VAR159), .VAR345(VAR118), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR171 VAR393 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(), .VAR204(VAR204), .VAR179(VAR16), .VAR94(VAR91), .VAR103(VAR142), .VAR210(VAR238), .VAR77(VAR275), .VAR24(VAR80), .VAR35(VAR392), .VAR137(VAR81), .VAR369(VAR285), .VAR38(VAR2), .VAR203(VAR182), .VAR230(VAR363), .VAR180(VAR381), .VAR272(VAR39), .VAR186(VAR311), .VAR279(VAR357), .VAR175(VAR239), .VAR112(VAR404), .VAR102(VAR322), .VAR387(VAR187), .VAR13(VAR108), .VAR11(VAR93), .VAR212(VAR202), .VAR36(VAR268), .VAR217(VAR115), .VAR152(VAR123), .VAR71(VAR307), .VAR58(VAR84), .VAR197(VAR314), .VAR136(VAR385), .VAR75(VAR72), .VAR273(VAR6), .VAR403(VAR328), .VAR294(VAR65), .VAR345(VAR154), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR171 VAR47 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(), .VAR204(VAR204), .VAR179(VAR78), .VAR94(VAR165), .VAR103(VAR190), .VAR210(VAR337), .VAR77(VAR169), .VAR24(VAR226), .VAR35(VAR342), .VAR137(VAR292), .VAR369(VAR235), .VAR38(VAR73), .VAR203(VAR236), .VAR230(VAR162), .VAR180(VAR258), .VAR272(VAR33), .VAR186(VAR376), .VAR279(VAR290), .VAR175(VAR348), .VAR112(VAR371), .VAR102(VAR299), .VAR387(VAR384), .VAR13(VAR379), .VAR11(VAR398), .VAR212(VAR277), .VAR36(VAR95), .VAR217(VAR76), .VAR152(VAR105), .VAR71(VAR282), .VAR58(VAR176), .VAR197(VAR245), .VAR136(VAR303), .VAR75(VAR278), .VAR273(VAR140), .VAR403(VAR233), .VAR294(VAR211), .VAR345(VAR354), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR171 VAR151 ( .VAR269(VAR373), .VAR305(VAR255), .VAR252(VAR164), .VAR296(VAR247), .VAR64(), .VAR204(VAR204), .VAR179(VAR17), .VAR94(VAR361), .VAR103(VAR163), .VAR210(VAR310), .VAR77(VAR366), .VAR24(VAR333), .VAR35(VAR23), .VAR137(VAR315), .VAR369(VAR380), .VAR38(VAR225), .VAR203(VAR213), .VAR230(VAR242), .VAR180(VAR206), .VAR272(VAR90), .VAR186(VAR45), .VAR279(VAR156), .VAR175(VAR390), .VAR112(VAR129), .VAR102(VAR185), .VAR387(VAR61), .VAR13(VAR147), .VAR11(VAR249), .VAR212(VAR375), .VAR36(VAR193), .VAR217(VAR110), .VAR152(VAR218), .VAR71(VAR388), .VAR58(VAR288), .VAR197(VAR377), .VAR136(VAR124), .VAR75(VAR389), .VAR273(VAR301), .VAR403(VAR49), .VAR294(VAR4), .VAR345(VAR336), .VAR99(1'b0), .VAR341(1'b0), .VAR41(1'b0), .VAR144(1'b0), .VAR121(1'b0), .VAR149(1'b0), .VAR343(1'b0), .VAR386(1'b0) ); VAR271 VAR27 ( .clk(VAR373), .rst(VAR255), .VAR177(VAR192), .VAR161(VAR284), .VAR100(VAR88), .VAR7(VAR50), .VAR370(VAR131), .VAR130(VAR82), .VAR286(VAR158), .VAR117(VAR12), .VAR138(VAR352), .VAR18(VAR362), .VAR309(VAR26), .VAR97(VAR198), .VAR220(VAR139), .VAR332(VAR324), .VAR346(VAR196), .VAR300(VAR358), .VAR391(VAR397), .VAR222(VAR51), .VAR153(VAR327), .VAR79(VAR141), .VAR22(VAR160), .VAR280(VAR280), .VAR28(VAR28), .VAR1(VAR1), .VAR405(VAR405), .VAR316(VAR316), .VAR119(VAR119), .VAR10(VAR10), .VAR96(VAR96), .VAR146(VAR146), .VAR199(VAR199), .VAR148(VAR148), .VAR19(VAR19), .VAR270(VAR270), .VAR402(VAR402), .VAR308(VAR308), .VAR231(VAR231), .VAR114(VAR114), .VAR399(VAR399), .VAR43(VAR43), .VAR34(VAR34), .VAR69(VAR69), .VAR304(VAR304), .VAR241(VAR241), .VAR355(VAR355), .VAR87(VAR87), .VAR120(VAR120), .VAR321(VAR321), .VAR221(VAR221), .VAR394(VAR394), .VAR396(VAR396), .VAR205(VAR205), .VAR128(VAR128), .VAR67(VAR67), .VAR344(VAR344), .VAR349(VAR349), .VAR326(VAR326), .VAR261(VAR261), .VAR400(VAR400), .VAR374(VAR374), .VAR55(VAR55), .VAR172(VAR172), .VAR56(VAR56), .VAR159(VAR159), .VAR118(VAR118), .VAR143(VAR143), .VAR351(VAR351), .VAR219(VAR219), .VAR359(VAR359), .VAR187(VAR187), .VAR108(VAR108), .VAR93(VAR93), .VAR202(VAR202), .VAR176(VAR176), .VAR245(VAR245), .VAR303(VAR303), .VAR278(VAR278), .VAR268(VAR268), .VAR115(VAR115), .VAR123(VAR123), .VAR307(VAR307), .VAR384(VAR384), .VAR379(VAR379), .VAR398(VAR398), .VAR277(VAR277), .VAR84(VAR84), .VAR314(VAR314), .VAR385(VAR385), .VAR72(VAR72), .VAR95(VAR95), .VAR76(VAR76), .VAR105(VAR105), .VAR282(VAR282), .VAR6(VAR6), .VAR328(VAR328), .VAR65(VAR65), .VAR154(VAR154), .VAR140(VAR140), .VAR233(VAR233), .VAR211(VAR211), .VAR354(VAR354), .VAR61(VAR61), .VAR147(VAR147), .VAR249(VAR249), .VAR375(VAR375), .VAR193(VAR193), .VAR110(VAR110), .VAR218(VAR218), .VAR388(VAR388), .VAR301(VAR301), .VAR49(VAR49), .VAR4(VAR4), .VAR336(VAR336), .VAR288(VAR288), .VAR377(VAR377), .VAR124(VAR124), .VAR389(VAR389) ); endmodule
mit
sh-chris110/chris
FPGA/chris.uart.ok/Qsys/soc_design/synthesis/submodules/soc_design_niosII_core.v
6,655
module MODULE1 ( input wire clk, input wire VAR15, input wire VAR26, output wire [17:0] VAR23, output wire [3:0] VAR6, output wire VAR29, input wire [31:0] VAR30, input wire VAR2, output wire VAR4, output wire [31:0] VAR18, output wire [3:0] VAR9, input wire VAR21, output wire VAR22, output wire [17:0] VAR3, output wire VAR5, input wire [31:0] VAR1, input wire VAR25, output wire [3:0] VAR17, input wire VAR11, input wire [31:0] irq, output wire VAR16, input wire [8:0] VAR27, input wire [3:0] VAR12, input wire VAR28, input wire VAR13, output wire [31:0] VAR7, output wire VAR8, input wire VAR24, input wire [31:0] VAR19, output wire VAR14 ); VAR10 VAR20 ( .clk (clk), .VAR15 (VAR15), .VAR26 (VAR26), .VAR23 (VAR23), .VAR6 (VAR6), .VAR29 (VAR29), .VAR30 (VAR30), .VAR2 (VAR2), .VAR4 (VAR4), .VAR18 (VAR18), .VAR9 (VAR9), .VAR21 (VAR21), .VAR22 (VAR22), .VAR3 (VAR3), .VAR5 (VAR5), .VAR1 (VAR1), .VAR25 (VAR25), .VAR17 (VAR17), .VAR11 (VAR11), .irq (irq), .VAR16 (VAR16), .VAR27 (VAR27), .VAR12 (VAR12), .VAR28 (VAR28), .VAR13 (VAR13), .VAR7 (VAR7), .VAR8 (VAR8), .VAR24 (VAR24), .VAR19 (VAR19), .VAR14 (VAR14) ); endmodule
gpl-2.0
drichmond/riffa
fpga/altera/de5/riffa_wrapper_de5.v
37,115
module MODULE1 parameter VAR308 = 128, parameter VAR275 = 256, parameter VAR135 = 5, parameter VAR169 = "VAR285") ( input [VAR308-1:0] VAR30, input [0:0] VAR248, input [0:0] VAR3, input [0:0] VAR202, output VAR328, input [0:0] VAR209, output [VAR308-1:0] VAR326, output [0:0] VAR215, input VAR147, output [0:0] VAR233, output [0:0] VAR243, output [0:0] VAR123, input [VAR10-1:0] VAR91, input [VAR57-1:0] VAR171, input [VAR138-1:0] VAR239, input [VAR237-1:0] VAR310, input [VAR122-1:0] VAR177, input VAR111, output VAR199, input VAR264, input VAR223, output VAR228, input [VAR27-1:0] VAR16, output [VAR27-1:0] VAR134, input [VAR27-1:0] VAR146, output [VAR27-1:0] VAR129, output [(VAR27*VAR144)-1:0] VAR306, output [(VAR27*VAR119)-1:0] VAR22, output [(VAR27*VAR308)-1:0] VAR179, output [VAR27-1:0] VAR15, input [VAR27-1:0] VAR150, input [VAR27-1:0] VAR95, input [VAR27-1:0] VAR253, output [VAR27-1:0] VAR70, input [VAR27-1:0] VAR66, input [(VAR27*VAR144)-1:0] VAR148, input [(VAR27*VAR119)-1:0] VAR130, input [(VAR27*VAR308)-1:0] VAR247, input [VAR27-1:0] VAR154, output [VAR27-1:0] VAR159); localparam VAR267 = "VAR272"; localparam VAR358 = VAR275 * 2; localparam VAR330 = "VAR316"; localparam VAR128 = 2; localparam VAR220 = VAR308 / 32; localparam VAR299 = 1; localparam VAR236 = 1; localparam VAR183 = 4; wire clk; wire VAR136; wire VAR165; wire VAR127; wire VAR51; wire VAR121; wire [VAR308-1:0] VAR291; wire VAR188; wire VAR45; wire [(VAR308/32)-1:0] VAR193; wire [VAR270(VAR308/32)-1:0] VAR17; wire [VAR351-1:0] VAR250; wire VAR187; wire [VAR270(VAR308/32)-1:0] VAR113; wire [VAR175-1:0] VAR64; wire [VAR329-1:0] VAR252; wire [VAR356-1:0] VAR114; wire [VAR59-1:0] VAR24; wire [VAR112-1:0] VAR254; wire [VAR58-1:0] VAR160; wire [VAR185-1:0] VAR80; wire VAR21; wire [VAR308-1:0] VAR28; wire VAR35; wire [(VAR308/32)-1:0] VAR81; wire VAR221; wire [VAR270(VAR308/32)-1:0] VAR214; wire [VAR351-1:0] VAR320; wire VAR25; wire [VAR270(VAR308/32)-1:0] VAR242; wire [VAR175-1:0] VAR298; wire [VAR344-1:0] VAR309; wire [VAR84-1:0] VAR36; wire [VAR329-1:0] VAR332; wire [VAR59-1:0] VAR205; wire [VAR311-1:0] VAR173; wire [VAR278-1:0] VAR338; wire [VAR249-1:0] VAR245; wire [VAR112-1:0] VAR176; wire VAR251; wire VAR235; wire [VAR308-1:0] VAR38; wire VAR157; wire [VAR270(VAR308/32)-1:0] VAR83; wire VAR210; wire [VAR270(VAR308/32)-1:0] VAR191; wire VAR271; wire VAR262; wire [VAR351-1:0] VAR334; wire [VAR175-1:0] VAR213; wire [VAR356-1:0] VAR337; wire [VAR59-1:0] VAR206; wire [VAR112-1:0] VAR231; wire [VAR58-1:0] VAR155; wire [VAR329-1:0] VAR110; wire [VAR249-1:0] VAR151; wire [VAR344-1:0] VAR152; wire [VAR84-1:0] VAR77; wire VAR101; wire VAR321; wire VAR357; wire VAR192; wire [VAR308-1:0] VAR163; wire VAR97; wire [VAR270(VAR308/32)-1:0] VAR331; wire VAR342; wire [VAR270(VAR308/32)-1:0] VAR312; wire VAR5; wire VAR143; wire [VAR351-1:0] VAR42; wire [VAR175-1:0] VAR37; wire [VAR311-1:0] VAR108; wire [VAR112-1:0] VAR93; wire [VAR329-1:0] VAR240; wire [VAR344-1:0] VAR6; wire [VAR84-1:0] VAR79; wire [VAR59-1:0] VAR49; wire VAR225; wire VAR132; wire VAR289; wire VAR280; wire [VAR308-1:0] VAR11; wire VAR255; wire [VAR229-1:0] VAR71; wire VAR353; wire [VAR229-1:0] VAR67; wire VAR50; wire [VAR278-1:0] VAR142; wire VAR189; wire [VAR308-1:0] VAR23; wire VAR347; wire [VAR229-1:0] VAR54; wire VAR39; wire [VAR229-1:0] VAR60; wire VAR120; wire VAR230; wire [VAR308-1:0] VAR286; wire [VAR322-1:0] VAR232; wire [(VAR308/32)-1:0] VAR141; wire VAR269 = 0; wire VAR1; wire [VAR308-1:0] VAR336 = 0; wire [VAR283-1:0] VAR124 = 0; wire VAR63 = 0; wire [(VAR308/32)-1:0] VAR133 = 0; wire VAR276 = 0; wire VAR296; wire [VAR308-1:0] VAR304 = 0; wire [VAR195-1:0] VAR126 = 0; wire VAR62 = 0; wire [(VAR308/32)-1:0] VAR109 = 0; wire VAR277 = 0; wire VAR12 = 0; wire [VAR308-1:0] VAR222; wire [VAR117-1:0] VAR100; wire VAR315; wire [(VAR308/32)-1:0] VAR85; wire VAR263; wire VAR13 = 0; wire VAR200; wire [VAR185-1:0] VAR318; wire VAR303; wire VAR53; wire [VAR204-1:0] VAR29; wire [VAR105-1:0] VAR65; wire [VAR43-1:0] VAR218; wire [VAR167-1:0] VAR90; wire [VAR76-1:0] VAR227; wire [VAR78-1:0] VAR268; wire VAR9; wire VAR288; genvar VAR174; assign clk = VAR264; assign VAR136 = VAR223; VAR341 .VAR308 (VAR308)) VAR46 ( .VAR82 (VAR11[VAR308-1:0]), .VAR156 (VAR50), .VAR317 (VAR353), .VAR274 (VAR67[VAR270(VAR308/32)-1:0]), .VAR8 (VAR255), .VAR297 (VAR71[VAR270(VAR308/32)-1:0]), .VAR293 (VAR142[VAR278-1:0]), .VAR197 (VAR189), .VAR166 (VAR318[VAR185-1:0]), .VAR261 (VAR200), .VAR226 (VAR65[VAR105-1:0]), .VAR44 (VAR29[VAR204-1:0]), .VAR40 (VAR90[VAR167-1:0]), .VAR26 (VAR218[VAR43-1:0]), .VAR99 (VAR53), .VAR238 (VAR303), .VAR354 (VAR227[VAR76-1:0]), .VAR340 (VAR268[VAR78-1:0]), .VAR131 (VAR288), .VAR352 (clk), .VAR307 (VAR136), .VAR208 (VAR280), .VAR287 (VAR23[VAR308-1:0]), .VAR186 (VAR120), .VAR339 (VAR39), .VAR323 (VAR60[VAR270(VAR308/32)-1:0]), .VAR301 (VAR347), .VAR168 (VAR54[VAR270(VAR308/32)-1:0]), .VAR102 (VAR9), .VAR328 (VAR328), .VAR326 (VAR326[VAR308-1:0]), .VAR215 (VAR215[0:0]), .VAR233 (VAR233[0:0]), .VAR243 (VAR243[0:0]), .VAR123 (VAR123[0:0]), .VAR199 (VAR199), .VAR30 (VAR30[VAR308-1:0]), .VAR248 (VAR248[0:0]), .VAR3 (VAR3[0:0]), .VAR202 (VAR202[0:0]), .VAR209 (VAR209[0:0]), .VAR147 (VAR147), .VAR91 (VAR91[VAR10-1:0]), .VAR171 (VAR171[VAR57-1:0]), .VAR239 (VAR239[VAR138-1:0]), .VAR310 (VAR310[VAR78-1:0]), .VAR177 (VAR177[VAR76-1:0]), .VAR111 (VAR111)); VAR68 .VAR308 (VAR308), .VAR135 (VAR135), .VAR236 (VAR236), .VAR299 (VAR299), .VAR330 (VAR330)) VAR207 ( .VAR87 (VAR291[VAR308-1:0]), .VAR184 (VAR193[(VAR308/32)-1:0]), .VAR164 (VAR188), .VAR149 (VAR45), .VAR181 (VAR17[VAR270(VAR308/32)-1:0]), .VAR260 (VAR250[VAR351-1:0]), .VAR259 (VAR187), .VAR324 (VAR113[VAR270(VAR308/32)-1:0]), .VAR145 (VAR64[VAR175-1:0]), .VAR137 (VAR252[VAR329-1:0]), .VAR295 (VAR114[VAR356-1:0]), .VAR69 (VAR24[VAR59-1:0]), .VAR265 (VAR254[VAR112-1:0]), .VAR158 (VAR160[VAR58-1:0]), .VAR194 (VAR80[VAR185-1:0]), .VAR18 (VAR21), .VAR125 (VAR28[VAR308-1:0]), .VAR75 (VAR81[(VAR308/32)-1:0]), .VAR139 (VAR35), .VAR300 (VAR221), .VAR31 (VAR214[VAR270(VAR308/32)-1:0]), .VAR34 (VAR25), .VAR180 (VAR242[VAR270(VAR308/32)-1:0]), .VAR333 (VAR320[VAR351-1:0]), .VAR52 (VAR298[VAR175-1:0]), .VAR343 (VAR309[VAR344-1:0]), .VAR284 (VAR36[VAR84-1:0]), .VAR224 (VAR332[VAR329-1:0]), .VAR216 (VAR205[VAR59-1:0]), .VAR172 (VAR173[VAR311-1:0]), .VAR196 (VAR338[VAR278-1:0]), .VAR72 (VAR245[VAR249-1:0]), .VAR266 (VAR176[VAR112-1:0]), .VAR96 (VAR251), .VAR273 (VAR271), .VAR350 (VAR321), .VAR33 (VAR357), .VAR19 (VAR5), .VAR282 (VAR132), .VAR190 (VAR289), .VAR47 (VAR228), .VAR287 (VAR23), .VAR186 (VAR120), .VAR339 (VAR39), .VAR323 (VAR60), .VAR301 (VAR347), .VAR168 (VAR54), .VAR208 (VAR280), .VAR217 (clk), .VAR162 (VAR136), .VAR166 (VAR318[VAR185-1:0]), .VAR103 (VAR235), .VAR153 (VAR38[VAR308-1:0]), .VAR212 (VAR157), .VAR61 (VAR83[VAR270(VAR308/32)-1:0]), .VAR89 (VAR210), .VAR290 (VAR191[VAR270(VAR308/32)-1:0]), .VAR359 (VAR262), .VAR92 (VAR334[VAR351-1:0]), .VAR319 (VAR213[VAR175-1:0]), .VAR327 (VAR337[VAR356-1:0]), .VAR106 (VAR206[VAR59-1:0]), .VAR292 (VAR231[VAR112-1:0]), .VAR325 (VAR155[VAR58-1:0]), .VAR48 (VAR110[VAR329-1:0]), .VAR116 (VAR151[VAR249-1:0]), .VAR41 (VAR152[VAR344-1:0]), .VAR256 (VAR77[VAR84-1:0]), .VAR88 (VAR101), .VAR258 (VAR192), .VAR281 (VAR163[VAR308-1:0]), .VAR140 (VAR97), .VAR203 (VAR331[VAR270(VAR308/32)-1:0]), .VAR118 (VAR342), .VAR314 (VAR312[VAR270(VAR308/32)-1:0]), .VAR7 (VAR143), .VAR279 (VAR42[VAR351-1:0]), .VAR161 (VAR37[VAR175-1:0]), .VAR346 (VAR108[VAR311-1:0]), .VAR14 (VAR93[VAR112-1:0]), .VAR244 (VAR240[VAR329-1:0]), .VAR345 (VAR6[VAR344-1:0]), .VAR170 (VAR79[VAR84-1:0]), .VAR219 (VAR49[VAR59-1:0]), .VAR107 (VAR225), .VAR82 (VAR11), .VAR156 (VAR50), .VAR317 (VAR353), .VAR274 (VAR67), .VAR8 (VAR255), .VAR297 (VAR71), .VAR293 (VAR142), .VAR197 (VAR189), .VAR201 (VAR165), .VAR32 (VAR127), .VAR182 (VAR121), .VAR348 (VAR51), .VAR241 (VAR12), .VAR198 (VAR296), .VAR86 (VAR263), .VAR257 (VAR315), .VAR56 (VAR222[VAR308-1:0]), .VAR355 (VAR85[(VAR308/32)-1:0]), .VAR104 (VAR100[VAR117-1:0]), .VAR178 (VAR1), .VAR74 (VAR230), .VAR20 (VAR286[VAR308-1:0]), .VAR305 (VAR141[(VAR308/32)-1:0]), .VAR55 (VAR232[VAR322-1:0]), .VAR4 (VAR277), .VAR94 (VAR62), .VAR302 (VAR304[VAR308-1:0]), .VAR349 (VAR109[(VAR308/32)-1:0]), .VAR294 (VAR126[VAR195-1:0]), .VAR246 (VAR276), .VAR335 (VAR63), .VAR115 (VAR336[VAR308-1:0]), .VAR211 (VAR133[(VAR308/32)-1:0]), .VAR98 (VAR124[VAR283-1:0]), .VAR234 (VAR13), .VAR2 (VAR269) ); VAR73 .VAR308 (VAR308), .VAR27 (VAR27), .VAR358 (VAR358), .VAR330 (VAR330), .VAR267 (VAR267), .VAR169 (VAR169), .VAR183 (VAR183)) VAR313 ( .VAR153 (VAR38[VAR308-1:0]), .VAR103 (VAR235), .VAR212 (VAR157), .VAR61 (VAR83[VAR270(VAR308/32)-1:0]), .VAR89 (VAR210), .VAR290 (VAR191[VAR270(VAR308/32)-1:0]), .VAR359 (VAR262), .VAR92 (VAR334[VAR351-1:0]), .VAR319 (VAR213[VAR175-1:0]), .VAR327 (VAR337[VAR356-1:0]), .VAR106 (VAR206[VAR59-1:0]), .VAR292 (VAR231[VAR112-1:0]), .VAR325 (VAR155[VAR58-1:0]), .VAR48 (VAR110[VAR329-1:0]), .VAR116 (VAR151[VAR249-1:0]), .VAR41 (VAR152[VAR344-1:0]), .VAR256 (VAR77[VAR84-1:0]), .VAR88 (VAR101), .VAR258 (VAR192), .VAR281 (VAR163[VAR308-1:0]), .VAR140 (VAR97), .VAR203 (VAR331[VAR270(VAR308/32)-1:0]), .VAR118 (VAR342), .VAR314 (VAR312[VAR270(VAR308/32)-1:0]), .VAR7 (VAR143), .VAR279 (VAR42[VAR351-1:0]), .VAR161 (VAR37[VAR175-1:0]), .VAR346 (VAR108[VAR311-1:0]), .VAR14 (VAR93[VAR112-1:0]), .VAR244 (VAR240[VAR329-1:0]), .VAR345 (VAR6[VAR344-1:0]), .VAR170 (VAR79[VAR84-1:0]), .VAR219 (VAR49[VAR59-1:0]), .VAR107 (VAR225), .VAR102 (VAR9), .VAR352 (clk), .VAR125 (VAR28[VAR308-1:0]), .VAR139 (VAR35), .VAR300 (VAR221), .VAR31 (VAR214[VAR270(VAR308/32)-1:0]), .VAR75 (VAR81[(VAR308/32)-1:0]), .VAR34 (VAR25), .VAR180 (VAR242[VAR270(VAR308/32)-1:0]), .VAR333 (VAR320[VAR351-1:0]), .VAR52 (VAR298[VAR175-1:0]), .VAR343 (VAR309[VAR344-1:0]), .VAR284 (VAR36[VAR84-1:0]), .VAR224 (VAR332[VAR329-1:0]), .VAR216 (VAR205[VAR59-1:0]), .VAR172 (VAR173[VAR311-1:0]), .VAR196 (VAR338[VAR278-1:0]), .VAR72 (VAR245[VAR249-1:0]), .VAR266 (VAR176[VAR112-1:0]), .VAR96 (VAR251), .VAR164 (VAR188), .VAR87 (VAR291[VAR308-1:0]), .VAR149 (VAR45), .VAR181 (VAR17[VAR270(VAR308/32)-1:0]), .VAR184 (VAR193[(VAR308/32)-1:0]), .VAR259 (VAR187), .VAR324 (VAR113[VAR270(VAR308/32)-1:0]), .VAR260 (VAR250[VAR351-1:0]), .VAR145 (VAR64[VAR175-1:0]), .VAR137 (VAR252[VAR329-1:0]), .VAR295 (VAR114[VAR356-1:0]), .VAR69 (VAR24[VAR59-1:0]), .VAR265 (VAR254[VAR112-1:0]), .VAR158 (VAR160[VAR58-1:0]), .VAR194 (VAR80[VAR185-1:0]), .VAR18 (VAR21), .VAR273 (VAR271), .VAR350 (VAR321), .VAR33 (VAR357), .VAR19 (VAR5), .VAR282 (VAR132), .VAR190 (VAR289), .VAR166 (VAR318[VAR185-1:0]), .VAR261 (VAR200), .VAR226 (VAR65[VAR105-1:0]), .VAR44 (VAR29[VAR204-1:0]), .VAR40 (VAR90[VAR167-1:0]), .VAR26 (VAR218[VAR43-1:0]), .VAR99 (VAR53), .VAR238 (VAR303), .VAR354 (VAR227[VAR76-1:0]), .VAR340 (VAR268[VAR78-1:0]), .VAR131 (VAR288), .VAR201 (VAR165), .VAR32 (VAR127), .VAR162 (VAR136), .VAR228 (VAR228), .VAR134 (VAR134[VAR27-1:0]), .VAR129 (VAR129[VAR27-1:0]), .VAR306 (VAR306[(VAR27*32)-1:0]), .VAR22 (VAR22[(VAR27*31)-1:0]), .VAR179 (VAR179[(VAR27*VAR308)-1:0]), .VAR15 (VAR15[VAR27-1:0]), .VAR70 (VAR70[VAR27-1:0]), .VAR159 (VAR159[VAR27-1:0]), .VAR16 (VAR16[VAR27-1:0]), .VAR146 (VAR146[VAR27-1:0]), .VAR150 (VAR150[VAR27-1:0]), .VAR95 (VAR95[VAR27-1:0]), .VAR253 (VAR253[VAR27-1:0]), .VAR66 (VAR66[VAR27-1:0]), .VAR148 (VAR148[(VAR27*32)-1:0]), .VAR130 (VAR130[(VAR27*31)-1:0]), .VAR247 (VAR247[(VAR27*VAR308)-1:0]), .VAR154 (VAR154[VAR27-1:0])); endmodule
bsd-3-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/fill/gf180mcu_fd_sc_mcu9t5v0__fill_32.behavioral.pp.v
1,074
module MODULE1( VAR4, VAR3 ); inout VAR4, VAR3; VAR1 VAR5(.VAR4(VAR4),.VAR3(VAR3)); VAR1 VAR2(.VAR4(VAR4),.VAR3(VAR3));
apache-2.0
borti4938/sd2snes
verilog/sd2snes_cx4/cx4_datram.v
10,672
module MODULE1 ( VAR22, VAR18, VAR40, VAR34, VAR7, VAR42, VAR33, VAR24, VAR19); input [11:0] VAR22; input [11:0] VAR18; input VAR40; input [7:0] VAR34; input [7:0] VAR7; input VAR42; input VAR33; output [7:0] VAR24; output [7:0] VAR19; tri1 VAR40; tri0 VAR42; tri0 VAR33; wire [7:0] VAR41; wire [7:0] VAR50; wire [7:0] VAR24 = VAR41[7:0]; wire [7:0] VAR19 = VAR50[7:0]; VAR44 VAR62 ( .VAR22 (VAR22), .VAR18 (VAR18), .VAR38 (VAR40), .VAR34 (VAR34), .VAR7 (VAR7), .VAR42 (VAR42), .VAR33 (VAR33), .VAR24 (VAR41), .VAR19 (VAR50), .VAR15 (1'b0), .VAR46 (1'b0), .VAR4 (1'b0), .VAR17 (1'b0), .VAR57 (1'b1), .VAR14 (1'b1), .VAR31 (1'b1), .VAR27 (1'b1), .VAR6 (1'b1), .VAR53 (1'b1), .VAR21 (1'b1), .VAR30 (), .VAR25 (1'b1), .VAR51 (1'b1)); VAR62.VAR10 = "VAR55", VAR62.VAR11 = "VAR28", VAR62.VAR5 = "VAR28", VAR62.VAR54 = "VAR28", VAR62.VAR32 = "VAR28", VAR62.VAR45 = "VAR55", VAR62.VAR65 = "VAR59 VAR61 VAR37", VAR62.VAR36 = "VAR44", VAR62.VAR29 = 3072, VAR62.VAR13 = 3072, VAR62.VAR43 = "VAR49", VAR62.VAR12 = "VAR3", VAR62.VAR52 = "VAR3", VAR62.VAR63 = "VAR58", VAR62.VAR16 = "VAR58", VAR62.VAR1 = "VAR39", VAR62.VAR23 = "VAR2", VAR62.VAR9 = "VAR47", VAR62.VAR35 = "VAR47", VAR62.VAR56 = 12, VAR62.VAR64 = 12, VAR62.VAR26 = 8, VAR62.VAR60 = 8, VAR62.VAR20 = 1, VAR62.VAR8 = 1, VAR62.VAR48 = "VAR55"; endmodule
gpl-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/cores/altera_virtual_jtag/altera_virtual_jtag.v
4,413
module MODULE1 ( output VAR19, input VAR22, output VAR4, output VAR23, output VAR34, output VAR1, output VAR11, output VAR25, output VAR5, output VAR41 ); localparam [3:0] VAR15 = 4'b1000; wire [3:0] VAR29; wire VAR33; wire VAR36; wire VAR38; wire VAR10; VAR8 #( .VAR13 ("VAR16"), .VAR27 (0), .VAR14 (4), .VAR31 (""), .VAR39 (0), .VAR2 (0), .VAR9 ("VAR8") ) VAR3 ( .VAR18 (VAR29), .VAR26 (VAR22), .VAR7 (VAR4), .VAR6 (VAR34), .VAR20 (VAR19), .VAR32 (VAR29), .VAR17 (VAR23), .VAR24 (VAR38), .VAR37 (VAR25), .VAR30 (VAR10), .VAR21 (VAR1), .VAR12 (VAR11), .VAR28 (VAR5), .VAR40 (VAR33), .VAR35 (VAR36) ); assign VAR41 = (VAR29 == VAR15); endmodule
gpl-2.0
intelligenttoasters/CPC2.0
FPGA/Quartus/DE10/mmio_if/synthesis/submodules/hps_sdram_p0_clock_pair_generator.v
4,036
module MODULE1 ( VAR10, VAR32, VAR2) ; input [0:0] VAR10; output [0:0] VAR32; output [0:0] VAR2; wire [0:0] VAR9; wire [0:0] VAR16; wire [0:0] VAR12; wire [0:0] VAR15; wire [0:0] VAR35; wire [0:0] VAR30; wire [0:0] VAR7; wire [0:0] VAR11; wire [0:0] VAR18; wire [0:0] VAR23; VAR21 VAR17 ( .VAR6(VAR30), .VAR34(VAR9[0:0]), .VAR27(), .VAR1(VAR16[0:0]) , .VAR14(1'b0), .VAR28({16{1'b0}}), .VAR5({16{1'b0}}) , .VAR33(1'b1) ); VAR17.VAR25 = "false", VAR17.VAR4 = "false", VAR17.VAR24 = "VAR21"; assign VAR16 = {(~ VAR7[0])}; VAR21 VAR29 ( .VAR6(VAR35), .VAR34(VAR12[0:0]), .VAR27(), .VAR1(VAR15[0:0]) , .VAR14(1'b0), .VAR28({16{1'b0}}), .VAR5({16{1'b0}}) , .VAR33(1'b1) ); VAR29.VAR25 = "false", VAR29.VAR4 = "false", VAR29.VAR24 = "VAR21"; assign VAR15 = {(~ VAR18[0])}; VAR8 VAR19 ( .VAR20(), .VAR31(), .VAR6(VAR10), .VAR34(VAR35[0:0]), .VAR27(VAR30[0:0]), .VAR26(VAR7[0:0]), .VAR3(VAR11[0:0]), .VAR22(VAR18[0:0]) , .VAR13(1'b0) ); assign VAR11 = {(~ VAR23[0])}; assign VAR32 = VAR12, VAR2 = VAR9, VAR23 = 1'b1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o32a/sky130_fd_sc_ms__o32a_1.v
2,428
module MODULE1 ( VAR12 , VAR1 , VAR2 , VAR6 , VAR5 , VAR4 , VAR8, VAR9, VAR7 , VAR10 ); output VAR12 ; input VAR1 ; input VAR2 ; input VAR6 ; input VAR5 ; input VAR4 ; input VAR8; input VAR9; input VAR7 ; input VAR10 ; VAR11 VAR3 ( .VAR12(VAR12), .VAR1(VAR1), .VAR2(VAR2), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4), .VAR8(VAR8), .VAR9(VAR9), .VAR7(VAR7), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR12 , VAR1, VAR2, VAR6, VAR5, VAR4 ); output VAR12 ; input VAR1; input VAR2; input VAR6; input VAR5; input VAR4; supply1 VAR8; supply0 VAR9; supply1 VAR7 ; supply0 VAR10 ; VAR11 VAR3 ( .VAR12(VAR12), .VAR1(VAR1), .VAR2(VAR2), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4) ); endmodule
apache-2.0
uastw-embsys/Verilog-Perl
verilog/parser_sv.v
9,555
package VAR33; bit [7:0] VAR41; bit [7:0] VAR25; endpackage module MODULE6 (); VAR18 VAR30; VAR39 VAR30 = 33ns; endmodule : MODULE6 interface VAR23 #(parameter VAR3 = 0); logic VAR27; logic [7:0] addr, VAR15[9]; modport VAR1(input VAR15, VAR6, output addr); endinterface : VAR23 module MODULE7 ( VAR23 VAR2, VAR23.MODULE7 MODULE1, input logic clk, rst, input logic din, output logic dout ); import VAR33::*; logic VAR37; logic [7:0] VAR15, VAR21[2]; assign VAR37 = din + VAR25; assign MODULE1.VAR15 = VAR15; VAR12 @(posedge clk or negedge rst) begin if (~rst) dout <= '0; end else dout <= VAR37; end property VAR20; @(posedge clk) disable iff(!rst) (VAR37) | #VAR37; endproperty VAR32: cover property(VAR20) endmodule : MODULE7 module MODULE5 ( input VAR14, input [1:0] VAR35[2:0], input VAR11, output logic VAR22, output VAR38 ); endmodule module MODULE3 (VAR28, VAR24, VAR7); input VAR28; input [1:0] VAR24 [2:0]; output reg VAR7; input signed VAR26; var VAR42; var [1:0] VAR9 [2:0]; var reg VAR8; var logic VAR5; endmodule program automatic VAR19; int VAR17; endprogram package VAR40; typedef logic [7:0] VAR43; typedef logic [15:0] VAR34; function VAR13(integer VAR10); VAR13=0; endfunction endpackage module MODULE2; import VAR40::VAR43; VAR43 VAR31; endmodule module MODULE1; import VAR40::*; VAR34 VAR16; endmodule module MODULE4 ( input VAR40::VAR34 VAR36 ); localparam VAR29 = VAR40::VAR13(1); endmodule module MODULE8;
artistic-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/buf/sky130_fd_sc_ls__buf_8.v
1,993
module MODULE1 ( VAR5 , VAR8 , VAR1, VAR2, VAR6 , VAR4 ); output VAR5 ; input VAR8 ; input VAR1; input VAR2; input VAR6 ; input VAR4 ; VAR7 VAR3 ( .VAR5(VAR5), .VAR8(VAR8), .VAR1(VAR1), .VAR2(VAR2), .VAR6(VAR6), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR5, VAR8 ); output VAR5; input VAR8; supply1 VAR1; supply0 VAR2; supply1 VAR6 ; supply0 VAR4 ; VAR7 VAR3 ( .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and4bb/sky130_fd_sc_ms__and4bb.functional.v
1,416
module MODULE1 ( VAR8 , VAR6, VAR4, VAR5 , VAR9 ); output VAR8 ; input VAR6; input VAR4; input VAR5 ; input VAR9 ; wire VAR10 ; wire VAR3; nor VAR2 (VAR10 , VAR6, VAR4 ); and VAR1 (VAR3, VAR10, VAR5, VAR9 ); buf VAR7 (VAR8 , VAR3 ); endmodule
apache-2.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v
11,282
module MODULE1 # ( parameter integer VAR2 = 0, parameter integer VAR101 = 4, parameter integer VAR120 = 32, parameter integer VAR8 = 32, parameter integer VAR38 = 0, parameter integer VAR54 = 0, parameter integer VAR134 = 1, parameter integer VAR24 = 1, parameter integer VAR127 = 1, parameter integer VAR44 = 1, parameter integer VAR55 = 1, parameter integer VAR81 = 61, parameter integer VAR14 = 73, parameter integer VAR48 = 6, parameter integer VAR74 = 61, parameter integer VAR64 = 69 ) ( input wire [VAR101-1:0] VAR119, input wire [VAR120-1:0] VAR130, input wire [((VAR2 == 1) ? 4 : 8)-1:0] VAR132, input wire [3-1:0] VAR62, input wire [2-1:0] VAR102, input wire [((VAR2 == 1) ? 2 : 1)-1:0] VAR49, input wire [4-1:0] VAR21, input wire [3-1:0] VAR1, input wire [4-1:0] VAR82, input wire [4-1:0] VAR133, input wire [VAR134-1:0] VAR11, input wire [VAR101-1:0] VAR35, input wire [VAR8-1:0] VAR56, input wire [VAR8/8-1:0] VAR61, input wire VAR45, input wire [VAR24-1:0] VAR67, output wire [VAR101-1:0] VAR12, output wire [2-1:0] VAR63, output wire [VAR127-1:0] VAR31, input wire [VAR101-1:0] VAR10, input wire [VAR120-1:0] VAR117, input wire [((VAR2 == 1) ? 4 : 8)-1:0] VAR42, input wire [3-1:0] VAR59, input wire [2-1:0] VAR116, input wire [((VAR2 == 1) ? 2 : 1)-1:0] VAR129, input wire [4-1:0] VAR43, input wire [3-1:0] VAR37, input wire [4-1:0] VAR9, input wire [4-1:0] VAR5, input wire [VAR44-1:0] VAR50, output wire [VAR101-1:0] VAR30, output wire [VAR8-1:0] VAR73, output wire [2-1:0] VAR17, output wire VAR26, output wire [VAR55-1:0] VAR47, output wire [VAR81-1:0] VAR113, output wire [VAR14-1:0] VAR103, input wire [VAR48-1:0] VAR4, output wire [VAR74-1:0] VAR125, input wire [VAR64-1:0] VAR128 ); assign VAR113[VAR58+:VAR93] = VAR130; assign VAR113[VAR41+:VAR99] = VAR1; assign VAR103[VAR126+:VAR18] = VAR56; assign VAR103[VAR39+:VAR15] = VAR61; assign VAR63 = VAR4[VAR123+:VAR23]; assign VAR125[VAR3+:VAR121] = VAR117; assign VAR125[VAR118+:VAR95] = VAR37; assign VAR73 = VAR128[VAR122+:VAR53]; assign VAR17 = VAR128[VAR83+:VAR68]; generate if (VAR2 == 0 || VAR2 == 1) begin : VAR112 assign VAR113[VAR32+:VAR105] = VAR62; assign VAR113[VAR20+:VAR115] = VAR102; assign VAR113[VAR66+:VAR92] = VAR21; assign VAR113[VAR111+:VAR79] = VAR132; assign VAR113[VAR22+:VAR100] = VAR49; assign VAR113[VAR71+:VAR124] = VAR119; assign VAR113[VAR7+:VAR109] = VAR133; assign VAR103[VAR29+:VAR36] = VAR45; if (VAR2 == 1) begin : VAR57 assign VAR103[VAR6+:VAR110] = VAR35; end else begin : VAR87 end assign VAR12 = VAR4[VAR84+:VAR114]; assign VAR125[VAR40+:VAR46] = VAR59; assign VAR125[VAR16+:VAR90] = VAR116; assign VAR125[VAR78+:VAR34] = VAR43; assign VAR125[VAR91+:VAR52] = VAR42; assign VAR125[VAR60+:VAR70] = VAR129; assign VAR125[VAR98+:VAR25] = VAR10; assign VAR125[VAR89+:VAR96] = VAR5; assign VAR26 = VAR128[VAR80+:VAR85]; assign VAR30 = VAR128[VAR13+:VAR69]; if (VAR54 == 1 && VAR65 > 0) begin : VAR28 assign VAR113[VAR33+:VAR65] = VAR82; assign VAR125[VAR51+:VAR107] = VAR9; end else begin : VAR108 end if (VAR38 == 1 && VAR2 != 2) begin : VAR75 assign VAR113[VAR88+:VAR72] = VAR11; assign VAR103[VAR106+:VAR27] = VAR67; assign VAR31 = VAR4[VAR19+:VAR104]; assign VAR125[VAR76+:VAR97] = VAR50; assign VAR47 = VAR128[VAR86+:VAR77]; end else begin : VAR94 assign VAR31 = 'b0; assign VAR47 = 'b0; end end else begin : VAR131 assign VAR12 = 'b0; assign VAR31 = 'b0; assign VAR26 = 1'b1; assign VAR30 = 'b0; assign VAR47 = 'b0; end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/tapvpwrvgnd/sky130_fd_sc_lp__tapvpwrvgnd.behavioral.v
1,163
module MODULE1 (); supply1 VAR1; supply0 VAR3; supply1 VAR4 ; supply0 VAR2 ; endmodule
apache-2.0
sirchuckalot/zet
cores/hpdmc_sdr16/rtl/hpdmc_mgmt.v
9,148
module MODULE1 #( parameter VAR38 = 26, parameter VAR15 = 9, parameter VAR11 = VAR38-1-1-(VAR15+2)+1 ) ( input VAR33, input VAR12, input [2:0] VAR32, input [2:0] VAR28, input [10:0] VAR5, input [3:0] VAR52, input VAR17, input VAR10, input [VAR38-1-1:0] address, output reg ack, output reg read, output reg write, output [3:0] VAR23, input VAR3, input VAR46, input [3:0] VAR21, output VAR56, output VAR14, output VAR45, output VAR25, output [VAR11-1:0] VAR22, output [1:0] VAR48 ); localparam VAR29 = VAR38-1-1-(VAR15+2)+1; localparam VAR7 = VAR11-11; wire [VAR38-1-1:0] VAR35 = address; wire [VAR15-1:0] VAR42 = VAR35[VAR15-1:0]; wire [1:0] VAR34 = VAR35[VAR15+1:VAR15]; wire [VAR29-1:0] VAR16 = VAR35[VAR38-1-1:VAR15+2]; reg [3:0] VAR31; always @ begin VAR44 = state; VAR43 = 1'b0; VAR50 = 1'b0; VAR41 = 1'b0; VAR26 = 1'b0; VAR47 = 1'b0; VAR49 = 1'b0; VAR40 = 1'b0; VAR1 = 1'b0; VAR6 = 1'b0; VAR36 = 1'b0; VAR37 = 1'b0; VAR20 = 4'b0000; VAR9 = 4'b0000; read = 1'b0; write = 1'b0; ack = 1'b0; case(state) VAR54: begin if(VAR4) VAR44 = VAR18; end else begin if(VAR17) begin if(VAR13) begin if(VAR10) begin if(VAR46) begin VAR47 = 1'b1; VAR1 = 1'b0; VAR40 = 1'b1; VAR49 = 1'b1; VAR36 = 1'b1; write = 1'b1; ack = 1'b1; end end else begin if(VAR3) begin VAR47 = 1'b1; VAR1 = 1'b0; VAR40 = 1'b1; VAR49 = 1'b0; VAR36 = 1'b1; read = 1'b1; ack = 1'b1; end end end else begin if(VAR24) begin if(VAR30) begin VAR47 = 1'b1; VAR1 = 1'b1; VAR40 = 1'b0; VAR49 = 1'b1; VAR20 = VAR31; VAR43 = 1'b1; VAR44 = VAR51; end end else begin VAR47 = 1'b1; VAR1 = 1'b1; VAR40 = 1'b0; VAR49 = 1'b0; VAR6 = 1'b1; VAR9 = VAR31; VAR50 = 1'b1; if(VAR10) VAR44 = VAR27; end else VAR44 = VAR19; end end end end end VAR51: begin if(VAR8) begin VAR47 = 1'b1; VAR1 = 1'b1; VAR40 = 1'b0; VAR49 = 1'b0; VAR6 = 1'b1; VAR9 = VAR31; VAR50 = 1'b1; if(VAR10) VAR44 = VAR27; end else VAR44 = VAR19; end end VAR19: begin if(VAR2) begin if(VAR3) begin VAR47 = 1'b1; VAR1 = 1'b0; VAR40 = 1'b1; VAR49 = 1'b0; VAR36 = 1'b1; read = 1'b1; ack = 1'b1; VAR44 = VAR54; end end end VAR27: begin if(VAR2) begin if(VAR46) begin VAR47 = 1'b1; VAR1 = 1'b0; VAR40 = 1'b1; VAR49 = 1'b1; VAR36 = 1'b1; write = 1'b1; ack = 1'b1; VAR44 = VAR54; end end end VAR18: begin if(VAR21 == 4'b1111) begin VAR47 = 1'b1; VAR1 = 1'b1; VAR40 = 1'b0; VAR49 = 1'b1; VAR37 = 1'b1; VAR43 = 1'b1; VAR20 = 4'b1111; VAR44 = VAR39; end end VAR39: begin if(VAR8) begin VAR47 = 1'b1; VAR1 = 1'b1; VAR40 = 1'b1; VAR49 = 1'b0; VAR41 = 1'b1; VAR26 = 1'b1; VAR44 = VAR55; end end VAR55: begin if(VAR53) VAR44 = VAR54; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_p_pp_sn/sky130_fd_sc_hs__udp_dff_p_pp_sn.blackbox.v
1,352
module MODULE1 ( VAR5 , VAR3 , VAR1 , VAR4 , VAR2 ); output VAR5 ; input VAR3 ; input VAR1 ; input VAR4 ; input VAR2; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfbbp/sky130_fd_sc_hdll__sdfbbp.symbol.v
1,580
module MODULE1 ( input VAR8 , output VAR5 , output VAR6 , input VAR10, input VAR1 , input VAR12 , input VAR11 , input VAR7 ); supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand3/sky130_fd_sc_lp__nand3.symbol.v
1,280
module MODULE1 ( input VAR7, input VAR8, input VAR6, output VAR2 ); supply1 VAR3; supply0 VAR1; supply1 VAR5 ; supply0 VAR4 ; endmodule
apache-2.0
markusC64/1541ultimate2
fpga/nios_solo/nios_solo/synthesis/submodules/nios_solo_mm_interconnect_0_avalon_st_adapter_002.v
6,173
module MODULE1 #( parameter VAR17 = 34, parameter VAR20 = 0, parameter VAR23 = 34, parameter VAR3 = 0, parameter VAR10 = 0, parameter VAR15 = 0, parameter VAR16 = 1, parameter VAR12 = 1, parameter VAR11 = 0, parameter VAR2 = 34, parameter VAR14 = 0, parameter VAR25 = 1, parameter VAR8 = 0, parameter VAR24 = 1, parameter VAR19 = 1, parameter VAR4 = 0 ) ( input wire VAR21, input wire VAR18, input wire [33:0] VAR1, input wire VAR7, output wire VAR9, output wire [33:0] VAR13, output wire VAR5, input wire VAR6, output wire [0:0] VAR22 ); generate if (VAR17 != 34) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a2bb2oi/sky130_fd_sc_ls__a2bb2oi.blackbox.v
1,463
module MODULE1 ( VAR2 , VAR6, VAR1, VAR4 , VAR8 ); output VAR2 ; input VAR6; input VAR1; input VAR4 ; input VAR8 ; supply1 VAR5; supply0 VAR3; supply1 VAR7 ; supply0 VAR9 ; endmodule
apache-2.0
lloves/Sora
FPGA/SISO/rtl/pcie_userapp_wrapper/pcie_dma_engine/completer_pkt_gen.v
5,500
module MODULE1( input clk, input rst, input [6:0] VAR27, input VAR2, input [31:0] VAR8, input [15:0] VAR13, input [15:0] VAR14, input [7:0] VAR10, output reg VAR7, output reg [63:0] VAR23 ); localparam VAR22 = 4'h0; localparam VAR24 = 4'h1; localparam VAR9 = 4'h2; localparam VAR20 = 1'b0; localparam VAR5 = 2'b10; localparam VAR4 = 5'b01010; localparam VAR26 = 3'b000; localparam VAR16 = 1'b0; localparam VAR6 = 1'b0; localparam VAR11 = 2'b00; localparam VAR15 = 10'b0000000001; localparam VAR12 = 12'b000000000100; localparam VAR3 = 1'b0; reg [3:0] state; reg [6:0] VAR25; reg [26:0] VAR1; reg [15:0] VAR21; reg [15:0] VAR17; reg [7:0] VAR18; reg VAR19; always@(posedge clk) VAR19 <= rst; always@(posedge clk)begin if(VAR2)begin VAR25 <= VAR27; VAR1[26:0] <= VAR8[26:0]; VAR21 <= VAR13; VAR17 <= VAR14; VAR18 <= VAR10; end end always @ (posedge clk) begin if (VAR19) begin VAR23 <= 0; VAR7 <= 1'b0; state <= VAR22; end else begin case (state) VAR22 : begin VAR23 <= 0; VAR7 <= 1'b0; if(VAR2) state<= VAR24; end else state<= VAR22; end VAR24 : begin VAR23 <= {VAR25[6:0],VAR1[26:2], VAR20,VAR5,VAR4,VAR20,VAR26,VAR20,VAR20,VAR20,VAR20, VAR16,VAR6,VAR11,VAR20,VAR20,VAR15}; VAR7 <= 1'b1; state <= VAR9; end VAR9 : begin VAR23 <= {VAR17[15:0],3'b000, VAR3,VAR12, VAR21[15:0],VAR18[7:0],VAR20, VAR1[6:0]}; VAR7 <= 1'b1; state <= VAR22; end default : begin VAR23 <= 0; VAR7 <= 1'b0; state <= VAR22; end endcase end end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3b/sky130_fd_sc_hd__or3b.functional.pp.v
1,951
module MODULE1 ( VAR15 , VAR5 , VAR11 , VAR8 , VAR9, VAR6, VAR12 , VAR3 ); output VAR15 ; input VAR5 ; input VAR11 ; input VAR8 ; input VAR9; input VAR6; input VAR12 ; input VAR3 ; wire VAR14 ; wire VAR1 ; wire VAR16; not VAR7 (VAR14 , VAR8 ); or VAR4 (VAR1 , VAR11, VAR5, VAR14 ); VAR13 VAR10 (VAR16, VAR1, VAR9, VAR6); buf VAR2 (VAR15 , VAR16 ); endmodule
apache-2.0
esihaj/MIPS-Multi-Cycle
Controller.v
2,010
module MODULE1(input clk, reset, VAR21, input [2:0] VAR16, output reg VAR22, VAR5, VAR15, VAR14, VAR30, VAR13, VAR20, VAR7, VAR27, VAR18); parameter [2:0] VAR19 = 3'b000, VAR11 = 3'b001, VAR9 = 3'b010, VAR26 = 3'b011, VAR10 = 3'b100, VAR12 = 3'b101, VAR6 = 3'b110, VAR29 = 3'b111; reg [2:0] VAR4 = VAR19, VAR1; parameter [2:0] VAR2 = 3'b000, VAR25 = 3'b001, VAR24 = 3'b010, VAR28 = 3'b011, VAR3 = 3'b100, VAR8 = 3'b101,VAR17 = 3'b110, VAR23 = 3'b111; always @(posedge clk) begin if(!reset) VAR4 = VAR1; end else VAR4 = VAR19; end always @(VAR4, VAR21 , VAR16) begin VAR1 = 0; case (VAR4) VAR19: begin VAR1 = VAR11; end VAR11: begin case(VAR16) VAR2: VAR1 = VAR9; VAR24: VAR1 = VAR9; VAR25: VAR1 = VAR9; VAR28: VAR1 = VAR26; VAR3: VAR1 = VAR12; VAR8: VAR1 = VAR6; VAR17: VAR1 = VAR29; VAR23: VAR1 = VAR21 ? VAR29 : VAR19; endcase end VAR9: begin VAR1 = VAR26; end VAR26: begin VAR1 = VAR10; end VAR10: begin VAR1 = VAR19; end VAR12: begin VAR1 = VAR19; end VAR6: begin VAR1 = VAR19; end VAR29: begin VAR1 = VAR19; end endcase end always @ (VAR4) begin {VAR22, VAR5, VAR15, VAR14, VAR30, VAR13, VAR20, VAR7, VAR27, VAR18} = 0; case (VAR4) VAR19: begin {VAR30, VAR15, VAR14, VAR22} = 4'b1111; end VAR11: begin VAR7 = 1'b1; end VAR9: begin {VAR7,VAR18} = 2'b11; end VAR26: begin {VAR7,VAR18} = 2'b11; end VAR10: begin {VAR20,VAR27} = 2'b11; end VAR12: begin VAR27 = 1'b1; {VAR30, VAR20} = 2'b00; end VAR6: begin {VAR7, VAR18, VAR13} = 3'b111; VAR15 = 1'b0; end VAR29: begin VAR14 = 1'b1; VAR15 = 1'b0; end endcase end endmodule
mit
jhoward321/pacman
usb_system/synthesis/submodules/usb_system_cpu_jtag_debug_module_tck.v
8,113
module MODULE1 ( VAR26, VAR4, VAR29, VAR14, VAR39, VAR15, VAR13, VAR32, VAR23, VAR31, VAR9, VAR2, VAR37, VAR38, VAR7, VAR12, VAR40, VAR16, VAR36, VAR10, VAR25, VAR11, VAR6, VAR20, VAR34, VAR22, VAR8, VAR21, VAR30, VAR35, VAR17 ) ; output [ 1: 0] VAR8; output VAR21; output [ 37: 0] VAR30; output VAR35; output VAR17; input [ 31: 0] VAR26; input [ 31: 0] VAR4; input VAR29; input VAR14; input VAR39; input VAR15; input VAR13; input [ 1: 0] VAR32; input VAR23; input VAR31; input VAR9; input VAR2; input VAR37; input VAR38; input VAR7; input VAR12; input [ 35: 0] VAR40; input VAR16; input [ 6: 0] VAR36; input VAR10; input VAR25; input VAR11; input VAR6; input VAR20; input VAR34; input VAR22; reg [ 2: 0] VAR3 ; wire VAR19; reg [ 1: 0] VAR8; wire VAR21; wire VAR5; reg [ 37: 0] VAR30 ; wire VAR35; wire VAR17; wire VAR27; wire VAR18; always @(posedge VAR38) begin if (VAR20) case (VAR32) 2'b00: begin VAR30[35] <= VAR19; VAR30[34] <= VAR31; VAR30[33] <= VAR37; VAR30[32 : 1] <= VAR26; VAR30[0] <= VAR5; end 2'b01: begin VAR30[35 : 0] <= VAR40; VAR30[37] <= VAR16; VAR30[36] <= VAR12; end 2'b10: begin VAR30[37] <= VAR6; VAR30[36] <= VAR15; VAR30[35] <= VAR39; VAR30[34] <= VAR14; VAR30[33] <= VAR29; VAR30[32 : 1] <= VAR4; VAR30[0] <= VAR11; end 2'b11: begin VAR30[15 : 2] <= VAR36; VAR30[1] <= VAR25; VAR30[0] <= VAR10; end endcase if (VAR34) case (VAR3) 3'b000: begin VAR30 <= {VAR7, VAR30[37 : 2], VAR7}; end 3'b001: begin VAR30 <= {VAR7, VAR30[37 : 9], VAR7, VAR30[7 : 1]}; end 3'b010: begin VAR30 <= {VAR7, VAR30[37 : 17], VAR7, VAR30[15 : 1]}; end 3'b011: begin VAR30 <= {VAR7, VAR30[37 : 33], VAR7, VAR30[31 : 1]}; end 3'b100: begin VAR30 <= {VAR7, VAR30[37], VAR7, VAR30[35 : 1]}; end 3'b101: begin VAR30 <= {VAR7, VAR30[37 : 1]}; end default: begin VAR30 <= {VAR7, VAR30[37 : 2], VAR7}; end endcase if (VAR22) case (VAR32) 2'b00: begin VAR3 <= 3'b100; end 2'b01: begin VAR3 <= 3'b101; end 2'b10: begin VAR3 <= 3'b101; end 2'b11: begin VAR3 <= 3'b010; end endcase end assign VAR17 = VAR30[0]; assign VAR35 = VAR23; assign VAR27 = VAR21; VAR24 VAR1 ( .clk (VAR38), .din (VAR13), .dout (VAR19), .VAR2 (VAR27) ); assign VAR18 = VAR21; VAR24 VAR28 ( .clk (VAR38), .din (VAR9), .dout (VAR5), .VAR2 (VAR18) ); always @(posedge VAR38 or negedge VAR21) begin if (VAR21 == 0) VAR8 <= 2'b0; end else VAR8 <= {VAR19, VAR5}; end assign VAR21 = VAR2; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkdlybuf4s25/sky130_fd_sc_hd__clkdlybuf4s25_2.v
2,163
module MODULE1 ( VAR3 , VAR2 , VAR7, VAR4, VAR1 , VAR8 ); output VAR3 ; input VAR2 ; input VAR7; input VAR4; input VAR1 ; input VAR8 ; VAR5 VAR6 ( .VAR3(VAR3), .VAR2(VAR2), .VAR7(VAR7), .VAR4(VAR4), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR3, VAR2 ); output VAR3; input VAR2; supply1 VAR7; supply0 VAR4; supply1 VAR1 ; supply0 VAR8 ; VAR5 VAR6 ( .VAR3(VAR3), .VAR2(VAR2) ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/cordic_Arch3/CORDIC_Arch3v1.v
18,737
module MODULE1 #(parameter VAR116 = 32, parameter VAR65 = 8, parameter VAR125 = 23, parameter VAR132=26, parameter VAR23 = 5) /*#(parameter VAR116 = 64, parameter VAR65 = 11, parameter VAR125 = 52, parameter VAR132 = 55, parameter VAR23 = 6) ( input wire clk, input wire rst, input wire VAR30, input wire VAR27, input wire VAR93, input wire [VAR116-1:0] VAR21, input wire [1:0] VAR98, output wire VAR101, output wire [VAR116-1:0] VAR131, output wire VAR2, output wire [VAR116-1:0] VAR136, output wire [VAR116-1:0] VAR90, input wire [VAR116-1:0] VAR19, output wire VAR73, input wire VAR36, output wire VAR10 ); localparam VAR110 = 1'b0; localparam VAR22 = 4; wire [VAR116-1:0] VAR97,VAR40; generate case(VAR116) 32: begin : VAR17 assign VAR97 = 32'h3f1b74ee; assign VAR40 = 32'h00000000; end 64: begin : VAR94 assign VAR97 = 64'h3fe36e9db5086bc9; assign VAR40 = 64'h0000000000000000; end default: begin : VAR83 assign VAR97 = 32'h3f1b74ee; assign VAR40 = 32'h00000000; end endcase endgenerate wire VAR55; wire VAR5; wire VAR62; wire VAR119, VAR50, VAR15; wire VAR70; wire VAR25; wire VAR24; wire VAR8; wire [1:0] VAR33; wire VAR64, VAR31; wire [1:0] VAR107; wire VAR75; wire [1:0] VAR3; wire [VAR116-1:0] VAR42; wire [VAR116-1:0] VAR115, VAR18, VAR39; wire [VAR116-1:0] VAR11, VAR1, VAR133; wire [VAR116-1:0] VAR129, VAR78, VAR105; wire VAR66; wire [VAR116-1:0] VAR76; wire [VAR22-1:0] VAR13; wire [VAR65-1:0] VAR14, VAR124; wire [VAR116-1:0] VAR121, VAR48; wire [VAR116-1:0] VAR32; wire VAR44; wire [1:0] VAR82; wire [VAR116-1:0] VAR138; wire [VAR116-1:0] VAR88; wire [VAR116-1:0] VAR130; wire VAR128,VAR104; wire VAR108,VAR80; VAR112 VAR92 ( .clk (clk), .reset (rst), .VAR81 (VAR30), .VAR102 (VAR27), .VAR29 (1'b0), .VAR104 (VAR104), .VAR80 (VAR80), .VAR111 (VAR15), .VAR117 (VAR101), .VAR2 (VAR2), .VAR10 (VAR10), .VAR25 (VAR25), .VAR49 (VAR55), .VAR89 (VAR5), .VAR62 (VAR62), .VAR70 (VAR70) ); VAR86 #(.VAR95(VAR22) ) VAR38 ( .clk (clk), .rst (rst), .enable (VAR10), .VAR35 (VAR13) ); assign VAR104 = (VAR13 == ((2**VAR22)-1)) ? 1'b1 : 1'b0; assign VAR128 = (VAR13 == 0) ? 1'b1 : 1'b0; VAR86 #(.VAR95(2) ) VAR84 ( .clk (clk), .rst (rst), .enable (VAR36|VAR25), .VAR35 (VAR82) ); assign VAR80 = (VAR82 == ((2**2)-1)) ? 1'b1 : 1'b0; VAR77 # (.VAR116(1)) VAR53 ( .clk(clk), .rst(rst), .enable(VAR55), .VAR74(VAR93), .VAR69(VAR75) ); VAR77 # (.VAR116(2)) VAR60 ( .clk(clk), .rst(rst), .enable(VAR55), .VAR74(VAR98), .VAR69(VAR3) ); VAR77 # (.VAR116(VAR116)) VAR126 ( .clk(clk), .rst(rst), .enable(VAR55), .VAR74(VAR21), .VAR69(VAR42) ); VAR134 #(.VAR116(VAR116)) VAR12 ( .select(~VAR128), .VAR4(VAR97), .VAR16(VAR115), .VAR51(VAR11) ); VAR134 #(.VAR116(VAR116)) VAR87 ( .select(~VAR128), .VAR4(VAR40), .VAR16(VAR18), .VAR51(VAR1) ); VAR134 #(.VAR116(VAR116)) VAR127 ( .select(~VAR128), .VAR4(VAR42), .VAR16(VAR39), .VAR51(VAR133) ); VAR77 # (.VAR116(VAR116)) VAR71 ( .clk(clk), .rst(rst), .enable(VAR5), .VAR74(VAR11), .VAR69(VAR129) ); VAR77 # (.VAR116(VAR116)) VAR45 ( .clk(clk), .rst(rst), .enable(VAR5), .VAR74(VAR1), .VAR69(VAR78) ); VAR77 # (.VAR116(VAR116)) VAR7 ( .clk(clk), .rst(rst), .enable(VAR5), .VAR74(VAR133), .VAR69(VAR105) ); VAR46 #(.VAR116(VAR65),.VAR113(VAR22)) VAR103 ( .VAR67(VAR129[VAR116-2:VAR125]), .VAR54(VAR13), .VAR139(VAR14) ); VAR46 #(.VAR116(VAR65),.VAR113(VAR22)) VAR118 ( .VAR67(VAR78[VAR116-2:VAR125]), .VAR54(VAR13), .VAR139(VAR124) ); generate case(VAR116) 32: begin : VAR135 VAR28 #(.VAR116(VAR116),.VAR113(VAR22)) VAR56 ( .address(VAR13), .VAR51(VAR76) ); end 64: begin : VAR85 VAR123 #(.VAR116(VAR116),.VAR113(VAR22)) VAR6 ( .address(VAR13), .VAR51(VAR76) ); end default: begin : VAR63 VAR28 #(.VAR116(VAR116),.VAR113(VAR22)) VAR56 ( .address(VAR13), .VAR51(VAR76) ); end endcase endgenerate VAR134 #(.VAR116(1)) VAR41 ( .select(VAR110), .VAR4(VAR105[VAR116-1]), .VAR16(VAR78[VAR116-1]), .VAR51(VAR66) ); VAR77 # (.VAR116(VAR116)) VAR68 ( .clk(clk), .rst(rst), .enable(VAR62), .VAR74({VAR129[VAR116-1],VAR14,VAR129[VAR125-1:0]}), .VAR69(VAR121) ); VAR77 # (.VAR116(VAR116)) VAR114 ( .clk(clk), .rst(rst), .enable(VAR62), .VAR74({VAR78[VAR116-1],VAR124,VAR78[VAR125-1:0]}), .VAR69(VAR48) ); VAR77 # (.VAR116(VAR116)) VAR72 ( .clk(clk), .rst(rst), .enable(VAR62), .VAR74(VAR76), .VAR69(VAR32) ); VAR77 # (.VAR116(1)) VAR96 ( .clk(clk), .rst(rst), .enable(VAR62), .VAR74(VAR66), .VAR69(VAR44) ); VAR109 #(.VAR116(VAR116)) VAR57 ( .select(VAR82), .VAR4(VAR129), .VAR16(VAR78), .VAR47(VAR105), .VAR51(VAR136) ); VAR109 #(.VAR116(VAR116)) VAR61 ( .select (VAR82), .VAR4 (VAR48), .VAR16 (VAR121), .VAR47 (VAR32), .VAR51(VAR90) ); VAR26 VAR52 ( .enable(VAR36), .VAR59(VAR82), .VAR99({VAR15,VAR50,VAR119}) ); VAR137 VAR9 ( .VAR100 (~VAR82[0]), .VAR66 (VAR44), .VAR93(VAR73) ); VAR77 #(.VAR116(VAR116)) VAR43 ( .clk (clk), .rst (rst), .enable(VAR119), .VAR74 (VAR19), .VAR69 (VAR115) ); VAR77 #(.VAR116(VAR116)) VAR106 ( .clk (clk), .rst (rst), .enable(VAR50), .VAR74 (VAR19), .VAR69 (VAR18) ); VAR77 #(.VAR116(VAR116)) VAR79 ( .clk (clk), .rst (rst), .enable(VAR15), .VAR74 (VAR19), .VAR69 (VAR39) ); VAR134 #( .VAR116(VAR116) ) VAR91 ( .select (VAR8), .VAR4 (VAR115), .VAR16 (VAR18), .VAR51 (VAR138) ); VAR58 VAR20 ( .VAR120 (VAR138[VAR116-1]), .VAR93 (VAR75), .VAR98 (VAR3), .VAR8 (VAR8), .VAR122 (VAR37) ); wire VAR37; assign VAR130 = {VAR37,VAR138[VAR116-2:0]}; VAR77 #(.VAR116(VAR116)) VAR34 ( .clk (clk), .rst (rst), .enable(VAR70), .VAR74 (VAR130), .VAR69 (VAR131) ); endmodule
gpl-3.0
ultraembedded/riscv
top_cache_axi/src_v/dcache_pmem_mux.v
5,191
module MODULE1 ( input VAR6 ,input VAR21 ,input VAR36 ,input VAR28 ,input VAR23 ,input [ 31:0] VAR33 ,input VAR25 ,input [ 3:0] VAR34 ,input VAR24 ,input [ 7:0] VAR2 ,input [ 31:0] VAR17 ,input [ 31:0] VAR13 ,input [ 3:0] VAR32 ,input VAR5 ,input [ 7:0] VAR27 ,input [ 31:0] VAR12 ,input [ 31:0] VAR29 ,output [ 3:0] VAR26 ,output VAR16 ,output [ 7:0] VAR31 ,output [ 31:0] VAR14 ,output [ 31:0] VAR35 ,output VAR7 ,output VAR4 ,output VAR15 ,output [ 31:0] VAR9 ,output VAR22 ,output VAR20 ,output VAR10 ,output [ 31:0] VAR11 ); reg [ 3:0] VAR1; reg VAR30; reg [ 7:0] VAR3; reg [ 31:0] VAR8; reg [ 31:0] VAR18; reg VAR19; always @ * begin case (VAR25) 1'd1: begin VAR1 = VAR32; VAR30 = VAR5; VAR3 = VAR27; VAR8 = VAR12; VAR18 = VAR29; end default: begin VAR1 = VAR34; VAR30 = VAR24; VAR3 = VAR2; VAR8 = VAR17; VAR18 = VAR13; end endcase end assign VAR26 = VAR1; assign VAR16 = VAR30; assign VAR31 = VAR3; assign VAR14 = VAR8; assign VAR35 = VAR18; always @ (posedge VAR6 or posedge VAR21) if (VAR21) VAR19 <= 1'b0; else VAR19 <= VAR25; assign VAR4 = (VAR19 == 1'd0) && VAR28; assign VAR15 = (VAR19 == 1'd0) && VAR23; assign VAR9 = VAR33; assign VAR7 = (VAR25 == 1'd0) && VAR36; assign VAR20 = (VAR19 == 1'd1) && VAR28; assign VAR10 = (VAR19 == 1'd1) && VAR23; assign VAR11 = VAR33; assign VAR22 = (VAR25 == 1'd1) && VAR36; endmodule
bsd-3-clause
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v
1,634
if (VAR17 && (VAR22==VAR12)) \ begin: VAR18 \ VAR4 VAR20 (.VAR15(VAR13),.VAR19(VAR11),.VAR8(VAR21),.VAR6); \ end module MODULE1 #(parameter VAR7(VAR22) , parameter VAR17=0 ) (input [VAR22-1:0] VAR13 , input [VAR22-1:0] VAR11 , input [VAR22-1:0] VAR21 , output [VAR22-1:0] VAR6 ); begin :VAR10 end VAR16 assert(VAR17==0) else ("## %VAR3 VAR2 VAR9 VAR1 VAR5 VAR14 VAR18"); assign VAR6 = ~(VAR13 | VAR11 | VAR21); end endmodule
bsd-3-clause
rfotino/consolite-hardware
src/vga_buffer.v
6,111
module MODULE1 ( input clk, input VAR5, input VAR9, input [7:0] VAR16, input [7:0] VAR8, input VAR3, output [7:0] VAR17, output reg VAR19, output [2:0] VAR10, output [5:0] VAR12, output [29:0] VAR11, input VAR2, input VAR4, output reg VAR6, input [31:0] VAR13, input VAR15, input VAR1, input [6:0] VAR7, input VAR18, input VAR14 );
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/diode/sky130_fd_sc_ms__diode_2.v
1,978
module MODULE1 ( VAR4, VAR5 , VAR7 , VAR6 , VAR2 ); input VAR4; input VAR5 ; input VAR7 ; input VAR6 ; input VAR2 ; VAR3 VAR1 ( .VAR4(VAR4), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR4 ); input VAR4; supply1 VAR5; supply0 VAR7; supply1 VAR6 ; supply0 VAR2 ; VAR3 VAR1 ( .VAR4(VAR4) ); endmodule
apache-2.0
hitomi2500/wasca
fpga_firmware/wasca/wasca_bb.v
2,444
module MODULE1 ( VAR16, VAR14, VAR18, VAR6, VAR29, VAR17, VAR22, VAR24, VAR27, VAR20, VAR19, VAR13, VAR3, VAR28, VAR4, VAR5, VAR11, VAR8, VAR10, VAR1, VAR9, VAR12, VAR7, VAR23, VAR21, VAR31, VAR26, VAR2, VAR30, VAR25, VAR15); input [24:0] VAR16; input VAR14; inout [15:0] VAR18; input [2:0] VAR6; output VAR29; output VAR17; output VAR22; input [1:0] VAR24; input VAR27; output [12:0] VAR20; output [1:0] VAR19; output VAR13; output VAR3; output VAR28; inout [15:0] VAR4; output [1:0] VAR5; output VAR11; output VAR8; output VAR10; output VAR1; output VAR9; input VAR12; output VAR7; input VAR23; input VAR21; output VAR31; output VAR26; input VAR2; input VAR30; input VAR25; output VAR15; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi221/gf180mcu_fd_sc_mcu7t5v0__aoi221_4.functional.v
2,099
module MODULE1( VAR23, VAR21, VAR10, VAR19, VAR14, VAR5 ); input VAR14, VAR5, VAR10, VAR21, VAR19; output VAR23; wire VAR8; not VAR18( VAR8, VAR14 ); wire VAR11; not VAR15( VAR11, VAR10 ); wire VAR12; not VAR20( VAR12, VAR19 ); wire VAR22; and VAR2( VAR22, VAR8, VAR11, VAR12 ); wire VAR13; not VAR7( VAR13, VAR21 ); wire VAR1; and VAR9( VAR1, VAR8, VAR13, VAR12 ); wire VAR4; not VAR6( VAR4, VAR5 ); wire VAR3; and VAR17( VAR3, VAR4, VAR11, VAR12 ); wire VAR24; and VAR16( VAR24, VAR4, VAR13, VAR12 ); or VAR25( VAR23, VAR22, VAR1, VAR3, VAR24 ); endmodule
apache-2.0
asicguy/gplgpu
hdl/altera_ddr3/ddr3_int_example_driver.v
35,109
module MODULE1 ( clk, VAR94, VAR47, VAR35, VAR93, VAR11, VAR104, VAR74, VAR67, VAR84, VAR48, VAR27, VAR91, VAR42, VAR9, VAR21, VAR51, VAR69, VAR75 ) ; output [ 2: 0] VAR11; output [ 15: 0] VAR104; output VAR74; output [ 9: 0] VAR67; output VAR84; output VAR48; output [ 13: 0] VAR27; output [ 6: 0] VAR91; output [127: 0] VAR42; output VAR9; output [ 15: 0] VAR21; output VAR51; output VAR69; output [ 7: 0] VAR75; input clk; input [127: 0] VAR94; input VAR47; input VAR35; input VAR93; wire [ 19: 0] VAR88; wire [ 6: 0] VAR3; wire [ 2: 0] VAR83; wire VAR56; wire [ 9: 0] VAR96; wire [ 13: 0] VAR64; wire [ 13: 0] VAR13; wire VAR81; wire [ 8: 0] VAR57; wire VAR102; reg [ 2: 0] VAR103; reg [ 15: 0] VAR97; reg [ 6: 0] VAR53; reg VAR44; reg [ 9: 0] VAR49; wire [ 15: 0] VAR92; reg [ 15: 0] VAR95; reg [ 15: 0] VAR66; reg [ 15: 0] VAR77; reg VAR2; wire [127: 0] VAR8; reg VAR80; reg [127: 0] VAR4; reg VAR78; wire VAR26; wire VAR70; reg VAR89; reg VAR6; reg VAR1; wire [ 2: 0] VAR11; wire [ 15: 0] VAR104; wire VAR74; wire [ 9: 0] VAR67; wire VAR84; wire VAR48; wire [ 13: 0] VAR27; wire [ 6: 0] VAR91; wire [127: 0] VAR42; wire VAR9; wire [ 9: 0] VAR105; wire VAR100; wire VAR23; reg VAR79; wire VAR106; wire [ 15: 0] VAR21; reg VAR51; reg VAR32; wire VAR24; wire VAR62; reg VAR90; reg VAR41; reg VAR43; wire VAR52; reg VAR5; reg [ 7: 0] VAR17; reg VAR76; reg VAR72; reg VAR54; wire VAR7; reg [ 13: 0] VAR107; wire VAR36; wire [ 6: 0] VAR73; reg [ 4: 0] state; reg VAR34; reg VAR58; wire VAR99; reg VAR69; reg VAR20; reg VAR15; wire VAR45; reg VAR28; reg VAR31; wire VAR39; reg VAR85; reg VAR12; wire VAR71; wire [ 7: 0] VAR75; reg VAR98; wire [127: 0] VAR55; wire VAR63; reg VAR59; reg [ 7: 0] VAR46; assign VAR71 = 1'b1; assign VAR99 = 1'b1; assign VAR45 = 1'b1; assign VAR39 = 1'b0; assign VAR7 = 1'b1; assign VAR88 = 150; assign VAR64 = 3; assign VAR96 = 16; assign VAR83 = 7; assign VAR56 = 0; assign VAR81 = 0; assign VAR13 = {14{1'b1}}; assign VAR105 = ((VAR57 == 4) == 0)? VAR96 : (VAR96 + 4); assign VAR62 = 1'b0; assign VAR36 = 1'b0; assign VAR74 = VAR44 | VAR100; assign VAR102 = 1; assign VAR75[0] = VAR12; assign VAR75[1] = VAR31; assign VAR75[2] = VAR15; assign VAR75[3] = VAR58; assign VAR75[4] = 0; assign VAR75[5] = 0; assign VAR75[6] = 0; assign VAR75[7] = VAR69; assign VAR23 = 0; assign VAR100 = 0; assign VAR84 = VAR2; assign VAR27 = VAR107; assign VAR11 = VAR103; assign VAR67 = VAR49; assign VAR9 = VAR59; assign VAR42 = VAR55; assign VAR48 = VAR5 | VAR23; assign VAR55 = (VAR54 == 0)? VAR8 : 128'd0; assign VAR3 = 2; assign VAR63 = VAR59 & VAR35; assign VAR26 = ~ ((VAR63 & ~VAR54) | (VAR47)); assign VAR70 = (VAR63 & VAR15 & ~VAR54) | (VAR15 & VAR47); assign VAR21 = VAR77; assign VAR106 = (VAR54 & VAR15) | ~VAR15; assign VAR104 = VAR97; assign VAR91 = VAR73; assign VAR73 = (VAR89 == 0)? 1'd1 : VAR3[6 : 0]; assign VAR52 = ((VAR15 | VAR58 | state == 5'd9) & (VAR107 == VAR13)) || ((VAR12 | VAR31) & (VAR49 == (VAR105)) & (VAR107 == VAR64) & (VAR103 == VAR83) & (VAR2 == VAR56)); assign VAR57 = ((VAR31 & VAR59 & ~VAR89) == 0)? 8 : 4; assign VAR24 = (VAR43 == 0)? 1'd1 : VAR32; VAR37 VAR61 ( .clk (clk), .VAR30 (VAR8[7 : 0]), .enable (VAR80), .VAR33 (VAR4[7 : 0]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[0] = (VAR8[7 : 0] & {8 {VAR97[0]}}) === VAR94[7 : 0]; VAR37 VAR18 ( .clk (clk), .VAR30 (VAR8[15 : 8]), .enable (VAR80), .VAR33 (VAR4[15 : 8]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[1] = (VAR8[15 : 8] & {8 {VAR97[1]}}) === VAR94[15 : 8]; VAR37 VAR65 ( .clk (clk), .VAR30 (VAR8[23 : 16]), .enable (VAR80), .VAR33 (VAR4[23 : 16]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[2] = (VAR8[23 : 16] & {8 {VAR97[2]}}) === VAR94[23 : 16]; VAR37 VAR40 ( .clk (clk), .VAR30 (VAR8[31 : 24]), .enable (VAR80), .VAR33 (VAR4[31 : 24]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[3] = (VAR8[31 : 24] & {8 {VAR97[3]}}) === VAR94[31 : 24]; VAR37 VAR29 ( .clk (clk), .VAR30 (VAR8[39 : 32]), .enable (VAR80), .VAR33 (VAR4[39 : 32]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[4] = (VAR8[39 : 32] & {8 {VAR97[4]}}) === VAR94[39 : 32]; VAR37 VAR16 ( .clk (clk), .VAR30 (VAR8[47 : 40]), .enable (VAR80), .VAR33 (VAR4[47 : 40]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[5] = (VAR8[47 : 40] & {8 {VAR97[5]}}) === VAR94[47 : 40]; VAR37 VAR25 ( .clk (clk), .VAR30 (VAR8[55 : 48]), .enable (VAR80), .VAR33 (VAR4[55 : 48]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[6] = (VAR8[55 : 48] & {8 {VAR97[6]}}) === VAR94[55 : 48]; VAR37 VAR60 ( .clk (clk), .VAR30 (VAR8[63 : 56]), .enable (VAR80), .VAR33 (VAR4[63 : 56]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[7] = (VAR8[63 : 56] & {8 {VAR97[7]}}) === VAR94[63 : 56]; VAR37 VAR101 ( .clk (clk), .VAR30 (VAR8[71 : 64]), .enable (VAR80), .VAR33 (VAR4[71 : 64]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[8] = (VAR8[71 : 64] & {8 {VAR97[8]}}) === VAR94[71 : 64]; VAR37 VAR19 ( .clk (clk), .VAR30 (VAR8[79 : 72]), .enable (VAR80), .VAR33 (VAR4[79 : 72]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[9] = (VAR8[79 : 72] & {8 {VAR97[9]}}) === VAR94[79 : 72]; VAR37 VAR86 ( .clk (clk), .VAR30 (VAR8[87 : 80]), .enable (VAR80), .VAR33 (VAR4[87 : 80]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[10] = (VAR8[87 : 80] & {8 {VAR97[10]}}) === VAR94[87 : 80]; VAR37 VAR82 ( .clk (clk), .VAR30 (VAR8[95 : 88]), .enable (VAR80), .VAR33 (VAR4[95 : 88]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[11] = (VAR8[95 : 88] & {8 {VAR97[11]}}) === VAR94[95 : 88]; VAR37 VAR10 ( .clk (clk), .VAR30 (VAR8[103 : 96]), .enable (VAR80), .VAR33 (VAR4[103 : 96]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[12] = (VAR8[103 : 96] & {8 {VAR97[12]}}) === VAR94[103 : 96]; VAR37 VAR38 ( .clk (clk), .VAR30 (VAR8[111 : 104]), .enable (VAR80), .VAR33 (VAR4[111 : 104]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[13] = (VAR8[111 : 104] & {8 {VAR97[13]}}) === VAR94[111 : 104]; VAR37 VAR87 ( .clk (clk), .VAR30 (VAR8[119 : 112]), .enable (VAR80), .VAR33 (VAR4[119 : 112]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[14] = (VAR8[119 : 112] & {8 {VAR97[14]}}) === VAR94[119 : 112]; VAR37 VAR22 ( .clk (clk), .VAR30 (VAR8[127 : 120]), .enable (VAR80), .VAR33 (VAR4[127 : 120]), .VAR50 (VAR78), .VAR68 (VAR26), .VAR93 (VAR93) ); assign VAR92[15] = (VAR8[127 : 120] & {8 {VAR97[15]}}) === VAR94[127 : 120]; always @(posedge clk or negedge VAR93) begin if (VAR93 == 0) begin state <= 5'd0; VAR59 <= 1'b0; VAR5 <= 1'b0; VAR44 <= 1'b0; VAR53 <= 0; VAR78 <= 1'b0; VAR98 <= 1'b0; VAR69 <= 1'b0; VAR54 <= 1'b0; VAR72 <= 1'b0; VAR46 <= 0; VAR17 <= 0; VAR34 <= 1'b0; VAR20 <= 1'b0; VAR85 <= 1'b0; VAR28 <= 1'b0; VAR58 <= 1'b0; VAR15 <= 1'b0; VAR12 <= 1'b0; VAR31 <= 1'b0; VAR89 <= 1'b1; VAR79 <= 1'b0; VAR80 <= 1'b1; end else begin if (VAR59 & VAR35) begin if (VAR63) VAR46 <= VAR46 + (VAR73 - 1); end else VAR46 <= VAR46 + VAR73; end else if ((VAR63) & (VAR46 > 0)) VAR46 <= VAR46 - 1'b1; end else VAR46 <= VAR46; if ((VAR5 | VAR23) & VAR35) begin if (VAR47) VAR17 <= VAR17 + (VAR73 - 1); end else VAR17 <= VAR17 + VAR73; end else if ((VAR47) & (VAR17 > 0)) VAR17 <= VAR17 - 1'b1; else VAR17 <= VAR17; case (state) 5'd0: begin VAR34 <= VAR99; VAR20 <= VAR45; VAR85 <= VAR71; VAR28 <= VAR39; VAR69 <= 1'b0; state <= 5'd1; end 5'd1: begin VAR76 <= 1'b0; VAR72 <= 1'b0; VAR59 <= 1'b1; VAR46 <= 1'b0; VAR17 <= 1'b0; VAR98 <= 1'b1; VAR80 <= 1'b1; if (VAR85 == 1'b1) begin VAR12 <= 1; if (VAR102 == 0) begin state <= 5'd5; VAR44 <= 1'b1; end else if (VAR102 == 1) begin state <= 5'd13; VAR44 <= 1'b1; end end else if (VAR28 == 1'b1) begin VAR89 <= 1'b0; VAR31 <= 1; state <= 5'd5; if (VAR102 == 1) VAR44 <= 1'b1; end else if (VAR20 == 1'b1) begin VAR54 <= 1'b1; VAR15 <= 1; if (VAR102 == 0) begin VAR44 <= 1'b1; state <= 5'd2; end else begin VAR44 <= 1'b1; state <= 5'd10; end end else if (VAR34 == 1'b1) begin VAR58 <= 1; if (VAR102 == 0) begin VAR44 <= 1'b1; state <= 5'd5; end else if (VAR102 == 1) begin state <= 5'd13; VAR44 <= 1'b1; end end else begin VAR59 <= 1'b0; VAR98 <= 1'b0; state <= 5'd9; end end 5'd10: begin VAR98 <= 1'b0; VAR44 <= 1'b0; if (VAR59 & VAR35) begin VAR53 <= VAR53 + 1'b1; state <= 5'd11; end end 5'd11: begin if (VAR59 & VAR35) if (VAR53 == VAR73 - 1'b1) begin VAR53 <= 0; VAR44 <= 1'b1; if (VAR52) state <= 5'd12; end else state <= 5'd10; end else VAR53 <= VAR53 + 1'b1; end 5'd12: begin VAR44 <= 1'b0; if (VAR59 & VAR35) state <= 5'd3; end 5'd13: begin VAR98 <= 1'b0; VAR44 <= 1'b0; VAR72 <= 1'b0; if (VAR59 & VAR35) begin VAR53 <= VAR53 + 1'b1; state <= 5'd14; end end 5'd14: begin if (VAR59 & VAR35) if (VAR53 == VAR73 - 1'b1) begin VAR53 <= 0; VAR44 <= 1'b1; if (VAR52) state <= 5'd15; end else state <= 5'd13; end else VAR53 <= VAR53 + 1'b1; end 5'd15: begin if (VAR59 & VAR35) begin VAR76 <= 1'b1; VAR44 <= 1'b0; state <= 5'd6; end end 5'd16: begin VAR78 <= 1'b0; VAR72 <= 1'b0; if (VAR35 & VAR5) if (VAR52) begin VAR5 <= 1'b0; VAR44 <= 1'b0; state <= 5'd8; end end 5'd2: begin VAR98 <= 1'b0; if (VAR59 & VAR35) if (VAR52) begin VAR59 <= 1'b0; VAR44 <= 1'b0; state <= 5'd3; end end 5'd3: begin if (VAR102 == 0) begin if (!VAR63) if (VAR46 == 0) begin VAR72 <= 1'b1; VAR76 <= 1'b1; VAR78 <= 1'b1; state <= 5'd4; end end else if (VAR59 & VAR35) begin VAR72 <= 1'b1; VAR59 <= 1'b0; VAR76 <= 1'b1; VAR78 <= 1'b1; state <= 5'd4; end end 5'd4: begin VAR76 <= 1'b0; VAR78 <= 1'b0; VAR72 <= 1'b0; VAR54 <= 1'b0; VAR59 <= 1'b1; if (VAR102 == 0) begin VAR44 <= 1'b1; state <= 5'd5; end else begin VAR44 <= 1'b1; state <= 5'd13; end end 5'd5: begin VAR98 <= 1'b0; if (VAR59 & VAR35) if (VAR52) begin VAR76 <= 1'b1; VAR59 <= 1'b0; VAR44 <= 1'b0; state <= 5'd6; if (VAR31) VAR89 <= 1'b1; end end 5'd6: begin VAR76 <= 1'b0; if (VAR102 == 0) begin if (VAR46 == 0) begin VAR78 <= 1'b1; VAR72 <= 1'b1; VAR5 <= 1'b1; VAR44 <= 1'b1; state <= 5'd7; end end else if (VAR31) begin VAR78 <= 1'b1; VAR5 <= 1'b1; VAR44 <= 1'b1; state <= 5'd16; end else if (VAR59 & VAR35) begin VAR59 <= 1'b0; VAR78 <= 1'b1; VAR72 <= 1'b1; VAR5 <= 1'b1; VAR44 <= 1'b1; state <= 5'd16; end end 5'd7: begin VAR78 <= 1'b0; VAR72 <= 1'b0; if (VAR35 & VAR5) if (VAR52) begin VAR5 <= 1'b0; VAR44 <= 1'b0; state <= 5'd8; end end 5'd8: begin if (VAR17 == 1'b0) begin VAR76 <= 1'b1; if (VAR85) begin VAR85 <= 1'b0; VAR12 <= 1'b0; state <= 5'd1; end else if (VAR28) begin VAR28 <= 1'b0; VAR31 <= 1'b0; state <= 5'd1; end else if (VAR20) begin VAR20 <= 1'b0; VAR15 <= 1'b0; state <= 5'd1; end else if (VAR34) begin VAR58 <= 1'b0; VAR78 <= 1'b1; state <= 5'd9; end else state <= 5'd9; end end 5'd9: begin VAR76 <= 1'b0; VAR72 <= 1'b0; VAR78 <= 1'b0; if (VAR62 == 1'b0 & VAR36 == 1'b0) begin VAR69 <= 1'b1; VAR79 <= 1'b0; VAR80 <= VAR7; state <= 5'd0; end else if (VAR52 & VAR17 == 0) begin VAR79 <= 1'b1; VAR76 <= 1'b1; VAR72 <= 1'b1; VAR78 <= 1'b1; end end endcase end end always @(posedge clk or negedge VAR93) begin if (VAR93 == 0) VAR90 <= 1'b0; end else if (VAR47) VAR90 <= 1'b1; end always @(posedge clk or negedge VAR93) begin if (VAR93 == 0) begin VAR2 <= 0; VAR103 <= 0; VAR107 <= 0; VAR49 <= 0; end else if (VAR76) begin VAR2 <= VAR81; VAR107 <= 0; VAR103 <= 0; VAR49 <= 0; end else if (((VAR35 & VAR59 & (VAR15 | VAR58)) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((VAR35 & VAR5 & (VAR15 | VAR58)) & (state == 5'd7 | state == 5'd16)) | ((VAR35 & VAR23) & (state == 5'd9))) begin VAR49[9 : 3] <= {VAR49[8 : 3],VAR49[9]}; VAR107[13 : 0] <= {VAR107[12 : 0],VAR107[13]}; if (VAR107 == 14'd0) begin VAR49 <= 10'd8; VAR107 <= 14'd1; end else if (VAR107 == {1'b1,{13{1'b0}}}) begin VAR49 <= {{6{1'b1}},{4{1'b0}}}; VAR107 <= {{13{1'b1}},1'b0}; end else if (VAR107 == {1'b0,{13{1'b1}}}) begin VAR49 <= {{7{1'b1}},{3{1'b0}}}; VAR107 <= {14{1'b1}}; end if (VAR103 == VAR83) VAR103 <= 0; end else VAR103 <= VAR103 + 1'b1; if (VAR2 == VAR56) VAR2 <= VAR81; end else VAR2 <= VAR2 + 1'b1; end else if ((VAR35 & VAR59 & (VAR12 | VAR31) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((VAR35 & VAR5 & (VAR12 | VAR31)) & (state == 5'd7 | state == 5'd16))) if (VAR49 >= VAR105) begin VAR49 <= 0; if (VAR107 == VAR64) begin VAR107 <= 0; if (VAR103 == VAR83) begin VAR103 <= 0; if (VAR2 == VAR56) VAR2 <= VAR81; end else VAR2 <= VAR2 + 1'b1; end else VAR103 <= VAR103 + 1'b1; end else VAR107 <= VAR107 + 1'b1; end else VAR49 <= VAR49 + VAR57; end always @(posedge clk or negedge VAR93) begin if (VAR93 == 0) VAR97 <= {16{1'b1}}; end else if (VAR72) VAR97 <= 16'd1; else if (VAR70) VAR97[15 : 0] <= {VAR97[14 : 0],VAR97[15]}; else if (VAR106) VAR97 <= {16{1'b1}}; else VAR97 <= VAR97; end always @(posedge clk or negedge VAR93) begin if (VAR93 == 0) begin VAR4 <= 0; VAR1 <= 1'b0; VAR66 <= {16 {1'b1}}; VAR77 <= {16 {1'b1}}; VAR51 <= 1'b0; VAR32 <= 1'b0; VAR95 <= {16 {1'b1}}; VAR6 <= 1'b0; VAR41 <= 1'b0; VAR43 <= 1'b0; end else begin VAR1 <= VAR63; VAR6 <= VAR47; VAR41 <= VAR90; VAR43 <= VAR41; VAR95 <= VAR92; if (VAR98) VAR4 <= VAR8; if (VAR6) VAR66 <= VAR95; if (&VAR66 & VAR41 & VAR24) VAR32 <= 1'b1; end else VAR32 <= 1'b0; VAR77 <= VAR66; VAR51 <= VAR32; end end endmodule
gpl-3.0
jakubfi/mera400f
src/fpm.v
9,049
module MODULE1( output VAR84, output VAR44, input VAR55, input [8:15] VAR158, input VAR27, input 0d, input VAR155, output [-2:7] VAR116, input VAR123, input VAR54, input VAR43, input 0f, input VAR138, input VAR102, input VAR78, input VAR15, input VAR56, input VAR31, output VAR76, output VAR147, output VAR60, output VAR65, input VAR103, input VAR119, input VAR90, output VAR125, output VAR121, output VAR22, output VAR126, output VAR163, input [7:9] VAR88, input VAR105, input VAR3, input VAR72, output VAR66, output VAR130, output VAR160, output VAR92, output VAR143, output VAR22, output VAR24, output VAR40, output VAR58, output VAR107, output VAR120, output VAR132, output VAR131, output VAR135, input VAR94, input VAR21, input VAR79, output VAR17, output VAR7, input VAR30, input VAR32, input VAR151, output VAR16, output VAR128, output VAR37, output VAR178, output VAR80, output VAR140, input VAR95, input VAR14, input VAR129, input VAR169, input VAR100, input VAR118, input 0t, input VAR122, input VAR142, input VAR19, input VAR59, input VAR52, input VAR12, input VAR36, input VAR152, input VAR74, input VAR39, input VAR104, input VAR19, input VAR122, output VAR139, output VAR1, output VAR172, output VAR68, input VAR162, input VAR133, input VAR112, input VAR85, input VAR109, input VAR50, input VAR23, input 0m, input VAR127, input VAR61, input VAR86, input VAR99, input VAR45, output VAR10, input VAR41, input VAR64, output VAR6, output VAR41, output VAR159, output VAR46 ); wire VAR49 = VAR138 & VAR78; wire b0; wire [0:7] VAR38; VAR38 VAR165( .VAR55(VAR55), .VAR49(VAR49), .VAR116(VAR116[0:7]), .VAR123(VAR123), .VAR54(VAR54), .b0(b0), .VAR38(VAR38) ); wire [-2:7] VAR73; wire VAR71; VAR25 VAR136( .VAR38(VAR38), .VAR116(VAR116[-1:7]), .VAR43(VAR43), .VAR123(VAR123), .VAR54(VAR54), .b0(b0), .VAR71(VAR71), .VAR73(VAR73) ); VAR27 VAR51( .VAR55(VAR55), .VAR155(VAR155), .0d(0d), .VAR27(VAR27), .VAR73(VAR73[-2:7]), .VAR158(VAR158[8:15]), .VAR116(VAR116) ); wire VAR110 = VAR50 & VAR22; wire VAR5 = VAR50 & VAR24; wire VAR26 = VAR50 & VAR160; wire VAR33 = VAR50 & VAR163; wire VAR137 = VAR107 & VAR102; wire VAR11 = VAR137 & VAR73[7]; wire VAR146 = VAR137 & VAR73[6]; wire VAR101 = VAR137 & VAR73[5]; wire VAR167 = VAR137 & VAR73[4]; wire VAR176 = VAR137 & VAR73[3]; wire VAR35 = VAR137 & VAR73[2]; wire VAR77 = VAR11 | VAR33 | VAR110; wire VAR111 = VAR110 | VAR146; wire VAR20 = VAR110 | VAR101; wire VAR164 = VAR5 | VAR167; wire VAR13 = VAR33 | VAR176 | VAR26; wire VAR168 = VAR110 | VAR35 | VAR5; wire VAR106 = VAR15 & ~VAR147 & VAR32; wire VAR148 = VAR15 & VAR147 & VAR32; wire VAR91 = (VAR31 & VAR76 & VAR102) | 0f; wire VAR175 = VAR78 & (VAR50 | VAR137); VAR65 VAR154( .VAR55(VAR55), .VAR106(VAR106), .VAR148(VAR148), .VAR91(VAR91), .VAR47(VAR175), .in({VAR168, VAR13, VAR164, VAR20, VAR111, VAR77}), .VAR65(VAR65) ); VAR29 VAR70( .VAR150(VAR88[7:9]), .VAR57(VAR105), .VAR149({VAR66, VAR130, VAR160, VAR163, VAR92, VAR143, VAR22, VAR24}) ); wire VAR69 = VAR24 & VAR3; assign VAR40 = VAR24 | VAR163; assign VAR58 = VAR22 | VAR160; assign VAR107 = VAR143 | VAR92; wire VAR4 = VAR163 | VAR160; assign VAR120 = VAR130 | VAR66; wire VAR117 = VAR160 | VAR130 | VAR66; assign VAR132 = VAR72 | VAR88[7]; assign VAR131 = VAR105 & ~VAR88[7]; assign VAR135 = VAR105 | VAR72; wire VAR173 = VAR137 & VAR15; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR76 <= 1'b0; end else if (VAR173) VAR76 <= VAR71; end always @ (posedge VAR55, posedge 0f) begin if (0f) VAR147 <= 1'b0; end else if (VAR173) VAR147 <= VAR73[-1]; end wire VAR63 = VAR138 & ~VAR62 & VAR78 & VAR107; wire VAR141 = VAR71 & ~VAR73[-1]; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR60 <= 1'b0; end else if (VAR63) VAR60 <= 1'b1; else if (VAR173) VAR60 <= VAR141; end wire VAR96 = VAR78 & ((VAR58 & VAR138) | (VAR94) | (~VAR107 & VAR50) | (VAR50 & VAR60)); always @ (posedge VAR55, posedge 0f) begin if (0f) VAR17 <= 1'b0; end else if (VAR96) VAR17 <= ~VAR62; end wire VAR171 = VAR15 & VAR21 & VAR120; reg VAR83; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR83 <= 1'b0; end else if (VAR171) VAR83 <= ~VAR129; end reg end; always @ (posedge VAR55, posedge 0f) begin if (0f) end <= 1'b0; end else if (VAR21) end <= VAR7; end wire VAR97 = VAR94 & VAR78; wire VAR170 = VAR139 & ~VAR24 & VAR45 & ~end; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR7 <= 1'b0; end else if (VAR97) VAR7 <= VAR170; end wire VAR2 = VAR75 & VAR79 & VAR31; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR16 <= 1'b0; end else if (VAR140) VAR16 <= 1'b1; else if (VAR2) VAR16 <= VAR28; end wire VAR134 = VAR78 & ~VAR30 & VAR32; reg VAR75; always @ (posedge VAR55, posedge VAR134) begin if (VAR134) VAR75 <= 1'b0; end else if (VAR50) VAR75 <= VAR163; end wire VAR34 = VAR50 & VAR107 & ~VAR60 & ~VAR62; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR128 <= 1'b0; end else if (VAR34) VAR128 <= 1'b1; end wire VAR174 = (~VAR169 & ~VAR118) | (~VAR100 & VAR118); wire VAR8 = ~VAR174 ^ VAR19; wire VAR81 = VAR129 ^ VAR8; assign VAR84 = ~((VAR95 & VAR155) | (~VAR46 & VAR69) | (VAR14 & ~VAR19) | (VAR157 & VAR81)); assign VAR68 = VAR152 | VAR36 | VAR12 | VAR19; wire VAR62 = VAR19 | VAR12 | VAR36 | VAR152 | VAR74 | VAR39 | VAR104 | VAR45; wire VAR89 = VAR19 | VAR59; wire VAR9 = VAR104 | VAR39 | VAR74 | VAR152; wire VAR48 = ~VAR9 & VAR40 & VAR89 & ~VAR36; wire VAR93 = (VAR58 & VAR166) | (VAR122 & VAR40); wire VAR114 = VAR142 & VAR163 & VAR68; assign VAR172 = VAR93 | VAR48 | VAR114; assign VAR139 = VAR132 & VAR62 & ~VAR122 & VAR122; assign VAR1 = VAR132 & VAR62 & ~VAR122 & ~VAR122; wire VAR87 = VAR10 ^ ~VAR109; wire VAR108 = ~VAR147 & VAR32; assign VAR44 = (VAR162 & VAR133) | (VAR112 & ~VAR85) | (VAR19 & VAR50) | (VAR92 & VAR109 & VAR108) | (VAR143 & VAR108 & VAR87); wire VAR67 = VAR50 & VAR143; wire VAR18 = ~VAR109 & VAR10; always @ (posedge VAR55, posedge 0m) begin if (0m) VAR10 <= 1'b0; end else if (VAR67) VAR10 <= 1'b1; else if (VAR23) VAR10 <= VAR18; end wire VAR124 = (~VAR127 & VAR22) | (~VAR61 & VAR160); wire VAR156 = (~VAR86 & VAR22) | (~VAR99 & VAR160); wire VAR42 = ~((~VAR124 & ~VAR177) | (~VAR156 & VAR166)); reg VAR177; always @ (posedge VAR55, posedge 0m) begin if (0m) VAR177 <= 1'b0; end else if (VAR23) VAR177 <= VAR42; end wire VAR166 = VAR124 ^ VAR177; wire VAR157 = VAR21 | VAR79; wire VAR153 = VAR32 & VAR15; reg VAR116; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR116 <= 1'b0; end else if (VAR157) VAR116 <= 1'b1; else if (VAR153) VAR116 <= 1'b0; end wire VAR145 = VAR116 ^ ~VAR115; wire VAR82 = ~VAR116 ^ ~VAR115; assign VAR6 = VAR145 & VAR32 & VAR24; assign VAR41 = ~((VAR82 & VAR163) | (~VAR163 & ~VAR41)); assign VAR159 = VAR64 ^ VAR46; always @ (posedge VAR55, posedge 0f) begin if (0f) VAR46 <= 1'b0; end else if (VAR50) VAR46 <= VAR64; end wire VAR115 = (~VAR46 & ~VAR62) | (~VAR64 & VAR62); wire VAR98 = VAR145 ^ VAR46; wire VAR144 = VAR46 & ~VAR62 & ~VAR30; wire VAR28 = VAR98 & ~VAR144; reg [0:1] VAR113; always @ (posedge VAR55) begin case (VAR113) 0: if (VAR2) VAR113 <= 1; 1: VAR113 <= 2; 2: if (~VAR2) VAR113 <= 0; endcase end assign VAR37 = VAR16 & (VAR113 == 1); wire VAR161 = VAR132 & VAR151; assign VAR178 = VAR161 & VAR116[-2] & ~(VAR116[-1] & VAR116[0]); assign VAR80 = VAR161 & ~VAR116[-2] & (VAR116[-1] | VAR116[0]); wire VAR53 = (~VAR72 & VAR1 & VAR50) | (VAR138 & (VAR40 & ~VAR62)) | (VAR1 & VAR138); assign VAR140 = VAR78 & VAR53; assign VAR125 = (~VAR24 & VAR132 & VAR45) | (VAR103 & VAR4) | (VAR120 & VAR83); assign VAR121 = (VAR119) | (VAR122 & VAR117); assign VAR22 = ~((~VAR19 & ~VAR163) | (~VAR90 & VAR163)); assign VAR126 = (~VAR39 & ~VAR74 & VAR163) | (VAR117 & ~VAR62) | (VAR132 & VAR17); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/diode/sky130_fd_sc_hdll__diode.behavioral.pp.v
1,208
module MODULE1 ( VAR5, VAR4 , VAR3 , VAR2 , VAR1 ); input VAR5; input VAR4 ; input VAR3 ; input VAR2 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or2/sky130_fd_sc_hdll__or2_1.v
2,091
module MODULE2 ( VAR3 , VAR4 , VAR7 , VAR5, VAR8, VAR2 , VAR6 ); output VAR3 ; input VAR4 ; input VAR7 ; input VAR5; input VAR8; input VAR2 ; input VAR6 ; VAR1 VAR9 ( .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7), .VAR5(VAR5), .VAR8(VAR8), .VAR2(VAR2), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR3, VAR4, VAR7 ); output VAR3; input VAR4; input VAR7; supply1 VAR5; supply0 VAR8; supply1 VAR2 ; supply0 VAR6 ; VAR1 VAR9 ( .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7) ); endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_auto_pc_1/zynq_design_1_auto_pc_1_stub.v
5,787
module MODULE1(VAR49, VAR3, VAR67, VAR57, VAR39, VAR73, VAR70, VAR69, VAR66, VAR74, VAR53, VAR77, VAR64, VAR31, VAR30, VAR55, VAR50, VAR65, VAR71, VAR6, VAR20, VAR16, VAR58, VAR29, VAR13, VAR78, VAR76, VAR34, VAR40, VAR14, VAR43, VAR33, VAR18, VAR42, VAR75, VAR60, VAR62, VAR25, VAR8, VAR63, VAR68, VAR47, VAR19, VAR72, VAR24, VAR59, VAR9, VAR17, VAR12, VAR54, VAR51, VAR48, VAR10, VAR22, VAR45, VAR7, VAR27, VAR37, VAR38, VAR44, VAR2, VAR23, VAR79, VAR35, VAR36, VAR52, VAR21, VAR11, VAR1, VAR5, VAR4, VAR46, VAR32, VAR15, VAR26, VAR56, VAR41, VAR28, VAR61) ; input VAR49; input VAR3; input [11:0]VAR67; input [31:0]VAR57; input [3:0]VAR39; input [2:0]VAR73; input [1:0]VAR70; input [1:0]VAR69; input [3:0]VAR66; input [2:0]VAR74; input [3:0]VAR53; input VAR77; output VAR64; input [11:0]VAR31; input [31:0]VAR30; input [3:0]VAR55; input VAR50; input VAR65; output VAR71; output [11:0]VAR6; output [1:0]VAR20; output VAR16; input VAR58; input [11:0]VAR29; input [31:0]VAR13; input [3:0]VAR78; input [2:0]VAR76; input [1:0]VAR34; input [1:0]VAR40; input [3:0]VAR14; input [2:0]VAR43; input [3:0]VAR33; input VAR18; output VAR42; output [11:0]VAR75; output [31:0]VAR60; output [1:0]VAR62; output VAR25; output VAR8; input VAR63; output [11:0]VAR68; output [31:0]VAR47; output [7:0]VAR19; output [2:0]VAR72; output [1:0]VAR24; output [0:0]VAR59; output [3:0]VAR9; output [2:0]VAR17; output [3:0]VAR12; output [3:0]VAR54; output VAR51; input VAR48; output [31:0]VAR10; output [3:0]VAR22; output VAR45; output VAR7; input VAR27; input [11:0]VAR37; input [1:0]VAR38; input VAR44; output VAR2; output [11:0]VAR23; output [31:0]VAR79; output [7:0]VAR35; output [2:0]VAR36; output [1:0]VAR52; output [0:0]VAR21; output [3:0]VAR11; output [2:0]VAR1; output [3:0]VAR5; output [3:0]VAR4; output VAR46; input VAR32; input [11:0]VAR15; input [31:0]VAR26; input [1:0]VAR56; input VAR41; input VAR28; output VAR61; endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/clip_and_round.v
1,474
module MODULE1 parameter VAR1=0, parameter VAR6=0) (input [VAR3-1:0] in, output [VAR1-1:0] out); wire [VAR1-1:0] VAR5; VAR4 #(.VAR3(VAR3-VAR6),.VAR1(VAR1)) VAR4 (.in(in[VAR3-VAR6-1:0]),.out(VAR5)); wire VAR2 = |in[VAR3-1:VAR3-VAR6-1] & ~(&in[VAR3-1:VAR3-VAR6-1]); assign out = VAR2 ? (in[VAR3-1] ? {1'b1,{(VAR1-1){1'b0}}} : {1'b0,{(VAR1-1){1'b1}}}) : VAR5; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or2b/sky130_fd_sc_lp__or2b.functional.v
1,346
module MODULE1 ( VAR4 , VAR2 , VAR1 ); output VAR4 ; input VAR2 ; input VAR1; wire VAR8 ; wire VAR5; not VAR6 (VAR8 , VAR1 ); or VAR7 (VAR5, VAR8, VAR2 ); buf VAR3 (VAR4 , VAR5 ); endmodule
apache-2.0
takeshineshiro/fpga_fibre_scan
HUCB2P0_150701/03_usb/register_ctrl_top.v
4,238
module MODULE1( input VAR19 , input VAR13 , output VAR20 , output [7 : 0] VAR17 , input VAR8 , output VAR12 , input [7 : 0] VAR1 , input VAR5 , output VAR16 , output VAR6 , output VAR9 , input VAR11 ); reg VAR3 ; reg VAR18 ; reg VAR10 ; reg [7 : 0] VAR4 ; reg VAR2 ; reg [7 : 0] VAR14 ; reg VAR7 ; reg VAR15 ; always@(posedge VAR19) begin if(VAR13) begin VAR3 <= 1'd0 ; end else if(VAR5 == 1'b0) begin VAR3 <= 1'd1 ; end else begin VAR3 <= 1'd0 ; end VAR18 <= VAR3; end always@(posedge VAR19) begin if(VAR13) begin VAR2 <= 1'd0 ; VAR14 <= 8'd0 ; end else if(VAR18 == 1'b1) begin VAR2 <= 1'd1 ; VAR14 <= VAR1 ; end else begin VAR2 <= 1'd0 ; end end always@(posedge VAR19) begin if(VAR13) begin VAR10 <= 1'd0 ; VAR4 <= 8'd0 ; end else if(VAR8==1'b0) begin if(VAR11==1'b1) begin VAR10 <= 1'b1 ; VAR4 <= 8'h55 ; end else begin VAR10 <= 1'b0 ; end end else begin VAR10 <= 1'b0 ; end end always@(posedge VAR19) begin if(VAR13) begin VAR7 <= 1'd0; VAR15 <= 1'b0; end else if(VAR2) begin case(VAR14) 8'h00: begin VAR7 <= 1'b0; end 8'hff: begin VAR7 <= 1'b1; end 8'h02: begin VAR15 <= 1'b1; end endcase end else begin VAR15 <= 1'b0; end end assign VAR12 = VAR3; assign VAR20 = VAR10 ; assign VAR17 = VAR4; assign VAR16 = VAR7 ; assign VAR6 = VAR15; assign VAR9 = VAR2 & (&VAR14) & VAR6 & VAR16; endmodule
apache-2.0
olajep/oh
src/accelerator/hdl/axi_accelerator.v
15,002
module MODULE1( irq, VAR103, VAR115, VAR96, VAR105, VAR116, VAR98, VAR94, VAR100, VAR62, VAR59, VAR86, VAR35, VAR78, VAR25, VAR70, VAR37, VAR12, VAR109, VAR111, VAR30, VAR24, VAR99, VAR17, VAR76, VAR36, VAR44, VAR80, VAR81, VAR53, VAR58, VAR68, VAR22, VAR46, VAR88, VAR92, VAR79, VAR32, VAR74, VAR97, VAR107, VAR69, VAR93, VAR75, VAR4, VAR87, VAR15, VAR89, VAR108, VAR6, VAR34, VAR51, VAR102, VAR63, VAR57, VAR1, VAR20, VAR91, VAR82, VAR49, VAR95, VAR66, VAR54, VAR90, VAR42, VAR40, VAR9, VAR23, VAR60, VAR64, VAR61, VAR2, VAR50, VAR56, VAR52, VAR71, VAR33, VAR16, VAR110, VAR48, VAR112 ); parameter VAR7 = 32; parameter VAR118 = 2*VAR7+40; parameter VAR47 = 12'h810; parameter VAR73 = 12; parameter VAR72 = 6; input VAR97; input VAR107; output irq; input VAR69; output [VAR72-1:0] VAR103; output [31 : 0] VAR115; output [7 : 0] VAR96; output [2 : 0] VAR105; output [1 : 0] VAR116; output VAR98; output [3 : 0] VAR94; output [2 : 0] VAR100; output [3 : 0] VAR62; output VAR59; input VAR93; output [VAR72-1:0] VAR86; output [63 : 0] VAR35; output [7 : 0] VAR78; output VAR25; output VAR70; input VAR75; input [VAR72-1:0] VAR4; input [1 : 0] VAR87; input VAR15; output VAR37; output [VAR72-1:0] VAR12; output [31 : 0] VAR109; output [7 : 0] VAR111; output [2 : 0] VAR30; output [1 : 0] VAR24; output VAR99; output [3 : 0] VAR17; output [2 : 0] VAR76; output [3 : 0] VAR36; output VAR44; input VAR89; input [VAR72-1:0] VAR108; input [63 : 0] VAR6; input [1 : 0] VAR34; input VAR51; input VAR102; output VAR80; input VAR63; input [VAR73-1:0] VAR57; input [31:0] VAR1; input [1:0] VAR20; input [3:0] VAR91; input VAR82; input [7:0] VAR49; input [2:0] VAR95; input [3:0] VAR66; output VAR81; input [2:0] VAR54; input VAR90; input [VAR73-1:0] VAR42; input [31:0] VAR40; input [1:0] VAR9; input [3:0] VAR23; input VAR60; input [7:0] VAR64; input [2:0] VAR61; input [3:0] VAR2; input [2:0] VAR50; input VAR56; output VAR53; output [VAR73-1:0] VAR58; output [1:0] VAR68; output VAR22; input VAR52; output [VAR73-1:0] VAR46; output [31:0] VAR88; output VAR92; output [1:0] VAR79; output VAR32; input VAR71; input [VAR73-1:0] VAR33; input [31:0] VAR16; input VAR110; input [3:0] VAR48; input VAR112; output VAR74; wire VAR31; wire [VAR118-1:0] VAR18; wire VAR14; wire VAR65; wire [VAR118-1:0] VAR67; wire VAR114; wire VAR106; wire [VAR118-1:0] VAR84; wire VAR8; wire VAR77; wire [VAR118-1:0] VAR19; wire VAR55; wire VAR29; wire [VAR118-1:0] VAR13; wire VAR41; wire VAR39; wire [VAR118-1:0] VAR26; wire VAR113; VAR38 VAR38 (.clk (VAR107), .VAR85 (VAR97), .VAR106 (VAR106), .VAR84 (VAR84[VAR118-1:0]), .VAR31 (VAR31), .VAR18 (VAR18[VAR118-1:0]), .VAR114 (VAR114), .VAR113 (VAR113), .VAR55 (VAR55), .VAR29 (VAR29), .VAR13 (VAR13[VAR118-1:0]), .VAR8 (VAR8), .VAR14 (VAR14), .VAR65 (VAR65), .VAR67 (VAR67[VAR118-1:0]), .VAR39 (VAR39), .VAR26 (VAR26[VAR118-1:0]), .VAR77 (VAR77), .VAR19 (VAR19[VAR118-1:0]), .VAR41 (VAR41)); VAR11 #(.VAR73(VAR73)) VAR11 (.VAR101 (VAR107), .VAR117 (VAR39), .VAR43 (VAR26[VAR118-1:0]), .VAR10 (VAR77), .VAR83 (VAR19[VAR118-1:0]), .VAR45 (VAR41), .VAR81 (VAR81), .VAR53 (VAR53), .VAR58 (VAR58[VAR73-1:0]), .VAR68 (VAR68[1:0]), .VAR22 (VAR22), .VAR46 (VAR46[VAR73-1:0]), .VAR88 (VAR88[31:0]), .VAR92 (VAR92), .VAR79 (VAR79[1:0]), .VAR32 (VAR32), .VAR74 (VAR74), .VAR27 (VAR113), .VAR104 (VAR55), .VAR3 (VAR29), .VAR5 (VAR13[VAR118-1:0]), .VAR63 (VAR63), .VAR57 (VAR57[VAR73-1:0]), .VAR1 (VAR1[31:0]), .VAR20 (VAR20[1:0]), .VAR91 (VAR91[3:0]), .VAR82 (VAR82), .VAR49 (VAR49[7:0]), .VAR95 (VAR95[2:0]), .VAR66 (VAR66[3:0]), .VAR54 (VAR54[2:0]), .VAR90 (VAR90), .VAR42 (VAR42[VAR73-1:0]), .VAR40 (VAR40[31:0]), .VAR9 (VAR9[1:0]), .VAR23 (VAR23[3:0]), .VAR60 (VAR60), .VAR64 (VAR64[7:0]), .VAR61 (VAR61[2:0]), .VAR2 (VAR2[3:0]), .VAR50 (VAR50[2:0]), .VAR56 (VAR56), .VAR52 (VAR52), .VAR71 (VAR71), .VAR33 (VAR33[VAR73-1:0]), .VAR16 (VAR16[31:0]), .VAR110 (VAR110), .VAR48 (VAR48[3:0]), .VAR112 (VAR112)); VAR28 #(.VAR72(VAR72)) VAR28 (.VAR21 (VAR107), .VAR27 (VAR8), .VAR104 (VAR14), .VAR3 (VAR65), .VAR5 (VAR67[VAR118-1:0]), .VAR103 (VAR103[VAR72-1:0]), .VAR115 (VAR115[31:0]), .VAR96 (VAR96[7:0]), .VAR105 (VAR105[2:0]), .VAR116 (VAR116[1:0]), .VAR98 (VAR98), .VAR94 (VAR94[3:0]), .VAR100 (VAR100[2:0]), .VAR62 (VAR62[3:0]), .VAR59 (VAR59), .VAR86 (VAR86[VAR72-1:0]), .VAR35 (VAR35[63:0]), .VAR78 (VAR78[7:0]), .VAR25 (VAR25), .VAR70 (VAR70), .VAR37 (VAR37), .VAR12 (VAR12[VAR72-1:0]), .VAR109 (VAR109[31:0]), .VAR111 (VAR111[7:0]), .VAR30 (VAR30[2:0]), .VAR24 (VAR24[1:0]), .VAR99 (VAR99), .VAR17 (VAR17[3:0]), .VAR76 (VAR76[2:0]), .VAR36 (VAR36[3:0]), .VAR44 (VAR44), .VAR80 (VAR80), .VAR117 (VAR106), .VAR43 (VAR84[VAR118-1:0]), .VAR10 (VAR31), .VAR83 (VAR18[VAR118-1:0]), .VAR45 (VAR114), .VAR69 (VAR69), .VAR93 (VAR93), .VAR75 (VAR75), .VAR4 (VAR4[VAR72-1:0]), .VAR87 (VAR87[1:0]), .VAR15 (VAR15), .VAR89 (VAR89), .VAR108 (VAR108[VAR72-1:0]), .VAR6 (VAR6[63:0]), .VAR34 (VAR34[1:0]), .VAR51 (VAR51), .VAR102 (VAR102)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and4b/sky130_fd_sc_hs__and4b.functional.pp.v
1,885
module MODULE1 ( VAR3, VAR15, VAR14 , VAR13 , VAR1 , VAR6 , VAR8 ); input VAR3; input VAR15; output VAR14 ; input VAR13 ; input VAR1 ; input VAR6 ; input VAR8 ; wire VAR8 VAR5 ; wire VAR7 ; wire VAR2; not VAR4 (VAR5 , VAR13 ); and VAR12 (VAR7 , VAR5, VAR1, VAR6, VAR8 ); VAR9 VAR10 (VAR2, VAR7, VAR3, VAR15); buf VAR11 (VAR14 , VAR2 ); endmodule
apache-2.0
praveendath92/securePUF
source/puf_files/back_up/pdl_puf.v
1,949
module MODULE1 (VAR20, VAR14, VAR12, VAR9, reset, VAR16); parameter VAR5 = 63; input [VAR5:0] VAR20, VAR14; input VAR12, VAR9, reset; output VAR16; wire [VAR5:0] VAR17,VAR15; wire VAR8; VAR18 VAR3 [VAR5:0] ( .VAR17({VAR12,VAR17[VAR5:1]}), .VAR15({VAR9,VAR15[VAR5:1]}), .VAR1(VAR20[VAR5:0]), .VAR2(VAR14[VAR5:0]), .o1(VAR17[VAR5:0]), .o2(VAR15[VAR5:0]) ); VAR19 VAR10 (.VAR23 (VAR8), .VAR7 (VAR15[0]), .VAR21 (reset), .VAR22 (VAR17[0])); VAR24 #( .VAR13(2'b10) ) VAR6 ( .VAR11(VAR16), .VAR4(VAR8) ); endmodule
gpl-2.0
SiLab-Bonn/basil
basil/firmware/modules/fei4_rx/fei4_rx.v
2,341
module MODULE1 #( parameter VAR36 = 32'h0000, parameter VAR5 = 32'h0000, parameter VAR13 = 10, parameter VAR26 = 0, parameter VAR34 = 16, parameter VAR7 = 0 ) ( input wire VAR14, input wire VAR20, input wire VAR9, input wire VAR27, output wire VAR15, output wire VAR8, output wire VAR22, input wire VAR3, input wire VAR6, output wire VAR17, output wire [31:0] VAR11, output wire VAR31, output wire VAR19, input wire VAR25, input wire VAR12, input wire [VAR34-1:0] VAR10, inout wire [7:0] VAR33, input wire VAR32, input wire VAR16 ); wire VAR30, VAR35; wire [VAR34-1:0] VAR1; wire [7:0] VAR18; wire [7:0] VAR4; VAR21 #( .VAR36(VAR36), .VAR5(VAR5), .VAR34(VAR34) ) VAR2 ( .VAR32(VAR32), .VAR16(VAR16), .VAR10(VAR10), .VAR33(VAR33), .VAR30(VAR30), .VAR35(VAR35), .VAR1(VAR1), .VAR18(VAR18), .VAR4(VAR4) ); wire VAR24; generate if (VAR7 == 0) assign VAR24 = VAR25; else assign VAR24 = VAR3; endgenerate VAR29 #( .VAR13(VAR13), .VAR26(VAR26), .VAR34(VAR34) ) VAR23 ( .VAR25(VAR25), .VAR12(VAR12), .VAR10(VAR1), .VAR37(VAR18), .VAR32(VAR30), .VAR16(VAR35), .VAR28(VAR4), .VAR14(VAR14), .VAR20(VAR20), .VAR9(VAR9), .VAR27(VAR27), .VAR15(VAR15), .VAR8(VAR8), .VAR22(VAR22), .VAR3(VAR24), .VAR6(VAR6), .VAR17(VAR17), .VAR11(VAR11), .VAR31(VAR31), .VAR19(VAR19) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o2111ai/sky130_fd_sc_ms__o2111ai_2.v
2,461
module MODULE2 ( VAR12 , VAR7 , VAR5 , VAR11 , VAR2 , VAR3 , VAR4, VAR8, VAR1 , VAR9 ); output VAR12 ; input VAR7 ; input VAR5 ; input VAR11 ; input VAR2 ; input VAR3 ; input VAR4; input VAR8; input VAR1 ; input VAR9 ; VAR6 VAR10 ( .VAR12(VAR12), .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1), .VAR9(VAR9) ); endmodule module MODULE2 ( VAR12 , VAR7, VAR5, VAR11, VAR2, VAR3 ); output VAR12 ; input VAR7; input VAR5; input VAR11; input VAR2; input VAR3; supply1 VAR4; supply0 VAR8; supply1 VAR1 ; supply0 VAR9 ; VAR6 VAR10 ( .VAR12(VAR12), .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR2(VAR2), .VAR3(VAR3) ); endmodule
apache-2.0
efabless/openlane
designs/jpeg_encoder/src/jpeg_rzs.v
6,397
module MODULE1(clk, VAR18, rst, VAR3, VAR11, VAR1, VAR12, VAR4, VAR10, VAR2, VAR5, VAR13, VAR14); input clk; input VAR18; input rst; input VAR3; input VAR11; input [ 3:0] VAR12; input [ 3:0] VAR1; input [11:0] VAR4; output VAR10; output VAR2; output [ 3:0] VAR13; output [ 3:0] VAR5; output [11:0] VAR14; reg VAR10, VAR2; reg [ 3:0] VAR13, VAR5; reg [11:0] VAR14; reg [ 3:0] VAR6; reg [ 3:0] VAR15; reg [11:0] VAR16; reg VAR9; reg VAR17; wire VAR8; wire VAR7; reg state; always @(posedge clk) if(VAR18 & VAR3) begin VAR6 <= VAR12; VAR15 <= VAR1; VAR16 <= VAR4; end always @(posedge clk) if(VAR18) begin VAR13 <= VAR6; VAR5 <= VAR15; VAR14 <= VAR16; VAR17 <= VAR11; VAR2 <= VAR17; end assign VAR7 = &VAR1 & ~|VAR12 & VAR3; assign VAR8 = ~|{VAR1, VAR12} & VAR3 & ~VAR11; always @(posedge clk or negedge rst) if (!rst) begin state <= 1'b0; VAR9 <= 1'b0; VAR10 <= 1'b0; end else if(VAR18) case (state) 1'b0: begin if (VAR7) begin state <= 1'b1; VAR9 <= 1'b0; VAR10 <= VAR9; end else begin state <= 1'b0; VAR9 <= VAR3; VAR10 <= VAR9; end end 1'b1: begin VAR10 <= 1'b0; if (VAR3) if (VAR7) begin state <= 1'b1; VAR9 <= 1'b0; VAR10 <= 1'b1; end else if (VAR8) begin state <= 1'b0; VAR9 <= 1'b1; VAR10 <= 1'b0; end else begin state <= 1'b0; VAR9 <= 1'b1; VAR10 <= 1'b1; end end endcase endmodule
apache-2.0
MegabytePhreak/Verilog-Perl
verilog/pli.v
1,083
module MODULE1; integer VAR2; VAR3 VAR2 = 0; integer VAR9; VAR3 VAR9 = 0; integer VAR12; VAR3 VAR12 = 1; always @ (VAR2 or VAR9) begin if (VAR2!=0 || VAR9!=0) begin VAR11 (0, "VAR7/VAR9 VAR8, VAR4!\VAR1"); end if (VAR2!=0) begin VAR11 (0, "VAR7 VAR8, VAR4!\VAR1"); end else if (VAR9!=0) begin VAR11 (0, {"VAR5 VAR8, ","VAR10 VAR6!\VAR1"}); end end endmodule
artistic-2.0
cafe-alpha/wasca
v12/fpga_firmware/wasca/synthesis/submodules/wasca_sd_card_spi.v
11,932
module MODULE1 ( VAR40, clk, VAR33, VAR46, VAR62, VAR37, VAR6, VAR36, VAR49, VAR47, VAR11, VAR29, VAR42, VAR24, irq, VAR26 ) ; output VAR49; output VAR47; output VAR11; output [ 15: 0] VAR29; output VAR42; output VAR24; output irq; output VAR26; input VAR40; input clk; input [ 15: 0] VAR33; input [ 2: 0] VAR46; input VAR62; input VAR37; input VAR6; input VAR36; wire VAR8; reg VAR41; reg VAR28; wire VAR49; reg VAR17; reg VAR45; wire VAR47; reg VAR12; reg VAR53; wire VAR11; wire VAR20; reg VAR60; wire VAR55; wire VAR54; reg VAR61; reg [ 15: 0] VAR29; reg VAR59; wire VAR42; wire VAR43; wire VAR48; wire VAR24; reg [ 15: 0] VAR39; wire VAR1; reg VAR7; reg VAR50; reg VAR27; reg VAR15; reg VAR9; reg VAR14; reg VAR35; wire irq; reg VAR56; wire VAR51; wire [ 15: 0] VAR18; wire VAR44; wire VAR31; wire [ 8: 0] VAR25; wire VAR63; reg VAR2; wire VAR26; reg [ 7: 0] VAR21; reg [ 7: 0] VAR19; wire VAR30; wire VAR52; reg [ 8: 0] VAR34; wire [ 10: 0] VAR32; reg [ 15: 0] VAR16; reg [ 15: 0] VAR4; wire [ 10: 0] VAR13; reg [ 4: 0] state; reg VAR3; wire VAR38; reg VAR57; reg VAR23; reg [ 7: 0] VAR22; reg VAR10; wire VAR5; wire VAR58; assign VAR31 = ~VAR2 & VAR6 & ~VAR62; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR2 <= 0; end else VAR2 <= VAR31; end assign VAR51 = VAR31 & (VAR46 == 0); always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR61 <= 0; end else VAR61 <= VAR51; end assign VAR63 = ~VAR10 & VAR6 & ~VAR36; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR10 <= 0; end else VAR10 <= VAR63; end assign VAR44 = VAR63 & (VAR46 == 1); always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR59 <= 0; end else VAR59 <= VAR44; end assign VAR54 = VAR10 & (VAR46 == 3); assign VAR38 = VAR10 & (VAR46 == 2); assign VAR30 = VAR10 & (VAR46 == 5); assign VAR1 = VAR10 & (VAR46 == 6); assign VAR20 = ~VAR57 & ~VAR23; assign VAR8 = VAR17 | VAR60; assign VAR13 = {VAR41, VAR8, VAR45, VAR55, VAR20, VAR60, VAR17, 3'b0}; assign VAR42 = VAR45; assign VAR26 = VAR55; assign VAR24 = VAR41; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) begin VAR7 <= 0; VAR50 <= 0; VAR15 <= 0; VAR35 <= 0; VAR9 <= 0; VAR14 <= 0; VAR27 <= 0; VAR53 <= 0; end else if (VAR54) begin VAR7 <= VAR33[9]; VAR50 <= VAR33[8]; VAR15 <= VAR33[7]; VAR35 <= VAR33[6]; VAR9 <= VAR33[5]; VAR14 <= VAR33[4]; VAR27 <= VAR33[3]; VAR53 <= VAR33[10]; end end assign VAR32 = {VAR53, VAR7, VAR50, VAR15, VAR35, 1'b0, VAR14, VAR27, 3'b0}; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR56 <= 0; end else VAR56 <= (VAR41 & VAR7) | ((VAR60 | VAR17) & VAR50) | (VAR45 & VAR15) | (VAR55 & VAR35) | (VAR60 & VAR14) | (VAR17 & VAR27); end assign irq = VAR56; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR4 <= 1; end else if (VAR5 || VAR54 & VAR33[10] & ~VAR53) VAR4 <= VAR16; end always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR16 <= 1; end else if (VAR30) VAR16 <= VAR33; end assign VAR52 = VAR34 == 9'h1C5; assign VAR25 = ({9 {(VAR57 && !VAR52)}} & (VAR34 + 1)) | ({9 {(~((VAR57 && !VAR52)))}} & 0); always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR34 <= 0; end else VAR34 <= VAR25; end always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR39 <= 0; end else if (VAR1) VAR39 <= VAR33; end assign VAR18 = ((VAR46 == 2))? VAR13 : ((VAR46 == 3))? VAR32 : ((VAR46 == 6))? VAR39 : ((VAR46 == 5))? VAR4 : VAR21; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) VAR29 <= 0; end else VAR29 <= VAR18; end always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) begin state <= 0; VAR3 <= 1; end else if (VAR57 & VAR52) begin VAR3 <= state == 17; if (state == 17) state <= 0; end else state <= state + 1; end end assign VAR48 = VAR57 & ~VAR3; assign VAR49 = VAR19[7]; assign VAR11 = (VAR48 | VAR53) ? ~VAR4 : {1 {1'b1} }; assign VAR47 = VAR12; assign VAR55 = ~(VAR57 & VAR23); assign VAR58 = VAR59 & VAR55; assign VAR5 = VAR23 & ~VAR57; always @(posedge clk or negedge VAR37) begin if (VAR37 == 0) begin VAR19 <= 0; VAR21 <= 0; VAR41 <= 0; VAR45 <= 0; VAR17 <= 0; VAR60 <= 0; VAR22 <= 0; VAR23 <= 0; VAR57 <= 0; VAR12 <= 0; VAR28 <= 0; end else begin if (VAR58) begin VAR22 <= VAR33; VAR23 <= 1; end if (VAR59 & ~VAR55) VAR60 <= 1; if ((VAR51 && (VAR21 == VAR39)) || (VAR44 && (VAR33[7 : 0] == VAR39))) VAR41 <= 1; if (VAR5) begin VAR19 <= VAR22; VAR57 <= 1; end if (VAR5 & ~VAR58) VAR23 <= 0; if (VAR61) VAR45 <= 0; if (VAR38) begin VAR41 <= 0; VAR45 <= 0; VAR17 <= 0; VAR60 <= 0; end if (VAR52) begin if (state == 17) begin VAR57 <= 0; VAR45 <= 1; VAR21 <= VAR19; VAR12 <= 0; if (VAR45) VAR17 <= 1; end else if (state != 0) if (VAR57) VAR12 <= ~VAR12; if (VAR12 ^ 0 ^ 0) begin if (1) VAR19 <= {VAR19[6 : 0], VAR28}; end else VAR28 <= VAR43; end end end assign VAR43 = VAR40; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nand3/gf180mcu_fd_sc_mcu9t5v0__nand3_1.behavioral.pp.v
1,333
module MODULE1( VAR2, VAR1, VAR5, VAR9, VAR3, VAR7 ); input VAR9, VAR5, VAR2; inout VAR3, VAR7; output VAR1; VAR8 VAR4(.VAR2(VAR2),.VAR1(VAR1),.VAR5(VAR5),.VAR9(VAR9),.VAR3(VAR3),.VAR7(VAR7)); VAR8 VAR6(.VAR2(VAR2),.VAR1(VAR1),.VAR5(VAR5),.VAR9(VAR9),.VAR3(VAR3),.VAR7(VAR7));
apache-2.0
KestrelComputer/kestrel
cores/SIA/rtl/verilog/sia.v
2,708
module MODULE1( input VAR68, input VAR54, input [3:1] VAR58, input VAR74, input VAR45, input VAR57, input [1:0] VAR65, input [VAR82:0] VAR76, output [VAR82:0] VAR66, output VAR78, output VAR19, output VAR4, input VAR52, input VAR2, output VAR24, output VAR30, output VAR49, output VAR33 ); parameter VAR67 = 20; parameter VAR38 = 16; parameter VAR77 = VAR67 - 1; parameter VAR82 = VAR38 - 1; wire [4:0] VAR23; wire VAR83, VAR69, VAR62; wire [2:0] VAR81; wire [VAR77:0] VAR14; wire VAR29, VAR21; wire VAR72, VAR1; wire [VAR82:0] VAR27; wire VAR75, VAR6; wire VAR70; wire [VAR82:0] VAR11; wire VAR34, VAR53, VAR9; assign VAR49 = VAR6; assign VAR33 = VAR34; wire VAR36 = VAR21 ? VAR24 : VAR52; wire VAR15 = VAR29 ? VAR30 : VAR2; wire VAR28, VAR63; assign VAR24 = VAR21 ? 1'b0 : VAR28; assign VAR30 = VAR29 ? VAR81[0] : VAR63; VAR10 VAR41 ( .VAR68(VAR68), .VAR54(VAR54), .VAR58(VAR58), .VAR74(VAR74), .VAR45(VAR45), .VAR57(VAR57), .VAR65(VAR65), .VAR76(VAR76), .VAR66(VAR66), .VAR78(VAR78), .VAR19(VAR19), .VAR4(VAR4), .VAR35(VAR23), .VAR48(VAR83), .VAR73(VAR69), .VAR61(VAR81), .VAR16(VAR62), .VAR18(), .VAR8(VAR14), .VAR51(VAR21), .VAR12(VAR29), .VAR22(VAR72), .VAR40(VAR1), .VAR56(VAR27), .VAR60(VAR75), .VAR43(VAR6), .VAR5(VAR70), .VAR42(VAR11), .VAR3(VAR34), .VAR37(VAR53), .VAR31(VAR9) ); VAR55 #( .VAR67(VAR67), .VAR38(VAR38) ) VAR79 ( .VAR68(VAR68), .VAR54(VAR54), .VAR64(VAR23), .VAR26(VAR14), .VAR50(VAR69), .VAR20(VAR83), .VAR7(VAR62), .VAR52(VAR36), .VAR2(VAR15), .VAR25(VAR72), .VAR39(VAR1), .VAR71(VAR27), .VAR32(VAR75), .VAR46(VAR6) ); VAR44 #( .VAR67(VAR67), .VAR38(VAR38) ) VAR17 ( .VAR68(VAR68), .VAR54(VAR54), .VAR76(VAR11), .VAR74(VAR70), .VAR64(VAR23), .VAR26(VAR14), .VAR59(VAR81), .VAR24(VAR28), .VAR30(VAR63), .VAR13(VAR34), .VAR47(VAR53), .VAR80(VAR9) ); endmodule
mpl-2.0
peteasa/parallella-fpga
AdaptevaLib/elink-gold/ewrapper_link_transmitter.v
7,768
module MODULE1( VAR15, VAR47, VAR49, reset, VAR35, VAR18, VAR24, VAR32, VAR53, VAR23, VAR42, VAR17, VAR43, VAR52, VAR55, VAR45 ); input reset; input VAR35; input VAR18; input VAR24; input VAR32; input [1:0] VAR53; input [3:0] VAR23; input [31:0] VAR42; input [31:0] VAR17; input [31:0] VAR43; input VAR52; input VAR55; input VAR45; output VAR15; output VAR47; output [71:0] VAR49; reg VAR4; wire [1:0] VAR33; wire [1:0] VAR38; wire VAR5; wire VAR3; wire [103:0] VAR30; wire [103:0] VAR40; wire [107:0] VAR12; wire [107:0] VAR20; wire VAR7; wire VAR31; wire VAR56; wire VAR29; wire VAR39; wire VAR21; wire VAR8; wire VAR14; wire VAR46; wire VAR41; wire VAR1; wire VAR44; wire VAR19; wire [1:0] VAR10; wire [3:0] VAR57; wire [31:0] VAR50; wire [31:0] VAR48; wire [31:0] VAR11; assign VAR33[1:0] = {VAR55,VAR52}; assign VAR5 = VAR38[0]; assign VAR3 = VAR38[1]; VAR51 #(.VAR9(2)) VAR51(.out (VAR38[1:0]), .in (VAR33[1:0]), .clk (VAR35), .reset (reset)); VAR16 VAR22( .VAR1 (VAR1), .VAR49 (VAR49[71:0]), .reset (reset), .VAR35 (VAR35), .VAR44 (VAR44), .VAR19 (VAR19), .VAR10 (VAR10[1:0]), .VAR57 (VAR57[3:0]), .VAR50 (VAR50[31:0]), .VAR48 (VAR48[31:0]), .VAR11 (VAR11[31:0]), .VAR45 (VAR45)); assign VAR8 = VAR24 & VAR32 & ~VAR15; assign VAR14 = VAR24 &~VAR32 & ~VAR47; assign VAR56 = ~(VAR41 | VAR5 | VAR1); assign VAR29 = ~(VAR46 | VAR3 | VAR1); always @ (posedge VAR35 or posedge reset) if(reset) VAR4 <= 1'b0; else VAR4 <= ~VAR4; assign VAR39 = VAR56 & ( VAR4 | ~VAR29); assign VAR21 = VAR29 & (~VAR4 | ~VAR56); assign VAR30[103:0] = {VAR17[31:0], VAR43[31:0], VAR42[31:0], VAR23[3:0], VAR53[1:0], VAR32, VAR24}; assign VAR40[103:0] = VAR39 ? VAR12[103:0] : VAR20[103:0]; assign VAR44 = VAR39 | VAR21; assign VAR19 = VAR40[1]; assign VAR10[1:0] = VAR40[3:2]; assign VAR57[3:0] = VAR40[7:4]; assign VAR50[31:0] = VAR40[39:8]; assign VAR11[31:0] = VAR40[71:40]; assign VAR48[31:0] = VAR40[103:72]; VAR26 #(.VAR9(104), .VAR13(2)) VAR37( .VAR34 (VAR12[103:0]), .VAR46 (VAR41), .VAR25 (VAR15), .reset (reset), .VAR6 (VAR18), .VAR27 (VAR35), .VAR2 (VAR8), .VAR54 (VAR30[103:0]), .VAR36 (VAR39)); VAR26 #(.VAR9(104), .VAR13(2)) VAR28( .VAR34 (VAR20[103:0]), .VAR46 (VAR46), .VAR25 (VAR47), .reset (reset), .VAR6 (VAR18), .VAR27 (VAR35), .VAR2 (VAR14), .VAR54 (VAR30[103:0]), .VAR36 (VAR21)); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21bai/sky130_fd_sc_lp__o21bai.pp.symbol.v
1,391
module MODULE1 ( input VAR2 , input VAR8 , input VAR3, output VAR1 , input VAR7 , input VAR4, input VAR6, input VAR5 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/fpu/rtl/fpu_div_ctl.v
50,877
module MODULE1 ( VAR24, VAR69, VAR315, VAR46, VAR85, VAR6, VAR4, VAR2, VAR155, VAR14, VAR194, VAR152, VAR113, VAR205, VAR103, VAR173, VAR141, VAR80, VAR26, VAR283, VAR86, VAR70, VAR352, VAR5, VAR192, VAR41, VAR67, VAR335, VAR200, VAR197, VAR223, VAR338, VAR343, VAR301, VAR90, VAR312, VAR133, VAR129, VAR120, VAR224, VAR64, VAR169, VAR344, VAR238, VAR304, VAR237, VAR305, VAR123, VAR49, VAR340, VAR244, VAR115, VAR306, VAR263, VAR112, VAR15, VAR61, VAR68, VAR285, VAR183, VAR297, VAR323, VAR332, VAR229, VAR54, VAR270, VAR264, VAR22, VAR286, VAR79, VAR262, VAR7, VAR140, VAR109, VAR30, VAR150, VAR88, VAR230, VAR260, VAR337, VAR201, VAR168, VAR209, VAR106, VAR178, VAR138, VAR107, VAR292, VAR235, VAR128, VAR288, VAR314, VAR289, VAR65, VAR234, VAR195, VAR33, VAR233, VAR9, VAR32, VAR231, VAR76, VAR118, VAR23, VAR322, VAR359, VAR196 ); parameter VAR151= 8'h4d, VAR210= 8'h4e; input VAR24; input VAR69; input VAR315; input VAR46; input VAR85; input VAR6; input VAR4; input VAR2; input VAR155; input VAR14; input VAR194; input VAR152; input VAR113; input VAR205; input [7:0] VAR103; input [12:0] VAR173; input VAR141; input [1:0] VAR80; input [4:0] VAR26; input VAR283; input VAR86; input VAR70; input [12:0] VAR352; input VAR5; input VAR192; input VAR41; input VAR67; input VAR335; input VAR200; input VAR197; input VAR223; input VAR338; input VAR343; input VAR301; input VAR90; input VAR312; input VAR133; output VAR129; output VAR120; output VAR224; output VAR64; output VAR169; output VAR344; output VAR238; output VAR304; output VAR237; output VAR305; output VAR123; output VAR49; output VAR340; output VAR244; output VAR115; output VAR306; output VAR263; output VAR112; output VAR15; output VAR61; output VAR68; output VAR285; output [9:0] VAR183; output VAR297; output [4:0] VAR323; output VAR332; output VAR229; output VAR54; output VAR270; output VAR264; output VAR22; output VAR286; output VAR79; output VAR262; output VAR7; output VAR140; output VAR109; output VAR30; output VAR150; output VAR88; output VAR230; output VAR260; output VAR337; output VAR201; output VAR168; output VAR209; output VAR106; output VAR178; output VAR138; output VAR107; output VAR292; output VAR235; output VAR128; output VAR288; output VAR314; output VAR289; output VAR65; output VAR234; output VAR195; output VAR33; output VAR233; output VAR9; output VAR32; output VAR231; output VAR76; output VAR118; output VAR23; input VAR322; input VAR359; output VAR196; wire reset; wire VAR75; wire VAR58; wire VAR166; wire VAR16; wire VAR159; wire VAR198; wire VAR175; wire VAR255; wire VAR122; wire VAR62; wire VAR157; wire VAR78; wire VAR81; wire VAR31; wire VAR254; wire VAR326; wire VAR282; wire VAR34; wire VAR259; wire VAR164; wire VAR43; wire VAR316; wire VAR57; wire VAR1; wire VAR158; wire VAR28; wire VAR120; wire VAR224; wire VAR64; wire VAR169; wire VAR313; wire VAR189; wire VAR239; wire VAR77; wire VAR100; wire VAR321; wire VAR272; wire VAR274; wire VAR310; wire VAR261; wire VAR325; wire VAR148; wire VAR101; wire VAR277; wire VAR161; wire VAR281; wire VAR219; wire VAR154; wire VAR52; wire VAR203; wire VAR172; wire VAR116; wire VAR177; wire VAR248; wire VAR48; wire VAR38; wire VAR241; wire VAR349; wire VAR222; wire VAR351; wire VAR17; wire VAR186; wire VAR328; wire VAR156; wire VAR102; wire VAR97; wire VAR358; wire VAR35; wire VAR291; wire VAR242; wire VAR170; wire VAR74; wire VAR51; wire VAR181; wire VAR334; wire VAR114; wire VAR307; wire VAR191; wire VAR217; wire VAR276; wire VAR188; wire VAR271; wire VAR344; wire VAR284; wire [7:0] VAR207; wire [7:0] VAR357; wire VAR250; wire VAR298; wire [4:0] VAR20; wire VAR238; wire [4:0] VAR18; wire VAR83; wire VAR110; wire VAR319; wire [2:0] VAR221; wire VAR95; wire [2:0] VAR273; wire VAR304; wire VAR124; wire VAR42; wire VAR251; wire [2:0] VAR171; wire VAR237; wire [2:0] VAR37; wire VAR305; wire VAR245; wire VAR89; wire VAR350; wire [2:0] VAR162; wire VAR123; wire VAR311; wire VAR49; wire VAR119; wire VAR340; wire VAR244; wire VAR243; wire [2:0] VAR63; wire [2:0] VAR354; wire VAR115; wire VAR306; wire VAR263; wire [2:0] VAR13; wire VAR112; wire VAR204; wire VAR15; wire VAR61; wire [2:0] VAR212; wire VAR60; wire VAR68; wire VAR285; wire VAR265; wire VAR21; wire [1:0] VAR240; wire [4:0] VAR218; wire VAR3; wire VAR176; wire VAR27; wire VAR146; wire [1:0] VAR268; wire [9:0] VAR183; wire [9:0] VAR59; wire VAR297; wire [5:0] VAR202; wire [5:0] VAR215; wire VAR226; wire [5:0] VAR185; wire VAR303; wire VAR135; wire VAR99; wire VAR208; wire VAR232; wire VAR137; wire VAR180; wire VAR36; wire VAR290; wire VAR211; wire VAR199; wire VAR294; wire VAR8; wire VAR84; wire VAR342; wire VAR39; wire VAR333; wire VAR247; wire VAR136; wire VAR55; wire VAR130; wire VAR143; wire VAR341; wire VAR93; wire [4:0] VAR323; wire VAR249; wire VAR332; wire VAR229; wire VAR54; wire VAR270; wire VAR264; wire VAR22; wire VAR286; wire VAR79; wire VAR262; wire VAR7; wire VAR140; wire VAR109; wire VAR30; wire VAR150; wire VAR88; wire VAR230; wire VAR275; wire VAR182; wire VAR309; wire VAR278; wire VAR225; wire VAR91; wire VAR214; wire VAR260; wire VAR337; wire VAR201; wire VAR168; wire VAR209; wire VAR106; wire VAR178; wire VAR138; wire VAR107; wire VAR149; wire VAR292; wire VAR287; wire VAR235; wire VAR128; wire VAR288; wire VAR314; wire VAR289; wire VAR65; wire VAR234; wire VAR300; wire VAR10; wire VAR195; wire VAR25; wire VAR33; wire VAR206; wire VAR216; wire VAR233; wire VAR9; wire VAR295; wire VAR32; wire VAR231; wire VAR76; wire VAR118; wire VAR23; wire VAR44; wire VAR129; VAR53 #(1) VAR45 ( .din (VAR312), .clk (VAR133), .VAR327(VAR90), .VAR121 (VAR73), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign reset= (!VAR73); VAR293 #(1) VAR56 ( .din (VAR24), .en (VAR344), .clk (VAR133), .VAR121 (VAR75), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR125 ( .din (VAR69), .en (VAR344), .clk (VAR133), .VAR121 (VAR58), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR187 ( .din (VAR315), .en (VAR344), .clk (VAR133), .VAR121 (VAR166), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR167 ( .din (VAR46), .en (VAR344), .clk (VAR133), .VAR121 (VAR16), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR252 ( .din (VAR85), .en (VAR344), .clk (VAR133), .VAR121 (VAR159), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR227 ( .din (VAR6), .en (VAR344), .clk (VAR133), .VAR121 (VAR198), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR98 ( .din (VAR4), .en (VAR344), .clk (VAR133), .VAR121 (VAR175), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR258 ( .din (VAR2), .en (VAR344), .clk (VAR133), .VAR121 (VAR255), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR19 ( .din (VAR155), .en (VAR344), .clk (VAR133), .VAR121 (VAR122), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR299 ( .din (VAR14), .en (VAR344), .clk (VAR133), .VAR121 (VAR62), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR117 ( .din (VAR194), .en (VAR344), .clk (VAR133), .VAR121 (VAR157), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR330 ( .din (VAR152), .en (VAR344), .clk (VAR133), .VAR121 (VAR78), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR236 ( .din (VAR113), .en (VAR344), .clk (VAR133), .VAR121 (VAR81), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR246 ( .din (VAR205), .en (VAR344), .clk (VAR133), .VAR121 (VAR31), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR254= VAR198 && VAR20[0]; assign VAR326= VAR198 && VAR18[0]; assign VAR282= VAR81 && VAR20[0]; assign VAR34= VAR81 && VAR18[0]; VAR163 #(1) VAR345 ( .din (VAR282), .clk (VAR133), .VAR121 (VAR259), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR228 ( .din (VAR34), .clk (VAR133), .VAR121 (VAR164), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR43= (!VAR198) && VAR20[0]; assign VAR316= (!VAR198) && VAR18[0]; assign VAR57= (!VAR81) && VAR20[0]; assign VAR1= (!VAR81) && VAR18[0]; VAR163 #(1) VAR153 ( .din (VAR57), .clk (VAR133), .VAR121 (VAR158), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR266 ( .din (VAR1), .clk (VAR133), .VAR121 (VAR28), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR120= (!VAR175) && (!VAR58) && VAR159 && VAR20[1]; assign VAR224= (!VAR175) && (!VAR75) && VAR16 && VAR18[1]; assign VAR64= (!VAR31) && (!VAR122) && VAR78 && VAR20[1]; assign VAR169= (!VAR31) && (!VAR255) && VAR157 && VAR18[1]; assign VAR313= (!VAR175) && VAR58 && VAR20[1]; assign VAR189= (!VAR175) && VAR75 && VAR18[1]; assign VAR239= (!VAR31) && VAR122 && VAR20[1]; assign VAR77= (!VAR31) && VAR255 && VAR18[1]; assign VAR100= VAR120 || VAR224; assign VAR321= VAR64 || VAR169; assign VAR272= VAR313 || VAR189; assign VAR274= VAR239 || VAR77; assign VAR310= (!VAR175) && (VAR58 || VAR159) && VAR20[2]; assign VAR261= (!VAR175) && (VAR75 || VAR16) && VAR18[2]; assign VAR325= (!VAR31) && (VAR122 || VAR78) && VAR20[2]; assign VAR148= (!VAR31) && (VAR255 || VAR157) && VAR18[2]; assign VAR101= VAR310 || VAR261; assign VAR277= VAR325 || VAR148; assign VAR161= VAR101 || VAR277; VAR163 #(1) VAR72 ( .din (VAR100), .clk (VAR133), .VAR121 (VAR281), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR96 ( .din (VAR321), .clk (VAR133), .VAR121 (VAR219), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR220 ( .din (VAR272), .clk (VAR133), .VAR121 (VAR154), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR87 ( .din (VAR274), .clk (VAR133), .VAR121 (VAR52), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR132 ( .din (VAR277), .clk (VAR133), .VAR121 (VAR203), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR317 ( .din (VAR161), .clk (VAR133), .VAR121 (VAR172), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR116= (!VAR175) && (!VAR58) && (!VAR159) && VAR20[2]; assign VAR177= (!VAR175) && (!VAR75) && (!VAR16) && VAR18[2]; assign VAR248= (!VAR31) && (!VAR122) && (!VAR78) && VAR20[2]; assign VAR48= (!VAR31) && (!VAR255) && (!VAR157) && VAR18[2]; assign VAR38= VAR116 || VAR177; assign VAR241= VAR248 || VAR48; assign VAR349= VAR38 || VAR241; assign VAR222= VAR38 && VAR241; VAR163 #(1) VAR193 ( .din (VAR38), .clk (VAR133), .VAR121 (VAR351), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR142 ( .din (VAR241), .clk (VAR133), .VAR121 (VAR17), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR40 ( .din (VAR222), .clk (VAR133), .VAR121 (VAR186), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR328= (!VAR175) && VAR20[3]; assign VAR156= (!VAR175) && VAR18[3]; assign VAR102= (!VAR31) && VAR20[3]; assign VAR97= (!VAR31) && VAR18[3]; assign VAR358= VAR328 || VAR156; assign VAR35= VAR102 || VAR97; assign VAR291= VAR358 || VAR35; VAR163 #(1) VAR126 ( .din (VAR358), .clk (VAR133), .VAR121 (VAR242), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR29 ( .din (VAR35), .clk (VAR133), .VAR121 (VAR170), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR66 ( .din (VAR291), .clk (VAR133), .VAR121 (VAR74), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR51= VAR198 && (!VAR166) && (!VAR58); assign VAR181= VAR81 && (!VAR62) && (!VAR122); assign VAR334= VAR51 || VAR181; assign VAR114= VAR51 && VAR181; VAR163 #(1) VAR111 ( .din (VAR51), .clk (VAR133), .VAR121 (VAR307), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR269 ( .din (VAR181), .clk (VAR133), .VAR121 (VAR191), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR94 ( .din (VAR334), .clk (VAR133), .VAR121 (VAR217), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR163 #(1) VAR324 ( .din (VAR114), .clk (VAR133), .VAR121 (VAR276), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR188= VAR298 || VAR304 || VAR99 || VAR137; assign VAR271= VAR298 || VAR304 || VAR208 || VAR180; assign VAR344= (!VAR188); assign VAR284= (!VAR271); assign VAR207[7:0]= ({8{VAR284}} & (VAR103[7:0] & {8{VAR70}})); VAR267 #(8) VAR329 ( .din (VAR207[7:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR357[7:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR250= VAR70 && VAR284; VAR267 #(1) VAR134 ( .din (VAR250), .rst (reset), .clk (VAR133), .VAR121 (VAR298), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(5) VAR355 ( .din ({5{VAR103[0]}}), .en (VAR284), .clk (VAR133), .VAR121 (VAR20[4:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR296 ( .din (VAR103[1]), .en (VAR284), .clk (VAR133), .VAR121 (VAR238), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(5) VAR346 ( .din ({5{VAR103[1]}}), .en (VAR284), .clk (VAR133), .VAR121 (VAR18[4:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR83= (VAR357[7:0]==VAR151) || (VAR357[7:0]==VAR210); assign VAR110= (VAR357[7:0]==VAR151); assign VAR319= (VAR357[7:0]==VAR210); assign VAR221[2:0]= {VAR83, VAR110, VAR319}; assign VAR95= VAR83 || VAR124 || VAR237; VAR267 #(3) VAR257 ( .din (VAR221[2:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR273[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR267 #(1) VAR179 ( .din (VAR95), .rst (reset), .clk (VAR133), .VAR121 (VAR304), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR124= VAR273[2]; assign VAR42= VAR273[1]; assign VAR251= VAR273[0]; VAR267 #(3) VAR184 ( .din (VAR273[2:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR171[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR237= VAR171[2]; VAR267 #(3) VAR47 ( .din (VAR171[2:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR37[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR305= VAR37[2]; assign VAR245= VAR37[1]; assign VAR89= VAR37[0]; assign VAR350= (!VAR119) || VAR243; VAR127 #(3) VAR213 ( .din (VAR37[2:0]), .en (VAR350), .rst (reset), .clk (VAR133), .VAR121 (VAR162[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR127 #(1) VAR280 ( .din (VAR305), .en (VAR350), .rst (reset), .clk (VAR133), .VAR121 (VAR123), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR311= ((VAR350 && VAR305) || ((!VAR350) && VAR119)) && (!reset); VAR163 #(1) VAR160 ( .din (VAR311), .clk (VAR133), .VAR121 (VAR49), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR119= VAR162[2]; assign VAR340= VAR162[1]; assign VAR244= VAR162[0]; assign VAR243= (VAR244 && (VAR185[5:0]==6'h36)) || (VAR340 && (VAR185[5:0]==6'h19)) || (VAR119 && ((({7'b0, VAR185[5:0]}==VAR173[12:0]) && (VAR173[12:0]!=13'b0)) || (({7'b0, VAR185[5:0]}==VAR173[12:0]) && (VAR173[12:0]==13'b0) && VAR21) || (VAR173[12] && VAR21))); assign VAR63[2:0]= ({3{VAR243}} & VAR162[2:0]); VAR267 #(3) VAR165 ( .din (VAR63[2:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR354[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR115= VAR354[2]; assign VAR306= VAR354[1]; assign VAR263= VAR354[0]; VAR267 #(3) VAR356 ( .din (VAR354[2:0]), .rst (reset), .clk (VAR133), .VAR121 (VAR13[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR112= VAR13[2]; assign VAR204= VAR13[1]; assign VAR15= VAR13[0]; assign VAR61= (VAR21 && (!reset) && VAR112) || ((!VAR21) && (!reset) && VAR60); VAR127 #(3) VAR279 ( .din (VAR13[2:0]), .en (VAR21), .rst (reset), .clk (VAR133), .VAR121 (VAR212[2:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR60= VAR212[2]; assign VAR68= VAR212[1]; assign VAR285= VAR212[0]; assign VAR265= VAR60 && (!VAR141); assign VAR21= (!VAR265); assign VAR44 = VAR83 || VAR124 || VAR237 || VAR305 | VAR119 || VAR115 || VAR112 || VAR60 ; VAR127 #(1) VAR108 ( .din (VAR44), .en (1'b1), .rst (reset), .clk (VAR133), .VAR121 (VAR129), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(2) VAR71 ( .din (VAR80[1:0]), .en (VAR284), .clk (VAR133), .VAR121 (VAR240[1:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(5) VAR50 ( .din (VAR26[4:0]), .en (VAR284), .clk (VAR133), .VAR121 (VAR218[4:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR105 ( .din (VAR283), .en (VAR284), .clk (VAR133), .VAR121 (VAR3), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR174 ( .din (VAR86), .en (VAR284), .clk (VAR133), .VAR121 (VAR176), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR27= ((VAR3 && (!VAR219) && (!(VAR52 && (!VAR281)))) ^ (VAR176 && (!(VAR281 && (!VAR219))) && (!(VAR154 && (!VAR203))))) && (!(VAR186 || VAR276)); assign VAR146= (VAR119 && (VAR185[5:0]==6'b0) && VAR21); VAR293 #(2) VAR131 ( .din (VAR240[1:0]), .en (VAR146), .clk (VAR133), .VAR121 (VAR268[1:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR183[9:0]= ({10{VAR146}} & {(VAR218[4:2]==3'o7), (VAR218[4:2]==3'o6), (VAR218[4:2]==3'o5), (VAR218[4:2]==3'o4), (VAR218[4:2]==3'o3), (VAR218[4:2]==3'o2), (VAR218[4:2]==3'o1), (VAR218[4:2]==3'o0), VAR218[1:0]}) | ({10{(!VAR146)}} & VAR59[9:0]); VAR163 #(10) VAR331 ( .din (VAR183[9:0]), .clk (VAR133), .VAR121 (VAR59[9:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR318 ( .din (VAR27), .en (VAR146), .clk (VAR133), .VAR121 (VAR297), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR202[5:0]= (VAR185[5:0] + 6'h01); assign VAR215[5:0]= ({6{(VAR119 && VAR21)}} & VAR202[5:0]) | ({6{VAR305}} & 6'b0); assign VAR226= (VAR119 && VAR21) || VAR305; VAR127 #(6) VAR92 ( .din (VAR215[5:0]), .en (VAR226), .rst (reset), .clk (VAR133), .VAR121 (VAR185[5:0]), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR303= (!VAR119) || VAR243 || VAR21; assign VAR135= VAR245 || (VAR340 && (!VAR243) && (VAR202[5:0]<6'h17)); VAR127 #(1) VAR336 ( .din (VAR135), .en (VAR303), .rst (reset), .clk (VAR133), .VAR121 (VAR99), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR127 #(1) VAR139 ( .din (VAR135), .en (VAR303), .rst (reset), .clk (VAR133), .VAR121 (VAR208), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR232= VAR89 || (VAR244 && (!VAR243) && (VAR202[5:0]<6'h34)); VAR127 #(1) VAR11 ( .din (VAR232), .en (VAR303), .rst (reset), .clk (VAR133), .VAR121 (VAR137), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR127 #(1) VAR320 ( .din (VAR232), .en (VAR303), .rst (reset), .clk (VAR133), .VAR121 (VAR180), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR36= VAR119 && (VAR185[5:0]==6'b0) && VAR21; assign VAR290= (!(VAR291 || VAR334)); VAR293 #(1) VAR82 ( .din (VAR290), .en (VAR36), .clk (VAR133), .VAR121 (VAR211), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR199= VAR100 || VAR321 || VAR222 || VAR114; VAR293 #(1) VAR256 ( .din (VAR199), .en (VAR36), .clk (VAR133), .VAR121 (VAR294), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR8= VAR181 && (!VAR51) && (!VAR358); VAR293 #(1) VAR190 ( .din (VAR8), .en (VAR36), .clk (VAR133), .VAR121 (VAR84), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR342= ((!VAR352[12]) && VAR15 && (VAR352[11] || (&VAR352[10:0])) && VAR211) || ((!VAR352[12]) && VAR204 && ((|VAR352[11:8]) || (&VAR352[7:0])) && VAR211); assign VAR39= ((!VAR352[12]) && VAR15 && (&VAR352[10:1]) && VAR214 && VAR211) || ((!VAR352[12]) && VAR204 && (&VAR352[7:1]) && VAR214 && VAR211); VAR293 #(1) VAR348 ( .din (VAR39), .en (VAR112), .clk (VAR133), .VAR121 (VAR333), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR145 ( .din (VAR342), .en (VAR112), .clk (VAR133), .VAR121 (VAR247), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR302 ( .din (VAR5), .en (VAR112), .clk (VAR133), .VAR121 (VAR136), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR55= VAR247 || (VAR333 && (!VAR136)); assign VAR130= ((!(|VAR352[11:0])) && (VAR192 || VAR225 || VAR91) && VAR211) || (VAR352[12] && VAR211); VAR293 #(1) VAR104 ( .din (VAR130), .en (VAR112), .clk (VAR133), .VAR121 (VAR143), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR341= VAR225 || VAR91; VAR293 #(1) VAR12 ( .din (VAR341), .en (VAR112), .clk (VAR133), .VAR121 (VAR93), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR323[4:0] = {VAR294, VAR55, VAR143, VAR84, (VAR93 || VAR55)}; assign VAR249= (VAR349 || VAR334) && (!VAR161); assign VAR332= VAR83 && VAR316 && (!VAR169) && ((!VAR77) || VAR224) && (!VAR249); assign VAR229= VAR83 && VAR326 && (!VAR169) && (!VAR77) && (!VAR249); assign VAR54= VAR83 && VAR43 && (!VAR64) && ((!VAR239) || VAR120) && (!VAR249); assign VAR270= VAR83 && VAR254 && (!VAR64) && (!VAR239) && (!VAR249); assign VAR264= (VAR124 && VAR28 && (!VAR74) && (!VAR217)) || (VAR83 && VAR169) || (VAR83 && VAR77 && (!VAR224)); assign VAR22= VAR124 && VAR164 && (!VAR74) && (!VAR217); assign VAR286= (VAR124 && VAR158 && (!VAR74) && (!VAR217)) || (VAR83 && VAR64) || (VAR83 && VAR239 && (!VAR120)); assign VAR79= VAR124 && VAR259 && (!VAR74) && (!VAR217); assign VAR262= (VAR124 && (VAR74 || VAR217)) || (VAR83 && ((VAR38 && (!VAR35)) || (VAR181 && (!VAR358) && (!VAR51)))); assign VAR7= VAR83 && (VAR222 || VAR114); assign VAR140= VAR83 && ((VAR241 && (!VAR358)) || (VAR51 && (!VAR35) && (!VAR181))); assign VAR109= VAR305 || VAR115; assign VAR30= (!VAR41) && (!VAR352[12]) && (VAR352[11:1]!=11'b0); assign VAR150= (!VAR30); assign VAR88= VAR119 && (!VAR173[12]) && VAR21; assign VAR230= VAR305 || (VAR119 && (!VAR173[12]) && VAR21) || VAR115; assign VAR275= (VAR263 && VAR200) || ((!VAR263) && VAR338); assign VAR182= (VAR263 && VAR335) || ((!VAR263) && VAR223); assign VAR309= (VAR263 && VAR67) || ((!VAR263) && VAR197) || VAR192; VAR293 #(1) VAR308 ( .din (VAR275), .en (VAR115), .clk (VAR133), .VAR121 (VAR278), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR353 ( .din (VAR182), .en (VAR115), .clk (VAR133), .VAR121 (VAR225), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); VAR293 #(1) VAR339 ( .din (VAR309), .en (VAR115), .clk (VAR133), .VAR121 (VAR91), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR214= ((VAR268[1:0]==2'b10) && (!VAR297) && (VAR225 || VAR91)) || ((VAR268[1:0]==2'b11) && VAR297 && (VAR225 || VAR91)) || ((VAR268[1:0]==2'b00) && ((VAR225 && VAR91) || (VAR225 && (!VAR91) && VAR278))); assign VAR260= (!VAR214); assign VAR337= (VAR268[1:0]==2'b01) || ((VAR268[1:0]==2'b10) && VAR297) || ((VAR268[1:0]==2'b11) && (!VAR297)); assign VAR201= (!VAR337); assign VAR168= VAR112 && (!VAR214) && (!VAR342); assign VAR209= VAR112 && VAR214 && (!VAR342); assign VAR106= VAR244 && (!VAR173[12]) && VAR21; assign VAR178= VAR340 && (!VAR173[12]) && VAR21; assign VAR138= VAR112 && VAR342; assign VAR107= VAR305 || VAR112 || VAR106 || VAR178; assign VAR149= ((VAR284 && VAR103[1]) || ((!VAR284) && VAR18[4])) && (!((VAR83 || VAR124 || VAR237) && (!reset))); VAR163 #(1) VAR253 ( .din (VAR149), .clk (VAR133), .VAR121 (VAR292), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR287= ((VAR284 && VAR103[0]) || ((!VAR284) && VAR20[4])) && (!((VAR83 || VAR124 || VAR237) && (!reset))); VAR163 #(1) VAR347 ( .din (VAR287), .clk (VAR133), .VAR121 (VAR235), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR128= VAR251; assign VAR288= VAR42; assign VAR314= VAR83 || (VAR124 && (!VAR74) && (!VAR217)) || VAR237 || VAR305; assign VAR289= VAR251 && VAR300; assign VAR65= VAR42 && VAR300; assign VAR234= VAR124 && VAR10; assign VAR300= VAR172 || VAR351 || VAR191; assign VAR10= (VAR17 && (!VAR242)) || (VAR307 && (!VAR170) && (!VAR191)); assign VAR195= VAR83 || VAR124 || VAR237 || VAR305; assign VAR25= VAR63[2] || VAR115; VAR267 #(1) VAR147 ( .din (VAR25), .rst (reset), .clk (VAR133), .VAR121 (VAR33), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR206= (!(VAR343 || (VAR173[11:0]==(({12{(!VAR340)}} & 12'h035) | ({12{VAR340}} & 12'h018))) || VAR301)); assign VAR216= VAR119 && VAR21; VAR293 #(1) VAR144 ( .din (VAR206), .en (VAR216), .clk (VAR133), .VAR121 (VAR233), .VAR322 (VAR322), .VAR359 (), .VAR196 () ); assign VAR9= VAR119 || VAR112; assign VAR295= VAR112 && VAR352[12]; assign VAR32= (!(VAR115 || (VAR119 && (VAR185[5:0]==6'b0) && VAR21))); assign VAR231= ((VAR112 && VAR214 && (!VAR342)) || (VAR119 && (VAR185[5:0]==6'b0) && VAR21) || VAR115) && (!VAR295); assign VAR76= VAR112 && VAR342; assign VAR118= VAR112 && (!VAR342) && (!VAR295); assign VAR23= (VAR119 && (VAR185[5:0]==6'b0) && VAR21) || VAR115 || VAR112; endmodule
gpl-2.0
Tao-J/nexys3MIPSSoC
Regs.v
1,379
module MODULE1( input clk, input rst, input [4:0] VAR7, input [4:0] VAR4, input [4:0] VAR1, input [31:0] VAR2, input VAR8, output [31:0] VAR3, output [31:0] VAR5 ); reg [31:0] register[1:31]; integer VAR6; assign VAR3 = (VAR7 == 0)?0:register[VAR7]; assign VAR5 = (VAR4 == 0)?0:register[VAR4]; always @(posedge clk or posedge rst) begin if (rst == 1)begin for (VAR6=1;VAR6<32;VAR6=VAR6+1) register[VAR6] <= 0; end else begin if ((VAR1 != 0)&&(VAR8 == 1)) register[VAR1] <= VAR2; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a32o/sky130_fd_sc_lp__a32o.blackbox.v
1,425
module MODULE1 ( VAR9 , VAR3, VAR6, VAR7, VAR8, VAR10 ); output VAR9 ; input VAR3; input VAR6; input VAR7; input VAR8; input VAR10; supply1 VAR1; supply0 VAR5; supply1 VAR2 ; supply0 VAR4 ; endmodule
apache-2.0
onchipuis/mriscv_vivado
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/ddr_axi_mig.v
67,514
module MODULE1 # ( parameter VAR398 = 1, parameter VAR175 = 3, parameter VAR93 = 1, parameter VAR373 = 10, parameter VAR163 = 1, parameter VAR156 = 1, parameter VAR40 = 1, parameter VAR207 = 4, parameter VAR157 = 4, parameter VAR383 = 8, parameter VAR356 = 2, parameter VAR128 = 16, parameter VAR106 = 2, parameter VAR172 = 1, parameter VAR68 = 8, parameter VAR204 = "VAR167", parameter VAR45 = 16, parameter VAR306 = "VAR167", parameter VAR147 = (VAR306 == "VAR167") ? VAR45 : VAR128, parameter VAR260 = "VAR378", parameter VAR221 = 4, parameter VAR127 = 1, parameter VAR46 = 1, parameter VAR111 = 13, parameter VAR118 = 27, parameter VAR43 = 1, parameter VAR189 = 1, parameter VAR227 = 1, parameter VAR311 = 0, parameter VAR364 = "1Gb", parameter VAR170 = "25E", parameter VAR301 = 16, parameter VAR276 = "0", parameter VAR413 = 0, parameter VAR347 = "8", parameter VAR171 = "VAR96", parameter VAR38 = 5, parameter VAR80 = "VAR261", parameter VAR182 = "50", parameter VAR95 = "1T" , parameter VAR407 = "VAR167", parameter VAR86 = 4999, parameter VAR47 = 6, parameter VAR252 = 1, parameter VAR1 = 0.0, parameter VAR346 = 2, parameter VAR305 = 4, parameter VAR338 = 64, parameter VAR26 = 8, parameter VAR275 = 1200, parameter VAR109 = 7, parameter VAR342 = 1, parameter VAR300 = 7500, parameter VAR367 = 45000, parameter VAR254 = 1000000, parameter VAR164 = 40000, parameter VAR183 = 15000, parameter VAR273 = 7800000, parameter VAR5 = 127500, parameter VAR34 = 12500, parameter VAR401 = 10000, parameter VAR316 = 7500, parameter VAR94 = 7500, parameter VAR161 = 128000000, parameter VAR379 = 64, parameter VAR414 = "VAR167", parameter VAR187 = "VAR226", parameter VAR381 = 4'b1111, parameter VAR220 = 4'b0000, parameter VAR21 = 4'b0000, parameter VAR194 = 4'b0000, parameter VAR278 = 4'b0000, parameter VAR35 = 4'b0101, parameter VAR10 = 4'b0000, parameter VAR263 = 4'b0000, parameter VAR304 = 4'b0000, parameter VAR405 = 4'b0000, parameter VAR274 = 48'hFFC3F7FFF3FE, parameter VAR24 = 48'h000000000000, parameter VAR345 = 48'h000000000000, parameter VAR424 = 144'h000000000000000000000000000000000003, parameter VAR104 = 192'h00000000001003301A01903203A034018036012011017015, parameter VAR78 = 36'h01301601B, parameter VAR408 = 12'h039, parameter VAR28 = 8'h00, parameter VAR290 = 96'h000000000000000000000038, parameter VAR137 = 96'h000000000000000000000035, parameter VAR11 = 120'h000000000000000000000000000037, parameter VAR394 = 12'h000, parameter VAR323 = 12'h014, parameter VAR122 = 12'h03B, parameter VAR277 = 144'h000000000000000000000000000000000200, parameter VAR92 = 96'h008004009007005001006003, parameter VAR197 = 96'h022028020024027025026021, parameter VAR228 = 96'h000000000000000000000000, parameter VAR69 = 96'h000000000000000000000000, parameter VAR100 = 96'h000000000000000000000000, parameter VAR365 = 96'h000000000000000000000000, parameter VAR9 = 96'h000000000000000000000000, parameter VAR426 = 96'h000000000000000000000000, parameter VAR19 = 96'h000000000000000000000000, parameter VAR148 = 96'h000000000000000000000000, parameter VAR49 = 96'h000000000000000000000000, parameter VAR331 = 96'h000000000000000000000000, parameter VAR353 = 96'h000000000000000000000000, parameter VAR242 = 96'h000000000000000000000000, parameter VAR264 = 96'h000000000000000000000000, parameter VAR31 = 96'h000000000000000000000000, parameter VAR75 = 96'h000000000000000000000000, parameter VAR351 = 96'h000000000000000000000000, parameter VAR81 = 108'h000000000000000000000029002, parameter VAR7 = 108'h000000000000000000000000000, parameter VAR246 = 8'b00000001, parameter VAR184 = 8'b00000000, parameter VAR126 = "VAR167", parameter VAR400 = "VAR167", parameter VAR186 = "VAR272", parameter VAR72 = "VAR42", parameter VAR326 = "VAR226", parameter VAR388 = "VAR167", parameter VAR288 = "VAR167", parameter VAR112 = "VAR83", parameter VAR206 = 16'h0000, parameter VAR99 = 12'h000, parameter VAR332 = 3'h0, parameter VAR283 = 100, parameter VAR149 = "VAR390", parameter VAR389 = "VAR130", parameter VAR196 = "VAR209", parameter VAR115 = "VAR226", parameter VAR352 = "VAR8", parameter VAR425 = "VAR135", parameter VAR195 = "VAR247", parameter VAR287 = 2, parameter VAR248 = 200.0, parameter VAR406 = "VAR190", parameter VAR27 = 3333, parameter VAR218 = 2, parameter VAR64 = "VAR190", parameter VAR169 = "VAR226", parameter VAR303 = 4, parameter VAR318 = "134217728", parameter VAR377 = 32, parameter VAR162 = 32, parameter VAR415 = 2, parameter VAR234 = 0, parameter VAR58 = "VAR340", parameter VAR282 = 20'h00000, parameter VAR372 = 20'h00000, parameter VAR198 = 32, parameter VAR116 = 32, parameter VAR85 = 32'h00000000, parameter VAR257 = 1, parameter VAR341 = 8, parameter VAR12 = "VAR167", parameter VAR309 = "VAR89" ) ( inout [VAR128-1:0] VAR344, inout [VAR106-1:0] VAR25, inout [VAR106-1:0] VAR50, output [VAR111-1:0] VAR409, output [VAR175-1:0] VAR255, output VAR210, output VAR214, output VAR230, output [VAR93-1:0] VAR70, output [VAR93-1:0] VAR284, output [VAR40-1:0] VAR286, output [(VAR163*VAR156)-1:0] VAR212, output [VAR356-1:0] VAR178, output [VAR46-1:0] VAR231, input VAR134, output VAR370, output VAR179, output VAR421, input VAR240, input VAR160, input VAR268, input VAR108, output VAR343, output VAR333, output VAR136, input [VAR303-1:0] VAR417, input [VAR377-1:0] VAR44, input [7:0] VAR36, input [2:0] VAR224, input [1:0] VAR259, input [0:0] VAR324, input [3:0] VAR39, input [2:0] VAR256, input [3:0] VAR66, input VAR113, output VAR396, input [VAR162-1:0] VAR270, input [(VAR162/8)-1:0] VAR133, input VAR146, input VAR165, output VAR145, input VAR124, output [VAR303-1:0] VAR328, output [1:0] VAR215, output VAR329, input [VAR303-1:0] VAR18, input [VAR377-1:0] VAR105, input [7:0] VAR308, input [2:0] VAR236, input [1:0] VAR350, input [0:0] VAR129, input [3:0] VAR62, input [2:0] VAR53, input [3:0] VAR416, input VAR404, output VAR337, input VAR205, output [VAR303-1:0] VAR114, output [VAR162-1:0] VAR13, output [1:0] VAR280, output VAR307, output VAR296, output VAR315, input [11:0] VAR142, input VAR119 ); function integer VAR73 (input integer VAR79); begin VAR79 = VAR79 - 1; for (VAR73=1; VAR79>1; VAR73=VAR73+1) VAR79 = VAR79 >> 1; end endfunction localparam VAR120 = VAR73(VAR221); localparam VAR418 = VAR73(VAR127); localparam VAR63 = (VAR204 == "VAR167")? 0 : (VAR45 <= 4)? 4 : (VAR45 <= 10)? 5 : (VAR45 <= 26)? 6 : (VAR45 <= 57)? 7 : (VAR45 <= 120)? 8 : (VAR45 <= 247)? 9 : 10; localparam VAR84 = 1; localparam VAR14 = ((VAR163 == 1) ? 0 : VAR418) + VAR175 + VAR111 + VAR373 + VAR84; localparam VAR423 = 2 * VAR218 * VAR147; localparam VAR295 = VAR423 / 8; localparam VAR138 = (VAR187 == "VAR226") ? "VAR8" : "VAR167"; localparam VAR366 = 10000000; localparam VAR281 = 5000; localparam VAR225 = 56; wire [VAR120-1:0] VAR235; wire clk; wire [1:0] VAR363; wire [1:0] VAR192; wire VAR110; wire VAR158; wire VAR250; wire VAR16; wire VAR251 ; wire VAR325 ; wire VAR159 ; wire VAR402; wire VAR211; wire VAR54; wire VAR392; wire VAR382; wire VAR56; wire VAR298; wire VAR294; wire VAR191; wire VAR153; wire rst; wire [(2*VAR218)-1:0] VAR297; wire [(2*VAR218)-1:0] VAR223; wire VAR143; wire VAR88; wire VAR334; wire VAR349; wire [VAR198-1:0] VAR279; wire VAR71; wire VAR258; wire [VAR116-1:0] VAR229; wire VAR2; wire VAR216; wire [1:0] VAR243; wire VAR97; wire VAR385; wire [VAR198-1:0] VAR359; wire VAR371; wire VAR313; wire [VAR116-1:0] VAR427; wire [1:0] VAR48; wire interrupt; wire VAR87; wire VAR30; wire VAR166; wire VAR20; wire VAR293; wire VAR362; wire [11:0] VAR37; wire VAR188; wire VAR399; wire VAR132; wire VAR265; wire VAR395; wire [VAR172-1:0] VAR302; wire VAR419; wire [VAR172:0] VAR76; wire VAR253; wire VAR41; wire [5:0] VAR237; wire [8:0] VAR348; wire [(6*VAR106*VAR127)-1:0] VAR330; wire [(5*VAR106*VAR127)-1:0] VAR412; wire [255:0] VAR387; wire [(6*VAR106*VAR127)-1:0] VAR339; wire [(6*VAR106*VAR127)-1:0] VAR299; wire [(6*VAR127)-1:0] VAR174; wire [255:0] VAR29; wire [99:0] VAR61; wire [(6*VAR106)-1:0] VAR327; wire [(3*VAR106)-1:0] VAR77; wire [255:0] VAR429; wire [255:0] VAR314; wire [255:0] VAR374; wire [255:0] VAR208; wire VAR125; wire VAR33; wire VAR117; wire VAR403; wire VAR376; wire VAR292; wire VAR131; wire VAR201; wire VAR312; wire [11:0] VAR203; wire [11:0] VAR217; wire VAR176; wire VAR144; wire [255:0] VAR335; wire [(VAR68*16)-1:0] VAR199; wire [VAR106-1:0] VAR4; wire [(2*VAR218*VAR128)-1:0] VAR369; wire VAR322; wire [1:0] VAR355; wire [1:0] VAR266; wire [1:0] VAR181; wire [(6*VAR106)-1:0] VAR202; wire [(3*VAR106)-1:0] VAR57; wire [5:0] VAR241; wire VAR15; wire VAR285; wire VAR60; wire VAR269; reg [63:0] VAR177; reg VAR397; wire [53:0] VAR262; wire [4:0] VAR271; wire [8:0] VAR141; wire [8:0] VAR320; wire [53:0] VAR354; wire [26:0] VAR384; wire [(6*VAR127)-1:0] VAR51; wire [(6*VAR127)-1:0] VAR3; wire [5:0] VAR357; wire [5:0] VAR219; wire [5:0] VAR386; wire [390:0] VAR150; wire [1023:0] VAR180; wire [119:0] VAR380; wire [(6*VAR106*VAR127)-1:0] VAR358; wire [(6*VAR106*VAR127)-1:0] VAR152; wire [(6*VAR106*VAR127)-1:0] VAR123; assign VAR370 = clk; assign VAR179 = rst; assign VAR87 = 1'b0; assign VAR30 = 1'b0; assign VAR362 = 1'b0; generate if (VAR196 == "VAR209") assign VAR110 = VAR166; else assign VAR110 = VAR362; endgenerate VAR103 # ( .VAR283 (VAR283), .VAR149 (VAR149), .VAR196 (VAR196), .VAR389 (VAR389), .VAR115 (VAR115), .VAR398 (VAR398), .VAR406 (VAR406) ) VAR67 ( .VAR192 (VAR192), .VAR158 (VAR158), .VAR363 (VAR363), .VAR20 (VAR20), .VAR293 (VAR293), .VAR362 (VAR110), .VAR119 (VAR119) ); VAR361 # ( .VAR389 (VAR389), .VAR64 (VAR64) ) VAR32 ( .VAR87 (VAR87), .VAR30 (VAR30), .VAR134 (VAR134), .VAR166 (VAR166) ); generate if (VAR138 == "VAR8") begin: VAR155 VAR310 # ( .VAR283 (VAR283), .VAR309 (VAR309), .VAR281 (VAR281), .VAR366 (VAR366) ) VAR232 ( .clk (clk), .VAR65 (VAR363[0]), .rst (rst), .VAR142 (VAR142), .VAR37 (VAR37) ); end else begin: VAR200 assign VAR37 = 'b0; end endgenerate VAR82 # ( .VAR283 (VAR283), .VAR218 (VAR218), .VAR86 (VAR86), .VAR389 (VAR389), .VAR47 (VAR47), .VAR252 (VAR252), .VAR1 (VAR1), .VAR346 (VAR346), .VAR305 (VAR305), .VAR338 (VAR338), .VAR26 (VAR26), .VAR275 (VAR275), .VAR109 (VAR109), .VAR342 (VAR342), .VAR398 (VAR398), .VAR27 (VAR27), .VAR22 (VAR425) ) VAR393 ( .VAR139 (rst), .clk (clk), .VAR250 (VAR250), .VAR16 (VAR16), .VAR325 (VAR325), .VAR251 (VAR251), .VAR402 (VAR402), .VAR211 (VAR211), .VAR54 (VAR54), .VAR56 (VAR56), .VAR298 (VAR298), .VAR375 (), .VAR222 (), .VAR213 (), .VAR317 (), .VAR52 (), .VAR153 (VAR153), .VAR421 (VAR421), .VAR191 (VAR191), .VAR392 (VAR392), .VAR382 (VAR382), .VAR166 (VAR166), .VAR119 (VAR158), .VAR192 (VAR192), .VAR294 (VAR294) ); VAR321 # ( .VAR283 (VAR283), .VAR95 (VAR95), .VAR276 (VAR276), .VAR147 (VAR147), .VAR175 (VAR175), .VAR120 (VAR120), .VAR347 (VAR347), .VAR171 (VAR171), .VAR93 (VAR93), .VAR373 (VAR373), .VAR352 (VAR352), .VAR163 (VAR163), .VAR156 (VAR156), .VAR40 (VAR40), .VAR45 (VAR45), .VAR207 (VAR207), .VAR356 (VAR356), .VAR157 (VAR157), .VAR128 (VAR128), .VAR172 (VAR172), .VAR106 (VAR106), .VAR425 (VAR425), .VAR68 (VAR68), .VAR204 (VAR204), .VAR63 (VAR63), .VAR306 (VAR306), .VAR14 (VAR14), .VAR248 (VAR248), .VAR413 (VAR413), .VAR221 (VAR221), .VAR326 (VAR326), .VAR218 (VAR218), .VAR112 (VAR112), .VAR80 (VAR80), .VAR126 (VAR126), .VAR400 (VAR400), .VAR186 (VAR186), .VAR72 (VAR72), .VAR149 (VAR149), .VAR407 (VAR407), .VAR182 (VAR182), .VAR38 (VAR38), .VAR27 (VAR27), .VAR300 (VAR300), .VAR367 (VAR367), .VAR254 (VAR254), .VAR164 (VAR164), .VAR183 (VAR183), .VAR273 (VAR273), .VAR5 (VAR5), .VAR34 (VAR34), .VAR401 (VAR401), .VAR316 (VAR316), .VAR94 (VAR94), .VAR161 (VAR161), .VAR379 (VAR379), .VAR388 (VAR388), .VAR138 (VAR138), .VAR288 (VAR288), .VAR12 (VAR12), .VAR195 (VAR195), .VAR418 (VAR418), .VAR127 (VAR127), .VAR46 (VAR46), .VAR111 (VAR111), .VAR118 (VAR118), .VAR423 (VAR423), .VAR295 (VAR295), .VAR414 (VAR414), .VAR381 (VAR381), .VAR220 (VAR220), .VAR21 (VAR21), .VAR194 (VAR194), .VAR278 (VAR278), .VAR35 (VAR35), .VAR10 (VAR10), .VAR263 (VAR263), .VAR304 (VAR304), .VAR405 (VAR405), .VAR274 (VAR274), .VAR24 (VAR24), .VAR345 (VAR345), .VAR424 (VAR424), .VAR104 (VAR104), .VAR78 (VAR78), .VAR408 (VAR408), .VAR28 (VAR28), .VAR290 (VAR290), .VAR137 (VAR137), .VAR11 (VAR11), .VAR394 (VAR394), .VAR323 (VAR323), .VAR122 (VAR122), .VAR277 (VAR277), .VAR92 (VAR92), .VAR197 (VAR197), .VAR228 (VAR228), .VAR69 (VAR69), .VAR100 (VAR100), .VAR365 (VAR365), .VAR9 (VAR9), .VAR426 (VAR426), .VAR19 (VAR19), .VAR148 (VAR148), .VAR49 (VAR49), .VAR331 (VAR331), .VAR353 (VAR353), .VAR242 (VAR242), .VAR264 (VAR264), .VAR31 (VAR31), .VAR75 (VAR75), .VAR351 (VAR351), .VAR81 (VAR81), .VAR7 (VAR7), .VAR206 (VAR206), .VAR99 (VAR99), .VAR332 (VAR332), .VAR368 ("VAR167"), .VAR245 ("VAR167"), .VAR98 ("VAR167"), .VAR410 ("VAR167"), .VAR246 (VAR246), .VAR184 (VAR184), .VAR260 (VAR260), .VAR287 (VAR287), .VAR303 (VAR303), .VAR377 (VAR377), .VAR162 (VAR162), .VAR234 (VAR234), .VAR58 (VAR58), .VAR282 (VAR282), .VAR372 (VAR372), .VAR198 (VAR198), .VAR116 (VAR116), .VAR85 (VAR85), .VAR257 (VAR257), .VAR341 (VAR341), .VAR43 (VAR43), .VAR189 (VAR189), .VAR227 (VAR227), .VAR411 (VAR311), .VAR225 (VAR225), .VAR244 ("VAR226"), .VAR173 ("VAR267") ) VAR151 ( .clk (clk), .VAR250 (VAR250), .VAR16 (VAR16), .VAR363 (VAR363), .VAR325 (VAR325), .VAR251 (VAR251), .VAR159 (VAR153), .VAR402 (VAR402), .VAR211 (VAR211), .VAR54 (VAR54), .VAR56 (VAR56), .VAR298 (VAR298), .VAR392 (VAR392), .VAR382 (VAR382), .rst (rst), .VAR191 (VAR191), .VAR294 (VAR294), .VAR319 (VAR344), .VAR154 (VAR25), .VAR238 (VAR50), .VAR74 (VAR409), .VAR420 (VAR255), .VAR6 (VAR214), .VAR168 (VAR284), .VAR107 (VAR70), .VAR336 (VAR286), .VAR102 (VAR212), .VAR55 (VAR178), .VAR23 (VAR231), .VAR185 (VAR210), .VAR121 (VAR143), .VAR428 (VAR88), .VAR59 (VAR230), .VAR235 (VAR235), .VAR289 (), .VAR223 (), .VAR37 (VAR37), .VAR360 (), .VAR391 (1'b0), .VAR422 (7'b0), .VAR249 (8'b0), .VAR90 (1'b0), .VAR132 (VAR132), .VAR188 (VAR188), .VAR265 (VAR265), .VAR399 (VAR399), .VAR302 (VAR302), .VAR395 (VAR395), .VAR419 (VAR419), .VAR291 (VAR291), .VAR76 (VAR76), .VAR253 (VAR253), .VAR41 (VAR41), .VAR233 (VAR233), .VAR140 (VAR140), .VAR239 (VAR239), .VAR330 (VAR330), .VAR412 (VAR412), .VAR387 (VAR387), .VAR339 (VAR339), .VAR299 (VAR299), .VAR174 (VAR174), .VAR29 (VAR29), .VAR61 (VAR61), .VAR327 (VAR327), .VAR77 (VAR77), .VAR4 (VAR4), .VAR369 (VAR369), .VAR322 (VAR322), .VAR355 (VAR355), .VAR266 (VAR266), .VAR181 (VAR181), .VAR202 (VAR202), .VAR57 (VAR57), .VAR241 (VAR241), .VAR15 (VAR15), .VAR285 (VAR285), .VAR60 (VAR60), .VAR269 (VAR269), .VAR429 (VAR429), .VAR314 (VAR314), .VAR374 (VAR374), .VAR237 (VAR237), .VAR348 (VAR348), .VAR193 (VAR358), .VAR17 (VAR152), .VAR101 (VAR123), .VAR125 (VAR125), .VAR33 (VAR33), .VAR117 (VAR117), .VAR217 (VAR217), .VAR403 (VAR403), .VAR376 (VAR376), .VAR292 (VAR292), .VAR203 (VAR203), .VAR51 (VAR51), .VAR3 (VAR3), .VAR357 (VAR357), .VAR219 (VAR219), .VAR386 (VAR386), .VAR131 (VAR131), .VAR201 (VAR201), .VAR312 (VAR312), .VAR335 (VAR335), .VAR199 (VAR199), .VAR176 (VAR176), .VAR144 (VAR144), .VAR208 (VAR208), .VAR240 (VAR240), .VAR160 (VAR160), .VAR343 (VAR343), .VAR268 (VAR268), .VAR333 (VAR333), .VAR108 (VAR108), .VAR136 (VAR136), .VAR417 (VAR417), .VAR44 (VAR44), .VAR36 (VAR36), .VAR224 (VAR224), .VAR259 (VAR259), .VAR324 (VAR324), .VAR39 (VAR39), .VAR256 (VAR256), .VAR66 (VAR66), .VAR113 (VAR113), .VAR396 (VAR396), .VAR270 (VAR270), .VAR133 (VAR133), .VAR146 (VAR146), .VAR165 (VAR165), .VAR145 (VAR145), .VAR328 (VAR328), .VAR215 (VAR215), .VAR329 (VAR329), .VAR124 (VAR124), .VAR18 (VAR18), .VAR105 (VAR105), .VAR308 (VAR308), .VAR236 (VAR236), .VAR350 (VAR350), .VAR129 (VAR129), .VAR62 (VAR62), .VAR53 (VAR53), .VAR416 (VAR416), .VAR404 (VAR404), .VAR337 (VAR337), .VAR114 (VAR114), .VAR13 (VAR13), .VAR280 (VAR280), .VAR307 (VAR307), .VAR296 (VAR296), .VAR205 (VAR205), .VAR334 (VAR334), .VAR349 (VAR349), .VAR279 (VAR279), .VAR71 (VAR71), .VAR258 (VAR258), .VAR229 (VAR229), .VAR2 (VAR2), .VAR216 (VAR216), .VAR243 (VAR243), .VAR97 (VAR97), .VAR385 (VAR385), .VAR359 (VAR359), .VAR371 (VAR371), .VAR313 (VAR313), .VAR427 (VAR427), .VAR48 (VAR48), .interrupt (interrupt), .VAR315 (VAR315), .VAR91 (VAR91) ); assign VAR188 = 1'b0; assign VAR399 = 1'b0; assign VAR132 = 1'b0; assign VAR265 = 1'b0; assign VAR395 = 1'b0; assign VAR302 = 'b0; assign VAR76 = 'd0; assign VAR419 = 1'b0; assign VAR253 = 1'b0; assign VAR41 = 1'b0; assign VAR233 = 'b0; assign VAR239 = 'b0; assign VAR140 = 'b0; assign VAR291 = 'b0; endmodule
mit
bluespec/Flute
builds/AWSteria_Core_Flute_RV64_Linux/Verilog_RTL_PLATFORM_AWSF1/mkD_MMU_Cache.v
85,395
module MODULE1(VAR443, VAR371, VAR68, VAR423, VAR26, VAR341, VAR428, VAR182, VAR434, VAR124, VAR282, VAR398, valid, addr, VAR205, VAR350, VAR109, VAR259, VAR335, VAR494, VAR135, VAR236, VAR37, VAR351, VAR405, VAR209, VAR265, VAR386, VAR140, VAR437, VAR110, VAR104, VAR233, VAR170, VAR291, VAR383, VAR264, VAR89, VAR476, VAR379, VAR221, VAR173, VAR191, VAR321, VAR56, VAR134, VAR78, VAR417, VAR400, VAR285, VAR18, VAR10, VAR357, VAR166, VAR186, VAR33, VAR296, VAR11, VAR76, VAR106, VAR426, VAR281, VAR446, VAR377, VAR382, VAR324, VAR473, VAR319); input VAR443; input VAR371; input [1 : 0] VAR68; input [2 : 0] VAR423; input [6 : 0] VAR26; input [63 : 0] VAR341; input [63 : 0] VAR428; input [1 : 0] VAR182; input VAR434; input VAR124; input [63 : 0] VAR282; input VAR398; output valid; output [63 : 0] addr; output [63 : 0] VAR205; output [63 : 0] VAR350; output VAR109; output [3 : 0] VAR259; input VAR335; input VAR494; output VAR135; input VAR236; output VAR37; input VAR351; output VAR405; input [127 : 0] VAR209; input VAR265; output VAR386; input VAR140; output [131 : 0] VAR437; output VAR110; input [127 : 0] VAR104; input VAR233; output VAR170; output [68 : 0] VAR291; output VAR383; input VAR264; output VAR89; output VAR476; output VAR379; input [578 : 0] VAR221; input VAR173; output VAR191; output VAR321; output VAR56; input [65 : 0] VAR134; input VAR78; output VAR417; output VAR400; output VAR285; output [578 : 0] VAR18; output VAR10; input VAR357; output VAR166; output VAR186; output VAR33; input VAR296; output [130 : 0] VAR11; output VAR76; input [64 : 0] VAR106; input VAR426; output VAR281; input VAR446; input [63 : 0] VAR377; input VAR382; output VAR324; output [63 : 0] VAR473; output VAR319; wire [578 : 0] VAR18; wire [131 : 0] VAR437; wire [130 : 0] VAR11; wire [68 : 0] VAR291; wire [63 : 0] addr, VAR473, VAR350, VAR205; wire [3 : 0] VAR259; wire VAR135, VAR37, VAR170, VAR386, VAR110, VAR89, VAR383, VAR379, VAR191, VAR56, VAR417, VAR285, VAR166, VAR10, VAR33, VAR76, VAR281, VAR319, VAR324, VAR405, VAR109, VAR476, VAR321, VAR400, VAR186, valid; reg [3 : 0] VAR472, VAR44; reg [1 : 0] VAR273; reg VAR396; wire [207 : 0] VAR102, VAR192; wire [63 : 0] VAR279, VAR165, VAR455, VAR372; wire [3 : 0] VAR492, VAR344; wire [1 : 0] VAR240, VAR346, VAR199; wire VAR15, VAR349, VAR278, VAR263, VAR127, VAR136, VAR243, VAR25, VAR491, VAR150, VAR69; reg VAR156; wire VAR101, VAR3; reg [3 : 0] VAR424; wire [3 : 0] VAR267; wire VAR313; reg [63 : 0] VAR301; wire [63 : 0] VAR402; wire VAR471; reg [63 : 0] VAR87; wire [63 : 0] VAR347; wire VAR84; reg [207 : 0] VAR21; wire [207 : 0] VAR242; wire VAR48; reg [1 : 0] VAR432; wire [1 : 0] VAR271; wire VAR309; reg [3 : 0] VAR120; wire [3 : 0] VAR475; wire VAR444; reg VAR391; wire VAR121, VAR197; reg [63 : 0] VAR207; wire [63 : 0] VAR299; wire VAR138; reg [3 : 0] VAR413; wire [3 : 0] VAR280; wire VAR36; reg [63 : 0] VAR162; wire [63 : 0] VAR368; wire VAR419; reg [63 : 0] VAR218; wire [63 : 0] VAR453; wire VAR172; reg VAR406; wire VAR204, VAR214; reg [207 : 0] VAR367; reg [63 : 0] VAR255, VAR95; wire [578 : 0] VAR489, VAR38; wire [129 : 0] VAR339; wire [68 : 0] VAR272; wire [65 : 0] VAR46; wire VAR190, VAR452, VAR469, VAR450, VAR449, VAR316, VAR133, VAR284, VAR441, VAR100, VAR175, VAR251, VAR252, VAR86, VAR71, VAR369, VAR229, VAR174, VAR193, VAR241, VAR485, VAR212, VAR119, VAR24, VAR451; wire VAR474, VAR438, VAR395, VAR122, VAR235, VAR34, VAR237; wire VAR149, VAR490, VAR83, VAR32, VAR430; wire [127 : 0] VAR463, VAR315; wire VAR164, VAR13, VAR115, VAR55, VAR117; wire [127 : 0] VAR49, VAR177; wire VAR93, VAR415, VAR381, VAR51, VAR228; wire [127 : 0] VAR118, VAR80; wire VAR431, VAR112, VAR322, VAR353, VAR231; wire [207 : 0] VAR366; wire [130 : 0] VAR66; wire [64 : 0] VAR345; wire [63 : 0] VAR262, VAR27, VAR260; wire VAR393, VAR448, VAR152, VAR42, VAR147, VAR422, VAR4, VAR373, VAR348, VAR334; wire [131 : 0] VAR39, VAR374; wire [127 : 0] VAR300, VAR61; wire [64 : 0] VAR387; wire [63 : 0] VAR294; wire VAR217, VAR171, VAR153, VAR394, VAR169, VAR238, VAR342, VAR114, VAR8, VAR222, VAR289, VAR108; wire [200 : 0] VAR332; wire [63 : 0] VAR59, VAR409, VAR74, VAR158; wire [26 : 0] VAR181; wire [15 : 0] VAR41; wire [1 : 0] VAR92, VAR257; wire VAR470, VAR29, VAR388, VAR157, VAR466; wire VAR397, VAR73, VAR85, VAR355, VAR254, VAR340, VAR72, VAR224, VAR338, VAR399, VAR139, VAR111, VAR88, VAR6, VAR97, VAR30, VAR23, VAR385, VAR75, VAR293, VAR183, VAR144, VAR63, VAR123, VAR481, VAR185, VAR436, VAR440, VAR35, VAR277, VAR337, VAR261, VAR323, VAR167, VAR365, VAR196, VAR479, VAR7, VAR459, VAR195, VAR482, VAR60, VAR442, VAR408, VAR311, VAR247, VAR213, VAR148, VAR20, VAR57, VAR329, VAR456, VAR19, VAR249, VAR317, VAR2, VAR358, VAR154, VAR333, VAR283, VAR160, VAR420; wire [207 : 0] VAR326, VAR131; wire [64 : 0] VAR208; wire [3 : 0] VAR244, VAR246, VAR274, VAR215, VAR219, VAR145; wire [1 : 0] VAR303; wire VAR47, VAR53, VAR232, VAR401, VAR356, VAR180, VAR288, VAR269, VAR418, VAR151, VAR336, VAR462, VAR234, VAR407, VAR206, VAR363, VAR305, VAR275; reg [31 : 0] VAR103; reg [31 : 0] VAR330; reg [31 : 0] VAR292; reg [31 : 0] VAR270; reg [31 : 0] VAR211; reg [31 : 0] VAR161; reg [31 : 0] VAR203; reg [31 : 0] VAR295; wire [63 : 0] VAR12; wire [3 : 0] VAR454, VAR464, VAR129, VAR314; wire VAR226, VAR493, VAR477, VAR96, VAR82, VAR184, VAR187, VAR9, VAR54, VAR202, VAR286, VAR457, VAR58, VAR98, VAR5; assign VAR436 = 1'd1 ; assign VAR154 = VAR398 ; assign valid = VAR391 ; assign addr = VAR21[202:139] ; assign VAR205 = VAR87 ; assign VAR350 = VAR301 ; assign VAR109 = VAR156 ; assign VAR259 = VAR424 ; assign VAR135 = VAR237 ; assign VAR385 = VAR237 ; assign VAR20 = VAR494 ; assign VAR37 = VAR83 ; assign VAR75 = VAR83 ; assign VAR57 = VAR236 ; assign VAR405 = 1'd1 ; assign VAR337 = 1'd1 ; assign VAR420 = VAR351 ; assign VAR386 = VAR8 ; assign VAR183 = VAR8 ; assign VAR456 = VAR265 ; assign VAR437 = VAR374 ; assign VAR110 = VAR222 ; assign VAR144 = VAR222 ; assign VAR19 = VAR140 ; assign VAR170 = VAR228 ; assign VAR293 = VAR228 ; assign VAR329 = VAR233 ; assign VAR291 = VAR272 ; assign VAR383 = VAR251 ; assign VAR89 = VAR175 ; assign VAR63 = VAR175 ; assign VAR249 = VAR264 ; assign VAR476 = VAR241 ; assign VAR379 = 1'd1 ; assign VAR191 = VAR252 ; assign VAR123 = VAR252 ; assign VAR317 = VAR173 ; assign VAR321 = VAR485 ; assign VAR56 = 1'd1 ; assign VAR417 = VAR86 ; assign VAR481 = VAR86 ; assign VAR2 = VAR78 ; assign VAR400 = VAR212 ; assign VAR285 = 1'd1 ; assign VAR18 = VAR38 ; assign VAR10 = VAR369 ; assign VAR166 = VAR71 ; assign VAR185 = VAR71 ; assign VAR358 = VAR357 ; assign VAR186 = VAR119 ; assign VAR33 = 1'd1 ; assign VAR11 = VAR66 ; assign VAR76 = VAR147 ; assign VAR440 = VAR147 ; assign VAR333 = VAR296 ; assign VAR281 = VAR422 ; assign VAR35 = VAR422 ; assign VAR283 = VAR426 ; assign VAR324 = 1'd1 ; assign VAR277 = 1'd1 ; assign VAR160 = VAR382 ; assign VAR473 = VAR218 ; assign VAR319 = 1'd1 ; VAR404 #(.VAR43(1'd1), .VAR201(3'd0)) VAR159(.VAR443(VAR443), .VAR371(VAR371), .VAR335(VAR193), .VAR221(VAR489), .VAR134(VAR46), .VAR130(VAR255), .VAR458(VAR95), .VAR16(VAR367), .VAR179(VAR133), .VAR390(VAR284), .VAR494(VAR190), .VAR236(VAR452), .VAR264(VAR469), .VAR173(VAR450), .VAR78(VAR449), .VAR357(VAR316), .VAR107(VAR339), .VAR297(VAR229), .VAR230(VAR24), .VAR370(VAR451), .VAR425(VAR174), .VAR135(VAR441), .VAR37(VAR100), .VAR291(VAR272), .VAR383(VAR251), .VAR89(VAR175), .VAR476(VAR241), .VAR379(), .VAR191(VAR252), .VAR321(VAR485), .VAR56(), .VAR417(VAR86), .VAR400(VAR212), .VAR285(), .VAR18(VAR38), .VAR10(VAR369), .VAR166(VAR71), .VAR186(VAR119), .VAR33()); VAR81 #(.VAR487(32'd1), .VAR287(1'd1)) VAR484(.VAR239(VAR371), .VAR443(VAR443), .VAR445(VAR395), .VAR276(VAR34), .VAR77(VAR438), .VAR132(VAR474), .VAR52(VAR122), .VAR312(VAR237), .VAR116(VAR235)); VAR421 #(.VAR287(1'd1)) VAR307(.VAR239(VAR371), .VAR443(VAR443), .VAR276(VAR32), .VAR77(VAR490), .VAR132(VAR149), .VAR312(VAR430), .VAR116(VAR83)); VAR81 #(.VAR487(32'd128), .VAR287(1'd1)) VAR460(.VAR239(VAR371), .VAR443(VAR443), .VAR445(VAR463), .VAR276(VAR55), .VAR77(VAR13), .VAR132(VAR164), .VAR52(VAR315), .VAR312(VAR117), .VAR116(VAR115)); VAR81 #(.VAR487(32'd128), .VAR287(1'd1)) VAR67(.VAR239(VAR371), .VAR443(VAR443), .VAR445(VAR49), .VAR276(VAR51), .VAR77(VAR415), .VAR132(VAR93), .VAR52(VAR177), .VAR312(VAR228), .VAR116(VAR381)); VAR81 #(.VAR487(32'd128), .VAR287(1'd1)) VAR327(.VAR239(VAR371), .VAR443(VAR443), .VAR445(VAR118), .VAR276(VAR353), .VAR77(VAR112), .VAR132(VAR431), .VAR52(VAR80), .VAR312(VAR231), .VAR116(VAR322)); VAR125 #(.VAR201(3'd0)) VAR79(.VAR443(VAR443), .VAR371(VAR371), .VAR106(VAR345), .VAR188(VAR366), .VAR248(VAR260), .VAR414(VAR152), .VAR105(VAR42), .VAR296(VAR393), .VAR426(VAR448), .VAR302(), .VAR392(), .VAR375(VAR334), .VAR194(VAR4), .VAR200(VAR262), .VAR245(VAR373), .VAR433(VAR27), .VAR64(VAR348), .VAR11(VAR66), .VAR76(VAR147), .VAR281(VAR422)); VAR22 #(.VAR201(3'd0)) VAR308(.VAR443(VAR443), .VAR371(VAR371), .VAR268(VAR300), .VAR378(VAR61), .VAR343(VAR387), .VAR328(VAR153), .VAR488(VAR394), .VAR483(VAR217), .VAR266(VAR171), .VAR435(VAR169), .VAR461(VAR238), .VAR416(VAR8), .VAR142(VAR374), .VAR45(VAR222), .VAR480(VAR342), .VAR168(VAR39), .VAR468(VAR114), .VAR376(VAR294), .VAR403(VAR289), .VAR141(VAR108)); VAR410 #(.VAR389(1'd1), .VAR201(3'd0)) VAR331(.VAR443(VAR443), .VAR371(VAR371), .VAR40(VAR41), .VAR304(VAR92), .VAR427(VAR59), .VAR354(VAR409), .VAR189(VAR181), .VAR359(VAR388), .VAR361(VAR257), .VAR465(VAR157), .VAR412(VAR74), .VAR146(VAR466), .VAR364(VAR158), .VAR384(VAR29), .VAR411(VAR470), .VAR216(VAR332), .VAR439(), .VAR223(), .VAR113()); assign VAR340 = VAR120 == 4'd0 && VAR432 == 2'd1 && VAR24 ; assign VAR479 = VAR340 && !VAR398 ; assign VAR72 = VAR493 && VAR120 == 4'd0 && VAR432 == 2'd2 ; assign VAR7 = VAR72 && !VAR398 ; assign VAR85 = VAR120 == 4'd1 ; assign VAR167 = VAR85 ; assign VAR355 = VAR174 && VAR120 == 4'd2 ; assign VAR365 = VAR355 ; assign VAR224 = VAR348 && VAR373 && VAR4 && VAR120 == 4'd3 ; assign VAR459 = VAR224 ; assign VAR338 = VAR114 && VAR120 == 4'd5 ; assign VAR195 = VAR338 ; assign VAR139 = VAR441 && VAR235 && VAR120 == 4'd0 && VAR432 == 2'd0 ; assign VAR60 = VAR139 ; assign VAR399 = VAR100 && VAR235 && VAR430 && VAR120 == 4'd4 ; assign VAR482 = VAR399 ; assign VAR97 = VAR289 && VAR24 && (VAR120 == 4'd5 || VAR120 == 4'd0 && VAR432 == 2'd0) ; assign VAR247 = VAR97 && !VAR60 && !VAR195 && !VAR398 ; assign VAR30 = VAR229 && VAR108 && VAR120 == 4'd6 ; assign VAR213 = VAR30 && !VAR398 ; assign VAR23 = VAR174 && (VAR451 || VAR108) && VAR120 == 4'd7 ; assign VAR148 = VAR23 ; assign VAR397 = VAR381 && VAR231 ; assign VAR261 = VAR397 ; assign VAR73 = VAR231 && VAR115 ; assign VAR323 = VAR73 && !VAR261 ; assign VAR88 = VAR322 && VAR120 == 4'd0 && VAR24 && VAR432 == 2'd0 ; assign VAR408 = VAR88 && !VAR247 && !VAR60 && !VAR398 ; assign VAR6 = VAR229 && VAR322 && VAR120 == 4'd8 ; assign VAR311 = VAR6 && !VAR398 ; assign VAR111 = VAR174 && (VAR451 || VAR322) && VAR120 == 4'd9 ; assign VAR442 = VAR111 ; assign VAR254 = VAR398 ; assign VAR196 = VAR398 ; assign VAR47 = VAR398 && VAR344 == 4'd0 && VAR24 ; assign VAR53 = VAR7 && VAR54 ; assign VAR232 = VAR7 && (VAR226 || VAR332[200:199] != 2'd1 && (VAR332[200:199] == 2'd2 || !VAR457 && VAR58 && VAR339[129:128] != 2'd0)) ; assign VAR401 = VAR365 && !VAR451 ; assign VAR356 = VAR195 && VAR39[131:130] != 2'd0 ; assign VAR288 = VAR7 && (VAR226 || VAR332[200:199] == 2'd2) ; assign VAR269 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR184 ; assign VAR418 = VAR7 && (VAR226 || VAR332[200:199] != 2'd1 && VAR5) ; assign VAR151 = VAR459 || VAR167 ; assign VAR336 = VAR7 && VAR9 && (VAR332[200:199] == 2'd1 || VAR82) ; assign VAR462 = VAR482 || VAR195 || VAR459 || VAR365 || VAR167 ; assign VAR234 = VAR442 || VAR408 ; assign VAR407 = VAR7 && (VAR226 || VAR332[200:199] == 2'd1 || VAR98) ; assign VAR363 = VAR213 && VAR339[129:128] == 2'd1 ; assign VAR305 = VAR195 && VAR39[131:130] == 2'd0 ; assign VAR275 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR332[130] ; assign VAR326 = { 5'd3, VAR207, 139'h555555555555555500C0000000000000000 } ; assign VAR131 = { 5'd11, VAR80, 75'h00C0000000000000000 } ; assign VAR180 = VAR226 || VAR332[200:199] == 2'd2 ; assign VAR244 = VAR226 ? VAR464 : VAR332[134:131] ; assign VAR246 = (VAR39[131:130] == 2'd1) ? VAR129 : VAR314 ; assign VAR303 = (VAR39[131:130] == 2'd0) ? 2'd1 : 2'd0 ; assign VAR274 = (VAR332[200:199] == 2'd1) ? 4'd5 : VAR454 ; assign VAR215 = (VAR339[129:128] == 2'd1) ? VAR413 : 4'd7 ; assign VAR219 = VAR451 ? 4'd6 : 4'd0 ; assign VAR145 = (VAR339[129:128] == 2'd2) ? 4'd0 : 4'd9 ; assign VAR206 = VAR226 || VAR332[200:199] != 2'd1 && VAR5 ; assign VAR208 = { 1'd1, VAR339[127:64] } ; assign VAR243 = VAR7 && VAR9 && (VAR332[200:199] == 2'd1 || VAR82) || VAR213 || VAR148 || VAR311 || VAR482 || VAR195 || VAR459 || VAR365 || VAR167 || VAR60 || VAR247 || VAR442 || VAR408 ; always@(VAR336 or VAR274 or VAR213 or VAR215 or VAR148 or VAR219 or VAR311 or VAR145 or VAR462 or VAR60 or VAR247 or VAR234) begin case (1'b1) VAR336: VAR44 = VAR274; VAR213: VAR44 = VAR215; VAR148: VAR44 = VAR219; VAR311: VAR44 = VAR145; VAR462: VAR44 = 4'd0; VAR60: VAR44 = 4'd4; VAR247: VAR44 = 4'd6; VAR234: VAR44 = 4'd8; default: VAR44 = 4'b1010 ; endcase end assign VAR344 = VAR243 ? VAR44 : VAR120 ; assign VAR136 = VAR418 || VAR365 && !VAR451 || VAR195 || VAR459 || VAR167 || VAR479 ; always@(VAR195 or VAR303 or VAR418 or VAR401 or VAR151 or VAR479) begin case (1'b1) VAR195: VAR273 = VAR303; VAR418 || VAR401 || VAR151: VAR273 = 2'd0; VAR479: VAR273 = 2'd2; default: VAR273 = 2'b10 ; endcase end assign VAR240 = VAR136 ? VAR273 : VAR432 ; assign VAR346 = (VAR344 != 4'd0 || !VAR24) ? 2'd1 : 2'd2 ; assign VAR199 = VAR398 ? VAR346 : VAR240 ; assign VAR102 = { VAR68, VAR423, VAR341, VAR428, VAR26, VAR182, VAR434, VAR124, VAR282 } ; assign VAR192 = VAR398 ? VAR102 : VAR21 ; assign VAR25 = VAR7 && (VAR226 || VAR332[200:199] == 2'd1 || VAR98) || VAR365 && !VAR451 || VAR195 && VAR39[131:130] != 2'd0 || VAR459 || VAR167 ; assign VAR491 = !VAR407 || VAR206 ; assign VAR150 = VAR25 ? VAR491 : VAR391 ; assign VAR69 = !VAR398 && VAR150 ; assign VAR15 = VAR232 || VAR365 && !VAR451 || VAR195 && VAR39[131:130] != 2'd0 || VAR459 ; always@(VAR459 or VAR334 or VAR232 or VAR180 or VAR401 or VAR356) begin case (1'b1) VAR459: VAR396 = VAR334; VAR232: VAR396 = VAR180; VAR401 || VAR356: VAR396 = 1'd1; default: VAR396 = 1'b0 ; endcase end assign VAR349 = VAR15 ? VAR396 : VAR156 ; assign VAR278 = VAR7 && (VAR226 || VAR332[200:199] == 2'd2) || VAR365 && !VAR451 || VAR195 && VAR39[131:130] != 2'd0 || VAR459 ; always@(VAR288 or VAR244 or VAR401 or VAR129 or VAR356 or VAR246 or VAR459) begin case (1'b1) VAR288: VAR472 = VAR244; VAR401: VAR472 = VAR129; VAR356: VAR472 = VAR246; VAR459: VAR472 = VAR129; default: VAR472 = 4'b1010 ; endcase end assign VAR492 = VAR278 ? VAR472 : VAR424 ; assign VAR127 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR184 || VAR459 ; assign VAR455 = VAR269 ? VAR339[127:64] : VAR262 ; assign VAR372 = VAR127 ? VAR455 : VAR87 ; assign VAR263 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR184 || VAR459 ; assign VAR279 = VAR269 ? VAR339[63:0] : VAR27 ; assign VAR165 = VAR263 ? VAR279 : VAR301 ; assign VAR101 = VAR349 ; assign VAR3 = 1'b1 ; assign VAR267 = VAR492 ; assign VAR313 = 1'b1 ; assign VAR402 = VAR165 ; assign VAR471 = 1'b1 ; assign VAR347 = VAR372 ; assign VAR84 = 1'b1 ; assign VAR242 = VAR192 ; assign VAR48 = 1'b1 ; assign VAR271 = VAR199 ; assign VAR309 = 1'b1 ; assign VAR475 = VAR344 ; assign VAR444 = 1'b1 ; assign VAR121 = VAR69 ; assign VAR197 = 1'b1 ; assign VAR299 = VAR294 ; assign VAR138 = VAR247 ; assign VAR280 = VAR120 ; assign VAR36 = VAR247 ; assign VAR368 = VAR377 ; assign VAR419 = VAR382 ; assign VAR453 = VAR382 ? 64'd0 : VAR21[138:75] ; assign VAR172 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR187 || VAR382 ; assign VAR204 = VAR446 ; assign VAR214 = VAR382 ; assign VAR193 = VAR122 ; assign VAR489 = VAR221 ; assign VAR46 = VAR134 ; always@(VAR47 or VAR102 or VAR479 or VAR21 or VAR408 or VAR80 or VAR247 or VAR294) begin case (1'b1) VAR47: VAR255 = VAR102[202:139]; VAR479: VAR255 = VAR21[202:139]; VAR408: VAR255 = VAR80[127:64]; VAR247: VAR255 = VAR294; default: VAR255 = 64'hAAAAAAAAAAAAAAAA ; endcase end always@(VAR53 or VAR332 or VAR213 or VAR207 or VAR311 or VAR80) begin case (1'b1) VAR53: VAR95 = VAR332[198:135]; VAR213: VAR95 = VAR207; VAR311: VAR95 = VAR80[127:64]; default: VAR95 = 64'hAAAAAAAAAAAAAAAA ; endcase end always@(VAR53 or VAR21 or VAR213 or VAR326 or VAR311 or VAR131) begin case (1'b1) VAR53: VAR367 = VAR21; VAR213: VAR367 = VAR326; VAR311: VAR367 = VAR131; default: VAR367 = 208'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ; endcase end assign VAR133 = VAR398 && VAR344 == 4'd0 && VAR24 || VAR479 || VAR408 || VAR247 ; assign VAR284 = VAR7 && VAR54 || VAR213 || VAR311 ; assign VAR190 = VAR139 ; assign VAR452 = VAR399 ; assign VAR469 = VAR264 ; assign VAR450 = VAR173 ; assign VAR449 = VAR78 ; assign VAR316 = VAR357 ; assign VAR395 = VAR335 ; assign VAR34 = VAR494 ; assign VAR438 = VAR399 ; assign VAR474 = 1'b0 ; assign VAR32 = VAR399 ; assign VAR490 = VAR236 ; assign VAR149 = 1'b0 ; assign VAR463 = { VAR332[63:0], VAR332[129:66] } ; assign VAR55 = VAR275 ; assign VAR13 = VAR323 ; assign VAR164 = 1'b0 ; assign VAR49 = VAR104 ; assign VAR51 = VAR233 ; assign VAR415 = VAR397 ; assign VAR93 = 1'b0 ; assign VAR118 = VAR261 ? VAR177 : VAR315 ; assign VAR353 = VAR261 || VAR323 ; assign VAR112 = VAR311 && VAR339[129:128] == 2'd2 ; assign VAR431 = 1'b0 ; assign VAR345 = VAR106 ; assign VAR366 = VAR102 ; assign VAR260 = VAR332[198:135] ; assign VAR152 = VAR398 ; assign VAR42 = VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && (VAR457 || !VAR58) ; assign VAR393 = VAR296 ; assign VAR448 = VAR426 ; assign VAR300 = { VAR21[202:139], VAR21[63:0] } ; assign VAR61 = VAR209 ; assign VAR387 = VAR363 ? VAR208 : 65'h0AAAAAAAAAAAAAAAA ; assign VAR153 = VAR265 ; assign VAR394 = VAR140 ; assign VAR217 = VAR7 && VAR9 && VAR332[200:199] == 2'd1 ; assign VAR171 = VAR338 ; assign VAR169 = VAR247 ; assign VAR238 = VAR213 && VAR339[129:128] == 2'd1 || VAR148 && !VAR451 ; assign VAR41 = VAR21[59:44] ; assign VAR92 = VAR305 ? VAR39[65:64] : VAR332[65:64] ; assign VAR59 = VAR305 ? VAR39[129:66] : VAR332[129:66] ; assign VAR409 = VAR305 ? VAR39[63:0] : VAR332[63:0] ; assign VAR181 = VAR21[177:151] ; assign VAR388 = VAR21[64] ; assign VAR257 = VAR21[67:66] ; assign VAR157 = VAR21[207:206] == 2'd0 || VAR21[207:206] == 2'd2 && VAR21[74:70] == 5'b00010 ; assign VAR74 = VAR21[63:0] ; assign VAR466 = VAR21[65] ; assign VAR158 = VAR21[202:139] ; assign VAR29 = VAR195 && VAR39[131:130] == 2'd0 || VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR332[130] ; assign VAR470 = VAR351 ; assign VAR454 = (!VAR457 && VAR58) ? ((VAR339[129:128] == 2'd0) ? 4'd2 : 4'd1) : 4'd3 ; assign VAR226 = VAR21[204:203] != 2'b0 && (VAR21[204:203] != 2'b01 || VAR21[139]) && (VAR21[204:203] != 2'b10 || VAR21[140:139] != 2'b0) && (VAR21[204:203] != 2'b11 || VAR21[141:139] != 3'b0) ; assign VAR493 = VAR226 || ((VAR332[200:199] == 2'd1) ? VAR342 : VAR332[200:199] == 2'd2 || VAR96) ; assign VAR477 = VAR218 != VAR21[138:75] ; assign VAR96 = (!VAR332[130] || VAR117) && (VAR457 || !VAR58 || VAR229) ; assign VAR82 = VAR332[200:199] != 2'd2 && (VAR339[129:128] == 2'd0 || VAR339[129:128] == 2'd2 || VAR457 || !VAR58) ; assign VAR184 = VAR332[200:199] != 2'd2 && !VAR457 && VAR58 && VAR339[129:128] != 2'd0 ; assign VAR187 = VAR332[200:199] != 2'd2 && VAR406 && VAR332[198:135] == VAR162 ; assign VAR9 = VAR21[204:203] == 2'b0 || VAR21[204:203] == 2'b01 && !VAR21[139] || VAR21[204:203] == 2'b10 && VAR21[140:139] == 2'b0 || VAR21[204:203] == 2'b11 && VAR21[141:139] == 3'b0 ; assign VAR54 = VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && !VAR457 && VAR58 ; assign VAR202 = VAR406 && VAR332[198:135] == VAR162 && VAR477 && VAR21[138:76] == 63'd0 ; assign VAR286 = VAR406 && VAR332[198:135] == VAR162 && VAR477 && VAR21[138:76] != 63'd0 ; assign VAR12 = { 1'd0, VAR21[138:76] } ; assign VAR457 = VAR332[198:135] < 64'h0000000080000000 ; assign VAR58 = VAR332[198:135] < 64'h0000000100000000 ; assign VAR98 = VAR332[200:199] == 2'd2 || VAR339[129:128] == 2'd0 || VAR339[129:128] == 2'd1 || VAR339[129:128] == 2'd2 || VAR457 || !VAR58 ; assign VAR5 = VAR332[200:199] == 2'd2 || !VAR457 && VAR58 && VAR339[129:128] == 2'd1 ; assign VAR464 = (VAR21[207:206] == 2'd0 || VAR21[207:206] == 2'd2 && VAR21[74:70] == 5'b00010) ? 4'd4 : 4'd6 ; assign VAR129 = (VAR21[207:206] == 2'd0 || VAR21[207:206] == 2'd2 && VAR21[74:70] == 5'b00010) ? 4'd5 : 4'd7 ; assign VAR314 = (VAR21[207:206] == 2'd0 || VAR21[207:206] == 2'd2 && VAR21[74:70] == 5'b00010) ? 4'd13 : 4'd15 ; always@(posedge VAR443) begin if (VAR371 == VAR478) begin VAR432 <= VAR28 2'd0; VAR120 <= VAR28 4'd0; VAR391 <= VAR28 1'd0; VAR162 <= VAR28 64'h0000000080001000; VAR218 <= VAR28 64'd0; VAR406 <= VAR28 1'd1; end else begin if (VAR309) VAR432 <= VAR28 VAR271; if (VAR444) VAR120 <= VAR28 VAR475; if (VAR197) VAR391 <= VAR28 VAR121; if (VAR419) VAR162 <= VAR28 VAR368; if (VAR172) VAR218 <= VAR28 VAR453; if (VAR214) VAR406 <= VAR28 VAR204; end if (VAR3) VAR156 <= VAR28 VAR101; if (VAR313) VAR424 <= VAR28 VAR267; if (VAR471) VAR301 <= VAR28 VAR402; if (VAR84) VAR87 <= VAR28 VAR347; if (VAR48) VAR21 <= VAR28 VAR242; if (VAR138) VAR207 <= VAR28 VAR299; if (VAR36) VAR413 <= VAR28 VAR280; end begin VAR156 = 1'h0; VAR424 = 4'hA; VAR301 = 64'hAAAAAAAAAAAAAAAA; VAR87 = 64'hAAAAAAAAAAAAAAAA; VAR21 = 208'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; VAR432 = 2'h2; VAR120 = 4'hA; VAR391 = 1'h0; VAR207 = 64'hAAAAAAAAAAAAAAAA; VAR413 = 4'hA; VAR162 = 64'hAAAAAAAAAAAAAAAA; VAR218 = 64'hAAAAAAAAAAAAAAAA; VAR406 = 1'h0; end always@(negedge VAR443) begin if (VAR371 != VAR478) if (VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR332[200:199] != 2'd0) if (VAR371 != VAR478) if (VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR332[200:199] != 2'd0) if (VAR371 != VAR478) if (VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR406 && VAR332[198:135] == VAR162 && VAR477) ("VAR198 VAR360 'VAR99': 0x%0h", VAR21[138:75]); if (VAR371 != VAR478) if (VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR202) if (VAR371 != VAR478) if (VAR7 && VAR9 && VAR332[200:199] != 2'd1 && VAR332[200:199] != 2'd2 && VAR286) if (VAR371 != VAR478) if (VAR382) begin VAR103 = VAR70; end VAR295 = VAR103 / 32'd10; if (VAR371 != VAR478) if (VAR382) VAR1("%0d: %VAR50.VAR298: VAR447 %0d, addr %0h", VAR295, VAR446, VAR377); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) begin VAR330 = VAR70; end VAR161 = VAR330 / 32'd10; if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) (" "); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) ("VAR143{"); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) ("VAR256", " VAR65 %3b", 3'b011); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) (" VAR155 %0h", VAR207); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) (" VAR225 %0d VAR318 %0d VAR227 %0d VAR467 %0h", 2'b11, 1'd0, 1'd0, 64'd0); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) ("}"); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) ("\VAR90"); if (VAR371 != VAR478) if (VAR213 && VAR339[129:128] == 2'd2) if (VAR371 != VAR478) if (VAR442 && !VAR451) begin VAR292 = VAR70; end VAR203 = VAR292 / 32'd10; if (VAR371 != VAR478) if (VAR442 && !VAR451) VAR1("%0d: %VAR50.VAR362: VAR306: VAR94 VAR159 VAR31 VAR210", VAR203); if (VAR371 != VAR478) if (VAR442 && !VAR451) VAR1(" VAR14 %0d VAR91 %0h", VAR80[127:64], VAR80[63:0]); if (VAR371 != VAR478) if (VAR442 && !VAR451) if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) begin VAR270 = VAR70; end VAR211 = VAR270 / 32'd10; if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) (" VAR250 VAR306: VAR432: "); if (VAR371 != VAR478) if (VAR398 && VAR240 == 2'd1) ("VAR126"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR240 != 2'd1) ("VAR325"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) ("; VAR137 VAR429", "\VAR90"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) (" "); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) ("VAR143{"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) ("VAR143{"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd0) ("VAR256"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd1) ("VAR178"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2 && VAR102[207:206] != 2'd0 && VAR102[207:206] != 2'd1) ("VAR62"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (" VAR65 %3b", VAR102[205:203]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) ("VAR62"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) (" VAR65 %3b", VAR102[205:203]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) (" "); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b00010) ("VAR253"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b00011) ("VAR320"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b0) ("VAR380"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b00001) ("VAR17"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b00100) ("VAR176"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b01100) ("VAR310"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b01000) ("VAR163"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b10000) ("VAR220"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b10100) ("VAR258"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] == 5'b11000) ("VAR486"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2 && VAR102[74:70] != 5'b00010 && VAR102[74:70] != 5'b00011 && VAR102[74:70] != 5'b0 && VAR102[74:70] != 5'b00001 && VAR102[74:70] != 5'b00100 && VAR102[74:70] != 5'b01100 && VAR102[74:70] != 5'b01000 && VAR102[74:70] != 5'b10000 && VAR102[74:70] != 5'b10100 && VAR102[74:70] != 5'b11000) ("VAR128"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] == 2'd2) (" VAR290 %2b", VAR102[69:68]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && VAR102[207:206] != 2'd2) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) (" VAR155 %0h", VAR102[202:139]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && (VAR102[207:206] == 2'd2 && VAR102[74:70] != 5'b00010 || VAR102[207:206] == 2'd1)) (" VAR352 %0h", VAR102[138:75]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0 && (VAR102[207:206] != 2'd2 || VAR102[74:70] == 5'b00010) && VAR102[207:206] != 2'd1) (""); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) (" VAR225 %0d VAR318 %0d VAR227 %0d VAR467 %0h", VAR102[67:66], VAR102[65], VAR102[64], VAR102[63:0]); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) ("}"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) ("\VAR90"); if (VAR371 != VAR478) if (VAR398 && VAR240 != 2'd0) end endmodule
apache-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/video_sys/submodules/video_sys_Video_In_Decoder.v
8,259
module MODULE1 ( clk, reset, VAR12, VAR10, VAR11, VAR38, VAR24, VAR40, VAR3, VAR30, VAR23, VAR41, VAR36, VAR19 ); parameter VAR33 = 7; parameter VAR4 = 15; parameter VAR2 = 17; parameter VAR39 = 1280; input clk; input reset; input VAR12; input [ 7: 0] VAR10; input VAR11; input VAR38; input VAR24; output VAR40; output reg VAR3; output [VAR4: 0] VAR30; output VAR23; output VAR41; output VAR36; output VAR19; wire VAR1; wire [VAR4: 0] VAR29; wire VAR14; wire VAR25; wire VAR17; wire [VAR2: 0] VAR32; wire [ 6: 0] VAR16; wire [ 6: 0] VAR9; wire VAR5; wire VAR27; reg VAR31; always @(posedge VAR1) begin if (reset) VAR3 <= 1'b0; end else if (VAR17 & VAR31 & VAR5) VAR3 <= 1'b1; end always @(posedge VAR1) begin if (reset) VAR31 <= 1'b0; end else if (VAR17 & VAR14) VAR31 <= 1'b1; end assign VAR40 = 1'b1; assign VAR30 = VAR32[VAR4: 0]; assign VAR23 = VAR32[(VAR2 - 1)]; assign VAR41 = VAR32[VAR2]; assign VAR36 = 1'b0; assign VAR19 = ~VAR27; assign VAR1 = VAR12; VAR37 VAR34 ( .clk (VAR1), .reset (reset), .VAR10 (VAR10), .ready (VAR17 & ~VAR5), .VAR21 (VAR29), .VAR18 (VAR14), .VAR20 (VAR25), .valid (VAR17) ); VAR26 VAR7 ( .VAR8 (VAR1), .VAR6 (VAR17 & VAR31 & ~VAR5), .VAR21 ({VAR25, VAR14, VAR29}), .VAR28 (clk), .VAR13 (VAR19 & VAR24), .VAR9 (VAR9), .VAR5 (VAR5), .VAR15 (VAR32), .VAR35 (VAR16), .VAR27 (VAR27) ); VAR7.VAR22 = (VAR2 + 1); endmodule
gpl-2.0
ShepardSiegel/ocpi
rtl/mkFrameGate4B.v
56,227
module MODULE1(VAR222, VAR40, VAR345, VAR325, VAR147, VAR173, VAR39, VAR252, VAR301, VAR56, VAR225, VAR271, VAR17, VAR163, VAR14, VAR226, VAR340, VAR342, VAR102, VAR251, VAR214, VAR145, VAR118, VAR135, VAR228, VAR352, VAR45, VAR331, VAR77, VAR297, VAR262, VAR138); parameter [31 : 0] VAR88 = 32'b0; parameter [0 : 0] VAR184 = 1'b0; input VAR222; input VAR40; input [2 : 0] VAR345; input VAR325; input [3 : 0] VAR147; input [31 : 0] VAR173; input [31 : 0] VAR39; output [1 : 0] VAR252; output [31 : 0] VAR301; output VAR56; output [1 : 0] VAR225; input [1 : 0] VAR271; input [2 : 0] VAR17; input VAR163; input VAR14; input [11 : 0] VAR226; input [31 : 0] VAR340; input [3 : 0] VAR342; input [7 : 0] VAR102; output VAR251; output VAR214; input VAR145; output [2 : 0] VAR118; output VAR135; output VAR228; output [11 : 0] VAR352; output [31 : 0] VAR45; output [3 : 0] VAR331; output [7 : 0] VAR77; input VAR297; output VAR262; input VAR138; wire [31 : 0] VAR301, VAR45; wire [11 : 0] VAR352; wire [7 : 0] VAR77; wire [3 : 0] VAR331; wire [2 : 0] VAR118; wire [1 : 0] VAR225, VAR252; wire VAR56, VAR228, VAR135, VAR262, VAR214, VAR251; wire [95 : 0] VAR127, VAR230; wire [71 : 0] VAR207; wire [60 : 0] VAR5, VAR65; wire [33 : 0] VAR99; wire [31 : 0] VAR134, VAR153, VAR155; wire [11 : 0] VAR306; wire [7 : 0] VAR125; wire [3 : 0] VAR70, VAR210; wire [2 : 0] VAR324, VAR237, VAR58; wire VAR206, VAR115, VAR326, VAR16, VAR208, VAR94, VAR133, VAR266, VAR273, VAR219, VAR291, VAR280, VAR136, VAR202, VAR217, VAR279, VAR72, VAR25, VAR203, VAR175, VAR244, VAR130, VAR199, VAR43, VAR129, VAR270, VAR302, VAR186, VAR52, VAR294, VAR141, VAR216, VAR317, VAR97, VAR26, VAR311, VAR10, VAR274, VAR189, VAR299, VAR296, VAR218, VAR259, VAR132, VAR265, VAR165, VAR249, VAR190, VAR357, VAR11, VAR30; reg [31 : 0] VAR221; wire [31 : 0] VAR235; wire VAR336; reg [31 : 0] VAR284; wire [31 : 0] VAR213; wire VAR229; reg [31 : 0] VAR360; wire [31 : 0] VAR33; wire VAR292; reg [31 : 0] VAR8; wire [31 : 0] VAR7; wire VAR34; reg VAR196; wire VAR53, VAR81; reg [31 : 0] VAR322; wire [31 : 0] VAR310; wire VAR79; reg [31 : 0] VAR227; wire [31 : 0] VAR308; wire VAR298; reg [2 : 0] VAR110; wire [2 : 0] VAR68; wire VAR74; reg [2 : 0] VAR140; wire [2 : 0] VAR123; wire VAR161; reg VAR95; wire VAR13, VAR295; reg VAR191; wire VAR149, VAR181; reg VAR282; wire VAR121, VAR137; reg VAR286; wire VAR267, VAR201; reg [2 : 0] VAR103; reg [2 : 0] VAR327; wire VAR50; reg [1 : 0] VAR54; wire [1 : 0] VAR264; wire VAR148; reg [1 : 0] VAR339; wire [1 : 0] VAR73; wire VAR164; reg [33 : 0] VAR238; reg [33 : 0] VAR183; wire VAR353; reg [33 : 0] VAR288; reg [33 : 0] VAR85; wire VAR67; reg VAR37; wire VAR9, VAR91; reg VAR21; wire VAR20, VAR337; reg [1 : 0] VAR204; wire [1 : 0] VAR61; wire VAR29; reg VAR277; wire VAR285, VAR355; reg [31 : 0] VAR198; wire [31 : 0] VAR307; wire VAR215; reg VAR69; wire VAR272, VAR80; reg VAR48; wire VAR143, VAR15; reg [31 : 0] VAR349; wire [31 : 0] VAR316; wire VAR328; reg VAR240; wire VAR31, VAR250; reg [1 : 0] VAR98; wire [1 : 0] VAR109; wire VAR101; reg [60 : 0] VAR18; reg [60 : 0] VAR104; wire VAR117; reg [60 : 0] VAR112; reg [60 : 0] VAR32; wire VAR47; reg VAR3; wire VAR169, VAR212; reg [7 : 0] VAR289; wire [7 : 0] VAR185; wire VAR303; reg [31 : 0] VAR192; wire [31 : 0] VAR290; wire VAR60; reg VAR234; wire VAR354, VAR131; reg [1 : 0] VAR23; wire [1 : 0] VAR256; wire VAR59; reg VAR283; wire VAR333, VAR178; reg [31 : 0] VAR42; wire [31 : 0] VAR205; wire VAR223; reg VAR258; wire VAR220, VAR287; reg [11 : 0] VAR176; wire [11 : 0] VAR100; wire VAR278; reg VAR209; wire VAR113, VAR142; reg [31 : 0] VAR341; wire [31 : 0] VAR263; wire VAR6; reg VAR335; wire VAR154, VAR305; reg [1 : 0] VAR358; wire [1 : 0] VAR122; wire VAR197; reg VAR195; wire VAR343, VAR28; reg [7 : 0] VAR257; wire [7 : 0] VAR168; wire VAR111; reg [31 : 0] VAR194; wire [31 : 0] VAR304; wire VAR87; reg VAR66; wire VAR236, VAR128; reg [11 : 0] VAR120; wire [11 : 0] VAR116; wire VAR139; wire [71 : 0] VAR193, VAR75; wire VAR247, VAR106, VAR239, VAR313; wire [60 : 0] VAR348, VAR347; wire VAR344, VAR166, VAR158, VAR314, VAR105; wire VAR319, VAR245, VAR276, VAR281, VAR27, VAR146, VAR320, VAR36, VAR4, VAR83, VAR46, VAR159, VAR156, VAR253, VAR152, VAR211, VAR300; reg [33 : 0] VAR157; wire [60 : 0] VAR323, VAR78; wire [33 : 0] VAR22, VAR162, VAR12, VAR170; wire [1 : 0] VAR35, VAR150, VAR144, VAR84; wire VAR232, VAR90, VAR359, VAR107, VAR114, VAR233, VAR41; reg [63 : 0] VAR248, VAR96, VAR55, VAR243; reg [31 : 0] VAR182; wire [31 : 0] VAR330, VAR241, VAR64, VAR177, VAR126, VAR24, VAR89, VAR346, VAR76, VAR260, VAR180; wire [15 : 0] VAR224; wire VAR57, VAR254; assign VAR252 = VAR238[33:32] ; assign VAR301 = VAR238[31:0] ; assign VAR56 = VAR54 > 2'd1 || VAR286 ; assign VAR225 = { 1'd1, VAR37 } ; assign VAR251 = !VAR218 || VAR296 ; assign VAR214 = !VAR258 && VAR209 ; assign VAR118 = VAR3 ? 3'd0 : VAR18[60:58] ; assign VAR135 = !VAR3 && VAR18[57] ; assign VAR228 = !VAR3 && VAR18[56] ; assign VAR352 = VAR3 ? 12'd0 : VAR18[55:44] ; assign VAR45 = VAR18[43:12] ; assign VAR331 = VAR18[11:8] ; assign VAR77 = VAR3 ? 8'd0 : VAR18[7:0] ; assign VAR262 = !VAR69 && VAR48 ; VAR1 #(.VAR38(32'd72), .VAR71(32'd3), .VAR312(32'd1), .VAR269(32'd1)) VAR51(.VAR293(VAR40), .VAR108(VAR222), .VAR44(VAR193), .VAR315(VAR313), .VAR119(VAR106), .VAR275(VAR247), .VAR19(VAR75), .VAR172(), .VAR49(VAR239)); VAR1 #(.VAR38(32'd61), .VAR71(32'd3), .VAR312(32'd1), .VAR269(32'd1)) VAR62(.VAR293(VAR40), .VAR108(VAR222), .VAR44(VAR348), .VAR315(VAR314), .VAR119(VAR166), .VAR275(VAR344), .VAR19(VAR347), .VAR172(VAR105), .VAR49(VAR158)); assign VAR281 = VAR239 && VAR130 && !VAR276 ; assign VAR146 = VAR130 && VAR281 && VAR140 == 3'd1 && VAR75[36:34] == 3'd1 ; assign VAR27 = VAR130 && VAR281 && VAR140 == 3'd0 && VAR75[36:34] == 3'd0 ; assign VAR320 = VAR130 && VAR281 && VAR140 == 3'd2 && VAR75[36:34] == 3'd3 ; assign VAR300 = VAR254 && VAR140 == 3'd2 ; assign VAR245 = VAR339 != 2'd2 && VAR239 && VAR244 && !VAR281 && !VAR276 ; assign VAR276 = VAR339 != 2'd2 && VAR191 && VAR95 ; assign VAR319 = VAR339 != 2'd2 && VAR239 && VAR175 && !VAR281 && !VAR276 ; assign VAR83 = ((VAR339 == 2'd0) ? VAR136 : VAR339 != 2'd1 || VAR136) && VAR136 && !(VAR339 != 2'd0) ; assign VAR4 = VAR339 != 2'd0 && !VAR136 ; assign VAR36 = ((VAR339 == 2'd1) ? VAR136 : VAR339 != 2'd2 || VAR136) && VAR339 != 2'd0 && VAR136 ; assign VAR156 = VAR98 != 2'd0 && !VAR3 ; assign VAR253 = ((VAR98 == 2'd0) ? VAR186 : VAR98 != 2'd1 || VAR186) && VAR186 && !VAR156 ; assign VAR159 = VAR156 && !VAR186 ; assign VAR46 = ((VAR98 == 2'd1) ? VAR186 : VAR98 != 2'd2 || VAR186) && VAR156 && VAR186 ; assign VAR152 = VAR105 && VAR209 && VAR335 && VAR65[60:58] == 3'd1 ; assign VAR211 = VAR152 || VAR300 ; assign VAR232 = VAR276 && VAR282 ; assign VAR90 = VAR281 && (VAR75[36:34] == 3'd0 && VAR140 != 3'd0 || VAR75[36:34] == 3'd1 && VAR140 != 3'd1 && VAR140 != 3'd3 || VAR75[36:34] == 3'd2 && VAR140 != 3'd2 || VAR75[36:34] == 3'd3 && VAR140 != 3'd3 && VAR140 != 3'd2 && VAR140 != 3'd1 || VAR75[36:34] == 3'd4 || VAR75[36:34] == 3'd5 || VAR75[36:34] == 3'd6 || VAR75[36:34] == 3'd7) ; assign VAR107 = VAR83 && VAR339 == 2'd0 ; assign VAR114 = VAR83 && VAR339 == 2'd1 ; assign VAR233 = VAR253 && VAR98 == 2'd0 ; assign VAR41 = VAR253 && VAR98 == 2'd1 ; assign VAR359 = VAR75[36:34] != 3'd4 && VAR75[36:34] != 3'd5 && VAR75[36:34] != 3'd6 ; assign VAR35 = VAR339 + 2'd1 ; assign VAR150 = VAR339 - 2'd1 ; assign VAR22 = (VAR339 == 2'd1) ? VAR157 : VAR288 ; always@(VAR276 or VAR12 or VAR319 or VAR170 or VAR245) begin case (1'b1) VAR276: VAR157 = VAR12; VAR319: VAR157 = VAR170; VAR245: VAR157 = 34'h1C0DE4201; default: VAR157 = 34'h2AAAAAAAA ; endcase end assign VAR162 = (VAR339 == 2'd2) ? VAR157 : 34'h0AAAAAAAA ; assign VAR12 = VAR282 ? 34'h3C0DE4202 : 34'h1C0DE4201 ; assign VAR170 = { 2'd1, VAR182 } ; assign VAR144 = VAR98 + 2'd1 ; assign VAR84 = VAR98 - 2'd1 ; assign VAR323 = (VAR98 == 2'd1) ? VAR347 : VAR112 ; assign VAR78 = (VAR98 == 2'd2) ? VAR347 : 61'h00000AAAAAAAAA00 ; assign VAR207 = { VAR345, VAR325, VAR147, VAR173, VAR39 } ; assign VAR203 = 1'd1 ; assign VAR99 = VAR157 ; assign VAR202 = VAR136 ; assign VAR237 = VAR75[36:34] ; assign VAR25 = VAR281 ; assign VAR217 = 1'b0 ; assign VAR279 = 1'b0 ; assign VAR133 = 1'd1 ; assign VAR266 = VAR320 || VAR146 || VAR27 ; assign VAR65 = { VAR17, VAR163, VAR14, VAR226, VAR340, VAR342, VAR102 } ; assign VAR259 = 1'd1 ; assign VAR141 = 1'd1 ; assign VAR216 = VAR140 == 3'd2 ; assign VAR317 = 1'd1 ; assign VAR97 = VAR145 ; assign VAR296 = VAR358 > 2'd1 ; assign VAR218 = VAR195 && VAR209 && VAR335 ; assign VAR5 = VAR347 ; assign VAR52 = VAR186 ; assign VAR199 = 1'd1 ; assign VAR43 = VAR140 == 3'd2 ; assign VAR129 = 1'd1 ; assign VAR270 = VAR138 ; assign VAR324 = VAR345 ; assign VAR208 = 1'd1 ; assign VAR206 = VAR325 ; assign VAR115 = 1'd1 ; assign VAR70 = VAR147 ; assign VAR16 = 1'd1 ; assign VAR134 = VAR173 ; assign VAR326 = 1'd1 ; assign VAR153 = VAR39 ; assign VAR94 = 1'd1 ; assign VAR58 = VAR17 ; assign VAR249 = 1'd1 ; assign VAR306 = VAR226 ; assign VAR132 = 1'd1 ; assign VAR155 = VAR340 ; assign VAR357 = 1'd1 ; assign VAR210 = VAR342 ; assign VAR165 = 1'd1 ; assign VAR125 = VAR102 ; assign VAR11 = 1'd1 ; assign VAR291 = VAR207[71:69] != 3'd0 ; assign VAR219 = VAR281 || VAR319 || VAR245 ; assign VAR273 = 1'b0 ; assign VAR136 = VAR276 || VAR319 || VAR245 ; assign VAR280 = VAR339 != 2'd0 ; assign VAR72 = 1'b0 ; assign VAR244 = VAR239 && VAR75[68] && VAR75[71:69] == 3'd1 ; assign VAR175 = VAR239 && VAR75[68] && VAR75[71:69] == 3'd2 ; assign VAR130 = VAR239 && !VAR75[68] && VAR75[71:69] == 3'd2 ; assign VAR299 = VAR152 ; assign VAR189 = VAR300 ; assign VAR274 = 1'b0 ; assign VAR10 = VAR152 ; assign VAR311 = VAR300 ; assign VAR26 = 1'b0 ; assign VAR186 = VAR300 && (VAR284[3:0] == 4'h0 || VAR284[3:0] == 4'h1 && !VAR196) ; assign VAR302 = VAR156 ; assign VAR294 = VAR297 ; assign VAR30 = VAR163 ; assign VAR265 = VAR14 ; assign VAR190 = 1'd1 ; assign VAR230 = { VAR341, VAR42, VAR194 } ; assign VAR127 = { VAR349, VAR198, VAR192 } ; assign VAR235 = VAR57 ? 32'd4 : VAR180 ; assign VAR336 = VAR300 ; assign VAR213 = VAR75[31:0] ; assign VAR229 = VAR245 && VAR75[63:32] == 32'h00000004 ; assign VAR33 = VAR75[31:0] ; assign VAR292 = VAR245 && VAR75[63:32] == 32'h00000008 ; assign VAR7 = VAR75[31:0] ; assign VAR34 = VAR245 && VAR75[63:32] == 32'h0000000C ; assign VAR53 = !VAR196 && VAR221 == VAR360 ; assign VAR81 = VAR300 && VAR57 ; assign VAR310 = 32'h0 ; assign VAR79 = 1'b0 ; assign VAR308 = 32'h0 ; assign VAR298 = 1'b0 ; assign VAR68 = VAR75[36:34] ; assign VAR74 = VAR281 ; assign VAR123 = VAR103 ; assign VAR161 = VAR276 && !VAR282 ; assign VAR13 = VAR266 ; assign VAR295 = 1'd1 ; assign VAR149 = !VAR276 ; assign VAR181 = VAR276 || VAR281 ; assign VAR121 = !VAR232 && VAR359 ; assign VAR137 = VAR276 && VAR282 || VAR90 ; assign VAR267 = 1'd0 ; assign VAR201 = VAR286 ; always@(VAR75) begin case (VAR75[36:34]) 3'd0: VAR327 = 3'd1; 3'd1: VAR327 = 3'd2; 3'd2: VAR327 = 3'd3; default: VAR327 = 3'd0; endcase end assign VAR50 = VAR281 && (VAR75[36:34] == 3'd0 && VAR140 == 3'd0 || VAR75[36:34] == 3'd1 && (VAR140 == 3'd1 || VAR140 == 3'd3) || VAR75[36:34] == 3'd2 && VAR140 == 3'd2 || VAR75[36:34] == 3'd3 && (VAR140 == 3'd3 || VAR140 == 3'd2 || VAR140 == 3'd1)) ; assign VAR264 = (VAR207[71:69] != 3'd0) ? VAR54 + 2'd1 : VAR54 - 2'd1 ; assign VAR148 = (VAR207[71:69] != 3'd0) != VAR219 ; assign VAR73 = VAR83 ? VAR35 : VAR150 ; assign VAR164 = VAR83 || VAR4 ; always@(VAR36 or VAR22 or VAR107 or VAR157 or VAR4 or VAR288) begin case (1'b1) VAR36: VAR183 = VAR22; VAR107: VAR183 = VAR157; VAR4: VAR183 = VAR288; default: VAR183 = 34'h2AAAAAAAA ; endcase end assign VAR353 = VAR36 || VAR83 && VAR339 == 2'd0 || VAR4 ; always@(VAR36 or VAR162 or VAR114 or VAR157 or VAR4) begin case (1'b1) VAR36: VAR85 = VAR162; VAR114: VAR85 = VAR157; VAR4: VAR85 = 34'h0AAAAAAAA; default: VAR85 = 34'h2AAAAAAAA ; endcase end assign VAR67 = VAR36 || VAR83 && VAR339 == 2'd1 || VAR4 ; assign VAR9 = 1'b0 ; assign VAR91 = 1'd1 ; assign VAR20 = 1'b0 ; assign VAR337 = 1'd1 ; assign VAR61 = (VAR204 == 2'd0) ? (VAR18[56] ? 2'd1 : 2'd2) : 2'd0 ; assign VAR29 = VAR156 && VAR18[60:58] == 3'd1 && (VAR204 == 2'd0 || (VAR204 == 2'd1 || VAR204 == 2'd2) && VAR18[57]) ; assign VAR285 = 1'b0 ; assign VAR355 = 1'b0 ; assign VAR307 = VAR198 + 32'd1 ; assign VAR215 = VAR156 && VAR18[60:58] == 3'd1 && VAR204 == 2'd2 && VAR18[57] ; assign VAR272 = 1'd0 ; assign VAR80 = VAR69 ; assign VAR143 = VAR140 == 3'd2 ; assign VAR15 = 1'd1 ; assign VAR316 = VAR349 + 32'd1 ; assign VAR328 = VAR156 && VAR18[60:58] == 3'd1 && VAR204 == 2'd1 && VAR18[57] ; assign VAR31 = VAR138 ; assign VAR250 = 1'd1 ; assign VAR109 = VAR253 ? VAR144 : VAR84 ; assign VAR101 = VAR253 || VAR159 ; always@(VAR46 or VAR323 or VAR233 or VAR347 or VAR159 or VAR112) begin case (1'b1) VAR46: VAR104 = VAR323; VAR233: VAR104 = VAR347; VAR159: VAR104 = VAR112; default: VAR104 = 61'h0AAAAAAAAAAAAAAA ; endcase end assign VAR117 = VAR46 || VAR253 && VAR98 == 2'd0 || VAR159 ; always@(VAR46 or VAR78 or VAR41 or VAR347 or VAR159) begin case (1'b1) VAR46: VAR32 = VAR78; VAR41: VAR32 = VAR347; VAR159: VAR32 = 61'h00000AAAAAAAAA00; default: VAR32 = 61'h0AAAAAAAAAAAAAAA ; endcase end assign VAR47 = VAR46 || VAR253 && VAR98 == 2'd1 || VAR159 ; assign VAR169 = VAR297 ; assign VAR212 = 1'd1 ; assign VAR185 = { VAR69, !VAR240, !VAR48, VAR277, VAR204 != 2'd0, VAR3, 1'd0, VAR234 } ; assign VAR303 = 1'd1 ; assign VAR290 = VAR192 + 32'd1 ; assign VAR60 = VAR48 && VAR240 && VAR3 ; assign VAR354 = 1'd1 ; assign VAR131 = VAR156 && VAR18[60:58] == 3'd1 ; assign VAR256 = (VAR23 == 2'd0) ? (VAR65[56] ? 2'd1 : 2'd2) : 2'd0 ; assign VAR59 = VAR152 && (VAR23 == 2'd0 || (VAR23 == 2'd1 || VAR23 == 2'd2) && VAR65[57]) ; assign VAR333 = 1'b0 ; assign VAR178 = 1'b0 ; assign VAR205 = VAR42 + 32'd1 ; assign VAR223 = VAR152 && VAR23 == 2'd2 && VAR65[57] ; assign VAR220 = 1'd0 ; assign VAR287 = VAR258 ; assign VAR100 = VAR120 ; assign VAR278 = VAR152 && VAR65[57] ; assign VAR113 = VAR140 == 3'd2 ; assign VAR142 = 1'd1 ; assign VAR263 = VAR341 + 32'd1 ; assign VAR6 = VAR152 && VAR23 == 2'd1 && VAR65[57] ; assign VAR154 = VAR145 ; assign VAR305 = 1'd1 ; assign VAR122 = VAR152 ? VAR358 + 2'd1 : VAR358 - 2'd1 ; assign VAR197 = VAR152 != VAR300 ; assign VAR343 = VAR211 ; assign VAR28 = VAR300 || VAR152 || VAR211 ; assign VAR168 = { VAR258, !VAR335, !VAR209, VAR283, VAR23 != 2'd0, !VAR218 || VAR296, 1'd0, VAR66 } ; assign VAR111 = 1'd1 ; assign VAR304 = VAR194 + 32'd1 ; assign VAR87 = VAR209 && VAR335 && (!VAR218 || VAR296) ; assign VAR236 = 1'd1 ; assign VAR128 = VAR152 ; assign VAR116 = VAR65[57] ? 12'd1 : VAR120 + 12'd1 ; assign VAR139 = VAR152 ; assign VAR193 = VAR207 ; assign VAR313 = VAR207[71:69] != 3'd0 ; assign VAR106 = VAR219 ; assign VAR247 = 1'b0 ; assign VAR348 = VAR65 ; assign VAR314 = VAR152 ; assign VAR166 = VAR300 ; assign VAR344 = 1'b0 ; assign VAR57 = !VAR196 && VAR221 == VAR360 || VAR196 && VAR221 == VAR8 ; assign VAR330 = { 31'd0, VAR184 } ; assign VAR241 = VAR184 ? { 16'd0, VAR224 } : 32'd0 ; assign VAR64 = VAR184 ? VAR230[95:64] : 32'd0 ; assign VAR177 = VAR184 ? VAR230[63:32] : 32'd0 ; assign VAR126 = VAR184 ? VAR230[31:0] : 32'd0 ; assign VAR24 = VAR184 ? VAR127[95:64] : 32'd0 ; assign VAR89 = VAR184 ? VAR127[63:32] : 32'd0 ; assign VAR346 = VAR184 ? VAR127[31:0] : 32'd0 ; assign VAR76 = VAR184 ? VAR322 : 32'd0 ; assign VAR260 = VAR184 ? VAR227 : 32'd0 ; assign VAR254 = VAR158 && (VAR284[3:0] != 4'h0 && (VAR284[3:0] != 4'h1 || VAR196) || VAR98 != 2'd2) ; assign VAR180 = VAR221 + 32'd4 ; assign VAR224 = { VAR257, VAR289 } ; always@(VAR75 or VAR330 or VAR284 or VAR360 or VAR8 or VAR241 or VAR64 or VAR177 or VAR126 or VAR24 or VAR89 or VAR346 or VAR76 or VAR260) begin case (VAR75[63:32]) 32'h0: VAR182 = VAR330; 32'h00000004: VAR182 = VAR284; 32'h00000008: VAR182 = VAR360; 32'h0000000C: VAR182 = VAR8; 32'h00000010: VAR182 = VAR241; 32'h00000014: VAR182 = VAR64; 32'h00000018: VAR182 = VAR177; 32'h0000001C: VAR182 = VAR126; 32'h00000020: VAR182 = VAR24; 32'h00000024: VAR182 = VAR89; 32'h00000028: VAR182 = VAR346; 32'h0000002C: VAR182 = VAR76; 32'h00000030: VAR182 = VAR260; default: VAR182 = 32'd0; endcase end always@(posedge VAR222) begin if (VAR40 == VAR351) begin VAR221 <= VAR124 32'd4; VAR284 <= VAR124 VAR88; VAR360 <= VAR124 32'd0; VAR8 <= VAR124 32'd0; VAR196 <= VAR124 1'd0; VAR322 <= VAR124 32'd0; VAR227 <= VAR124 32'd0; VAR110 <= VAR124 3'h2; VAR140 <= VAR124 3'd0; VAR95 <= VAR124 1'd0; VAR191 <= VAR124 1'd0; VAR282 <= VAR124 1'd0; VAR103 <= VAR124 3'd0; VAR54 <= VAR124 2'd0; VAR339 <= VAR124 2'd0; VAR238 <= VAR124 34'h0AAAAAAAA; VAR288 <= VAR124 34'h0AAAAAAAA; VAR37 <= VAR124 1'd0; VAR21 <= VAR124 1'd1; VAR204 <= VAR124 2'd0; VAR277 <= VAR124 1'd0; VAR198 <= VAR124 32'd0; VAR48 <= VAR124 1'd0; VAR349 <= VAR124 32'd0; VAR240 <= VAR124 1'd0; VAR98 <= VAR124 2'd0; VAR18 <= VAR124 61'h00000AAAAAAAAA00; VAR112 <= VAR124 61'h00000AAAAAAAAA00; VAR3 <= VAR124 1'd1; VAR192 <= VAR124 32'd0; VAR234 <= VAR124 1'd0; VAR23 <= VAR124 2'd0; VAR283 <= VAR124 1'd0; VAR42 <= VAR124 32'd0; VAR209 <= VAR124 1'd0; VAR341 <= VAR124 32'd0; VAR335 <= VAR124 1'd0; VAR358 <= VAR124 2'd0; VAR195 <= VAR124 1'd1; VAR194 <= VAR124 32'd0; VAR66 <= VAR124 1'd0; VAR120 <= VAR124 12'd1; end else begin if (VAR336) VAR221 <= VAR124 VAR235; if (VAR229) VAR284 <= VAR124 VAR213; if (VAR292) VAR360 <= VAR124 VAR33; if (VAR34) VAR8 <= VAR124 VAR7; if (VAR81) VAR196 <= VAR124 VAR53; if (VAR79) VAR322 <= VAR124 VAR310; if (VAR298) VAR227 <= VAR124 VAR308; if (VAR74) VAR110 <= VAR124 VAR68; if (VAR161) VAR140 <= VAR124 VAR123; if (VAR295) VAR95 <= VAR124 VAR13; if (VAR181) VAR191 <= VAR124 VAR149; if (VAR137) VAR282 <= VAR124 VAR121; if (VAR50) VAR103 <= VAR124 VAR327; if (VAR148) VAR54 <= VAR124 VAR264; if (VAR164) VAR339 <= VAR124 VAR73; if (VAR353) VAR238 <= VAR124 VAR183; if (VAR67) VAR288 <= VAR124 VAR85; if (VAR91) VAR37 <= VAR124 VAR9; if (VAR337) VAR21 <= VAR124 VAR20; if (VAR29) VAR204 <= VAR124 VAR61; if (VAR355) VAR277 <= VAR124 VAR285; if (VAR215) VAR198 <= VAR124 VAR307; if (VAR15) VAR48 <= VAR124 VAR143; if (VAR328) VAR349 <= VAR124 VAR316; if (VAR250) VAR240 <= VAR124 VAR31; if (VAR101) VAR98 <= VAR124 VAR109; if (VAR117) VAR18 <= VAR124 VAR104; if (VAR47) VAR112 <= VAR124 VAR32; if (VAR212) VAR3 <= VAR124 VAR169; if (VAR60) VAR192 <= VAR124 VAR290; if (VAR131) VAR234 <= VAR124 VAR354; if (VAR59) VAR23 <= VAR124 VAR256; if (VAR178) VAR283 <= VAR124 VAR333; if (VAR223) VAR42 <= VAR124 VAR205; if (VAR142) VAR209 <= VAR124 VAR113; if (VAR6) VAR341 <= VAR124 VAR263; if (VAR305) VAR335 <= VAR124 VAR154; if (VAR197) VAR358 <= VAR124 VAR122; if (VAR28) VAR195 <= VAR124 VAR343; if (VAR87) VAR194 <= VAR124 VAR304; if (VAR128) VAR66 <= VAR124 VAR236; if (VAR139) VAR120 <= VAR124 VAR116; end if (VAR303) VAR289 <= VAR124 VAR185; if (VAR278) VAR176 <= VAR124 VAR100; if (VAR111) VAR257 <= VAR124 VAR168; end always@(posedge VAR222 or VAR318 VAR40) if (VAR40 == VAR351) begin VAR286 <= VAR124 1'd1; VAR69 <= VAR124 1'd1; VAR258 <= VAR124 1'd1; end else begin if (VAR201) VAR286 <= VAR124 VAR267; if (VAR80) VAR69 <= VAR124 VAR272; if (VAR287) VAR258 <= VAR124 VAR220; end begin VAR221 = 32'hAAAAAAAA; VAR284 = 32'hAAAAAAAA; VAR360 = 32'hAAAAAAAA; VAR8 = 32'hAAAAAAAA; VAR196 = 1'h0; VAR322 = 32'hAAAAAAAA; VAR227 = 32'hAAAAAAAA; VAR110 = 3'h2; VAR140 = 3'h2; VAR95 = 1'h0; VAR191 = 1'h0; VAR282 = 1'h0; VAR286 = 1'h0; VAR103 = 3'h2; VAR54 = 2'h2; VAR339 = 2'h2; VAR238 = 34'h2AAAAAAAA; VAR288 = 34'h2AAAAAAAA; VAR37 = 1'h0; VAR21 = 1'h0; VAR204 = 2'h2; VAR277 = 1'h0; VAR198 = 32'hAAAAAAAA; VAR69 = 1'h0; VAR48 = 1'h0; VAR349 = 32'hAAAAAAAA; VAR240 = 1'h0; VAR98 = 2'h2; VAR18 = 61'h0AAAAAAAAAAAAAAA; VAR112 = 61'h0AAAAAAAAAAAAAAA; VAR3 = 1'h0; VAR289 = 8'hAA; VAR192 = 32'hAAAAAAAA; VAR234 = 1'h0; VAR23 = 2'h2; VAR283 = 1'h0; VAR42 = 32'hAAAAAAAA; VAR258 = 1'h0; VAR176 = 12'hAAA; VAR209 = 1'h0; VAR341 = 32'hAAAAAAAA; VAR335 = 1'h0; VAR358 = 2'h2; VAR195 = 1'h0; VAR257 = 8'hAA; VAR194 = 32'hAAAAAAAA; VAR66 = 1'h0; VAR120 = 12'hAAA; end always@(negedge VAR222) begin if (VAR40 != VAR351) if (VAR281) begin VAR96 = end if (VAR40 != VAR351) if (VAR281) VAR167("[%0d]: %VAR309: VAR321 VAR231: VAR261-VAR329 edge:%VAR171 VAR246:%VAR171", VAR96, VAR75[36:34], VAR140); if (VAR40 != VAR351) if (VAR146) begin VAR248 = end if (VAR40 != VAR351) if (VAR146) VAR167("[%0d]: %VAR309: VAR261 VAR151 VAR284:%0x", VAR248, VAR284); if (VAR40 != VAR351) if (VAR146 && VAR320) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR187] and\VAR255 [VAR242] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR27 && VAR320) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR338] and\VAR255 [VAR242] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR27 && VAR146) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR338] and\VAR255 [VAR187] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR276 && VAR282) begin VAR243 = end if (VAR40 != VAR351) if (VAR276 && VAR282) VAR167("[%0d]: %VAR309: VAR321 VAR231: VAR350-VAR200 VAR2-VAR329 edge:%VAR171 VAR246:%VAR171", VAR243, VAR110, VAR140); if (VAR40 != VAR351) if (VAR276 && !VAR282) begin VAR55 = end if (VAR40 != VAR351) if (VAR276 && !VAR282) VAR167("[%0d]: %VAR309: VAR321 VAR231: VAR2-VAR329 edge:%VAR171 VAR246:%VAR171 VAR356:%VAR171", VAR55, VAR110, VAR140, VAR103); if (VAR40 != VAR351) if (VAR245 && VAR320) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR188] and\VAR255 [VAR242] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR245 && VAR146) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR188] and\VAR255 [VAR187] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR245 && VAR27) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR188] and\VAR255 [VAR338] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR245 && VAR319) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR188] and [VAR160] )\VAR255 VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR319 && VAR320) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR160] and\VAR255 [VAR242] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR319 && VAR146) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR160] and\VAR255 [VAR187] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); if (VAR40 != VAR351) if (VAR319 && VAR27) \VAR255 VAR63 VAR174 VAR93 (VAR246 VAR86 VAR179 VAR334 [VAR160] and\VAR255 [VAR338] ) VAR82 in VAR86 VAR92 VAR268 VAR332.\VAR255"); end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o311a/sky130_fd_sc_hd__o311a_4.v
2,422
module MODULE1 ( VAR3 , VAR11 , VAR9 , VAR12 , VAR1 , VAR8 , VAR6, VAR2, VAR7 , VAR4 ); output VAR3 ; input VAR11 ; input VAR9 ; input VAR12 ; input VAR1 ; input VAR8 ; input VAR6; input VAR2; input VAR7 ; input VAR4 ; VAR5 VAR10 ( .VAR3(VAR3), .VAR11(VAR11), .VAR9(VAR9), .VAR12(VAR12), .VAR1(VAR1), .VAR8(VAR8), .VAR6(VAR6), .VAR2(VAR2), .VAR7(VAR7), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR3 , VAR11, VAR9, VAR12, VAR1, VAR8 ); output VAR3 ; input VAR11; input VAR9; input VAR12; input VAR1; input VAR8; supply1 VAR6; supply0 VAR2; supply1 VAR7 ; supply0 VAR4 ; VAR5 VAR10 ( .VAR3(VAR3), .VAR11(VAR11), .VAR9(VAR9), .VAR12(VAR12), .VAR1(VAR1), .VAR8(VAR8) ); endmodule
apache-2.0
merckhung/zet
cores/sound/rtl/sound.v
8,411
module MODULE1 ( input VAR17, input VAR3, input [ 2:0] VAR14, input [ 1:0] VAR26, input [15:0] VAR1, output [15:0] VAR24, input VAR31, input VAR23, input VAR20, output reg VAR25, output VAR32, output VAR30 ); reg [7:0] VAR12; wire [3:0] VAR11 = {VAR14, VAR26[1]}; wire [7:0] VAR34 = VAR26[0] ? VAR1[7:0] : VAR1[15:8]; assign VAR24 = {VAR12, VAR12}; wire VAR18 = VAR23 & VAR31; wire VAR22 = VAR18 & VAR20; wire VAR2 = VAR18 & ~VAR20; always @(posedge VAR17 or posedge VAR3) begin if(VAR3) VAR25 <= 1'b0; end else VAR25 <= VAR18 & ~VAR25; end reg VAR13; reg timeout; reg [19:0] VAR27; reg [15:0] VAR28; wire [7:0] VAR4 = {timeout, 7'h00}; always @(posedge VAR17) begin if(VAR3) begin VAR12 <= 8'h00; end else begin if(VAR2) begin case(VAR11) VAR16: VAR12 <= VAR4; VAR7: VAR12 <= VAR28[15:8]; VAR5: VAR12 <= VAR28[ 7:0]; default: VAR12 <= 8'h00; endcase end end end always @(posedge VAR17) begin if(VAR3) begin VAR29 <= 8'h80; VAR9 <= 8'h80; VAR13 <= 1'b0; timeout <= 1'b0; VAR28 <= VAR19; end else begin if(VAR22) begin case(VAR11) VAR33: begin VAR29 <= VAR34; VAR13 <= 1'b1; timeout <= 1'b0; end VAR9 <= VAR34; VAR13 <= 1'b1; timeout <= 1'b0; end if(VAR15) begin VAR13 <= 1'b0; timeout <= 1'b1; end end end wire VAR15 = VAR27[19]; always @(posedge VAR17) begin if(VAR3) begin VAR27 <= 20'd0; end else begin if(VAR13) VAR27 <= VAR27 + VAR28; end else VAR27 <= 20'd0; end end wire VAR21; assign VAR21 = VAR17; reg [7:0] VAR29; reg [7:0] VAR9; VAR8 VAR10 (VAR21, VAR29, VAR32); VAR8 VAR6(VAR21, VAR9, VAR30); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a211oi/sky130_fd_sc_lp__a211oi_1.v
2,361
module MODULE1 ( VAR1 , VAR11 , VAR5 , VAR6 , VAR7 , VAR2, VAR9, VAR10 , VAR3 ); output VAR1 ; input VAR11 ; input VAR5 ; input VAR6 ; input VAR7 ; input VAR2; input VAR9; input VAR10 ; input VAR3 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR11(VAR11), .VAR5(VAR5), .VAR6(VAR6), .VAR7(VAR7), .VAR2(VAR2), .VAR9(VAR9), .VAR10(VAR10), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR1 , VAR11, VAR5, VAR6, VAR7 ); output VAR1 ; input VAR11; input VAR5; input VAR6; input VAR7; supply1 VAR2; supply0 VAR9; supply1 VAR10 ; supply0 VAR3 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR11(VAR11), .VAR5(VAR5), .VAR6(VAR6), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dfstp/sky130_fd_sc_hdll__dfstp_1.v
2,289
module MODULE1 ( VAR5 , VAR9 , VAR2 , VAR1, VAR8 , VAR7 , VAR10 , VAR4 ); output VAR5 ; input VAR9 ; input VAR2 ; input VAR1; input VAR8 ; input VAR7 ; input VAR10 ; input VAR4 ; VAR3 VAR6 ( .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR1(VAR1), .VAR8(VAR8), .VAR7(VAR7), .VAR10(VAR10), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR5 , VAR9 , VAR2 , VAR1 ); output VAR5 ; input VAR9 ; input VAR2 ; input VAR1; supply1 VAR8; supply0 VAR7; supply1 VAR10 ; supply0 VAR4 ; VAR3 VAR6 ( .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o32a/sky130_fd_sc_ls__o32a.pp.blackbox.v
1,417
module MODULE1 ( VAR10 , VAR3 , VAR8 , VAR7 , VAR6 , VAR2 , VAR9, VAR5, VAR4 , VAR1 ); output VAR10 ; input VAR3 ; input VAR8 ; input VAR7 ; input VAR6 ; input VAR2 ; input VAR9; input VAR5; input VAR4 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfxbp/sky130_fd_sc_ls__sdfxbp.symbol.v
1,434
module MODULE1 ( input VAR8 , output VAR6 , output VAR4, input VAR7, input VAR3, input VAR5 ); supply1 VAR9; supply0 VAR2; supply1 VAR10 ; supply0 VAR1 ; endmodule
apache-2.0
asicguy/gplgpu
hdl/altera_project/ram_32_128x8_dp_be/ram_32_128x8_dp_be_bb.v
8,625
module MODULE1 ( VAR8, VAR11, VAR6, VAR3, VAR9, VAR5, VAR7, VAR10, VAR1, VAR4, VAR2); input [2:0] VAR8; input [4:0] VAR11; input [3:0] VAR6; input VAR3; input VAR9; input [127:0] VAR5; input [31:0] VAR7; input VAR10; input VAR1; output [127:0] VAR4; output [31:0] VAR2; endmodule
gpl-3.0
cfib/bf2hw
lib/bambu_io_hw/bambu_putchar.v
3,146
module MODULE1 (input VAR23, input reset, input VAR19, output reg VAR24, input [7:0] VAR18, output reg [7:0] VAR5, output reg VAR26, input VAR29); reg VAR2; wire [7:0] VAR10; wire VAR8; reg [7:0] VAR25; reg VAR27; wire VAR28; VAR3 #(.VAR22(8)) VAR20 (.clk(VAR23), .reset(reset), .VAR15(VAR27), .VAR31(VAR2), .VAR6(VAR8), .VAR32(VAR28), .VAR1(VAR10), .VAR17(VAR25), .VAR12()); reg [1:0] VAR7; reg [2:0] VAR9; reg VAR21; wire VAR4; localparam VAR30 = 2'b01, VAR13 = 2'b10; localparam VAR16 = 3'b001, VAR14 = 3'b010, VAR11 = 3'b100; always @(posedge VAR23 or posedge reset) begin if (reset) begin VAR27 <= 1'b0; VAR24 <= 1'b0; VAR7 <= VAR30; end else begin VAR24 <= 1'b0; VAR27 <= 1'b0; if (VAR7 == VAR30) begin if (VAR19) begin VAR25 <= VAR18; VAR7 <= VAR13; end end else begin if (VAR28 == 1'b0) begin VAR27 <= 1'b1; VAR7 <= VAR30; VAR24 <= 1'b1; end end end end assign VAR4 = VAR29 & ~VAR21; always @(posedge VAR23 or posedge reset) begin if (reset) begin VAR9 <= VAR16; VAR5 <= 8'b0; VAR26 <= 1'b0; VAR2 <= 1'b0; VAR21 <= 1'b0; end else begin VAR2 <= 1'b0; VAR26 <= 1'b0; VAR21 <= VAR29; case(VAR9) VAR16 : begin if (VAR8 == 1'b0 && VAR29 == 1'b1) begin VAR2 <= 1'b1; VAR9 <= VAR14; end end VAR14 : begin VAR5 <= VAR10; VAR26 <= 1'b1; VAR9 <= VAR11; end VAR11 : begin if (VAR4 == 1'b1) VAR9 <= VAR16; end endcase end end endmodule
gpl-3.0
iceman1001/proxmark3
fpga/hi_sniffer.v
1,333
module MODULE1( VAR23, VAR13, VAR22, VAR14, VAR1, VAR11, VAR5, VAR20, VAR18, VAR6, VAR17, VAR10, VAR9, VAR12, VAR19, VAR2, VAR21, VAR15, VAR16, VAR3, VAR4 ); input VAR23, VAR13, VAR22; output VAR14, VAR1, VAR11, VAR5, VAR20, VAR18; input [7:0] VAR6; output VAR17; input VAR12; output VAR10, VAR9, VAR19; input VAR2, VAR21; output VAR15; input VAR16, VAR3, VAR4; assign VAR1 = 1'b0; assign VAR14 = 1'b0; assign VAR11 = 1'b0; assign VAR5 = 1'b0; assign VAR20 = 1'b0; assign VAR18 = 1'b0; reg VAR10; reg [7:0] VAR8 = 8'd0; reg [2:0] VAR7 = 3'd0; assign VAR17 = VAR13; assign VAR19 = ~VAR13; always @(posedge VAR19) begin if(VAR7[2:0] == 3'd7) VAR7[2:0] <= 3'd0; end else VAR7 <= VAR7 + 1; if(VAR7[2:0] == 3'b000) begin VAR8[7:0] <= VAR6; VAR10 <= 1'b1; end else begin VAR8[7:0] <= {1'b0, VAR8[7:1]}; VAR10 <= 1'b0; end end assign VAR9 = VAR8[0]; endmodule
gpl-2.0
Ribeiro/sd2snes
verilog/sd2snes/dac.v
3,710
module MODULE1( input VAR25, input VAR11, input VAR10, input[10:0] VAR32, input[7:0] VAR12, input[7:0] VAR37, input VAR29, input VAR2, input reset, output VAR15, output VAR14, output VAR1, output VAR6, output VAR16 ); reg[8:0] VAR30; wire[8:0] VAR8 = VAR30; wire[31:0] VAR35; assign VAR16 = VAR30[8]; reg[7:0] VAR45; reg[7:0] VAR44; reg[1:0] VAR31; reg VAR3; reg[2:0] VAR27; wire VAR41 = (VAR27[2:1] == 2'b01); reg [25:0] VAR19; always @(posedge VAR25) begin VAR27 <= {VAR27[1:0], VAR11}; end VAR39 VAR13 ( .VAR26(VAR25), .VAR40(~VAR10), .VAR7(VAR32), .VAR9(VAR12), .VAR4(VAR25), .VAR47(VAR8), .VAR22(VAR35)); reg [8:0] VAR21; reg [15:0] VAR36; reg [1:0] VAR23; reg [15:0] VAR20; assign VAR1 = VAR21[2]; assign VAR14 = VAR21[8]; assign VAR6 = VAR21[3]; reg [2:0] VAR18; reg [2:0] VAR33; wire VAR38 = (VAR18[1:0] == 2'b01); wire VAR24 = (VAR18[1:0] == 2'b10); wire VAR43 = (VAR33[1:0] == 2'b01); wire VAR5 = (VAR33[1:0] == 2'b10); wire VAR42 = (VAR31[1:0] == 2'b01); reg VAR46; assign VAR15 = VAR46; reg [1:0] VAR34; wire VAR28 = (VAR34[1:0] == 2'b01); reg VAR17;
gpl-2.0
nyaxt/dmix
mixer_t.v
1,418
module MODULE1; reg clk; reg rst; reg [7:0] VAR3; reg [(8*24-1):0] VAR1; reg [1:0] VAR6; VAR2 VAR4( .clk(clk), .rst(rst), .VAR8(0), .VAR3(VAR3), .VAR1(VAR1), .VAR5({32'h01000000, 32'h02000000, 32'h03000000, 32'h04000000, 32'h05000000, 32'h06000000, 32'h07000000, 32'h08000000}), .VAR6(VAR6)); parameter VAR7 = 10; always #(VAR7/2) clk = ~clk;
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dfsbp/sky130_fd_sc_hs__dfsbp.functional.v
1,850
module MODULE1 ( VAR14 , VAR3 , VAR6 , VAR2 , VAR1 , VAR12 , VAR15 ); input VAR14 ; input VAR3 ; output VAR6 ; output VAR2 ; input VAR1 ; input VAR12 ; input VAR15; wire VAR13; wire VAR4 ; not VAR10 (VAR4 , VAR15 ); VAR7 VAR9 VAR8 (VAR13 , VAR12, VAR1, VAR4, VAR14, VAR3); buf VAR11 (VAR6 , VAR13 ); not VAR5 (VAR2 , VAR13 ); endmodule
apache-2.0