repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o21ba/sky130_fd_sc_hd__o21ba.symbol.v
| 1,386 |
module MODULE1 (
input VAR4 ,
input VAR2 ,
input VAR6,
output VAR3
);
supply1 VAR5;
supply0 VAR7;
supply1 VAR8 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
SiLab-Bonn/basil
|
basil/firmware/modules/fei4_rx/sync_master.v
| 6,228 |
module MODULE1 (
input wire clk, input wire VAR27, input wire VAR59, input wire rst, output wire VAR39, output wire VAR22, output wire VAR36, output wire VAR46, output wire [1:0] VAR7, output reg VAR24 );
wire VAR11;
wire VAR23;
wire VAR16;
wire VAR32;
reg VAR55;
reg VAR51;
reg VAR60;
reg VAR15;
reg VAR37;
reg VAR57;
reg VAR4;
reg VAR45;
reg [1:0] VAR26;
wire VAR49;
wire VAR54;
wire VAR2;
wire VAR38;
wire [1:0] VAR9;
wire [1:0] VAR25;
wire [1:0] VAR53;
wire [1:0] VAR48;
reg VAR8, VAR21, VAR31, VAR30, VAR5, VAR34, VAR10, VAR13;
reg VAR14, VAR35, VAR6, VAR18;
reg VAR52;
assign VAR39 = VAR37;
assign VAR22 = VAR57;
assign VAR36 = VAR4;
assign VAR46 = VAR45;
assign VAR7 = VAR26;
assign VAR49 = {(VAR11 && VAR37)};
assign VAR54 = {(VAR23 && VAR57)};
assign VAR2 = {(VAR16 && VAR4)};
assign VAR38 = {(VAR32 && VAR45)};
reg [3:0] VAR58;
always @(posedge clk)
VAR58[3:0] <= {VAR58[2:0], VAR5};
assign VAR11 = VAR58[VAR26];
reg [3:0] VAR12;
always @(posedge clk)
VAR12[3:0] <= {VAR12[2:0], VAR34};
assign VAR23 = VAR12[VAR26];
reg [3:0] VAR40;
always @(posedge clk)
VAR40[3:0] <= {VAR40[2:0], VAR10};
assign VAR16 = VAR40[VAR26];
reg [3:0] VAR33;
always @(posedge clk)
VAR33[3:0] <= {VAR33[2:0], VAR13};
assign VAR32 = VAR33[VAR26];
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR26 <= 2'b10;
VAR37 <= 1'b0; VAR57 <= 1'b0; VAR4 <= 1'b0; VAR45 <= 1'b0;
VAR55 <= 1'b0; VAR51 <= 1'b0; VAR60 <= 1'b0; VAR15 <= 1'b0;
VAR52 <= 1'b0; VAR24 <= 1'b1;
VAR8 <= 1'b0; VAR21 <= 1'b0; VAR31 <= 1'b0; VAR30 <= 1'b0;
VAR14 <= 1'b0; VAR35 <= 1'b0; VAR6 <= 1'b0; VAR18 <= 1'b0;
VAR5 <= 1'b0; VAR34 <= 1'b0; VAR10 <= 1'b0; VAR13 <= 1'b0;
end
else begin
VAR5 <= VAR9[1]; VAR34 <= VAR25[1]; VAR10 <= VAR53[1]; VAR13 <= VAR48[1];
VAR8 <= (VAR9 ^ VAR9[1]) & ~VAR9[1]; VAR21 <= (VAR25 ^ VAR25[1]) & ~VAR25[1];
VAR31 <= (VAR53 ^ VAR53[1]) & ~VAR53[1];
VAR30 <= (VAR48 ^ VAR48[1]) & ~VAR48[1];
VAR14 <= (VAR9 ^ VAR9[1]) & VAR9[1]; VAR35 <= (VAR25 ^ VAR25[1]) & VAR25[1];
VAR6 <= (VAR53 ^ VAR53[1]) & VAR53[1];
VAR18 <= (VAR48 ^ VAR48[1]) & VAR48[1];
VAR55 <= (VAR21 & ~VAR31 & ~VAR30 & VAR8) | (VAR35 & ~VAR6 & ~VAR18 & VAR14);
VAR51 <= (VAR31 & ~VAR30 & VAR8 & VAR21) | (VAR6 & ~VAR18 & VAR14 & VAR35);
VAR60 <= (VAR30 & VAR8 & VAR21 & VAR31) | (VAR18 & VAR14 & VAR35 & VAR6);
VAR15 <= (VAR8 & ~VAR21 & ~VAR31 & ~VAR30) | (VAR14 & ~VAR35 & ~VAR6 & ~VAR18);
if (VAR55 | VAR51 | VAR60 | VAR15) begin
VAR52 <= 1'b1;
VAR37 <= VAR55;
VAR57 <= VAR51;
VAR4 <= VAR60;
VAR45 <= VAR15;
end
if (VAR52)
VAR24 <= VAR49 | VAR54 | VAR2 | VAR38;
if (VAR45 & VAR55) VAR26 <= VAR26 - 1;
end
else if (VAR37 & VAR15) VAR26 <= VAR26 + 1;
end
end
wire [1:0] VAR50;
VAR19 VAR20 (
.VAR44(VAR50[1]), .VAR56(VAR50[0]), .VAR28(VAR27), .VAR41(1'b1), .VAR1(VAR59), .VAR17(1'b0), .VAR43(1'b0) );
reg [1:0] VAR42;
always @(posedge VAR27)
VAR42[1:0] <= VAR50[1:0];
reg [3:0] VAR47;
always @(posedge VAR27)
VAR47[3:0] <= {VAR42[1:0], VAR50[1:0]};
reg [3:0] VAR29;
always @(posedge clk)
VAR29[3:0] <= VAR47[3:0];
reg [3:0] VAR3;
always @(posedge clk)
VAR3[3:0] <= {VAR29[3:0]};
assign VAR9[0] = VAR29[3];
assign VAR25[0] = VAR29[2];
assign VAR53[0] = VAR29[1];
assign VAR48[0] = VAR29[0];
assign VAR9[1] = VAR3[3];
assign VAR25[1] = VAR3[2];
assign VAR53[1] = VAR3[1];
assign VAR48[1] = VAR3[0];
endmodule
|
bsd-3-clause
|
asicguy/gplgpu
|
hdl/altera_project/fifo_99x128/fifo_99x128_bb.v
| 5,606 |
module MODULE1 (
VAR4,
VAR5,
VAR7,
VAR1,
VAR3,
VAR2,
VAR6,
VAR8);
input VAR4;
input [98:0] VAR5;
input VAR7;
input VAR1;
output VAR3;
output VAR2;
output [98:0] VAR6;
output [6:0] VAR8;
endmodule
|
gpl-3.0
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/Tiger4NSC/src/DecWidthConverter16to32.v
| 6,369 |
module MODULE1
(
parameter VAR10 = 16,
parameter VAR2 = 32
)
(
VAR19 ,
VAR15 ,
VAR5 ,
VAR13 ,
VAR17 ,
VAR21 ,
VAR20 ,
VAR7 ,
VAR4 ,
VAR3
);
input VAR19 ;
input VAR15 ;
input VAR5 ;
input [VAR10 - 1:0] VAR13 ;
input VAR17 ;
output VAR21 ;
output VAR20 ;
output [VAR2 - 1:0] VAR7 ;
output VAR4 ;
input VAR3 ;
reg [VAR10 - 1:0] VAR11 ;
reg [VAR10 - 1:0] VAR16 ;
reg VAR1 ;
reg VAR22 ;
localparam VAR8 = 4'b0001;
localparam VAR12 = 4'b0010;
localparam VAR6 = 4'b0100;
localparam VAR14 = 4'b1000;
reg [3:0] VAR9;
reg [3:0] VAR18;
always @ (posedge VAR19)
if (VAR15)
VAR9 <= VAR8;
else
VAR9 <= VAR18;
always @ (*)
case (VAR9)
VAR8:
VAR18 <= (VAR5) ? VAR12 : VAR8;
VAR12:
VAR18 <= VAR6;
VAR6:
if (VAR3)
begin
if (VAR5)
VAR18 <= VAR12;
end
else
VAR18 <= VAR8;
end
else
VAR18 <= VAR14;
VAR14:
if (VAR3)
begin
if (VAR5)
VAR18 <= VAR12;
end
else
VAR18 <= VAR8;
end
else
VAR18 <= VAR14;
default:
VAR18 <= VAR8;
endcase
always @ (posedge VAR19)
if (VAR15)
begin
VAR16 <= 0;
VAR11 <= 0;
end
else
case (VAR18)
VAR8:
begin
VAR16 <= 0;
VAR11 <= 0;
end
VAR12:
begin
VAR16 <= VAR13;
VAR11 <= 0;
end
VAR6:
begin
VAR16 <= VAR13;
VAR11 <= VAR16;
end
VAR14:
begin
VAR16 <= VAR16;
VAR11 <= VAR11;
end
default:
begin
VAR16 <= 0;
VAR11 <= 0;
end
endcase
always @ (posedge VAR19)
if (VAR15)
VAR1 <= 0;
else
case (VAR18)
VAR8:
VAR1 <= 0;
VAR12:
VAR1 <= 0;
default:
VAR1 <= 1'b1;
endcase
always @ (posedge VAR19)
if (VAR15)
VAR22 <= 0;
else
if (VAR17)
VAR22 <= 1'b1;
else
if (VAR1 && VAR3 && VAR22)
VAR22 <= 1'b0;
assign VAR7 = {VAR11, VAR16};
assign VAR20 = VAR1;
assign VAR4 = VAR22;
assign VAR21 = !(VAR18 == VAR14);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/fa/sky130_fd_sc_hd__fa_4.v
| 2,278 |
module MODULE1 (
VAR6,
VAR10 ,
VAR4 ,
VAR11 ,
VAR2 ,
VAR3,
VAR5,
VAR8 ,
VAR9
);
output VAR6;
output VAR10 ;
input VAR4 ;
input VAR11 ;
input VAR2 ;
input VAR3;
input VAR5;
input VAR8 ;
input VAR9 ;
VAR1 VAR7 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR6,
VAR10 ,
VAR4 ,
VAR11 ,
VAR2
);
output VAR6;
output VAR10 ;
input VAR4 ;
input VAR11 ;
input VAR2 ;
supply1 VAR3;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR9 ;
VAR1 VAR7 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR11(VAR11),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
fbelavenuto/msx1fpga
|
src/audio/jt51/jt51_lin2exp.v
| 2,137 |
module MODULE1(
input [15:0] VAR2,
output reg [9:0] VAR4,
output reg [2:0] VAR9
);
always @(*) begin
casex( VAR2[15:9] )
7'VAR12: begin
VAR4 = VAR2[15:6];
VAR9 = 3'd7;
end
7'VAR13: begin
VAR4 = VAR2[14:5];
VAR9 = 3'd6;
end
7'VAR11: begin
VAR4 = VAR2[13:4];
VAR9 = 3'd5;
end
7'VAR5: begin
VAR4 = VAR2[12:3];
VAR9 = 3'd4;
end
7'VAR3: begin
VAR4 = VAR2[11:2];
VAR9 = 3'd3;
end
7'b1111110: begin
VAR4 = VAR2[10:1];
VAR9 = 3'd2;
end
7'b1111111: begin
VAR4 = VAR2[ 9:0];
VAR9 = 3'd1;
end
7'VAR8: begin
VAR4 = VAR2[15:6];
VAR9 = 3'd7;
end
7'VAR1: begin
VAR4 = VAR2[14:5];
VAR9 = 3'd6;
end
7'VAR6: begin
VAR4 = VAR2[13:4];
VAR9 = 3'd5;
end
7'VAR7: begin
VAR4 = VAR2[12:3];
VAR9 = 3'd4;
end
7'VAR10: begin
VAR4 = VAR2[11:2];
VAR9 = 3'd3;
end
7'b0000001: begin
VAR4 = VAR2[10:1];
VAR9 = 3'd2;
end
7'b0000000: begin
VAR4 = VAR2[ 9:0];
VAR9 = 3'd1;
end
default: begin
VAR4 = VAR2[9:0];
VAR9 = 3'd1;
end
endcase
end
endmodule
|
gpl-3.0
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_biu.v
| 40,262 |
module MODULE1(
output VAR247,
input VAR201,
output VAR46,
input [VAR102-1:0] VAR69,
input VAR142,
input [VAR313-1:0] VAR117,
input [VAR313/8-1:0] VAR64,
input [1:0] VAR319,
input [1:0] VAR251,
input VAR87,
input VAR122,
input [1:0] VAR49,
output VAR228,
input VAR320,
output VAR141 ,
output VAR124,
output [VAR313-1:0] VAR78,
output VAR264,
input [VAR102-1:0] VAR125,
input VAR200,
input [VAR313-1:0] VAR275,
input [VAR313/8-1:0] VAR290,
input [1:0] VAR62,
input [1:0] VAR15,
input VAR42,
input VAR120,
input [1:0] VAR41,
output VAR77,
input VAR25,
output VAR321 ,
output VAR285,
output [VAR313-1:0] VAR52,
input [VAR102-1:0] VAR203,
input VAR17,
output VAR167,
input VAR148,
output [VAR102-1:0] VAR97,
output VAR225,
output [VAR313-1:0] VAR182,
output [VAR313/8-1:0] VAR173,
output [1:0] VAR55,
output [1:0] VAR207,
output VAR277,
output VAR13,
output [1:0] VAR162,
input VAR210,
output VAR181,
input VAR161 ,
input VAR224,
input [VAR313-1:0] VAR240,
input [VAR102-1:0] VAR48,
input VAR270,
output VAR204,
input VAR152,
output [VAR102-1:0] VAR286,
output VAR71,
output [VAR313-1:0] VAR215,
output [VAR313/8-1:0] VAR38,
output [1:0] VAR11,
output [1:0] VAR61,
output VAR139,
output VAR243,
output [1:0] VAR114,
input VAR32,
output VAR93,
input VAR258 ,
input VAR214,
input [VAR313-1:0] VAR193,
input [VAR102-1:0] VAR154,
input VAR21,
output VAR330,
input VAR221,
output [VAR102-1:0] VAR70,
output VAR99,
output [VAR313-1:0] VAR5,
output [VAR313/8-1:0] VAR227,
output [1:0] VAR278,
output [1:0] VAR91,
output VAR3,
output VAR63,
output [1:0] VAR30,
input VAR222,
output VAR213,
input VAR36 ,
input VAR138,
input [VAR313-1:0] VAR150,
input VAR34,
output VAR292,
input VAR135,
output [VAR102-1:0] VAR271,
output VAR121,
output [VAR313-1:0] VAR110,
output [VAR313/8-1:0] VAR235,
output [1:0] VAR324,
output [1:0] VAR146,
output VAR260,
output VAR65,
output [1:0] VAR314,
input VAR280,
output VAR144,
input VAR134 ,
input VAR50,
input [VAR313-1:0] VAR211,
output VAR284,
input VAR293,
output [VAR102-1:0] VAR309,
output VAR184,
output [VAR313-1:0] VAR160,
output [VAR313/8-1:0] VAR272,
output [1:0] VAR322,
output [1:0] VAR262,
output VAR232,
output VAR14,
output [1:0] VAR151,
input VAR54,
output VAR165,
input VAR267 ,
input VAR266,
input [VAR313-1:0] VAR136,
input clk,
input VAR192
);
localparam VAR28 = 1;
localparam VAR28 = 1;
localparam VAR302 = 4;
localparam VAR4 = VAR81;
wire VAR327;
wire VAR253;
wire [VAR102-1:0] VAR261;
wire VAR187;
wire [2-1:0] VAR76;
wire [2-1:0] VAR219;
wire [VAR313-1:0] VAR9;
wire [VAR313/8-1:0] VAR31;
wire VAR185;
wire VAR255;
wire [1:0] VAR129;
wire VAR223;
wire VAR233;
wire VAR263 ;
wire VAR220;
wire [VAR313-1:0] VAR132;
wire VAR20;
wire VAR84;
wire [VAR102-1:0] VAR40;
wire VAR128;
wire [VAR313-1:0] VAR44;
wire [VAR313/8-1:0] VAR68;
wire [1:0] VAR35;
wire [1:0] VAR157;
wire VAR108;
wire VAR274;
wire [1:0] VAR308;
wire VAR249;
wire VAR105;
wire VAR82;
wire VAR79;
wire VAR295;
wire [VAR313-1:0] VAR153;
wire [VAR229*1-1:0] VAR325;
wire [VAR229*1-1:0] VAR106;
wire [VAR229*VAR102-1:0] VAR172;
wire [VAR229*1-1:0] VAR112;
wire [VAR229*VAR313-1:0] VAR273;
wire [VAR229*VAR313/8-1:0] VAR252;
wire [VAR229*2-1:0] VAR281;
wire [VAR229*2-1:0] VAR90;
wire [VAR229*1-1:0] VAR51;
wire [VAR229*1-1:0] VAR282;
wire [VAR229*2-1:0] VAR89;
wire [VAR229*1-1:0] VAR113;
wire [VAR229*1-1:0] VAR289;
wire [VAR229*1-1:0] VAR301;
wire [VAR229*1-1:0] VAR202;
wire [VAR229*1-1:0] VAR287;
wire [VAR229*VAR313-1:0] VAR39;
assign VAR325 =
{
} ;
assign VAR172 =
{
} ;
assign VAR112 =
{
} ;
assign VAR273 =
{
} ;
assign VAR252 =
{
} ;
assign VAR281 =
{
} ;
assign VAR90 =
{
} ;
assign VAR51 =
{
} ;
assign VAR282 =
{
} ;
assign VAR89 =
{
} ;
wire VAR118 = 1'b1;
wire VAR179 = 1'b0;
assign VAR113 =
{
} ;
assign {
} = VAR106;
assign {
} = VAR289;
assign {
} = VAR202;
assign {
} = VAR287;
assign {
} = VAR39;
assign VAR301 = {
};
VAR98 # (
.VAR19 (0), .VAR234 (0), .VAR259 (VAR145),
.VAR107 (VAR170),
.VAR244 (VAR229),
.VAR189 (VAR28),
.VAR279 (1),
.VAR6 (VAR102),
.VAR59 (VAR313)
) VAR311(
.VAR276 (VAR20 ) ,
.VAR7 (VAR84 ) ,
.VAR254 (VAR128 ) ,
.VAR237 (VAR40 ) ,
.VAR174 (VAR44 ) ,
.VAR94 (VAR68) ,
.VAR164 (VAR35) ,
.VAR140 (VAR157 ) ,
.VAR131 (VAR274 ) ,
.VAR83 (VAR108 ) ,
.VAR2 (VAR308 ) ,
.VAR159 (VAR249 ) ,
.VAR169 (VAR105 ) ,
.VAR58 (VAR82 ) ,
.VAR67 (VAR79) ,
.VAR231 (VAR295) ,
.VAR304 (VAR153 ) ,
.VAR74 (1'b0 ) ,
.VAR230 (VAR106 ) ,
.VAR269 (VAR325 ) ,
.VAR57 (VAR112 ) ,
.VAR239 (VAR172 ) ,
.VAR297 (VAR273 ) ,
.VAR238 (VAR252) ,
.VAR115 (VAR281),
.VAR307 (VAR90 ),
.VAR127 (VAR282 ),
.VAR226 (VAR51 ),
.VAR33 (VAR89 ),
.VAR265 (VAR113 ),
.VAR137 (VAR289 ) ,
.VAR95 (VAR301 ) ,
.VAR60 (VAR202) ,
.VAR130 (VAR287),
.VAR268 (VAR39 ) ,
.VAR85 () ,
.clk (clk ) ,
.VAR192 (VAR192)
);
wire VAR109;
wire VAR23;
wire [VAR102-1:0] VAR158;
wire VAR18;
wire [VAR313-1:0] VAR92;
wire [VAR313/8-1:0] VAR250;
wire [1:0] VAR328;
wire [1:0] VAR177;
wire VAR133;
wire VAR299;
wire [1:0] VAR206;
wire VAR294;
wire VAR216 = VAR294;
wire VAR149;
wire VAR45;
wire VAR256;
wire VAR298;
wire [VAR313-1:0] VAR218;
wire VAR303;
VAR96 # (
.VAR22 (VAR305),
.VAR6 (VAR102),
.VAR59 (VAR313),
.VAR245(VAR16),
.VAR217(VAR1),
.VAR183 (VAR170),
.VAR88 (VAR180),
.VAR279 (1)
)VAR12(
.VAR303 (VAR303),
.VAR246 (VAR20),
.VAR171 (VAR84),
.VAR37 (VAR128 ),
.VAR119 (VAR40 ),
.VAR104 (VAR44),
.VAR43 (VAR68),
.VAR310 (VAR108 ),
.VAR24 (VAR274 ),
.VAR323 (VAR308 ),
.VAR300 (VAR35),
.VAR56 (VAR157 ),
.VAR143 (VAR249 ),
.VAR190 (VAR105),
.VAR86 (VAR82),
.VAR198 (VAR79 ),
.VAR72 (VAR295),
.VAR194 (VAR153),
.VAR26 (),
.VAR276 (VAR109),
.VAR7 (VAR23),
.VAR254 (VAR18 ),
.VAR237 (VAR158 ),
.VAR174 (VAR92),
.VAR94 (VAR250),
.VAR83 (VAR133 ),
.VAR131 (VAR299 ),
.VAR2 (VAR206 ),
.VAR164 (VAR328),
.VAR140 (VAR177 ),
.VAR159 (VAR294),
.VAR169 (VAR149),
.VAR58 (VAR45),
.VAR67 (VAR256 ),
.VAR231 (VAR298),
.VAR304 (VAR218),
.VAR74 (1'b0 ),
.clk (clk ),
.VAR192 (VAR192)
);
wire [VAR4*1-1:0] VAR257;
wire [VAR4*1-1:0] VAR205;
wire [VAR4*VAR102-1:0] VAR199;
wire [VAR4*1-1:0] VAR312;
wire [VAR4*VAR313-1:0] VAR29;
wire [VAR4*VAR313/8-1:0] VAR296;
wire [VAR4*2-1:0] VAR156;
wire [VAR4*2-1:0] VAR188;
wire [VAR4*1-1:0] VAR329;
wire [VAR4*1-1:0] VAR103;
wire [VAR4*2-1:0] VAR147;
wire [VAR4*1-1:0] VAR248;
wire [VAR4*1-1:0] VAR168;
wire [VAR4*1-1:0] VAR101;
wire [VAR4*1-1:0] VAR53;
wire [VAR4*VAR313-1:0] VAR27;
assign {
VAR327
, VAR167
, VAR204
, VAR330
assign {
VAR261
, VAR97
, VAR286
, VAR70
assign {
VAR187
, VAR225
, VAR71
, VAR99
assign {
VAR9
, VAR182
, VAR215
, VAR5
assign {
VAR31
, VAR173
, VAR38
, VAR227
assign {
VAR76
, VAR55
, VAR11
, VAR278
assign {
VAR219
, VAR207
, VAR61
, VAR91
assign {
VAR185
, VAR277
, VAR139
, VAR3
assign {
VAR255
, VAR13
, VAR243
, VAR63
assign {
VAR129
, VAR162
, VAR114
, VAR30
assign VAR205 = {
VAR253
, VAR148
, VAR152
, VAR221
assign VAR248 = {
VAR223
, VAR210
, VAR32
, VAR222
assign VAR101 = {
VAR263
, VAR161
, VAR258
, VAR36
assign VAR53 = {
VAR220
, VAR224
, VAR214
, VAR138
assign VAR27 = {
VAR132
, VAR240
, VAR193
, VAR150
assign {
VAR233
, VAR181
, VAR93
, VAR213
wire VAR317 = VAR17 & (VAR158[VAR191] == VAR203[VAR191]);
wire VAR66 = VAR317 & (~VAR216);
wire VAR186 = VAR270 & (VAR158[VAR241] == VAR48[VAR241]);
wire VAR196 = VAR186 & (~VAR216);
wire VAR166 = VAR21 & (VAR158[VAR163] == VAR154[VAR163]);
wire VAR288 = VAR166 & (~VAR216);
wire VAR155 = VAR47 & (~VAR216);
wire VAR195 =(
VAR317
| VAR186
| VAR166
& (~VAR195)
& (~VAR66)
& (~VAR196)
& (~VAR288)
wire [VAR4-1:0] VAR212 =
{
VAR195
, VAR66
, VAR196
, VAR288
VAR208 # (
.VAR315 (0), .VAR234 (1), .VAR259 (VAR145),
.VAR107 (VAR170),
.VAR116 (VAR4),
.VAR176 (VAR4),
.VAR331 (1),
.VAR279 (1),
.VAR6 (VAR102),
.VAR59 (VAR313)
) VAR175(
.VAR80 (VAR212),
.VAR246 (VAR109 ) ,
.VAR171 (VAR23 ) ,
.VAR37 (VAR18 ) ,
.VAR119 (VAR158 ) ,
.VAR104 (VAR92 ) ,
.VAR43 (VAR250) ,
.VAR300 (VAR328) ,
.VAR56 (VAR177 ) ,
.VAR24 (VAR299 ) ,
.VAR310 (VAR133 ) ,
.VAR323 (VAR206 ) ,
.VAR143 (1'b0 ) ,
.VAR190 (VAR149 ) ,
.VAR86 (VAR45 ) ,
.VAR198 (VAR256) ,
.VAR72 (VAR298) ,
.VAR194 (VAR218 ) ,
.VAR26 ( ) ,
.VAR236 (VAR205 ) ,
.VAR100 (VAR257 ) ,
.VAR8 (VAR312 ) ,
.VAR306 (VAR199 ) ,
.VAR111 (VAR29 ) ,
.VAR283 (VAR296) ,
.VAR73 (VAR156),
.VAR75 (VAR188 ),
.VAR326 (VAR103 ),
.VAR291 (VAR329 ),
.VAR316 (VAR147 ),
.VAR197 () ,
.VAR126 (VAR248 ) ,
.VAR10 (VAR168 ) ,
.VAR318 (VAR101) ,
.VAR123 (VAR53),
.VAR242 (VAR27 ) ,
.VAR178 ({VAR4{1'b0}}) ,
.clk (clk ) ,
.VAR192 (VAR192)
);
assign VAR247 = VAR209 | VAR201 | VAR303;
assign VAR253 = VAR233;
assign VAR223 = VAR327;
assign VAR263 = 1'b1;
assign VAR220 = 1'b0;
assign VAR132 = {VAR313{1'b0}};
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fahcin/sky130_fd_sc_ls__fahcin.functional.v
| 1,752 |
module MODULE1 (
VAR3,
VAR18 ,
VAR4 ,
VAR1 ,
VAR11
);
output VAR3;
output VAR18 ;
input VAR4 ;
input VAR1 ;
input VAR11 ;
wire VAR7 ;
wire VAR16;
wire VAR19 ;
wire VAR5 ;
wire VAR17 ;
wire VAR9;
not VAR15 (VAR7 , VAR11 );
xor VAR14 (VAR16, VAR4, VAR1, VAR7 );
buf VAR2 (VAR18 , VAR16 );
and VAR10 (VAR19 , VAR4, VAR1 );
and VAR12 (VAR5 , VAR4, VAR7 );
and VAR13 (VAR17 , VAR1, VAR7 );
or VAR6 (VAR9, VAR19, VAR5, VAR17);
buf VAR8 (VAR3 , VAR9 );
endmodule
|
apache-2.0
|
ElegantLin/My-CPU
|
project_4/project_4.srcs/sources_1/imports/Chapter11/pc_reg.v
| 2,824 |
module MODULE1(
input wire clk,
input wire rst,
input wire[5:0] VAR11,
input wire VAR10,
input wire[VAR3] VAR7,
input wire VAR9,
input wire[VAR3] VAR1,
output reg[VAR14] VAR6,
output reg VAR12
);
always @ (posedge clk) begin
if (VAR12 == VAR8) begin
VAR6 <= 32'h00000000;
end else begin
if(VAR10 == 1'b1) begin
VAR6 <= VAR7;
end else if(VAR11[0] == VAR13) begin
if(VAR9 == VAR4) begin
VAR6 <= VAR1;
end else begin
VAR6 <= VAR6 + 4'h4;
end
end
end
end
always @ (posedge clk) begin
if (rst == VAR2) begin
VAR12 <= VAR8;
end else begin
VAR12 <= VAR5;
end
end
endmodule
|
gpl-3.0
|
mrehkopf/sd2snes
|
verilog/sd2snes_sa1/msu.v
| 5,888 |
module MODULE1(
input VAR31,
input enable,
input [13:0] VAR9,
input [7:0] VAR43,
input VAR15,
input [2:0] VAR39,
input [7:0] VAR10,
output [7:0] VAR4,
input VAR17,
input VAR19,
input VAR41,
output [7:0] VAR37,
output [7:0] VAR28,
output VAR6,
output [31:0] VAR30,
output [15:0] VAR36,
input [5:0] VAR20,
input [5:0] VAR12,
input VAR42,
input [13:0] VAR27,
input VAR33,
output VAR14,
output VAR13,
output VAR38,
output [13:0] VAR24,
output VAR25
);
assign VAR4 = 0;
assign VAR37 = 0;
assign VAR28 = 0;
assign VAR6 = 0;
assign VAR30 = 0;
assign VAR36 = 0;
assign VAR14 = 0;
assign VAR13 = 0;
assign VAR38 = 0;
assign VAR24 = 0;
assign VAR25 = 0;
reg [1:0] VAR35;
always @(posedge VAR31) VAR35 = {VAR35[0], VAR42};
wire VAR8 = (VAR35 == 2'b01);
reg [13:0] VAR16;
wire [13:0] VAR32 = VAR16;
VAR2 VAR16 = 13'b0;
wire [7:0] VAR1;
reg [7:0] VAR46;
reg [2:0] VAR29;
always @(posedge VAR31)
VAR29 <= {VAR29[1:0], VAR33};
wire VAR7 = (VAR29[2:1] == 2'b01);
reg [31:0] VAR21;
assign VAR30 = VAR21;
reg [15:0] VAR18;
assign VAR36 = VAR18;
reg [7:0] VAR23;
assign VAR28 = VAR23;
reg VAR34;
assign VAR6 = VAR34;
reg VAR22;
reg VAR44;
reg VAR11;
reg VAR5;
reg VAR40;
reg VAR45;
reg [2:0] VAR26;
reg [1:0] VAR3;
|
gpl-2.0
|
gtaylormb/fpga_nes
|
hw/src/cpu/apu/apu_mixer.v
| 6,718 |
module MODULE1
(
input wire VAR6, input wire VAR2, input wire [3:0] VAR3, input wire [3:0] VAR7, input wire [3:0] VAR16, input wire [3:0] VAR21, input wire [3:0] VAR19, output wire VAR20, output wire [5:0] VAR17
);
wire [3:0] VAR1;
wire [3:0] VAR9;
wire [3:0] VAR13;
wire [3:0] VAR14;
reg [4:0] VAR15;
reg [5:0] VAR18;
reg [6:0] VAR8;
reg [5:0] VAR4;
reg [5:0] VAR11;
always @*
begin
VAR15 = VAR1 + VAR9;
case (VAR15)
5'h00: VAR18 = 6'h00;
5'h01: VAR18 = 6'h01;
5'h02: VAR18 = 6'h01;
5'h03: VAR18 = 6'h02;
5'h04: VAR18 = 6'h03;
5'h05: VAR18 = 6'h03;
5'h06: VAR18 = 6'h04;
5'h07: VAR18 = 6'h05;
5'h08: VAR18 = 6'h05;
5'h09: VAR18 = 6'h06;
5'h0A: VAR18 = 6'h07;
5'h0B: VAR18 = 6'h07;
5'h0C: VAR18 = 6'h08;
5'h0D: VAR18 = 6'h08;
5'h0E: VAR18 = 6'h09;
5'h0F: VAR18 = 6'h09;
5'h10: VAR18 = 6'h0A;
5'h11: VAR18 = 6'h0A;
5'h12: VAR18 = 6'h0B;
5'h13: VAR18 = 6'h0B;
5'h14: VAR18 = 6'h0C;
5'h15: VAR18 = 6'h0C;
5'h16: VAR18 = 6'h0D;
5'h17: VAR18 = 6'h0D;
5'h18: VAR18 = 6'h0E;
5'h19: VAR18 = 6'h0E;
5'h1A: VAR18 = 6'h0F;
5'h1B: VAR18 = 6'h0F;
5'h1C: VAR18 = 6'h0F;
5'h1D: VAR18 = 6'h10;
5'h1E: VAR18 = 6'h10;
default: VAR18 = 6'VAR5;
endcase
VAR8 = { VAR13, 1'b0 } + { 1'b0, VAR13 } + { VAR14, 1'b0 };
case (VAR8)
7'h00: VAR4 = 6'h00;
7'h01: VAR4 = 6'h01;
7'h02: VAR4 = 6'h01;
7'h03: VAR4 = 6'h02;
7'h04: VAR4 = 6'h03;
7'h05: VAR4 = 6'h03;
7'h06: VAR4 = 6'h04;
7'h07: VAR4 = 6'h05;
7'h08: VAR4 = 6'h05;
7'h09: VAR4 = 6'h06;
7'h0A: VAR4 = 6'h07;
7'h0B: VAR4 = 6'h07;
7'h0C: VAR4 = 6'h08;
7'h0D: VAR4 = 6'h08;
7'h0E: VAR4 = 6'h09;
7'h0F: VAR4 = 6'h09;
7'h10: VAR4 = 6'h0A;
7'h11: VAR4 = 6'h0A;
7'h12: VAR4 = 6'h0B;
7'h13: VAR4 = 6'h0B;
7'h14: VAR4 = 6'h0C;
7'h15: VAR4 = 6'h0C;
7'h16: VAR4 = 6'h0D;
7'h17: VAR4 = 6'h0D;
7'h18: VAR4 = 6'h0E;
7'h19: VAR4 = 6'h0E;
7'h1A: VAR4 = 6'h0F;
7'h1B: VAR4 = 6'h0F;
7'h1C: VAR4 = 6'h0F;
7'h1D: VAR4 = 6'h10;
7'h1E: VAR4 = 6'h10;
7'h1F: VAR4 = 6'h11;
7'h20: VAR4 = 6'h11;
7'h21: VAR4 = 6'h11;
7'h22: VAR4 = 6'h12;
7'h23: VAR4 = 6'h12;
7'h24: VAR4 = 6'h12;
7'h25: VAR4 = 6'h13;
7'h26: VAR4 = 6'h13;
7'h27: VAR4 = 6'h14;
7'h28: VAR4 = 6'h14;
7'h29: VAR4 = 6'h14;
7'h2A: VAR4 = 6'h15;
7'h2B: VAR4 = 6'h15;
7'h2C: VAR4 = 6'h15;
7'h2D: VAR4 = 6'h15;
7'h2E: VAR4 = 6'h16;
7'h2F: VAR4 = 6'h16;
7'h30: VAR4 = 6'h16;
7'h31: VAR4 = 6'h17;
7'h32: VAR4 = 6'h17;
7'h33: VAR4 = 6'h17;
7'h34: VAR4 = 6'h17;
7'h35: VAR4 = 6'h18;
7'h36: VAR4 = 6'h18;
7'h37: VAR4 = 6'h18;
7'h38: VAR4 = 6'h19;
7'h39: VAR4 = 6'h19;
7'h3A: VAR4 = 6'h19;
7'h3B: VAR4 = 6'h19;
7'h3C: VAR4 = 6'h1A;
7'h3D: VAR4 = 6'h1A;
7'h3E: VAR4 = 6'h1A;
7'h3F: VAR4 = 6'h1A;
7'h40: VAR4 = 6'h1B;
7'h41: VAR4 = 6'h1B;
7'h42: VAR4 = 6'h1B;
7'h43: VAR4 = 6'h1B;
7'h44: VAR4 = 6'h1B;
7'h45: VAR4 = 6'h1C;
7'h46: VAR4 = 6'h1C;
7'h47: VAR4 = 6'h1C;
7'h48: VAR4 = 6'h1C;
7'h49: VAR4 = 6'h1C;
7'h4A: VAR4 = 6'h1D;
7'h4B: VAR4 = 6'h1D;
default: VAR4 = 6'VAR5;
endcase
VAR11 = VAR18 + VAR4;
end
reg [5:0] VAR10;
wire [5:0] VAR12;
always @(posedge VAR6)
begin
if (VAR2)
begin
VAR10 <= 6'h0;
end
else
begin
VAR10 <= VAR12;
end
end
assign VAR12 = VAR10 + 4'h1;
assign VAR1 = (VAR3[0]) ? 4'h0 : VAR7;
assign VAR9 = (VAR3[1]) ? 4'h0 : VAR16;
assign VAR13 = (VAR3[2]) ? 4'h0 : VAR21;
assign VAR14 = (VAR3[3]) ? 4'h0 : VAR19;
assign VAR20 = VAR11 > VAR10;
assign VAR17 = VAR11;
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlybuf4s18kapwr/sky130_fd_sc_lp__dlybuf4s18kapwr.behavioral.v
| 1,493 |
module MODULE1 (
VAR1,
VAR3
);
output VAR1;
input VAR3;
supply1 VAR4 ;
supply0 VAR7 ;
supply1 VAR9;
supply1 VAR8 ;
supply0 VAR10 ;
wire VAR2;
buf VAR6 (VAR2, VAR3 );
buf VAR5 (VAR1 , VAR2 );
endmodule
|
apache-2.0
|
bbrown1867/ObjectTracking
|
hw/common/fixed_point/qadd.v
| 2,436 |
module MODULE1 #(
parameter VAR6 = 15,
parameter VAR5 = 32
)
(
input [VAR5-1:0] VAR1,
input [VAR5-1:0] VAR4,
output [VAR5-1:0] VAR3
);
reg [VAR5-1:0] VAR2;
assign VAR3 = VAR2;
always @(VAR1,VAR4) begin
if(VAR1[VAR5-1] == VAR4[VAR5-1]) begin VAR2[VAR5-2:0] = VAR1[VAR5-2:0] + VAR4[VAR5-2:0]; VAR2[VAR5-1] = VAR1[VAR5-1]; end else if(VAR1[VAR5-1] == 0 && VAR4[VAR5-1] == 1) begin if( VAR1[VAR5-2:0] > VAR4[VAR5-2:0] ) begin VAR2[VAR5-2:0] = VAR1[VAR5-2:0] - VAR4[VAR5-2:0]; VAR2[VAR5-1] = 0; end
else begin VAR2[VAR5-2:0] = VAR4[VAR5-2:0] - VAR1[VAR5-2:0]; if (VAR2[VAR5-2:0] == 0)
end
VAR2[VAR5-1] = 0; else
VAR2[VAR5-1] = 1; end
end
else begin if( VAR1[VAR5-2:0] > VAR4[VAR5-2:0] ) begin VAR2[VAR5-2:0] = VAR1[VAR5-2:0] - VAR4[VAR5-2:0]; if (VAR2[VAR5-2:0] == 0)
end
VAR2[VAR5-1] = 0; else
VAR2[VAR5-1] = 1; end
else begin VAR2[VAR5-2:0] = VAR4[VAR5-2:0] - VAR1[VAR5-2:0]; VAR2[VAR5-1] = 0; end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_decapkapwr/sky130_fd_sc_hd__lpflow_decapkapwr.behavioral.pp.v
| 1,308 |
module MODULE1 (
VAR4 ,
VAR5,
VAR2 ,
VAR3 ,
VAR1
);
input VAR4 ;
input VAR5;
input VAR2 ;
input VAR3 ;
input VAR1 ;
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v
| 15,243 |
module MODULE1 (
address,
clk,
VAR7,
VAR59,
VAR159,
VAR65,
VAR147,
VAR122,
VAR143,
VAR17,
read,
VAR1,
VAR152,
VAR156,
VAR54,
reset,
VAR102,
VAR91,
VAR151,
write,
VAR84,
VAR74,
VAR106,
VAR32,
VAR51,
VAR23,
VAR99,
VAR158,
VAR3,
VAR113,
VAR115,
VAR34,
VAR172,
VAR138,
VAR93,
VAR18,
VAR21,
VAR105,
VAR44,
VAR62,
VAR168,
VAR101,
VAR169,
VAR78,
VAR22,
VAR154,
VAR2,
VAR30,
VAR146
);
parameter VAR66 = 32'VAR155 00000000; parameter VAR76 = 16'VAR155 0001 ; parameter VAR12 = 1; parameter VAR43 = 1'b0; parameter VAR150 = "VAR53"; parameter VAR13 = 1'b0; parameter VAR89 = 0; parameter VAR165 = 0; parameter VAR73 = 3;
output [7:0] VAR74;
output VAR106;
output VAR32;
output VAR51;
output VAR23;
output VAR99;
output VAR158;
output VAR3;
output VAR113;
output VAR115;
output VAR34;
output VAR172;
output [3:0] VAR138;
output VAR93;
output VAR18;
output VAR21;
output [15:0] VAR105;
output [16:0] VAR44;
output VAR62;
output VAR168;
output VAR101;
output VAR169;
output VAR78;
output VAR22;
output VAR154;
output VAR2;
output VAR30;
output VAR146;
input [4:0] address;
input clk;
input [7:0] VAR7;
input VAR59;
input VAR159;
input VAR147;
input VAR65;
input [3:0] VAR122;
input VAR143;
input VAR17;
input read;
input VAR1;
input [3:0] VAR152;
input VAR156;
input VAR54;
input reset;
input VAR102;
input VAR91;
input VAR151;
input write;
input [15:0] VAR84;
wire VAR160;
wire VAR121;
wire VAR29;
wire VAR145;
wire [7:0] VAR74;
wire VAR106;
wire VAR32;
wire VAR51;
wire VAR23;
wire VAR99;
wire VAR52;
wire VAR158;
wire VAR3;
wire VAR113;
wire VAR115;
wire VAR97;
wire VAR34;
wire VAR172;
wire [3:0] VAR138;
wire VAR93;
wire VAR18;
wire VAR87;
wire VAR75;
wire [7:0] VAR161;
wire VAR123;
wire [15:0] VAR105;
wire VAR55;
wire VAR62;
wire VAR72;
wire [7:0] VAR35;
wire VAR149;
wire VAR167;
wire VAR168;
wire VAR101;
wire VAR169;
wire VAR78;
wire VAR22;
wire VAR154;
wire [7:0] VAR16;
wire VAR27;
wire VAR2;
wire VAR146;
wire VAR60;
wire VAR33;
wire VAR140;
wire VAR14;
wire VAR69;
wire VAR128;
wire VAR108;
wire VAR68;
wire VAR56;
wire VAR9;
wire [16:0] VAR44;
wire VAR124;
wire VAR129;
wire VAR42,VAR49,VAR19,VAR110,VAR104,VAR71;
wire VAR67,VAR135,VAR141;
wire VAR38;
wire VAR116;
VAR126 VAR10 (
.clk(VAR62),
.VAR61(VAR110),
.VAR103(VAR67)
);
VAR126 VAR63 (
.clk(VAR78),
.VAR61(VAR49),
.VAR103(VAR135)
);
VAR126 VAR8 (
.clk(clk),
.VAR61(VAR110),
.VAR103(VAR141)
);
assign VAR160 = VAR102 | VAR67;
assign VAR121 = VAR91 | VAR135;
assign VAR29 = reset;
assign VAR99 = VAR52;
assign VAR115 = VAR97;
VAR28 VAR31
(
.VAR11(VAR153),
.VAR108(VAR56),
.VAR68(VAR9),
.VAR74 (VAR74),
.VAR106 (VAR106),
.VAR32 (VAR32),
.VAR7 (VAR7),
.VAR59 (VAR59),
.VAR159 (VAR159),
.VAR51 (VAR51),
.VAR23 (VAR23),
.VAR99 (VAR52),
.VAR158 (VAR158),
.VAR3 (VAR3),
.VAR115 (VAR97),
.VAR34 (VAR34),
.VAR172 (VAR172),
.VAR138 (VAR138),
.VAR93 (VAR93),
.VAR18 (VAR18),
.VAR122 (VAR122),
.VAR143 (VAR143),
.VAR17 (VAR17),
.VAR64 (VAR33),
.VAR132 (address),
.VAR162 (VAR146),
.VAR133 (clk),
.VAR98 (VAR84),
.VAR39 (VAR105),
.VAR80 (read),
.VAR111 (write),
.VAR144 (VAR29),
.VAR102 (VAR160),
.VAR91 (VAR121),
.VAR62 (VAR62),
.VAR142 (VAR87),
.VAR35 (VAR161),
.VAR167 (VAR123),
.VAR60 (VAR60),
.VAR168 (VAR168),
.VAR101 (VAR101),
.VAR169 (VAR169),
.VAR78 (VAR78),
.VAR22(VAR22),
.VAR154(VAR154),
.VAR54(1'b0),
.VAR137 (VAR75),
.VAR16 (VAR16),
.VAR27 (VAR27)
);
VAR31.VAR66 = VAR66,
VAR31.VAR76 = VAR76,
VAR31.VAR12 = VAR12;
reg VAR77, VAR47;
reg VAR134;
always@(posedge clk)
begin
VAR77 <= reset;
VAR47 <= VAR77;
VAR134 <= VAR77 & ~VAR47;
end
reg VAR164,VAR117;
generate if (VAR43 == 1)
begin
always @(posedge clk or posedge VAR147)
begin
if (VAR147 == 1) begin
VAR164 <= 1;
VAR117 <= 1;
end else begin
VAR164 <= 1'b0;
VAR117 <= VAR164;
end
end
assign VAR140 = VAR147;
assign VAR21 = VAR33;
end
else
begin
assign VAR140 = VAR33;
assign VAR21 = 1'b0;
always@(*) begin
VAR117 = VAR140;
end
end
endgenerate
VAR37 VAR157(
.VAR119(clk),
.VAR112(reset | VAR117),
.VAR79(VAR134),
.VAR81(), .VAR4(), .VAR170(VAR42), .VAR130(VAR49), .VAR41(VAR19), .VAR25(VAR110), .VAR50(VAR104), .VAR83(VAR116),
.VAR24(VAR38),
.VAR90(1'b0),
.VAR109(VAR156)
);
assign VAR116 = (reset? 1'b0: VAR71);
VAR126 VAR92 (
.clk(VAR87),
.VAR61(VAR110),
.VAR103(VAR129)
);
VAR171 VAR139
(
.clk(VAR87),
.reset(VAR129),
.VAR48(VAR35),
.VAR6(VAR149),
.VAR86(VAR72),
.VAR15(VAR167),
.VAR5(VAR55),
.VAR120(VAR108),
.VAR125(VAR68),
.VAR95(VAR14),
.VAR46(VAR69),
.VAR94(VAR128),
.VAR107(VAR161),
.VAR57(VAR97),
.VAR166(VAR113),
.VAR70(VAR123),
.VAR85(VAR52),
.VAR20(VAR56),
.VAR127(VAR9),
.VAR45(VAR153)
) ;
VAR139.VAR150 = VAR150;
VAR26 VAR163
(
.VAR36 (VAR65),
.VAR50 (VAR140),
.VAR118 (VAR54),
.VAR1(VAR1),
.VAR152(VAR152),
.VAR44(VAR44),
.VAR41 (VAR19),
.VAR88 (VAR54),
.VAR148 (VAR167),
.VAR142 (VAR87),
.VAR100 (VAR151),
.VAR40 (VAR35),
.VAR25 (VAR67),
.VAR72 (VAR72),
.VAR114 (VAR55),
.VAR69 (VAR69),
.VAR58 (VAR14),
.VAR131 (VAR60),
.VAR149 (VAR149),
.VAR30(VAR30),
.VAR137 (VAR75),
.VAR82 (VAR27),
.VAR96 (VAR16),
.VAR38 (VAR38),
.VAR136 (VAR2),
.VAR130 (VAR135),
.VAR108(VAR108),
.VAR68(VAR68),
.VAR128(VAR128),
.VAR170(VAR140),
.VAR71(VAR71)
);
VAR163.VAR165 = VAR165,
VAR163.VAR89 = VAR89,
VAR163.VAR150 = VAR150,
VAR163.VAR12 = VAR12;
endmodule
|
mit
|
mosass/HexapodRobot
|
VIVADO/hexapod/hexapod.cache/ip/32bed0456b8c6008/design_1_xbar_0_stub.v
| 3,579 |
module MODULE1(VAR38, VAR37, VAR25, VAR3,
VAR28, VAR29, VAR20, VAR4, VAR17, VAR15,
VAR14, VAR21, VAR2, VAR36, VAR31, VAR32,
VAR34, VAR22, VAR33, VAR40, VAR8, VAR23,
VAR10, VAR39, VAR18, VAR24, VAR7, VAR27,
VAR6, VAR30, VAR26, VAR11, VAR19, VAR16,
VAR12, VAR9, VAR5, VAR35, VAR1, VAR13)
;
input VAR38;
input VAR37;
input [31:0]VAR25;
input [2:0]VAR3;
input [0:0]VAR28;
output [0:0]VAR29;
input [31:0]VAR20;
input [3:0]VAR4;
input [0:0]VAR17;
output [0:0]VAR15;
output [1:0]VAR14;
output [0:0]VAR21;
input [0:0]VAR2;
input [31:0]VAR36;
input [2:0]VAR31;
input [0:0]VAR32;
output [0:0]VAR34;
output [31:0]VAR22;
output [1:0]VAR33;
output [0:0]VAR40;
input [0:0]VAR8;
output [95:0]VAR23;
output [8:0]VAR10;
output [2:0]VAR39;
input [2:0]VAR18;
output [95:0]VAR24;
output [11:0]VAR7;
output [2:0]VAR27;
input [2:0]VAR6;
input [5:0]VAR30;
input [2:0]VAR26;
output [2:0]VAR11;
output [95:0]VAR19;
output [8:0]VAR16;
output [2:0]VAR12;
input [2:0]VAR9;
input [95:0]VAR5;
input [5:0]VAR35;
input [2:0]VAR1;
output [2:0]VAR13;
endmodule
|
mit
|
sabertazimi/hust-lab
|
architecture/design/fpga/src/controller.v
| 3,400 |
module MODULE1
(
input [5:0] VAR12,
input [5:0] VAR5,
output [3:0] VAR6,
output VAR8,
output VAR16,
output VAR18,
output VAR1,
output VAR39,
output VAR32,
output VAR17,
output VAR20,
output VAR23,
output VAR24,
output VAR7,
output VAR33,
output VAR22,
output VAR38,
output VAR31,
output VAR3,
output VAR28
);
wire VAR26, VAR11, VAR14, VAR21;
wire VAR2, VAR9, VAR15, VAR42, VAR10;
wire VAR13, VAR27, VAR36, VAR35;
wire VAR41, VAR40;
wire VAR4, VAR30, VAR25;
wire VAR29, VAR34;
wire VAR19;
VAR37 VAR37 (
.VAR12(VAR12),
.VAR5(VAR5),
.VAR26(VAR26),
.VAR11(VAR11),
.VAR14(VAR14),
.VAR21(VAR21),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR15(VAR15),
.VAR42(VAR42),
.VAR10(VAR10),
.VAR13(VAR13),
.VAR27(VAR27),
.VAR36(VAR36),
.VAR35(VAR35),
.VAR41(VAR41),
.VAR40(VAR40),
.VAR20(VAR20),
.VAR23(VAR23),
.VAR4(VAR4),
.VAR30(VAR30),
.VAR25(VAR25),
.VAR7(VAR7),
.VAR33(VAR33),
.VAR22(VAR22),
.VAR38(VAR38),
.VAR29(VAR29),
.VAR34(VAR34),
.VAR19(VAR19),
.VAR24(VAR24)
);
assign VAR6[0] = VAR26 || VAR11 || VAR14 || VAR21 || VAR2 || VAR9
|| VAR42 || VAR41 || VAR40 || VAR4 || VAR30 || VAR33 || VAR19 || VAR24;
assign VAR6[1] = VAR2 || VAR9 || VAR10 || VAR13 || VAR35 || VAR4 || VAR30 || VAR24;
assign VAR6[2] = VAR26 || VAR11 || VAR14 || VAR21 || VAR2 || VAR9
|| VAR13 || VAR41 || VAR40 || VAR25 || VAR33 || VAR29 || VAR19;
assign VAR6[3] = VAR27 || VAR36 || VAR35 || VAR4 || VAR30 || VAR25 || VAR24;
assign VAR8 = VAR11 || VAR14 || VAR9 || VAR36 || VAR41 || VAR40 || VAR30 || VAR19;
assign VAR16 = VAR15 || VAR42 || VAR10;
assign VAR18 = VAR26 || VAR21 || VAR2 || VAR15 || VAR42 || VAR10
|| VAR13 || VAR27 || VAR35 || VAR4 || VAR25 || VAR34;
assign VAR1 = VAR26 || VAR11 || VAR14 || VAR21 || VAR2 || VAR9
|| VAR15 || VAR42 || VAR10 || VAR13 || VAR27 || VAR36 || VAR35 || VAR41
|| VAR4 || VAR30 || VAR25 || VAR33 || VAR34 || VAR19;
assign VAR39 = VAR11 || VAR14 || VAR41 || VAR40 || VAR30 || VAR19;
assign VAR32 = VAR41 || VAR19;
assign VAR17 = VAR40;
assign VAR31 = VAR29;
assign VAR3 = VAR34;
assign VAR28 = VAR19;
endmodule
|
mit
|
andrewandrepowell/kernel-on-chip
|
hdl/projects/Nexys4/bd/ip/bd_mig_7series_0_0/bd_mig_7series_0_0/user_design/rtl/controller/mig_7series_v4_0_round_robin_arb.v
| 7,553 |
module MODULE1
parameter VAR20 = 100,
parameter VAR2 = 3
)
(
VAR14, VAR18,
clk, rst, req, VAR15, VAR17, VAR8
);
input clk;
input rst;
input [VAR2-1:0] req;
wire [VAR2-1:0] VAR1;
reg [VAR2*2-1:0] VAR7;
always @(VAR1)
VAR7 = {VAR1, VAR1};
reg [VAR2*2-1:0] VAR13;
always @(req) VAR13 = {req, req};
reg [VAR2-1:0] VAR11 = {VAR2{1'b0}};
genvar VAR16;
genvar VAR12;
generate
for (VAR16 = 0; VAR16 < VAR2; VAR16 = VAR16 + 1) begin : VAR19
wire [VAR2-1:1] VAR5;
for (VAR12 = 0; VAR12 < (VAR2-1); VAR12 = VAR12 + 1) begin : VAR3
assign VAR5[VAR12+1] =
VAR7[VAR16+VAR12] && |VAR13[VAR16+VAR2-1:VAR16+VAR12+1];
end
always @(VAR5) VAR11[VAR16] = |VAR5;
end
endgenerate
input VAR15;
output wire [VAR2-1:0] VAR14;
assign VAR14 = req & ~VAR11 & {VAR2{~VAR15}};
output reg [VAR2-1:0] VAR18;
input [VAR2-1:0] VAR17;
input VAR8;
reg [VAR2-1:0] VAR10;
localparam VAR9 = 1 << (VAR2 - 1); assign VAR1 = rst
? VAR9[0+:VAR2]
: VAR8
? VAR17
: VAR10;
VAR6:
assert property (@(posedge clk) (rst || 0(VAR14)));
VAR4:
assert property (@(posedge clk) (rst || (VAR10)));
endmodule
|
mit
|
Fabeltranm/FPGA-Game-D1
|
HW/RTL/08ULTRASONIDO/Version_01/011J1G2/hdl/uart/peripheral_uart.v
| 1,672 |
module MODULE1(clk , rst , din , VAR2 , addr , rd , wr, dout, VAR6, VAR12 );
input clk;
input rst;
input [15:0]din;
input VAR2;
input [3:0]addr; input rd;
input wr;
output reg [15:0]dout;
output VAR6;
output reg VAR12=0;
reg [2:0] VAR8;
reg [7:0] VAR10;
wire VAR5;
always @(*) VAR3 (addr)
4'h0:begin VAR8 = (VAR2 && rd) ? 3'b001 : 3'b000 ;end
4'h2:begin VAR8 = (VAR2 && wr) ? 3'b010 : 3'b000 ;end 4'h4:begin VAR8 = (VAR2 && wr) ? 3'b100 : 3'b000 ;end default:begin VAR8=3'b000 ; end
endcase
end
always @(negedge clk) begin
VAR10= (VAR8[1]) ? din[7:0] : VAR10; VAR12 = (VAR8[2]) ? din[0] : VAR12;
end
always @(negedge clk) VAR3 (VAR8)
3'b001: dout[0]= VAR5; default: dout=0;
endcase
end
VAR1 VAR1(.VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR2 && wr && (addr != 4'h4) ), .VAR11(VAR10), .VAR7(clk), .VAR4(rst));
endmodule
|
gpl-3.0
|
alexforencich/verilog-axis
|
rtl/axis_pipeline_register.v
| 5,328 |
module MODULE1 #
(
parameter VAR12 = 8,
parameter VAR17 = (VAR12>8),
parameter VAR6 = ((VAR12+7)/8),
parameter VAR9 = 1,
parameter VAR28 = 0,
parameter VAR38 = 8,
parameter VAR40 = 0,
parameter VAR1 = 8,
parameter VAR20 = 1,
parameter VAR27 = 1,
parameter VAR4 = 2,
parameter VAR14 = 2
)
(
input wire clk,
input wire rst,
input wire [VAR12-1:0] VAR19,
input wire [VAR6-1:0] VAR26,
input wire VAR23,
output wire VAR21,
input wire VAR36,
input wire [VAR38-1:0] VAR25,
input wire [VAR1-1:0] VAR3,
input wire [VAR27-1:0] VAR10,
output wire [VAR12-1:0] VAR16,
output wire [VAR6-1:0] VAR5,
output wire VAR30,
input wire VAR33,
output wire VAR31,
output wire [VAR38-1:0] VAR39,
output wire [VAR1-1:0] VAR29,
output wire [VAR27-1:0] VAR15
);
wire [VAR12-1:0] VAR35[0:VAR14];
wire [VAR6-1:0] VAR37[0:VAR14];
wire VAR8[0:VAR14];
wire VAR2[0:VAR14];
wire VAR18[0:VAR14];
wire [VAR38-1:0] VAR13[0:VAR14];
wire [VAR1-1:0] VAR32[0:VAR14];
wire [VAR27-1:0] VAR24[0:VAR14];
assign VAR35[0] = VAR19;
assign VAR37[0] = VAR26;
assign VAR8[0] = VAR23;
assign VAR21 = VAR2[0];
assign VAR18[0] = VAR36;
assign VAR13[0] = VAR25;
assign VAR32[0] = VAR3;
assign VAR24[0] = VAR10;
assign VAR16 = VAR35[VAR14];
assign VAR5 = VAR37[VAR14];
assign VAR30 = VAR8[VAR14];
assign VAR2[VAR14] = VAR33;
assign VAR31 = VAR18[VAR14];
assign VAR39 = VAR13[VAR14];
assign VAR29 = VAR32[VAR14];
assign VAR15 = VAR24[VAR14];
generate
genvar VAR7;
for (VAR7 = 0; VAR7 < VAR14; VAR7 = VAR7 + 1) begin : VAR11
VAR22 #(
.VAR12(VAR12),
.VAR17(VAR17),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR28(VAR28),
.VAR38(VAR38),
.VAR40(VAR40),
.VAR1(VAR1),
.VAR20(VAR20),
.VAR27(VAR27),
.VAR4(VAR4)
)
VAR34 (
.clk(clk),
.rst(rst),
.VAR19(VAR35[VAR7]),
.VAR26(VAR37[VAR7]),
.VAR23(VAR8[VAR7]),
.VAR21(VAR2[VAR7]),
.VAR36(VAR18[VAR7]),
.VAR25(VAR13[VAR7]),
.VAR3(VAR32[VAR7]),
.VAR10(VAR24[VAR7]),
.VAR16(VAR35[VAR7+1]),
.VAR5(VAR37[VAR7+1]),
.VAR30(VAR8[VAR7+1]),
.VAR33(VAR2[VAR7+1]),
.VAR31(VAR18[VAR7+1]),
.VAR39(VAR13[VAR7+1]),
.VAR29(VAR32[VAR7+1]),
.VAR15(VAR24[VAR7+1])
);
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfbbp/sky130_fd_sc_hs__dfbbp.functional.v
| 2,242 |
module MODULE1 (
VAR12 ,
VAR3 ,
VAR20 ,
VAR7 ,
VAR1 ,
VAR14,
VAR6 ,
VAR4
);
output VAR12 ;
output VAR3 ;
input VAR20 ;
input VAR7 ;
input VAR1 ;
input VAR14;
input VAR6 ;
input VAR4 ;
wire VAR16 ;
wire VAR13 ;
wire VAR11 ;
wire VAR8 ;
wire VAR19;
wire VAR5 ;
not VAR10 (VAR16 , VAR14 );
not VAR17 (VAR13 , VAR1 );
VAR15 VAR21 VAR9 (VAR11 , VAR13, VAR16, VAR7, VAR20, VAR6, VAR4);
buf VAR2 (VAR12 , VAR11 );
not VAR18 (VAR3 , VAR11 );
endmodule
|
apache-2.0
|
ElegantLin/My-CPU
|
Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/openmips.v
| 16,842 |
module MODULE1(
input wire clk,
input wire rst,
input wire[5:0] VAR283,
input wire[VAR142] VAR150,
output wire[VAR142] VAR41,
output wire VAR157,
input wire[VAR142] VAR236,
output wire[VAR142] VAR136,
output wire[VAR142] VAR88,
output wire VAR260,
output wire[3:0] VAR286,
output VAR49,
output wire VAR3
);
wire[VAR33] VAR193;
wire[VAR33] VAR264;
wire[VAR197] VAR311;
wire[VAR183] VAR53;
wire[VAR245] VAR13;
wire[VAR142] VAR194;
wire[VAR142] VAR55;
wire VAR254;
wire[VAR190] VAR181;
wire VAR201;
wire[VAR142] VAR253;
wire[VAR142] VAR249;
wire[31:0] VAR329;
wire[VAR142] VAR240;
wire[VAR183] VAR31;
wire[VAR245] VAR102;
wire[VAR142] VAR163;
wire[VAR142] VAR323;
wire VAR22;
wire[VAR190] VAR282;
wire VAR244;
wire[VAR142] VAR78;
wire[VAR142] VAR139;
wire[31:0] VAR147;
wire[VAR142] VAR149;
wire VAR289;
wire[VAR190] VAR322;
wire[VAR142] VAR152;
wire[VAR142] VAR239;
wire[VAR142] VAR330;
wire VAR103;
wire[VAR183] VAR314;
wire[VAR142] VAR111;
wire[VAR142] VAR70;
wire VAR271;
wire[4:0] VAR204;
wire[VAR142] VAR131;
wire[31:0] VAR164;
wire[VAR142] VAR187;
wire VAR202;
wire VAR247;
wire[VAR190] VAR77;
wire[VAR142] VAR280;
wire[VAR142] VAR281;
wire[VAR142] VAR23;
wire VAR320;
wire[VAR183] VAR119;
wire[VAR142] VAR35;
wire[VAR142] VAR199;
wire VAR229;
wire[4:0] VAR125;
wire[VAR142] VAR293;
wire[31:0] VAR91;
wire VAR265;
wire[VAR142] VAR209;
wire VAR166;
wire[VAR190] VAR317;
wire[VAR142] VAR138;
wire[VAR142] VAR287;
wire[VAR142] VAR28;
wire VAR305;
wire VAR215;
wire VAR252;
wire VAR60;
wire[4:0] VAR217;
wire[VAR142] VAR332;
wire[31:0] VAR220;
wire VAR97;
wire[VAR142] VAR290;
wire VAR226;
wire[VAR190] VAR309;
wire[VAR142] VAR327;
wire[VAR142] VAR9;
wire[VAR142] VAR261;
wire VAR331;
wire VAR133;
wire VAR50;
wire VAR200;
wire[4:0] VAR198;
wire[VAR142] VAR160;
wire[31:0] VAR241;
wire VAR214;
wire[VAR142] VAR15;
wire VAR184;
wire VAR319;
wire[VAR142] VAR295;
wire[VAR142] VAR18;
wire[VAR190] VAR228;
wire[VAR190] VAR67;
wire[VAR142] VAR188;
wire[VAR142] VAR255;
wire[VAR51] VAR279;
wire[1:0] VAR32;
wire[VAR51] VAR74;
wire[1:0] VAR182;
wire[VAR51] VAR76;
wire VAR246;
wire[VAR142] VAR173;
wire[VAR142] VAR292;
wire VAR62;
wire VAR223;
wire VAR300;
wire VAR231;
wire VAR65;
wire VAR257;
wire VAR168;
wire[VAR142] VAR19;
wire[5:0] VAR284;
wire VAR315;
wire VAR27;
wire VAR192;
wire[VAR142] VAR221;
wire[4:0] VAR59;
wire VAR153;
wire[VAR142] VAR120;
wire[VAR142] VAR21;
wire[VAR142] VAR186;
wire[VAR142] VAR316;
wire[VAR142] VAR145;
wire[VAR142] VAR235;
wire[VAR142] VAR107;
wire[VAR142] VAR75;
wire[VAR142] VAR58;
VAR312 VAR99(
.clk(clk),
.rst(rst),
.VAR284(VAR284),
.VAR153(VAR153),
.VAR120(VAR120),
.VAR63(VAR168),
.VAR159(VAR19),
.VAR193(VAR193),
.VAR161(VAR157)
);
assign VAR41 = VAR193;
VAR48 VAR156(
.clk(clk),
.rst(rst),
.VAR284(VAR284),
.VAR153(VAR153),
.VAR113(VAR193),
.VAR172(VAR150),
.VAR73(VAR264),
.VAR175(VAR311)
);
VAR212 VAR110(
.rst(rst),
.VAR189(VAR264),
.VAR5(VAR311),
.VAR31(VAR314),
.VAR81(VAR295),
.VAR185(VAR18),
.VAR22(VAR289),
.VAR269(VAR152),
.VAR282(VAR322),
.VAR247(VAR166),
.VAR280(VAR138),
.VAR77(VAR317),
.VAR231(VAR231),
.VAR313(VAR184),
.VAR179(VAR319),
.VAR227(VAR228),
.VAR165(VAR67),
.VAR130(VAR53),
.VAR98(VAR13),
.VAR285(VAR194),
.VAR143(VAR55),
.VAR307(VAR181),
.VAR308(VAR254),
.VAR104(VAR329),
.VAR151(VAR249),
.VAR257(VAR257),
.VAR169(VAR168),
.VAR248(VAR19),
.VAR140(VAR253),
.VAR65(VAR201),
.VAR170(VAR240),
.VAR304(VAR315)
);
VAR277 VAR146(
.clk (clk),
.rst (rst),
.VAR47 (VAR226),
.VAR87 (VAR309),
.VAR263 (VAR327),
.VAR122 (VAR184),
.VAR29 (VAR228),
.VAR306 (VAR295),
.VAR276 (VAR319),
.VAR17 (VAR67),
.VAR326 (VAR18)
);
VAR4 VAR224(
.clk(clk),
.rst(rst),
.VAR284(VAR284),
.VAR153(VAR153),
.VAR115(VAR53),
.VAR61(VAR13),
.VAR40(VAR194),
.VAR177(VAR55),
.VAR180(VAR181),
.VAR30(VAR254),
.VAR205(VAR253),
.VAR69(VAR201),
.VAR203(VAR257),
.VAR175(VAR249),
.VAR321(VAR329),
.VAR123(VAR240),
.VAR250(VAR31),
.VAR301(VAR102),
.VAR1(VAR163),
.VAR291(VAR323),
.VAR46(VAR282),
.VAR303(VAR22),
.VAR86(VAR78),
.VAR267(VAR244),
.VAR65(VAR231),
.VAR126(VAR139),
.VAR56(VAR147),
.VAR42(VAR149)
);
VAR211 VAR135(
.rst(rst),
.VAR94(VAR31),
.VAR233(VAR102),
.VAR90(VAR163),
.VAR256(VAR323),
.VAR274(VAR282),
.VAR318(VAR22),
.VAR89(VAR188),
.VAR26(VAR255),
.VAR5(VAR139),
.VAR9(VAR9),
.VAR261(VAR261),
.VAR331(VAR331),
.VAR281(VAR287),
.VAR23(VAR28),
.VAR320(VAR305),
.VAR74(VAR74),
.VAR182(VAR182),
.VAR242(VAR76),
.VAR258(VAR246),
.VAR196(VAR78),
.VAR231(VAR244),
.VAR92(VAR147),
.VAR132(VAR149),
.VAR14(VAR60),
.VAR219(VAR217),
.VAR6(VAR332),
.VAR72(VAR200),
.VAR225(VAR198),
.VAR167(VAR160),
.VAR178(VAR221),
.VAR210(VAR59),
.VAR251(VAR271),
.VAR299(VAR204),
.VAR222(VAR131),
.VAR307(VAR322),
.VAR308(VAR289),
.VAR82(VAR152),
.VAR238(VAR239),
.VAR44(VAR330),
.VAR38(VAR103),
.VAR279(VAR279),
.VAR32(VAR32),
.VAR10(VAR173),
.VAR45(VAR292),
.VAR37(VAR62),
.VAR206(VAR300),
.VAR130(VAR314),
.VAR134(VAR111),
.VAR143(VAR70),
.VAR104(VAR164),
.VAR65(VAR202),
.VAR170(VAR187),
.VAR304(VAR27)
);
VAR266 VAR24(
.clk(clk),
.rst(rst),
.VAR284(VAR284),
.VAR153(VAR153),
.VAR46(VAR322),
.VAR303(VAR289),
.VAR297(VAR152),
.VAR328(VAR239),
.VAR52(VAR330),
.VAR191(VAR103),
.VAR250(VAR314),
.VAR259(VAR111),
.VAR291(VAR70),
.VAR57(VAR271),
.VAR278(VAR204),
.VAR174(VAR131),
.VAR56(VAR164),
.VAR267(VAR202),
.VAR42(VAR187),
.VAR128(VAR279),
.VAR182(VAR32),
.VAR288(VAR77),
.VAR16(VAR247),
.VAR112(VAR280),
.VAR100(VAR281),
.VAR117(VAR23),
.VAR294(VAR320),
.VAR14(VAR229),
.VAR219(VAR125),
.VAR6(VAR293),
.VAR114(VAR119),
.VAR296(VAR35),
.VAR121(VAR199),
.VAR298(VAR91),
.VAR36(VAR265),
.VAR137(VAR209),
.VAR85(VAR74),
.VAR32(VAR182)
);
VAR195 VAR155(
.rst(rst),
.VAR274(VAR77),
.VAR318(VAR247),
.VAR127(VAR280),
.VAR89(VAR281),
.VAR26(VAR23),
.VAR64(VAR320),
.VAR94(VAR119),
.VAR93(VAR35),
.VAR256(VAR199),
.VAR268(VAR236),
.VAR243(VAR192),
.VAR50(VAR50),
.VAR133(VAR133),
.VAR141(VAR229),
.VAR216(VAR125),
.VAR178(VAR293),
.VAR92(VAR91),
.VAR231(VAR265),
.VAR132(VAR209),
.VAR232(VAR316),
.VAR144(VAR145),
.VAR101(VAR235),
.VAR72(VAR200),
.VAR225(VAR198),
.VAR167(VAR160),
.VAR158(VAR252),
.VAR80(VAR215),
.VAR251(VAR60),
.VAR299(VAR217),
.VAR222(VAR332),
.VAR307(VAR317),
.VAR308(VAR166),
.VAR82(VAR138),
.VAR238(VAR287),
.VAR44(VAR28),
.VAR38(VAR305),
.VAR134(VAR136),
.VAR68(VAR260),
.VAR105(VAR286),
.VAR270(VAR88),
.VAR324(VAR49),
.VAR104(VAR220),
.VAR230(VAR58),
.VAR65(VAR97),
.VAR170(VAR290)
);
VAR39 VAR218(
.clk(clk),
.rst(rst),
.VAR284(VAR284),
.VAR153(VAR153),
.VAR288(VAR317),
.VAR16(VAR166),
.VAR112(VAR138),
.VAR100(VAR287),
.VAR117(VAR28),
.VAR294(VAR305),
.VAR262(VAR252),
.VAR25(VAR215),
.VAR14(VAR60),
.VAR219(VAR217),
.VAR6(VAR332),
.VAR54(VAR309),
.VAR79(VAR226),
.VAR95(VAR327),
.VAR162(VAR9),
.VAR34(VAR261),
.VAR7(VAR331),
.VAR116(VAR50),
.VAR96(VAR133),
.VAR72(VAR200),
.VAR225(VAR198),
.VAR167(VAR160)
);
VAR176 VAR83(
.clk(clk),
.rst(rst),
.VAR47(VAR331),
.VAR89(VAR9),
.VAR26(VAR261),
.VAR238(VAR188),
.VAR44(VAR255)
);
VAR237 VAR106(
.rst(rst),
.VAR92(VAR220),
.VAR101(VAR58),
.VAR315(VAR315),
.VAR11(VAR168),
.VAR27(VAR27),
.VAR120(VAR120),
.VAR153(VAR153),
.VAR284(VAR284)
);
VAR275 VAR118(
.clk(clk),
.rst(rst),
.VAR12(VAR300),
.VAR213(VAR173),
.VAR272(VAR292),
.VAR154(VAR62),
.VAR43(VAR153),
.VAR109(VAR76),
.VAR129(VAR246)
);
VAR108 VAR234(
.clk(clk),
.rst(rst),
.VAR153(VAR153),
.VAR243(VAR133),
.VAR47(VAR50),
.VAR192(VAR192)
);
VAR171 VAR71(
.clk(clk),
.rst(rst),
.VAR66(VAR200),
.VAR302(VAR198),
.VAR124(VAR59),
.VAR20(VAR160),
.VAR92(VAR220),
.VAR283(VAR283),
.VAR208(VAR290),
.VAR231(VAR97),
.VAR8(VAR221),
.VAR84(VAR21),
.VAR148(VAR186),
.VAR310(VAR316),
.VAR207(VAR145),
.VAR325(VAR235),
.VAR273(VAR107),
.VAR2(VAR75),
.VAR3(VAR3)
);
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/aoi222/gf180mcu_fd_sc_mcu9t5v0__aoi222_4.behavioral.v
| 7,158 |
module MODULE1( VAR4, VAR1, VAR7, VAR6, VAR8, VAR9, VAR5 );
input VAR9, VAR5, VAR6, VAR8, VAR1, VAR7;
output VAR4;
VAR2 VAR10(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR6(VAR6),.VAR8(VAR8),.VAR9(VAR9),.VAR5(VAR5));
VAR2 VAR3(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR6(VAR6),.VAR8(VAR8),.VAR9(VAR9),.VAR5(VAR5));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dfsbp/sky130_fd_sc_lp__dfsbp_1.v
| 2,377 |
module MODULE2 (
VAR2 ,
VAR11 ,
VAR6 ,
VAR4 ,
VAR9,
VAR3 ,
VAR10 ,
VAR7 ,
VAR5
);
output VAR2 ;
output VAR11 ;
input VAR6 ;
input VAR4 ;
input VAR9;
input VAR3 ;
input VAR10 ;
input VAR7 ;
input VAR5 ;
VAR8 VAR1 (
.VAR2(VAR2),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR2 ,
VAR11 ,
VAR6 ,
VAR4 ,
VAR9
);
output VAR2 ;
output VAR11 ;
input VAR6 ;
input VAR4 ;
input VAR9;
supply1 VAR3;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR5 ;
VAR8 VAR1 (
.VAR2(VAR2),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_auto_pc_0/synth/zynq_design_1_auto_pc_0.v
| 13,333 |
module MODULE1 (
VAR93,
VAR1,
VAR43,
VAR75,
VAR42,
VAR26,
VAR62,
VAR77,
VAR10,
VAR101,
VAR56,
VAR57,
VAR5,
VAR105,
VAR103,
VAR45,
VAR83,
VAR48,
VAR78,
VAR36,
VAR4,
VAR47,
VAR98,
VAR76,
VAR37,
VAR65,
VAR9,
VAR63,
VAR88,
VAR2,
VAR89,
VAR19,
VAR38,
VAR49,
VAR16,
VAR97,
VAR82,
VAR59,
VAR17,
VAR104,
VAR32,
VAR52,
VAR99,
VAR68,
VAR67,
VAR22,
VAR95,
VAR41,
VAR92,
VAR70,
VAR112,
VAR102,
VAR8,
VAR33,
VAR40,
VAR23,
VAR27,
VAR6,
VAR74,
VAR85
);
input wire VAR93;
input wire VAR1;
input wire [11 : 0] VAR43;
input wire [31 : 0] VAR75;
input wire [7 : 0] VAR42;
input wire [2 : 0] VAR26;
input wire [1 : 0] VAR62;
input wire [0 : 0] VAR77;
input wire [3 : 0] VAR10;
input wire [2 : 0] VAR101;
input wire [3 : 0] VAR56;
input wire [3 : 0] VAR57;
input wire VAR5;
output wire VAR105;
input wire [31 : 0] VAR103;
input wire [3 : 0] VAR45;
input wire VAR83;
input wire VAR48;
output wire VAR78;
output wire [11 : 0] VAR36;
output wire [1 : 0] VAR4;
output wire VAR47;
input wire VAR98;
input wire [11 : 0] VAR76;
input wire [31 : 0] VAR37;
input wire [7 : 0] VAR65;
input wire [2 : 0] VAR9;
input wire [1 : 0] VAR63;
input wire [0 : 0] VAR88;
input wire [3 : 0] VAR2;
input wire [2 : 0] VAR89;
input wire [3 : 0] VAR19;
input wire [3 : 0] VAR38;
input wire VAR49;
output wire VAR16;
output wire [11 : 0] VAR97;
output wire [31 : 0] VAR82;
output wire [1 : 0] VAR59;
output wire VAR17;
output wire VAR104;
input wire VAR32;
output wire [31 : 0] VAR52;
output wire [2 : 0] VAR99;
output wire VAR68;
input wire VAR67;
output wire [31 : 0] VAR22;
output wire [3 : 0] VAR95;
output wire VAR41;
input wire VAR92;
input wire [1 : 0] VAR70;
input wire VAR112;
output wire VAR102;
output wire [31 : 0] VAR8;
output wire [2 : 0] VAR33;
output wire VAR40;
input wire VAR23;
input wire [31 : 0] VAR27;
input wire [1 : 0] VAR6;
input wire VAR74;
output wire VAR85;
VAR54 #(
.VAR64("VAR35"),
.VAR66(2),
.VAR100(0),
.VAR25(0),
.VAR90(12),
.VAR96(32),
.VAR61(32),
.VAR20(1),
.VAR91(1),
.VAR107(0),
.VAR30(1),
.VAR11(1),
.VAR18(1),
.VAR3(1),
.VAR15(1),
.VAR51(2)
) VAR28 (
.VAR93(VAR93),
.VAR1(VAR1),
.VAR43(VAR43),
.VAR75(VAR75),
.VAR42(VAR42),
.VAR26(VAR26),
.VAR62(VAR62),
.VAR77(VAR77),
.VAR10(VAR10),
.VAR101(VAR101),
.VAR56(VAR56),
.VAR57(VAR57),
.VAR84(1'VAR21),
.VAR5(VAR5),
.VAR105(VAR105),
.VAR44(12'VAR24),
.VAR103(VAR103),
.VAR45(VAR45),
.VAR83(VAR83),
.VAR13(1'VAR21),
.VAR48(VAR48),
.VAR78(VAR78),
.VAR36(VAR36),
.VAR4(VAR4),
.VAR14(),
.VAR47(VAR47),
.VAR98(VAR98),
.VAR76(VAR76),
.VAR37(VAR37),
.VAR65(VAR65),
.VAR9(VAR9),
.VAR63(VAR63),
.VAR88(VAR88),
.VAR2(VAR2),
.VAR89(VAR89),
.VAR19(VAR19),
.VAR38(VAR38),
.VAR109(1'VAR21),
.VAR49(VAR49),
.VAR16(VAR16),
.VAR97(VAR97),
.VAR82(VAR82),
.VAR59(VAR59),
.VAR17(VAR17),
.VAR79(),
.VAR104(VAR104),
.VAR32(VAR32),
.VAR12(),
.VAR52(VAR52),
.VAR73(),
.VAR81(),
.VAR86(),
.VAR50(),
.VAR110(),
.VAR99(VAR99),
.VAR106(),
.VAR113(),
.VAR31(),
.VAR68(VAR68),
.VAR67(VAR67),
.VAR7(),
.VAR22(VAR22),
.VAR95(VAR95),
.VAR55(),
.VAR72(),
.VAR41(VAR41),
.VAR92(VAR92),
.VAR94(12'VAR24),
.VAR70(VAR70),
.VAR46(1'VAR21),
.VAR112(VAR112),
.VAR102(VAR102),
.VAR108(),
.VAR8(VAR8),
.VAR58(),
.VAR114(),
.VAR39(),
.VAR87(),
.VAR60(),
.VAR33(VAR33),
.VAR34(),
.VAR111(),
.VAR69(),
.VAR40(VAR40),
.VAR23(VAR23),
.VAR71(12'VAR24),
.VAR27(VAR27),
.VAR6(VAR6),
.VAR29(1'VAR80),
.VAR53(1'VAR21),
.VAR74(VAR74),
.VAR85(VAR85)
);
endmodule
|
mit
|
mbuesch/toprammer
|
libtoprammer/fpga/src/attiny26dip20/attiny26dip20.v
| 5,223 |
module MODULE1(VAR5, VAR7, write, read, VAR14);
inout [7:0] VAR5;
input VAR7;
input write;
input read;
inout [48:1] VAR14;
reg [7:0] address;
reg [7:0] VAR13;
wire VAR12;
reg VAR3, VAR11, VAR4, VAR18;
reg VAR10, VAR8;
reg [7:0] VAR9;
reg VAR2;
reg VAR15;
reg VAR6;
reg VAR16;
wire VAR17, VAR1;
assign VAR17 = 0;
assign VAR1 = 1;
|
gpl-2.0
|
fpgaminer/fpgaminer-vanitygen
|
cores/sha256/sha256.v
| 5,397 |
module MODULE1 (
input clk,
input VAR16,
input [263:0] VAR20,
output reg VAR6 = 1'b0,
output reg [255:0] VAR13 = 256'd0
);
wire [247:0] VAR18 = {8'h80, 176'h00, 64'h0000000000000108};
reg [511:0] VAR3;
reg [255:0] state;
reg [6:0] VAR8;
wire [31:0] VAR24;
MODULE2 MODULE1 (
.clk (clk),
.VAR23 (VAR8[5:0]),
.VAR15 (VAR24)
);
wire [31:0] VAR30, VAR29, VAR21, VAR9, VAR32, VAR10;
VAR11 VAR25 (VAR3[VAR2(1)], VAR30);
VAR27 VAR28 (VAR3[VAR2(14)], VAR29);
VAR7 VAR33 (state[VAR2(0)], VAR21);
VAR19 VAR12 (state[VAR2(4)], VAR9);
VAR17 VAR14 (state[VAR2(4)], state[VAR2(5)], state[VAR2(6)], VAR32);
VAR31 VAR4 (state[VAR2(0)], state[VAR2(1)], state[VAR2(2)], VAR10);
wire [31:0] VAR26 = state[VAR2(7)] + VAR9 + VAR32 + VAR3[31:0] + VAR24;
wire [31:0] VAR22 = VAR21 + VAR10;
always @ (posedge clk)
begin
VAR3[VAR2(15)] <= VAR3[VAR2(0)] + VAR3[VAR2(9)] + VAR30 + VAR29;
VAR3[479:0] <= VAR3[511:32];
state[VAR2(7)] <= state[VAR2(6)];
state[VAR2(6)] <= state[VAR2(5)];
state[VAR2(5)] <= state[VAR2(4)];
state[VAR2(4)] <= state[VAR2(3)] + VAR26;
state[VAR2(3)] <= state[VAR2(2)];
state[VAR2(2)] <= state[VAR2(1)];
state[VAR2(1)] <= state[VAR2(0)];
state[VAR2(0)] <= VAR26 + VAR22;
if (VAR8 < 64)
VAR8 <= VAR8 + 7'd1;
if (VAR8 == 64 && !VAR6)
begin
VAR13[VAR2(7)] <= 32'h6a09e667 + state[VAR2(0)];
VAR13[VAR2(6)] <= 32'hbb67ae85 + state[VAR2(1)];
VAR13[VAR2(5)] <= 32'h3c6ef372 + state[VAR2(2)];
VAR13[VAR2(4)] <= 32'ha54ff53a + state[VAR2(3)];
VAR13[VAR2(3)] <= 32'h510e527f + state[VAR2(4)];
VAR13[VAR2(2)] <= 32'h9b05688c + state[VAR2(5)];
VAR13[VAR2(1)] <= 32'h1f83d9ab + state[VAR2(6)];
VAR13[VAR2(0)] <= 32'h5be0cd19 + state[VAR2(7)];
VAR6 <= 1'b1;
end
if (VAR16)
begin
VAR6 <= 1'b0;
state <= 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667;
VAR8 <= 0;
VAR3[VAR2(0)] <= VAR20[263:232];
VAR3[VAR2(1)] <= VAR20[231:200];
VAR3[VAR2(2)] <= VAR20[199:168];
VAR3[VAR2(3)] <= VAR20[167:136];
VAR3[VAR2(4)] <= VAR20[135:104];
VAR3[VAR2(5)] <= VAR20[103:72];
VAR3[VAR2(6)] <= VAR20[71:40];
VAR3[VAR2(7)] <= VAR20[39:8];
VAR3[VAR2(8)] <= {VAR20[7:0], VAR18[247:224]};
VAR3[VAR2(9)] <= VAR18[223:192];
VAR3[VAR2(10)] <= VAR18[191:160];
VAR3[VAR2(11)] <= VAR18[159:128];
VAR3[VAR2(12)] <= VAR18[127:96];
VAR3[VAR2(13)] <= VAR18[95:64];
VAR3[VAR2(14)] <= VAR18[63:32];
VAR3[VAR2(15)] <= VAR18[31:0];
end
end
endmodule
module MODULE2 (
input clk,
input [5:0] VAR23,
output [31:0] VAR15
);
localparam VAR1 = {
32'hC67178F2, 32'hBEF9A3F7, 32'hA4506CEB, 32'h90BEFFFA,
32'h8CC70208, 32'h84C87814, 32'h78A5636F, 32'h748F82EE,
32'h682E6FF3, 32'h5B9CCA4F, 32'h4ED8AA4A, 32'h391C0CB3,
32'h34B0BCB5, 32'h2748774C, 32'h1E376C08, 32'h19A4C116,
32'h106AA070, 32'hF40E3585, 32'hD6990624, 32'hD192E819,
32'hC76C51A3, 32'hC24B8B70, 32'hA81A664B, 32'hA2BFE8A1,
32'h92722C85, 32'h81C2C92E, 32'h766A0ABB, 32'h650A7354,
32'h53380D13, 32'h4D2C6DFC, 32'h2E1B2138, 32'h27B70A85,
32'h14292967, 32'h06CA6351, 32'hD5A79147, 32'hC6E00BF3,
32'hBF597FC7, 32'hB00327C8, 32'hA831C66D, 32'h983E5152,
32'h76F988DA, 32'h5CB0A9DC, 32'h4A7484AA, 32'h2DE92C6F,
32'h240CA1CC, 32'h0FC19DC6, 32'hEFBE4786, 32'hE49B69C1,
32'hC19BF174, 32'h9BDC06A7, 32'h80DEB1FE, 32'h72BE5D74,
32'h550C7DC3, 32'h243185BE, 32'h12835B01, 32'hD807AA98,
32'hAB1C5ED5, 32'h923F82A4, 32'h59F111F1, 32'h3956C25B,
32'hE9B5DBA5, 32'hB5C0FBCF, 32'h71374491, 32'h428A2F98};
assign VAR15 = VAR1 >> {VAR23, 5'd0};
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and3/sky130_fd_sc_hs__and3.pp.symbol.v
| 1,247 |
module MODULE1 (
input VAR5 ,
input VAR3 ,
input VAR1 ,
output VAR4 ,
input VAR6,
input VAR2
);
endmodule
|
apache-2.0
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_xbar_1/synth/system_xbar_1.v
| 28,794 |
module MODULE1 (
VAR95,
VAR131,
VAR42,
VAR124,
VAR51,
VAR16,
VAR105,
VAR3,
VAR73,
VAR58,
VAR15,
VAR117,
VAR34,
VAR99,
VAR66,
VAR25,
VAR7,
VAR63,
VAR96,
VAR71,
VAR49,
VAR125,
VAR31,
VAR69,
VAR20,
VAR116,
VAR109,
VAR89,
VAR36,
VAR123,
VAR18,
VAR45,
VAR30,
VAR65,
VAR103,
VAR62,
VAR2,
VAR43,
VAR81,
VAR118
);
input wire VAR95;
input wire VAR131;
input wire [31 : 0] VAR42;
input wire [2 : 0] VAR124;
input wire [0 : 0] VAR51;
output wire [0 : 0] VAR16;
input wire [31 : 0] VAR105;
input wire [3 : 0] VAR3;
input wire [0 : 0] VAR73;
output wire [0 : 0] VAR58;
output wire [1 : 0] VAR15;
output wire [0 : 0] VAR117;
input wire [0 : 0] VAR34;
input wire [31 : 0] VAR99;
input wire [2 : 0] VAR66;
input wire [0 : 0] VAR25;
output wire [0 : 0] VAR7;
output wire [31 : 0] VAR63;
output wire [1 : 0] VAR96;
output wire [0 : 0] VAR71;
input wire [0 : 0] VAR49;
output wire [447 : 0] VAR125;
output wire [41 : 0] VAR31;
output wire [13 : 0] VAR69;
input wire [13 : 0] VAR20;
output wire [447 : 0] VAR116;
output wire [55 : 0] VAR109;
output wire [13 : 0] VAR89;
input wire [13 : 0] VAR36;
input wire [27 : 0] VAR123;
input wire [13 : 0] VAR18;
output wire [13 : 0] VAR45;
output wire [447 : 0] VAR30;
output wire [41 : 0] VAR65;
output wire [13 : 0] VAR103;
input wire [13 : 0] VAR62;
input wire [447 : 0] VAR2;
input wire [27 : 0] VAR43;
input wire [13 : 0] VAR81;
output wire [13 : 0] VAR118;
VAR13 #(
.VAR110("VAR101"),
.VAR53(1),
.VAR56(14),
.VAR80(1),
.VAR107(32),
.VAR61(32),
.VAR32(2),
.VAR9(1),
.VAR87(896'VAR22),
.VAR27(448'VAR68),
.VAR57(32'VAR5),
.VAR106(32'VAR5),
.VAR104(0),
.VAR72(1),
.VAR1(1),
.VAR55(1),
.VAR4(1),
.VAR14(1),
.VAR91(448'VAR35),
.VAR115(448'VAR35),
.VAR82(1),
.VAR67(32'VAR114),
.VAR102(32'VAR114),
.VAR19(32'VAR114),
.VAR39(448'VAR35),
.VAR24(448'VAR35),
.VAR83(32'VAR5),
.VAR129(448'VAR59),
.VAR132(0)
) VAR128 (
.VAR95(VAR95),
.VAR131(VAR131),
.VAR93(1'VAR41),
.VAR42(VAR42),
.VAR40(8'VAR54),
.VAR113(3'VAR41),
.VAR64(2'VAR41),
.VAR12(1'VAR41),
.VAR21(4'VAR41),
.VAR124(VAR124),
.VAR8(4'VAR41),
.VAR37(1'VAR41),
.VAR51(VAR51),
.VAR16(VAR16),
.VAR50(1'VAR41),
.VAR105(VAR105),
.VAR3(VAR3),
.VAR77(1'VAR17),
.VAR10(1'VAR41),
.VAR73(VAR73),
.VAR58(VAR58),
.VAR78(),
.VAR15(VAR15),
.VAR60(),
.VAR117(VAR117),
.VAR34(VAR34),
.VAR44(1'VAR41),
.VAR99(VAR99),
.VAR38(8'VAR54),
.VAR92(3'VAR41),
.VAR70(2'VAR41),
.VAR79(1'VAR41),
.VAR133(4'VAR41),
.VAR66(VAR66),
.VAR28(4'VAR41),
.VAR97(1'VAR41),
.VAR25(VAR25),
.VAR7(VAR7),
.VAR33(),
.VAR63(VAR63),
.VAR96(VAR96),
.VAR47(),
.VAR84(),
.VAR71(VAR71),
.VAR49(VAR49),
.VAR90(),
.VAR125(VAR125),
.VAR85(),
.VAR26(),
.VAR74(),
.VAR130(),
.VAR52(),
.VAR31(VAR31),
.VAR108(),
.VAR86(),
.VAR23(),
.VAR69(VAR69),
.VAR20(VAR20),
.VAR121(),
.VAR116(VAR116),
.VAR109(VAR109),
.VAR46(),
.VAR111(),
.VAR89(VAR89),
.VAR36(VAR36),
.VAR6(14'VAR122),
.VAR123(VAR123),
.VAR100(14'VAR122),
.VAR18(VAR18),
.VAR45(VAR45),
.VAR126(),
.VAR30(VAR30),
.VAR75(),
.VAR112(),
.VAR119(),
.VAR120(),
.VAR48(),
.VAR65(VAR65),
.VAR88(),
.VAR29(),
.VAR94(),
.VAR103(VAR103),
.VAR62(VAR62),
.VAR98(14'VAR122),
.VAR2(VAR2),
.VAR43(VAR43),
.VAR11(14'VAR76),
.VAR127(14'VAR122),
.VAR81(VAR81),
.VAR118(VAR118)
);
endmodule
|
apache-2.0
|
Apo45ty/ArquiCourseCPUVerilog
|
VerilogSource/CPU/ARM_CU_ALU.v
| 2,514 |
module MODULE1( input VAR53 , VAR9 , VAR8 , VAR55,VAR10, input [31:0] VAR48, output [7:0] VAR29, output VAR17,VAR51,VAR7);
wire[31:0] VAR13;
wire VAR4, VAR40, VAR11, VAR58, VAR28, VAR52, VAR17, VAR7, VAR51, VAR35, VAR46, VAR24, VAR31;
wire [4:0] VAR43;
wire [3:0] VAR2;
reg [19:0] VAR3;
wire [31:0] VAR5,VAR32,VAR16,VAR59,VAR56;
wire [3:0] VAR23, VAR23,VAR38;
wire VAR30,VAR20;
wire[31:0] VAR49;
VAR27 VAR26(VAR4, VAR40, VAR11, VAR58, VAR28, VAR52, VAR17, VAR7, VAR51, VAR35, VAR46, VAR24, VAR31,VAR43,VAR2,VAR53,VAR9,VAR8,VAR13,VAR38);
always@(VAR13 or VAR2)
begin
VAR3 = {VAR2,VAR13[15:8],VAR13[3:0], VAR13[19:16]};
end
VAR22 VAR57(VAR56,VAR56,VAR3,VAR8, VAR9, VAR11, VAR40,VAR4, VAR5,VAR32,VAR16,VAR59);
assign VAR23 = {VAR38[3],VAR30,VAR38[1],VAR38[0]};
VAR60 alu(VAR5,VAR49, VAR43, VAR23, VAR56,VAR23,VAR13[20],VAR52);
VAR12 VAR34(VAR16,VAR32,VAR13,VAR38[3],VAR30,VAR49);
VAR15 VAR45( .VAR39(VAR56),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR21(VAR35),
.VAR41(VAR13));
VAR33 register(
.VAR39(VAR56),
.VAR14(VAR48),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR21(VAR46),
.VAR25(VAR10),
.VAR47(VAR24),
.VAR54(VAR55));
VAR15 VAR6( .VAR39(VAR56),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR21(VAR31),
.VAR41(VAR29));
VAR15 VAR44( .VAR39(VAR23),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR21(1),
.VAR41(VAR38));
endmodule
VAR37 VAR60.VAR50 VAR12.VAR50 VAR42.VAR50 VAR18.VAR50 VAR19.VAR50 VAR36.VAR50 VAR15.VAR50 VAR1.VAR50 VAR22.VAR50 VAR33.VAR50 MODULE1.VAR50
|
apache-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v
| 5,312 |
module MODULE1(
din,
VAR96,
VAR26,
rst,
VAR45,
VAR105,
dout,
VAR73,
VAR33,
VAR19,
VAR68);
input [35 : 0] din;
input VAR96;
input VAR26;
input rst;
input VAR45;
input VAR105;
output [35 : 0] dout;
output VAR73;
output VAR33;
output [9 : 0] VAR19;
output [9 : 0] VAR68;
VAR17 #(
.VAR35(0),
.VAR58(0),
.VAR22(10),
.VAR81("VAR86"),
.VAR62(36),
.VAR87("0"),
.VAR59(36),
.VAR91(0),
.VAR7("VAR72"),
.VAR103(1),
.VAR71(0),
.VAR97(0),
.VAR50(0),
.VAR60(0),
.VAR55(0),
.VAR64(0),
.VAR30(0),
.VAR31(1),
.VAR15(0),
.VAR24(1),
.VAR88(0),
.VAR74(0),
.VAR11(0),
.VAR48(0),
.VAR12(1),
.VAR20(0),
.VAR57(2),
.VAR8(0),
.VAR27(1),
.VAR46("VAR86"),
.VAR70(1),
.VAR80(0),
.VAR52(0),
.VAR94(0),
.VAR79(1),
.VAR21("512x36"),
.VAR77(4),
.VAR42(5),
.VAR23(0),
.VAR95(511),
.VAR47(510),
.VAR99(0),
.VAR76(10),
.VAR34(512),
.VAR67(1),
.VAR25(9),
.VAR84(0),
.VAR61(1),
.VAR93(0),
.VAR32(0),
.VAR56(0),
.VAR49(1),
.VAR39(0),
.VAR14(0),
.VAR5(10),
.VAR44(512),
.VAR1(1),
.VAR85(9),
.VAR89(1))
VAR92 (
.VAR4(din),
.VAR29(VAR96),
.VAR10(VAR26),
.VAR9(rst),
.VAR78(VAR45),
.VAR75(VAR105),
.VAR83(dout),
.VAR90(VAR73),
.VAR63(VAR33),
.VAR37(VAR19),
.VAR41(VAR68),
.VAR104(),
.VAR65(),
.VAR40(),
.VAR13(),
.VAR106(),
.VAR18(),
.VAR53(),
.VAR98(),
.VAR100(),
.VAR51(),
.VAR2(),
.VAR43(),
.VAR102(),
.VAR38(),
.VAR6(),
.VAR28(),
.VAR69(),
.VAR82(),
.VAR66(),
.VAR54(),
.VAR36(),
.VAR3(),
.VAR101(),
.VAR16());
endmodule
|
gpl-2.0
|
omicronns/studies-sys-rek
|
lab4/zlozony/ipcore_dir/mul_19_12/mul_19_12.v
| 50,008 |
module MODULE2 (
clk, VAR262, VAR193, VAR60, VAR283
);
input clk;
input VAR262;
input [18 : 0] VAR193;
input [11 : 0] VAR60;
output [30 : 0] VAR283;
wire \VAR173/VAR186 ;
wire \VAR173/VAR81 ;
wire \VAR173/VAR162 ;
wire \VAR173/VAR229 ;
wire \VAR173/VAR226 ;
wire \VAR173/VAR120 ;
wire \VAR173/VAR32 ;
wire \VAR173/VAR196 ;
wire \VAR173/VAR104 ;
wire \VAR173/VAR49 ;
wire \VAR173/VAR141 ;
wire \VAR173/VAR151 ;
wire \VAR173/VAR270 ;
wire \VAR173/VAR199 ;
wire \VAR173/VAR118 ;
wire \VAR173/VAR20 ;
wire \VAR173/VAR251 ;
wire \VAR173/VAR47 ;
wire \VAR173/VAR253 ;
wire \VAR173/VAR65 ;
wire \VAR173/VAR61 ;
wire \VAR173/VAR212 ;
wire \VAR173/VAR90 ;
wire \VAR173/VAR278 ;
wire \VAR173/VAR101 ;
wire \VAR173/VAR28 ;
wire \VAR173/VAR312 ;
wire \VAR173/VAR53 ;
wire \VAR173/VAR219 ;
wire \VAR173/VAR154 ;
wire \VAR173/VAR126 ;
wire \VAR173/VAR27 ;
wire \VAR173/VAR125 ;
wire \VAR173/VAR320 ;
wire \VAR173/VAR114 ;
wire \VAR173/VAR298 ;
wire \VAR173/VAR64 ;
wire \VAR173/VAR177 ;
wire \VAR173/VAR305 ;
wire \VAR173/VAR140 ;
wire \VAR173/VAR102 ;
wire \VAR173/VAR31 ;
wire \VAR173/VAR224 ;
wire \VAR173/VAR108 ;
wire \VAR173/VAR282 ;
wire \VAR173/VAR22 ;
wire \VAR173/VAR263 ;
wire \VAR173/VAR214 ;
wire \VAR173/VAR240 ;
wire \VAR173/VAR172 ;
wire \VAR173/VAR94 ;
wire \VAR173/VAR309 ;
wire \VAR173/VAR250 ;
wire \VAR173/VAR295 ;
wire \VAR173/VAR80 ;
wire \VAR173/VAR97 ;
wire \VAR173/VAR83 ;
wire \VAR173/VAR217 ;
wire \VAR173/VAR39 ;
wire \VAR173/VAR230 ;
wire \VAR173/VAR24 ;
wire \VAR173/VAR113 ;
wire \VAR173/VAR169 ;
wire \VAR173/VAR294 ;
wire \VAR173/VAR277 ;
wire \VAR173/VAR106 ;
wire \VAR173/VAR324 ;
wire \VAR173/VAR268 ;
wire \VAR173/VAR167 ;
wire \VAR173/VAR134 ;
wire \VAR173/VAR205 ;
wire \VAR173/VAR152 ;
wire \VAR173/VAR216 ;
wire \VAR173/VAR286 ;
wire \VAR173/VAR164 ;
wire \VAR173/VAR261 ;
wire \VAR173/VAR127 ;
wire \VAR173/VAR313 ;
wire \VAR173/VAR136 ;
wire \VAR173/VAR75 ;
wire \VAR173/VAR155 ;
wire \VAR173/VAR69 ;
wire \VAR173/VAR8 ;
wire \VAR173/VAR245 ;
wire \VAR173/VAR185 ;
wire \VAR173/VAR123 ;
wire \VAR173/VAR111 ;
wire \VAR173/VAR269 ;
wire \VAR173/VAR326 ;
wire \VAR173/VAR4 ;
wire \VAR173/VAR259 ;
wire \VAR173/VAR132 ;
wire \VAR173/VAR149 ;
wire \VAR173/VAR331 ;
wire \VAR173/VAR128 ;
wire \VAR173/VAR135 ;
wire \VAR173/VAR121 ;
wire \VAR173/VAR66 ;
wire \VAR173/VAR318 ;
wire \VAR173/VAR99 ;
wire \VAR173/VAR319 ;
wire \VAR173/VAR36 ;
wire \VAR173/VAR328 ;
wire \VAR173/VAR52 ;
wire \VAR173/VAR142 ;
wire \VAR173/VAR87 ;
wire \VAR173/VAR330 ;
wire \VAR173/VAR57 ;
wire \VAR173/VAR147 ;
wire \VAR173/VAR63 ;
wire \VAR173/VAR159 ;
wire \VAR173/VAR297 ;
wire \VAR173/VAR160 ;
wire \VAR173/VAR137 ;
wire \VAR173/VAR299 ;
wire \VAR173/VAR296 ;
wire \VAR173/VAR289 ;
wire \VAR173/VAR58 ;
wire \VAR173/VAR201 ;
wire \VAR173/VAR82 ;
wire \VAR173/VAR181 ;
wire \VAR173/VAR190 ;
wire \VAR173/VAR145 ;
wire \VAR173/VAR271 ;
wire \VAR173/VAR11 ;
wire \VAR173/VAR74 ;
wire \VAR173/VAR215 ;
wire \VAR173/VAR78 ;
wire \VAR173/VAR287 ;
wire \VAR173/VAR56 ;
wire \VAR173/VAR293 ;
wire \VAR173/VAR209 ;
wire \VAR173/VAR150 ;
wire \VAR173/VAR242 ;
wire \VAR173/VAR285 ;
wire \VAR173/VAR77 ;
wire \VAR173/VAR237 ;
wire \VAR173/VAR130 ;
wire \VAR173/VAR161 ;
wire \VAR173/VAR16 ;
wire \VAR173/VAR207 ;
wire \VAR173/VAR1 ;
wire \VAR173/VAR257 ;
wire \VAR173/VAR15 ;
wire \VAR173/VAR221 ;
wire \VAR173/VAR12 ;
wire \VAR173/VAR304 ;
wire \VAR173/VAR321 ;
wire \VAR173/VAR35 ;
wire \VAR173/VAR38 ;
wire \VAR173/VAR218 ;
wire \VAR173/VAR171 ;
wire \VAR173/VAR174 ;
wire \VAR173/VAR42 ;
wire \VAR173/VAR30 ;
wire \VAR173/VAR243 ;
wire \VAR173/VAR54 ;
wire \VAR173/VAR306 ;
wire \VAR173/VAR33 ;
wire \VAR173/VAR107 ;
wire \VAR173/VAR93 ;
wire \VAR173/VAR109 ;
wire \VAR173/VAR266 ;
wire \VAR173/VAR241 ;
wire \VAR173/VAR192 ;
wire \VAR173/VAR131 ;
wire \VAR173/VAR183 ;
wire \VAR173/VAR279 ;
wire \VAR173/VAR273 ;
wire \VAR173/VAR17 ;
wire \VAR173/VAR43 ;
wire \VAR173/VAR29 ;
wire \VAR173/VAR194 ;
wire \VAR173/VAR292 ;
wire \VAR173/VAR239 ;
wire \VAR173/VAR129 ;
wire \VAR173/VAR148 ;
wire \VAR173/VAR143 ;
wire \VAR173/VAR210 ;
wire \VAR173/VAR179 ;
wire \VAR173/VAR37 ;
wire \VAR173/VAR300 ;
wire \VAR173/VAR55 ;
wire \VAR234/VAR290 ;
wire \VAR234/VAR156 ;
wire \VAR234/VAR220<47>VAR85 ;
wire \VAR234/VAR220<46>VAR85 ;
wire \VAR234/VAR220<45>VAR85 ;
wire \VAR234/VAR220<44>VAR85 ;
wire \VAR234/VAR220<43>VAR85 ;
wire \VAR234/VAR220<42>VAR85 ;
wire \VAR234/VAR220<41>VAR85 ;
wire \VAR234/VAR220<40>VAR85 ;
wire \VAR234/VAR220<39>VAR85 ;
wire \VAR234/VAR220<38>VAR85 ;
wire \VAR234/VAR220<37>VAR85 ;
wire \VAR234/VAR220<36>VAR85 ;
wire \VAR234/VAR220<35>VAR85 ;
wire \VAR234/VAR220<34>VAR85 ;
wire \VAR234/VAR220<33>VAR85 ;
wire \VAR234/VAR220<32>VAR85 ;
wire \VAR234/VAR220<31>VAR85 ;
wire \VAR234/VAR220<30>VAR85 ;
wire \VAR234/VAR220<29>VAR85 ;
wire \VAR234/VAR220<28>VAR85 ;
wire \VAR234/VAR220<27>VAR85 ;
wire \VAR234/VAR220<26>VAR85 ;
wire \VAR234/VAR220<25>VAR85 ;
wire \VAR234/VAR220<24>VAR85 ;
wire \VAR234/VAR220<23>VAR85 ;
wire \VAR234/VAR220<22>VAR85 ;
wire \VAR234/VAR220<21>VAR85 ;
wire \VAR234/VAR220<20>VAR85 ;
wire \VAR234/VAR220<19>VAR85 ;
wire \VAR234/VAR220<18>VAR85 ;
wire \VAR234/VAR220<17>VAR85 ;
wire \VAR234/VAR220<16>VAR85 ;
wire \VAR234/VAR220<15>VAR85 ;
wire \VAR234/VAR220<14>VAR85 ;
wire \VAR234/VAR220<13>VAR85 ;
wire \VAR234/VAR220<12>VAR85 ;
wire \VAR234/VAR220<11>VAR85 ;
wire \VAR234/VAR220<10>VAR85 ;
wire \VAR234/VAR220<9>VAR85 ;
wire \VAR234/VAR220<8>VAR85 ;
wire \VAR234/VAR220<7>VAR85 ;
wire \VAR234/VAR220<6>VAR85 ;
wire \VAR234/VAR220<5>VAR85 ;
wire \VAR234/VAR220<4>VAR85 ;
wire \VAR234/VAR220<3>VAR85 ;
wire \VAR234/VAR220<2>VAR85 ;
wire \VAR234/VAR220<1>VAR85 ;
wire \VAR234/VAR220<0>VAR85 ;
wire \VAR234/VAR206<35>VAR85 ;
wire \VAR234/VAR206<34>VAR85 ;
wire \VAR234/VAR206<33>VAR85 ;
wire \VAR234/VAR206<32>VAR85 ;
wire \VAR234/VAR206<31>VAR85 ;
wire \VAR234/VAR206<30>VAR85 ;
wire \VAR234/VAR206<29>VAR85 ;
wire \VAR234/VAR206<28>VAR85 ;
wire \VAR234/VAR206<27>VAR85 ;
wire \VAR234/VAR206<26>VAR85 ;
wire \VAR234/VAR206<25>VAR85 ;
wire \VAR234/VAR206<24>VAR85 ;
wire \VAR234/VAR206<23>VAR85 ;
wire \VAR234/VAR206<22>VAR85 ;
wire \VAR234/VAR206<21>VAR85 ;
wire \VAR234/VAR206<20>VAR85 ;
wire \VAR234/VAR206<19>VAR85 ;
wire \VAR234/VAR206<18>VAR85 ;
wire \VAR234/VAR206<17>VAR85 ;
wire \VAR234/VAR206<16>VAR85 ;
wire \VAR234/VAR206<15>VAR85 ;
wire \VAR234/VAR206<14>VAR85 ;
wire \VAR234/VAR206<13>VAR85 ;
wire \VAR234/VAR206<12>VAR85 ;
wire \VAR234/VAR206<11>VAR85 ;
wire \VAR234/VAR206<10>VAR85 ;
wire \VAR234/VAR206<9>VAR85 ;
wire \VAR234/VAR206<8>VAR85 ;
wire \VAR234/VAR206<7>VAR85 ;
wire \VAR234/VAR206<6>VAR85 ;
wire \VAR234/VAR206<5>VAR85 ;
wire \VAR234/VAR206<4>VAR85 ;
wire \VAR234/VAR206<3>VAR85 ;
wire \VAR234/VAR206<2>VAR85 ;
wire \VAR234/VAR206<1>VAR85 ;
wire \VAR234/VAR206<0>VAR85 ;
wire \VAR234/VAR7 ;
wire \VAR234/VAR51 ;
wire \VAR234/VAR187<17>VAR85 ;
wire \VAR234/VAR187<16>VAR85 ;
wire \VAR234/VAR187<15>VAR85 ;
wire \VAR234/VAR187<14>VAR85 ;
wire \VAR234/VAR187<13>VAR85 ;
wire \VAR234/VAR187<12>VAR85 ;
wire \VAR234/VAR187<11>VAR85 ;
wire \VAR234/VAR187<10>VAR85 ;
wire \VAR234/VAR187<9>VAR85 ;
wire \VAR234/VAR187<8>VAR85 ;
wire \VAR234/VAR187<7>VAR85 ;
wire \VAR234/VAR187<6>VAR85 ;
wire \VAR234/VAR187<5>VAR85 ;
wire \VAR234/VAR187<4>VAR85 ;
wire \VAR234/VAR187<3>VAR85 ;
wire \VAR234/VAR187<2>VAR85 ;
wire \VAR234/VAR187<1>VAR85 ;
wire \VAR234/VAR187<0>VAR85 ;
wire \VAR234/VAR227<47>VAR85 ;
wire \VAR234/VAR227<46>VAR85 ;
wire \VAR234/VAR227<45>VAR85 ;
wire \VAR234/VAR227<44>VAR85 ;
wire \VAR234/VAR227<43>VAR85 ;
wire \VAR234/VAR227<42>VAR85 ;
wire \VAR234/VAR227<41>VAR85 ;
wire \VAR234/VAR227<40>VAR85 ;
wire \VAR234/VAR227<39>VAR85 ;
wire \VAR234/VAR227<38>VAR85 ;
wire \VAR234/VAR227<37>VAR85 ;
wire \VAR234/VAR227<36>VAR85 ;
wire \VAR234/VAR227<35>VAR85 ;
wire \VAR234/VAR227<34>VAR85 ;
wire \VAR234/VAR227<33>VAR85 ;
wire \VAR234/VAR227<32>VAR85 ;
wire \VAR234/VAR227<31>VAR85 ;
wire \VAR234/VAR227<30>VAR85 ;
wire \VAR234/VAR227<29>VAR85 ;
wire \VAR234/VAR227<28>VAR85 ;
wire \VAR234/VAR227<27>VAR85 ;
wire \VAR234/VAR227<26>VAR85 ;
wire \VAR234/VAR227<25>VAR85 ;
wire \VAR234/VAR227<24>VAR85 ;
wire \VAR234/VAR227<23>VAR85 ;
wire \VAR234/VAR227<22>VAR85 ;
wire \VAR234/VAR227<21>VAR85 ;
wire \VAR234/VAR227<20>VAR85 ;
wire \VAR234/VAR227<19>VAR85 ;
wire \VAR234/VAR227<18>VAR85 ;
wire \VAR234/VAR227<17>VAR85 ;
wire \VAR234/VAR227<16>VAR85 ;
wire \VAR234/VAR227<15>VAR85 ;
wire \VAR234/VAR227<14>VAR85 ;
wire \VAR234/VAR302<35>VAR85 ;
wire \VAR234/VAR302<34>VAR85 ;
wire \VAR234/VAR302<33>VAR85 ;
wire \VAR234/VAR302<32>VAR85 ;
wire \VAR234/VAR302<31>VAR85 ;
wire \VAR234/VAR302<30>VAR85 ;
wire \VAR234/VAR302<29>VAR85 ;
wire \VAR234/VAR302<28>VAR85 ;
wire \VAR234/VAR302<27>VAR85 ;
wire \VAR234/VAR302<26>VAR85 ;
wire \VAR234/VAR302<25>VAR85 ;
wire \VAR234/VAR302<24>VAR85 ;
wire \VAR234/VAR302<23>VAR85 ;
wire \VAR234/VAR302<22>VAR85 ;
wire \VAR234/VAR302<21>VAR85 ;
wire \VAR234/VAR302<20>VAR85 ;
wire \VAR234/VAR302<19>VAR85 ;
wire \VAR234/VAR302<18>VAR85 ;
wire \VAR234/VAR302<17>VAR85 ;
wire \VAR234/VAR302<16>VAR85 ;
wire \VAR234/VAR302<15>VAR85 ;
wire \VAR234/VAR302<14>VAR85 ;
wire \VAR234/VAR302<13>VAR85 ;
wire \VAR234/VAR302<12>VAR85 ;
wire \VAR234/VAR302<11>VAR85 ;
wire \VAR234/VAR302<10>VAR85 ;
wire \VAR234/VAR302<9>VAR85 ;
wire \VAR234/VAR302<8>VAR85 ;
wire \VAR234/VAR302<7>VAR85 ;
wire \VAR234/VAR302<6>VAR85 ;
wire \VAR234/VAR302<5>VAR85 ;
wire \VAR234/VAR302<4>VAR85 ;
wire \VAR234/VAR302<3>VAR85 ;
wire \VAR234/VAR302<2>VAR85 ;
wire \VAR234/VAR302<1>VAR85 ;
wire \VAR234/VAR302<0>VAR85 ;
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR255 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR186 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[0])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR84 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR81 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[1])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR307 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR162 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[2])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR233 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR229 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[3])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR222 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR226 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[4])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR67 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR120 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[5])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR133 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR32 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[6])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR103 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR196 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[7])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR46 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR104 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[8])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR252 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR49 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[9])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR157 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR141 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[10])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR184 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR151 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[11])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR249 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR270 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[12])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR175 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR199 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[13])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR204 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR118 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[14])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR265 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR20 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[15])
);
VAR166 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR73 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(\VAR173/VAR251 ),
.VAR98(\VAR173/VAR65 ),
.VAR70(VAR283[16])
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR272 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR80 ),
.VAR70(\VAR173/VAR186 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR188 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR295 ),
.VAR70(\VAR173/VAR81 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR195 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR250 ),
.VAR70(\VAR173/VAR162 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR44 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR309 ),
.VAR70(\VAR173/VAR229 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR191 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR94 ),
.VAR70(\VAR173/VAR226 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR325 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR172 ),
.VAR70(\VAR173/VAR120 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR281 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR240 ),
.VAR70(\VAR173/VAR32 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR71 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR214 ),
.VAR70(\VAR173/VAR196 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR19 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR263 ),
.VAR70(\VAR173/VAR104 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR198 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR22 ),
.VAR70(\VAR173/VAR49 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR267 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR282 ),
.VAR70(\VAR173/VAR141 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR260 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR108 ),
.VAR70(\VAR173/VAR151 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR238 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR224 ),
.VAR70(\VAR173/VAR270 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR232 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR31 ),
.VAR70(\VAR173/VAR199 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR3 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR102 ),
.VAR70(\VAR173/VAR118 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR110 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR140 ),
.VAR70(\VAR173/VAR20 )
);
VAR248 #(
.VAR178 ( 16'h0000 ))
\VAR173/VAR301 (
.VAR235(\VAR173/VAR65 ),
.VAR254(\VAR173/VAR65 ),
.VAR236(\VAR173/VAR65 ),
.VAR246(\VAR173/VAR65 ),
.VAR310(VAR262),
.VAR203(clk),
.VAR68(\VAR173/VAR305 ),
.VAR70(\VAR173/VAR251 )
);
VAR327 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR291 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(VAR193[17]),
.VAR70(\VAR173/VAR253 )
);
VAR327 #(
.VAR178 ( 1'b0 ))
\VAR173/VAR315 (
.VAR139(clk),
.VAR310(VAR262),
.VAR68(VAR193[18]),
.VAR70(\VAR173/VAR47 )
);
VAR158 #(
.VAR163 ( 0 ),
.VAR116 ( 1 ),
.VAR122 ( 0 ),
.VAR258 ( 1 ),
.VAR316 ( 0 ),
.VAR189 ( "VAR89" ),
.VAR95 ( 0 ),
.VAR72 ( 0 ),
.VAR34 ( 1 ),
.VAR182 ( 0 ),
.VAR247 ( 1 ),
.VAR202 ( "VAR50" ),
.VAR100 ( 0 ))
\VAR173/VAR231 (
.VAR176(\VAR173/VAR65 ),
.VAR112(\VAR173/VAR65 ),
.VAR6(\VAR173/VAR65 ),
.VAR26(\VAR173/VAR65 ),
.VAR79(\VAR173/VAR65 ),
.VAR213(\VAR173/VAR65 ),
.VAR322(\VAR173/VAR65 ),
.VAR256(\VAR234/VAR290 ),
.VAR76(\VAR173/VAR65 ),
.VAR280(\VAR173/VAR65 ),
.VAR203(clk),
.VAR88(\VAR173/VAR65 ),
.VAR329(VAR262),
.VAR92(VAR262),
.VAR5(\VAR173/VAR65 ),
.VAR10(VAR262),
.VAR276(VAR262),
.VAR211(\VAR234/VAR156 ),
.VAR144(\VAR173/VAR65 ),
.VAR153(\VAR173/VAR65 ),
.VAR48({VAR60[11], VAR60[11], VAR60[11], VAR60[11], VAR60[11], VAR60[11], VAR60[11], VAR60[10], VAR60[9], VAR60[8], VAR60[7], VAR60[6], VAR60[5], VAR60[4], VAR60[3], VAR60[2], VAR60[1], VAR60[0]}),
.VAR288({\VAR173/VAR61 , \VAR173/VAR212 , \VAR173/VAR90 , \VAR173/VAR278 , \VAR173/VAR101 ,
\VAR173/VAR28 , \VAR173/VAR312 , \VAR173/VAR53 , \VAR173/VAR219 , \VAR173/VAR154 ,
\VAR173/VAR126 , \VAR173/VAR27 , \VAR173/VAR125 , \VAR173/VAR320 , \VAR173/VAR114 ,
\VAR173/VAR298 , \VAR173/VAR64 , \VAR173/VAR177 }),
.VAR21({\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 }),
.VAR139({\VAR234/VAR220<47>VAR85 , \VAR234/VAR220<46>VAR85 ,
\VAR234/VAR220<45>VAR85 , \VAR234/VAR220<44>VAR85 , \VAR234/VAR220<43>VAR85 ,
\VAR234/VAR220<42>VAR85 , \VAR234/VAR220<41>VAR85 , \VAR234/VAR220<40>VAR85 ,
\VAR234/VAR220<39>VAR85 , \VAR234/VAR220<38>VAR85 , \VAR234/VAR220<37>VAR85 ,
\VAR234/VAR220<36>VAR85 , \VAR234/VAR220<35>VAR85 , \VAR234/VAR220<34>VAR85 ,
\VAR234/VAR220<33>VAR85 , \VAR234/VAR220<32>VAR85 , \VAR234/VAR220<31>VAR85 ,
\VAR234/VAR220<30>VAR85 , \VAR234/VAR220<29>VAR85 , \VAR234/VAR220<28>VAR85 ,
\VAR234/VAR220<27>VAR85 , \VAR234/VAR220<26>VAR85 , \VAR234/VAR220<25>VAR85 ,
\VAR234/VAR220<24>VAR85 , \VAR234/VAR220<23>VAR85 , \VAR234/VAR220<22>VAR85 ,
\VAR234/VAR220<21>VAR85 , \VAR234/VAR220<20>VAR85 , \VAR234/VAR220<19>VAR85 ,
\VAR234/VAR220<18>VAR85 , \VAR234/VAR220<17>VAR85 , \VAR234/VAR220<16>VAR85 ,
\VAR234/VAR220<15>VAR85 , \VAR234/VAR220<14>VAR85 , \VAR234/VAR220<13>VAR85 ,
\VAR234/VAR220<12>VAR85 , \VAR234/VAR220<11>VAR85 , \VAR234/VAR220<10>VAR85 ,
\VAR234/VAR220<9>VAR85 , \VAR234/VAR220<8>VAR85 , \VAR234/VAR220<7>VAR85 ,
\VAR234/VAR220<6>VAR85 , \VAR234/VAR220<5>VAR85 , \VAR234/VAR220<4>VAR85 ,
\VAR234/VAR220<3>VAR85 , \VAR234/VAR220<2>VAR85 , \VAR234/VAR220<1>VAR85 ,
\VAR234/VAR220<0>VAR85 }),
.VAR23({\VAR173/VAR97 , \VAR173/VAR83 , \VAR173/VAR217 , \VAR173/VAR39 , \VAR173/VAR230 ,
\VAR173/VAR24 , \VAR173/VAR113 , \VAR173/VAR169 , \VAR173/VAR294 , \VAR173/VAR277 ,
\VAR173/VAR106 , \VAR173/VAR324 , \VAR173/VAR268 , \VAR173/VAR167 , \VAR173/VAR134 ,
\VAR173/VAR205 , \VAR173/VAR152 , \VAR173/VAR216 , \VAR173/VAR286 , \VAR173/VAR164 ,
\VAR173/VAR261 , \VAR173/VAR127 , \VAR173/VAR313 , \VAR173/VAR136 , \VAR173/VAR75 ,
\VAR173/VAR155 , \VAR173/VAR69 , \VAR173/VAR8 , \VAR173/VAR245 , \VAR173/VAR185 ,
\VAR173/VAR123 , \VAR173/VAR305 , \VAR173/VAR140 , \VAR173/VAR102 , \VAR173/VAR31 ,
\VAR173/VAR224 , \VAR173/VAR108 , \VAR173/VAR282 , \VAR173/VAR22 , \VAR173/VAR263 ,
\VAR173/VAR214 , \VAR173/VAR240 , \VAR173/VAR172 , \VAR173/VAR94 , \VAR173/VAR309 ,
\VAR173/VAR250 , \VAR173/VAR295 , \VAR173/VAR80 }),
.VAR59({\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR285 }),
.VAR68({\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 }),
.VAR200({\VAR173/VAR111 , \VAR173/VAR269 , \VAR173/VAR326 , \VAR173/VAR4 , \VAR173/VAR259 ,
\VAR173/VAR132 , \VAR173/VAR149 , \VAR173/VAR331 , \VAR173/VAR128 , \VAR173/VAR135 ,
\VAR173/VAR121 , \VAR173/VAR66 , \VAR173/VAR318 , \VAR173/VAR99 , \VAR173/VAR319 ,
\VAR173/VAR36 , \VAR173/VAR328 , \VAR173/VAR52 , \VAR173/VAR142 , \VAR173/VAR87 ,
\VAR173/VAR330 , \VAR173/VAR57 , \VAR173/VAR147 , \VAR173/VAR63 , \VAR173/VAR159 ,
\VAR173/VAR297 , \VAR173/VAR160 , \VAR173/VAR137 , \VAR173/VAR299 , \VAR173/VAR296 ,
\VAR173/VAR289 , \VAR173/VAR58 , \VAR173/VAR201 , \VAR173/VAR82 , \VAR173/VAR181 ,
\VAR173/VAR190 , \VAR173/VAR145 , \VAR173/VAR271 , \VAR173/VAR11 , \VAR173/VAR74 ,
\VAR173/VAR215 , \VAR173/VAR78 , \VAR173/VAR287 , \VAR173/VAR56 , \VAR173/VAR293 ,
\VAR173/VAR209 , \VAR173/VAR150 , \VAR173/VAR242 }),
.VAR168({\VAR173/VAR65 , VAR193[16], VAR193[15], VAR193[14], VAR193[13], VAR193[12], VAR193[11], VAR193[10], VAR193[9], VAR193[8], VAR193[7], VAR193[6], VAR193[5], VAR193[4], VAR193[3], VAR193[2], VAR193[1], VAR193[0]}),
.VAR40({\VAR234/VAR206<35>VAR85 , \VAR234/VAR206<34>VAR85 ,
\VAR234/VAR206<33>VAR85 , \VAR234/VAR206<32>VAR85 , \VAR234/VAR206<31>VAR85 ,
\VAR234/VAR206<30>VAR85 , \VAR234/VAR206<29>VAR85 , \VAR234/VAR206<28>VAR85 ,
\VAR234/VAR206<27>VAR85 , \VAR234/VAR206<26>VAR85 , \VAR234/VAR206<25>VAR85 ,
\VAR234/VAR206<24>VAR85 , \VAR234/VAR206<23>VAR85 , \VAR234/VAR206<22>VAR85 ,
\VAR234/VAR206<21>VAR85 , \VAR234/VAR206<20>VAR85 , \VAR234/VAR206<19>VAR85 ,
\VAR234/VAR206<18>VAR85 , \VAR234/VAR206<17>VAR85 , \VAR234/VAR206<16>VAR85 ,
\VAR234/VAR206<15>VAR85 , \VAR234/VAR206<14>VAR85 , \VAR234/VAR206<13>VAR85 ,
\VAR234/VAR206<12>VAR85 , \VAR234/VAR206<11>VAR85 , \VAR234/VAR206<10>VAR85 ,
\VAR234/VAR206<9>VAR85 , \VAR234/VAR206<8>VAR85 , \VAR234/VAR206<7>VAR85 ,
\VAR234/VAR206<6>VAR85 , \VAR234/VAR206<5>VAR85 , \VAR234/VAR206<4>VAR85 ,
\VAR234/VAR206<3>VAR85 , \VAR234/VAR206<2>VAR85 , \VAR234/VAR206<1>VAR85 ,
\VAR234/VAR206<0>VAR85 })
);
VAR158 #(
.VAR163 ( 1 ),
.VAR116 ( 1 ),
.VAR122 ( 1 ),
.VAR258 ( 1 ),
.VAR316 ( 0 ),
.VAR189 ( "VAR89" ),
.VAR95 ( 1 ),
.VAR72 ( 0 ),
.VAR34 ( 1 ),
.VAR182 ( 0 ),
.VAR247 ( 1 ),
.VAR202 ( "VAR50" ),
.VAR100 ( 0 ))
\VAR173/VAR138 (
.VAR176(\VAR173/VAR65 ),
.VAR112(\VAR173/VAR65 ),
.VAR6(\VAR173/VAR65 ),
.VAR26(\VAR173/VAR65 ),
.VAR79(\VAR173/VAR65 ),
.VAR213(\VAR173/VAR65 ),
.VAR322(VAR262),
.VAR256(\VAR234/VAR7 ),
.VAR76(\VAR173/VAR65 ),
.VAR280(\VAR173/VAR65 ),
.VAR203(clk),
.VAR88(\VAR173/VAR65 ),
.VAR329(VAR262),
.VAR92(VAR262),
.VAR5(\VAR173/VAR65 ),
.VAR10(VAR262),
.VAR276(VAR262),
.VAR211(\VAR234/VAR51 ),
.VAR144(\VAR173/VAR65 ),
.VAR153(\VAR173/VAR65 ),
.VAR48({\VAR173/VAR61 , \VAR173/VAR212 , \VAR173/VAR90 , \VAR173/VAR278 , \VAR173/VAR101 ,
\VAR173/VAR28 , \VAR173/VAR312 , \VAR173/VAR53 , \VAR173/VAR219 , \VAR173/VAR154 ,
\VAR173/VAR126 , \VAR173/VAR27 , \VAR173/VAR125 , \VAR173/VAR320 , \VAR173/VAR114 ,
\VAR173/VAR298 , \VAR173/VAR64 , \VAR173/VAR177 }),
.VAR288({\VAR234/VAR187<17>VAR85 , \VAR234/VAR187<16>VAR85 ,
\VAR234/VAR187<15>VAR85 , \VAR234/VAR187<14>VAR85 ,
\VAR234/VAR187<13>VAR85 , \VAR234/VAR187<12>VAR85 ,
\VAR234/VAR187<11>VAR85 , \VAR234/VAR187<10>VAR85 ,
\VAR234/VAR187<9>VAR85 , \VAR234/VAR187<8>VAR85 ,
\VAR234/VAR187<7>VAR85 , \VAR234/VAR187<6>VAR85 ,
\VAR234/VAR187<5>VAR85 , \VAR234/VAR187<4>VAR85 ,
\VAR234/VAR187<3>VAR85 , \VAR234/VAR187<2>VAR85 ,
\VAR234/VAR187<1>VAR85 , \VAR234/VAR187<0>VAR85 }),
.VAR21({\VAR173/VAR111 , \VAR173/VAR269 , \VAR173/VAR326 , \VAR173/VAR4 , \VAR173/VAR259 ,
\VAR173/VAR132 , \VAR173/VAR149 , \VAR173/VAR331 , \VAR173/VAR128 , \VAR173/VAR135 ,
\VAR173/VAR121 , \VAR173/VAR66 , \VAR173/VAR318 , \VAR173/VAR99 , \VAR173/VAR319 ,
\VAR173/VAR36 , \VAR173/VAR328 , \VAR173/VAR52 , \VAR173/VAR142 , \VAR173/VAR87 ,
\VAR173/VAR330 , \VAR173/VAR57 , \VAR173/VAR147 , \VAR173/VAR63 , \VAR173/VAR159 ,
\VAR173/VAR297 , \VAR173/VAR160 , \VAR173/VAR137 , \VAR173/VAR299 , \VAR173/VAR296 ,
\VAR173/VAR289 , \VAR173/VAR58 , \VAR173/VAR201 , \VAR173/VAR82 , \VAR173/VAR181 ,
\VAR173/VAR190 , \VAR173/VAR145 , \VAR173/VAR271 , \VAR173/VAR11 , \VAR173/VAR74 ,
\VAR173/VAR215 , \VAR173/VAR78 , \VAR173/VAR287 , \VAR173/VAR56 , \VAR173/VAR293 ,
\VAR173/VAR209 , \VAR173/VAR150 , \VAR173/VAR242 }),
.VAR139({\VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 ,
\VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 ,
\VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 ,
\VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR97 , \VAR173/VAR83 , \VAR173/VAR217 ,
\VAR173/VAR39 , \VAR173/VAR230 , \VAR173/VAR24 , \VAR173/VAR113 , \VAR173/VAR169 ,
\VAR173/VAR294 , \VAR173/VAR277 , \VAR173/VAR106 , \VAR173/VAR324 , \VAR173/VAR268 ,
\VAR173/VAR167 , \VAR173/VAR134 , \VAR173/VAR205 , \VAR173/VAR152 , \VAR173/VAR216 ,
\VAR173/VAR286 , \VAR173/VAR164 , \VAR173/VAR261 , \VAR173/VAR127 , \VAR173/VAR313 ,
\VAR173/VAR136 , \VAR173/VAR75 , \VAR173/VAR155 , \VAR173/VAR69 , \VAR173/VAR8 ,
\VAR173/VAR245 , \VAR173/VAR185 , \VAR173/VAR123 }),
.VAR23({\VAR234/VAR227<47>VAR85 , \VAR234/VAR227<46>VAR85 ,
\VAR234/VAR227<45>VAR85 , \VAR234/VAR227<44>VAR85 , \VAR234/VAR227<43>VAR85 ,
\VAR234/VAR227<42>VAR85 , \VAR234/VAR227<41>VAR85 , \VAR234/VAR227<40>VAR85 ,
\VAR234/VAR227<39>VAR85 , \VAR234/VAR227<38>VAR85 , \VAR234/VAR227<37>VAR85 ,
\VAR234/VAR227<36>VAR85 , \VAR234/VAR227<35>VAR85 , \VAR234/VAR227<34>VAR85 ,
\VAR234/VAR227<33>VAR85 , \VAR234/VAR227<32>VAR85 , \VAR234/VAR227<31>VAR85 ,
\VAR234/VAR227<30>VAR85 , \VAR234/VAR227<29>VAR85 , \VAR234/VAR227<28>VAR85 ,
\VAR234/VAR227<27>VAR85 , \VAR234/VAR227<26>VAR85 , \VAR234/VAR227<25>VAR85 ,
\VAR234/VAR227<24>VAR85 , \VAR234/VAR227<23>VAR85 , \VAR234/VAR227<22>VAR85 ,
\VAR234/VAR227<21>VAR85 , \VAR234/VAR227<20>VAR85 , \VAR234/VAR227<19>VAR85 ,
\VAR234/VAR227<18>VAR85 , \VAR234/VAR227<17>VAR85 , \VAR234/VAR227<16>VAR85 ,
\VAR234/VAR227<15>VAR85 , \VAR234/VAR227<14>VAR85 , VAR283[30], VAR283[29], VAR283[28], VAR283[27], VAR283[26], VAR283[25], VAR283[24],
VAR283[23], VAR283[22], VAR283[21], VAR283[20], VAR283[19], VAR283[18], VAR283[17]}),
.VAR59({\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR285 ,
\VAR173/VAR285 , \VAR173/VAR65 , \VAR173/VAR285 }),
.VAR68({\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 ,
\VAR173/VAR65 , \VAR173/VAR65 , \VAR173/VAR65 }),
.VAR200({\VAR173/VAR55 , \VAR173/VAR300 , \VAR173/VAR37 , \VAR173/VAR179 , \VAR173/VAR210 ,
\VAR173/VAR143 , \VAR173/VAR148 , \VAR173/VAR129 , \VAR173/VAR239 , \VAR173/VAR292 ,
\VAR173/VAR194 , \VAR173/VAR29 , \VAR173/VAR43 , \VAR173/VAR17 , \VAR173/VAR273 ,
\VAR173/VAR279 , \VAR173/VAR183 , \VAR173/VAR131 , \VAR173/VAR192 , \VAR173/VAR241 ,
\VAR173/VAR266 , \VAR173/VAR109 , \VAR173/VAR93 , \VAR173/VAR107 , \VAR173/VAR33 ,
\VAR173/VAR306 , \VAR173/VAR54 , \VAR173/VAR243 , \VAR173/VAR30 , \VAR173/VAR42 ,
\VAR173/VAR174 , \VAR173/VAR171 , \VAR173/VAR218 , \VAR173/VAR38 , \VAR173/VAR35 ,
\VAR173/VAR321 , \VAR173/VAR304 , \VAR173/VAR12 , \VAR173/VAR221 , \VAR173/VAR15 ,
\VAR173/VAR257 , \VAR173/VAR1 , \VAR173/VAR207 , \VAR173/VAR16 , \VAR173/VAR161 ,
\VAR173/VAR130 , \VAR173/VAR237 , \VAR173/VAR77 }),
.VAR168({\VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 ,
\VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 ,
\VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR47 ,
\VAR173/VAR47 , \VAR173/VAR47 , \VAR173/VAR253 }),
.VAR40({\VAR234/VAR302<35>VAR85 , \VAR234/VAR302<34>VAR85 ,
\VAR234/VAR302<33>VAR85 , \VAR234/VAR302<32>VAR85 , \VAR234/VAR302<31>VAR85 ,
\VAR234/VAR302<30>VAR85 , \VAR234/VAR302<29>VAR85 , \VAR234/VAR302<28>VAR85 ,
\VAR234/VAR302<27>VAR85 , \VAR234/VAR302<26>VAR85 , \VAR234/VAR302<25>VAR85 ,
\VAR234/VAR302<24>VAR85 , \VAR234/VAR302<23>VAR85 , \VAR234/VAR302<22>VAR85 ,
\VAR234/VAR302<21>VAR85 , \VAR234/VAR302<20>VAR85 , \VAR234/VAR302<19>VAR85 ,
\VAR234/VAR302<18>VAR85 , \VAR234/VAR302<17>VAR85 , \VAR234/VAR302<16>VAR85 ,
\VAR234/VAR302<15>VAR85 , \VAR234/VAR302<14>VAR85 , \VAR234/VAR302<13>VAR85 ,
\VAR234/VAR302<12>VAR85 , \VAR234/VAR302<11>VAR85 , \VAR234/VAR302<10>VAR85 ,
\VAR234/VAR302<9>VAR85 , \VAR234/VAR302<8>VAR85 , \VAR234/VAR302<7>VAR85 ,
\VAR234/VAR302<6>VAR85 , \VAR234/VAR302<5>VAR85 , \VAR234/VAR302<4>VAR85 ,
\VAR234/VAR302<3>VAR85 , \VAR234/VAR302<2>VAR85 , \VAR234/VAR302<1>VAR85 ,
\VAR234/VAR302<0>VAR85 })
);
VAR25 \VAR173/VAR308 (
.VAR96(\VAR173/VAR65 )
);
VAR208 \VAR173/VAR284 (
.VAR23(\VAR173/VAR285 )
);
endmodule
module MODULE1 ();
parameter VAR18 = 100000;
parameter VAR323 = 0;
wire VAR2;
wire VAR41;
wire VAR105;
wire VAR170;
tri1 VAR274;
tri (weak1, strong0) VAR225 = VAR274;
wire VAR244;
wire VAR91;
reg VAR317;
reg VAR180;
reg VAR303;
wire VAR197;
wire VAR228;
wire VAR117;
wire VAR223;
wire VAR124;
reg VAR9;
reg VAR146;
reg VAR314;
reg VAR115;
reg VAR86;
reg VAR62 = 0;
reg VAR119 = 0 ;
reg VAR264 = 0;
reg VAR165 = 0;
reg VAR14 = 1'VAR275;
reg VAR311 = 1'VAR275;
reg VAR45 = 1'VAR275;
reg VAR13 = 1'VAR275;
assign (weak1, weak0) VAR2 = VAR317;
assign (weak1, weak0) VAR41 = VAR180;
assign (weak1, weak0) VAR170 = VAR303;
|
mit
|
johan92/yafpgatetris
|
megafunctions/pll/pll_0002.v
| 2,135 |
module MODULE1(
input wire VAR29,
input wire rst,
output wire VAR71,
output wire VAR37,
output wire VAR62
);
VAR19 #(
.VAR44("true"),
.VAR72("50.0 VAR12"),
.VAR9("VAR49"),
.VAR6(2),
.VAR2("25.174999 VAR12"),
.VAR16("0 VAR66"),
.VAR39(50),
.VAR5("107.892852 VAR12"),
.VAR8("0 VAR66"),
.VAR17(50),
.VAR4("0 VAR12"),
.VAR64("0 VAR66"),
.VAR48(50),
.VAR55("0 VAR12"),
.VAR1("0 VAR66"),
.VAR51(50),
.VAR30("0 VAR12"),
.VAR52("0 VAR66"),
.VAR10(50),
.VAR65("0 VAR12"),
.VAR25("0 VAR66"),
.VAR34(50),
.VAR31("0 VAR12"),
.VAR23("0 VAR66"),
.VAR15(50),
.VAR41("0 VAR12"),
.VAR58("0 VAR66"),
.VAR57(50),
.VAR33("0 VAR12"),
.VAR60("0 VAR66"),
.VAR21(50),
.VAR61("0 VAR12"),
.VAR11("0 VAR66"),
.VAR36(50),
.VAR22("0 VAR12"),
.VAR26("0 VAR66"),
.VAR73(50),
.VAR63("0 VAR12"),
.VAR68("0 VAR66"),
.VAR35(50),
.VAR3("0 VAR12"),
.VAR69("0 VAR66"),
.VAR47(50),
.VAR14("0 VAR12"),
.VAR50("0 VAR66"),
.VAR45(50),
.VAR38("0 VAR12"),
.VAR43("0 VAR66"),
.VAR46(50),
.VAR54("0 VAR12"),
.VAR27("0 VAR66"),
.VAR20(50),
.VAR53("0 VAR12"),
.VAR28("0 VAR66"),
.VAR59(50),
.VAR18("0 VAR12"),
.VAR40("0 VAR66"),
.VAR56(50),
.VAR42("VAR32"),
.VAR70("VAR32")
) VAR24 (
.rst (rst),
.VAR7 ({VAR37, VAR71}),
.VAR62 (VAR62),
.VAR67 ( ),
.VAR13 (1'b0),
.VAR29 (VAR29)
);
endmodule
|
mit
|
UCR-CS179-SUMMER2014/NES_FPGA
|
source/NES_FPGA/nios_system/synthesis/submodules/nios_system_VGA_Controller.v
| 9,845 |
module MODULE1 (
clk,
reset,
VAR30,
VAR8,
VAR15,
VAR28,
valid,
ready,
VAR19,
VAR47,
VAR13,
VAR51,
VAR57,
VAR27,
VAR38,
VAR55
);
parameter VAR14 = 7;
parameter VAR53 = 29;
parameter VAR31 = 29;
parameter VAR16 = 22;
parameter VAR34 = 19;
parameter VAR9 = 12;
parameter VAR17 = 9;
parameter VAR35 = 2;
parameter VAR5 = 640;
parameter VAR42 = 16;
parameter VAR48 = 96;
parameter VAR37 = 48;
parameter VAR23 = 800;
parameter VAR6 = 480;
parameter VAR25 = 10;
parameter VAR50 = 2;
parameter VAR32 = 33;
parameter VAR7 = 525;
parameter VAR39 = 10;
parameter VAR56 = 10'h001;
parameter VAR20 = 10;
parameter VAR44 = 10'h001;
input clk;
input reset;
input [VAR53: 0] VAR30;
input VAR8;
input VAR15;
input [ 1: 0] VAR28;
input valid;
output ready;
output VAR19;
output reg VAR47;
output reg VAR13;
output reg VAR51;
output reg VAR57;
output reg [VAR14: 0] VAR27;
output reg [VAR14: 0] VAR38;
output reg [VAR14: 0] VAR55;
localparam VAR22 = 1'b0,
VAR12 = 1'b1;
wire VAR41;
wire VAR10;
wire VAR33;
wire VAR2;
wire VAR46;
wire VAR18;
wire VAR49;
wire [VAR14: 0] VAR11;
wire [VAR14: 0] VAR21;
wire [VAR14: 0] VAR52;
wire [VAR14: 0] VAR24;
reg [ 3: 0] VAR43;
reg VAR36;
reg VAR54;
always @(posedge clk) begin
if (reset == 1'b1)
VAR54 <= VAR22;
end
else
VAR54 <= VAR36;
end
always @(*)
begin
VAR36 = VAR22;
case (VAR54)
VAR22:
begin
if (valid & VAR8)
VAR36 = VAR12;
end
else
VAR36 = VAR22;
end
VAR12:
begin
if (VAR10)
VAR36 = VAR22;
end
else
VAR36 = VAR12;
end
default:
begin
VAR36 = VAR22;
end
endcase
end
always @(posedge clk)
begin
VAR47 <= VAR33;
VAR13 <= 1'b0;
VAR51 <= VAR46;
VAR57 <= VAR18;
VAR27 <= VAR11;
VAR38 <= VAR21;
VAR55 <= VAR52;
end
always @(posedge clk)
begin
if (reset)
VAR43 <= 4'h1;
end
else if (VAR54 == VAR22)
VAR43 <= 4'h1;
else if (~VAR41)
VAR43 <= {VAR43[2:0], VAR43[3]};
end
assign ready =
(VAR54 == VAR22) ?
valid & ~VAR8 :
VAR41;
assign VAR19 = ~clk;
VAR1 VAR3 (
.clk (clk),
.reset (reset),
.VAR4 (VAR30[VAR31:VAR16]),
.VAR45 (VAR30[VAR34:VAR9]),
.VAR29 (VAR30[VAR17:VAR35]),
.VAR43 (VAR43),
.VAR41 (VAR41),
.VAR10 (VAR10),
.VAR40 (),
.VAR26 (VAR33),
.VAR2 (VAR2),
.VAR46 (VAR46),
.VAR18 (VAR18),
.VAR49 (VAR49),
.VAR11 (VAR11),
.VAR21 (VAR21),
.VAR52 (VAR52),
.VAR24 (VAR24)
);
VAR3.VAR14 = VAR14,
VAR3.VAR5 = VAR5,
VAR3.VAR42 = VAR42,
VAR3.VAR48 = VAR48,
VAR3.VAR37 = VAR37,
VAR3.VAR23 = VAR23,
VAR3.VAR6 = VAR6,
VAR3.VAR25 = VAR25,
VAR3.VAR50 = VAR50,
VAR3.VAR32 = VAR32,
VAR3.VAR7 = VAR7,
VAR3.VAR39 = VAR39,
VAR3.VAR56 = VAR56,
VAR3.VAR20 = VAR20,
VAR3.VAR44 = VAR44;
endmodule
|
mit
|
fabianz66/cursos-tec
|
taller-digital/Lab4/lab_pong/FSM_Main.v
| 4,251 |
module MODULE1(
input VAR10,
input reset,
input VAR15,
input VAR28,
input VAR8,
output VAR11,
output VAR25,
output VAR27,
output VAR3,
output reg VAR12,
output reg VAR7,
output reg VAR9);
reg VAR21, VAR4;
wire VAR16, VAR22;
VAR26 VAR18(
.VAR10(VAR10),
.reset(reset),
.VAR21(VAR21),
.VAR16(VAR16),
.VAR25(VAR25),
.VAR3(VAR3)
);
VAR13 VAR14(
.VAR10(VAR10),
.reset(reset),
.VAR4(VAR4),
.VAR22(VAR22),
.VAR11(VAR11),
.VAR27(VAR27)
);
parameter VAR23 = 0;
parameter VAR6 = 1;
parameter VAR5 = 2;
parameter VAR2 = 3;
parameter VAR19 = 4;
parameter VAR17 = 5;
parameter VAR1 = 6;
parameter VAR20 = 7;
reg [2:0] state;
reg VAR24;
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
begin
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/a21o/sky130_fd_sc_hvl__a21o.functional.pp.v
| 2,004 |
module MODULE1 (
VAR8 ,
VAR12 ,
VAR1 ,
VAR7 ,
VAR16,
VAR6,
VAR9 ,
VAR13
);
output VAR8 ;
input VAR12 ;
input VAR1 ;
input VAR7 ;
input VAR16;
input VAR6;
input VAR9 ;
input VAR13 ;
wire VAR3 ;
wire VAR4 ;
wire VAR14;
and VAR15 (VAR3 , VAR12, VAR1 );
or VAR10 (VAR4 , VAR3, VAR7 );
VAR11 VAR5 (VAR14, VAR4, VAR16, VAR6);
buf VAR2 (VAR8 , VAR14 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/sdffq/gf180mcu_fd_sc_mcu7t5v0__sdffq_1.behavioral.v
| 8,908 |
module MODULE1( VAR58, VAR14, VAR9, VAR30, VAR25 );
input VAR30, VAR9, VAR58, VAR14;
output VAR25;
reg VAR48;
VAR62 VAR81(.VAR58(VAR58),.VAR14(VAR14),.VAR9(VAR9),.VAR30(VAR30),.VAR25(VAR25),.VAR48(VAR48));
VAR62 VAR70(.VAR58(VAR58),.VAR14(VAR14),.VAR9(VAR9),.VAR30(VAR30),.VAR25(VAR25),.VAR48(VAR48));
not VAR26(VAR29,VAR9);
not VAR55(VAR61,VAR58);
and VAR22(VAR15,VAR61,VAR29);
not VAR50(VAR80,VAR14);
and VAR13(VAR65,VAR80,VAR15);
not VAR10(VAR40,VAR9);
not VAR73(VAR6,VAR58);
and VAR51(VAR63,VAR6,VAR40);
and VAR39(VAR4,VAR14,VAR63);
not VAR72(VAR89,VAR9);
and VAR23(VAR44,VAR58,VAR89);
not VAR11(VAR85,VAR14);
and VAR12(VAR71,VAR85,VAR44);
not VAR66(VAR32,VAR9);
and VAR7(VAR8,VAR58,VAR32);
and VAR45(VAR82,VAR14,VAR8);
not VAR60(VAR76,VAR58);
and VAR1(VAR53,VAR76,VAR9);
not VAR16(VAR21,VAR14);
and VAR69(VAR2,VAR21,VAR53);
not VAR20(VAR42,VAR58);
and VAR43(VAR27,VAR42,VAR9);
and VAR67(VAR36,VAR14,VAR27);
and VAR28(VAR38,VAR58,VAR9);
not VAR75(VAR83,VAR14);
and VAR46(VAR87,VAR83,VAR38);
and VAR84(VAR54,VAR58,VAR9);
and VAR52(VAR3,VAR14,VAR54);
not VAR57(VAR88,VAR58);
not VAR35(VAR47,VAR14);
and VAR77(VAR17,VAR47,VAR88);
not VAR56(VAR86,VAR58);
and VAR24(VAR19,VAR14,VAR86);
not VAR78(VAR49,VAR9);
and VAR34(VAR79,VAR14,VAR49);
not VAR5(VAR31,VAR14);
and VAR74(VAR59,VAR31,VAR9);
not VAR18(VAR64,VAR9);
and VAR33(VAR37,VAR58,VAR64);
and VAR41(VAR68,VAR58,VAR9);
|
apache-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/debug/sirv_debug_ram.v
| 2,140 |
module MODULE1(
input clk,
input VAR3,
input VAR5,
input VAR11,
input [ 3-1:0] VAR10,
input [32-1:0] VAR2,
output [32-1:0] VAR8
);
wire [31:0] VAR1 [0:6];
wire [6:0] VAR12;
assign VAR8 = VAR1[VAR10];
genvar VAR6;
generate
for (VAR6=0; VAR6<7; VAR6=VAR6+1) begin:VAR4
assign VAR12[VAR6] = VAR5 & (~VAR11) & (VAR10 == VAR6) ;
VAR9 #(32) VAR7 (VAR12[VAR6], VAR2, VAR1[VAR6], clk, VAR3);
end endgenerate
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and4bb/sky130_fd_sc_hd__and4bb.behavioral.v
| 1,512 |
module MODULE1 (
VAR7 ,
VAR6,
VAR12,
VAR14 ,
VAR1
);
output VAR7 ;
input VAR6;
input VAR12;
input VAR14 ;
input VAR1 ;
supply1 VAR5;
supply0 VAR13;
supply1 VAR8 ;
supply0 VAR10 ;
wire VAR2 ;
wire VAR11;
nor VAR9 (VAR2 , VAR6, VAR12 );
and VAR4 (VAR11, VAR2, VAR14, VAR1 );
buf VAR3 (VAR7 , VAR11 );
endmodule
|
apache-2.0
|
tmolteno/TART
|
hardware/FPGA/wishbone/legacy/wb_get_block.v
| 2,929 |
module MODULE1
parameter VAR6 = 5,
parameter VAR1 = VAR6-1,
parameter VAR3 = 3)
(
input VAR18,
input VAR10,
output reg VAR21 = 0,
output reg VAR22 = 0,
output VAR16,
output reg VAR12 = 0,
input VAR20,
input VAR4,
input VAR8,
output [VAR1:0] VAR7,
input VAR14,
output reg VAR15 = 0
);
wire VAR2 = !VAR8 && (VAR22 || VAR13 > 1 || !VAR20);
wire VAR11 = VAR12;
wire VAR19 = VAR17 < VAR5-2;
reg [VAR1:0] VAR17 = 0;
reg [2:0] VAR13 = 0;
wire [VAR6:0] VAR9 = VAR17 + 1;
assign VAR16 = 0;
assign VAR7 = VAR17;
always @(posedge VAR18)
if (VAR10)
{VAR21, VAR22, VAR12} <= #VAR3 3'b000;
else if (VAR14)
{VAR21, VAR22, VAR12} <= #VAR3 3'b111;
else if (VAR21)
{VAR21, VAR22, VAR12} <= #VAR3 {VAR2, VAR11, VAR19};
else
{VAR21, VAR22, VAR12} <= #VAR3 3'b000;
always @(posedge VAR18)
if (VAR10 || VAR14) VAR17 <= #VAR3 0;
else if (VAR21 && VAR12 && !VAR4) VAR17 <= #VAR3 VAR9;
always @(posedge VAR18)
if (VAR10) VAR13 <= #VAR3 0;
else if (VAR21)
case ({VAR22, VAR20})
2'b10: VAR13 <= #VAR3 VAR13 + 1;
2'b01: VAR13 <= #VAR3 VAR13 - 1;
default: VAR13 <= #VAR3 VAR13;
endcase
always @(posedge VAR18)
if (VAR10 || VAR14) VAR15 <= #VAR3 0;
else VAR15 <= #VAR3 VAR21 && !VAR2;
endmodule
|
lgpl-3.0
|
PeterMagnusson/modexp
|
src/rtl/blockmem1r1w.v
| 2,743 |
module MODULE1(
input wire clk,
input wire [07 : 0] VAR5,
output wire [31 : 0] VAR3,
input wire wr,
input wire [07 : 0] VAR1,
input wire [31 : 0] VAR4
);
reg [31 : 0] VAR2 [0 : 255];
reg [31 : 0] VAR6;
assign VAR3 = VAR6;
always @ (posedge clk)
begin : VAR7
if (wr)
VAR2[VAR1] <= VAR4;
VAR6 <= VAR2[VAR5];
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a2111oi/sky130_fd_sc_ls__a2111oi.functional.pp.v
| 2,082 |
module MODULE1 (
VAR3 ,
VAR12 ,
VAR7 ,
VAR9 ,
VAR8 ,
VAR1 ,
VAR15,
VAR18,
VAR10 ,
VAR16
);
output VAR3 ;
input VAR12 ;
input VAR7 ;
input VAR9 ;
input VAR8 ;
input VAR1 ;
input VAR15;
input VAR18;
input VAR10 ;
input VAR16 ;
wire VAR14 ;
wire VAR4 ;
wire VAR2;
and VAR17 (VAR14 , VAR12, VAR7 );
nor VAR5 (VAR4 , VAR9, VAR8, VAR1, VAR14 );
VAR11 VAR13 (VAR2, VAR4, VAR15, VAR18);
buf VAR6 (VAR3 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand3/sky130_fd_sc_ls__nand3_4.v
| 2,175 |
module MODULE2 (
VAR10 ,
VAR2 ,
VAR7 ,
VAR8 ,
VAR4,
VAR1,
VAR3 ,
VAR5
);
output VAR10 ;
input VAR2 ;
input VAR7 ;
input VAR8 ;
input VAR4;
input VAR1;
input VAR3 ;
input VAR5 ;
VAR6 VAR9 (
.VAR10(VAR10),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR10,
VAR2,
VAR7,
VAR8
);
output VAR10;
input VAR2;
input VAR7;
input VAR8;
supply1 VAR4;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR5 ;
VAR6 VAR9 (
.VAR10(VAR10),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfbbn/sky130_fd_sc_hs__sdfbbn.behavioral.pp.v
| 3,481 |
module MODULE1 (
VAR15 ,
VAR10 ,
VAR14 ,
VAR21 ,
VAR16 ,
VAR4 ,
VAR30 ,
VAR1,
VAR24 ,
VAR28
);
output VAR15 ;
output VAR10 ;
input VAR14 ;
input VAR21 ;
input VAR16 ;
input VAR4 ;
input VAR30 ;
input VAR1;
input VAR24 ;
input VAR28 ;
wire VAR25 ;
wire VAR8 ;
wire VAR13 ;
wire VAR20 ;
reg VAR31 ;
wire VAR22 ;
wire VAR2 ;
wire VAR27 ;
wire VAR18 ;
wire VAR29 ;
wire VAR12;
wire VAR35 ;
wire VAR19 ;
wire VAR34 ;
wire VAR17 ;
wire VAR6 ;
wire VAR9 ;
wire VAR11 ;
wire VAR33 ;
not VAR32 (VAR25 , VAR12 );
not VAR5 (VAR8 , VAR29 );
not VAR26 (VAR13 , VAR18 );
VAR36 VAR3 (VAR35, VAR22, VAR2, VAR27 );
VAR7 VAR23 (VAR20 , VAR8, VAR25, VAR13, VAR35, VAR31, VAR24, VAR28);
assign VAR19 = ( VAR24 === 1'b1 );
assign VAR34 = ( VAR19 && ( VAR12 === 1'b1 ) );
assign VAR17 = ( VAR19 && ( VAR29 === 1'b1 ) );
assign VAR6 = ( VAR34 & VAR17 );
assign VAR9 = ( ( VAR27 === 1'b0 ) && VAR6 );
assign VAR11 = ( ( VAR27 === 1'b1 ) && VAR6 );
assign VAR33 = ( ( VAR22 !== VAR2 ) && VAR6 );
buf VAR37 (VAR15 , VAR20 );
not VAR38 (VAR10 , VAR20 );
endmodule
|
apache-2.0
|
subailong/miaow
|
src/verilog/rtl/vgpr/reg_256x32b_3r_1w.v
| 1,904 |
module MODULE1
(
VAR10, VAR25, VAR26,
clk, VAR28, VAR15, VAR4, VAR21, VAR29, VAR19
);
input clk;
output [31:0] VAR10;
output [31:0] VAR25;
output [31:0] VAR26;
input [7:0] VAR28;
input [7:0] VAR15;
input [7:0] VAR4;
input [7:0] VAR21;
input VAR29;
input [31:0] VAR19;
wire [8191:0] VAR17;
wire [8191:0] VAR7;
wire [255:0] VAR5;
wire [255:0] VAR16;
wire [31:0] VAR12;
wire [31:0] VAR14;
wire [31:0] VAR2;
VAR23 word[255:0](.out(VAR17), .in(VAR7), .VAR20(VAR5), .clk(clk));
VAR11 VAR24
(.out(VAR12),
.in(VAR17),
.select(VAR28));
VAR11 VAR13
(.out(VAR14),
.in(VAR17),
.select(VAR15));
VAR11 VAR6
(.out(VAR2),
.in(VAR17),
.select(VAR4));
VAR8 #(8,256) VAR18
(.out(VAR16),
.in(VAR21));
assign VAR5 = {256{VAR29}} & VAR16;
assign VAR7 = {256{VAR19}};
VAR30 VAR1[31:0]
(.VAR9(VAR10),
.VAR27(VAR12),
.en(1'b1),
.clk(clk),
.rst(1'b0));
VAR30 VAR3[31:0]
(.VAR9(VAR25),
.VAR27(VAR14),
.en(1'b1),
.clk(clk),
.rst(1'b0));
VAR30 VAR22[31:0]
(.VAR9(VAR26),
.VAR27(VAR2),
.en(1'b1),
.clk(clk),
.rst(1'b0));
endmodule
|
bsd-3-clause
|
airabinovich/finalArquitectura
|
REGBANK/REGBANK_banco.v
| 1,149 |
module MODULE1 #(parameter VAR4=5, VAR9=32)(
input VAR11,
input VAR7,
input [VAR4-1:0] VAR1,
input [VAR4-1:0] VAR5,
input [VAR4-1:0] VAR12,
input [VAR9-1:0] VAR3,
output [VAR9-1:0] VAR8,
output [VAR9-1:0] VAR10
);
localparam VAR2 = 1 << VAR4;
reg [VAR9-1:0] VAR6 [VAR2-1:0];
assign VAR8 = VAR6[VAR1];
assign VAR10 = VAR6[VAR5];
always@(posedge VAR11) begin
if (VAR7) begin
VAR6[VAR12] = VAR3;
end
end
endmodule
|
lgpl-2.1
|
yanhongwang/ColorImage
|
ForwardSpace/ForwardSpace.v
| 9,739 |
module MODULE1
(
input[ VAR18 - 1 : 0 ]VAR6,
input[ VAR18 - 1 : 0 ]VAR27,
input[ VAR18 - 1 : 0 ]VAR11,
output wire[ VAR18 - 1 : 0 ]VAR2,
output wire signed[ VAR18 - 1 : 0 ]VAR15,
output wire signed[ VAR18 - 1 : 0 ]VAR16
);
reg[ VAR18 - 1 : 0 ]VAR22;
reg[ VAR18 - 1 : 0 ]VAR19;
reg[ VAR18 - 1 : 0 ]VAR28;
reg[ VAR18 - 1 : 0 ]VAR9;
reg[ VAR18 - 1 : 0 ]VAR23;
reg[ VAR18 - 1 : 0 ]VAR26;
always@( VAR6 or VAR27 or VAR11 )
begin
VAR22 = ( VAR6 * VAR10 + VAR27 * VAR24 + VAR11 * VAR12 ) >> ( VAR17 + VAR17 );
VAR22 = ( VAR22 * 269 ) >> VAR17;
VAR19 = ( VAR6 * VAR4 + VAR27 * VAR1 + VAR11 * VAR7 ) >> ( VAR17 + VAR17 );
VAR28 = ( VAR6 * VAR21 + VAR27 * VAR5 + VAR11 * VAR14 ) >> ( VAR17 + VAR17 );
VAR28 = ( VAR28 * 235 ) >> VAR17;
if( VAR19 < VAR20 )
VAR19 = VAR20;
if( VAR22 < VAR20 )
VAR22 = VAR20;
if( VAR28 < VAR20 )
VAR28 = VAR20;
VAR9 = VAR3( VAR22 );
VAR23 = VAR3( VAR19 );
VAR26 = VAR3( VAR28 );
end
assign VAR2 = 116 * VAR9 - VAR25;
assign VAR15 = 500 * ( VAR9 - VAR23 );
assign VAR16 = 200 * ( VAR23 - VAR26 );
function[ VAR18 - 1 : 0 ]VAR3;
input[ VAR18 - 1 : 0 ]VAR8;
begin
case( VAR8 )
0 : VAR3 = 0;
1 : VAR3 = 256;
2 : VAR3 = 322;
3 : VAR3 = 369;
4 : VAR3 = 406;
5 : VAR3 = 437;
6 : VAR3 = 465;
7 : VAR3 = 489;
8 : VAR3 = 511;
9 : VAR3 = 532;
10 : VAR3 = 551;
11 : VAR3 = 569;
12 : VAR3 = 586;
13 : VAR3 = 601;
14 : VAR3 = 616;
15 : VAR3 = 631;
16 : VAR3 = 645;
17 : VAR3 = 658;
18 : VAR3 = 670;
19 : VAR3 = 683;
20 : VAR3 = 694;
21 : VAR3 = 706;
22 : VAR3 = 717;
23 : VAR3 = 728;
24 : VAR3 = 738;
25 : VAR3 = 748;
26 : VAR3 = 758;
27 : VAR3 = 767;
28 : VAR3 = 777;
29 : VAR3 = 786;
30 : VAR3 = 795;
31 : VAR3 = 804;
32 : VAR3 = 812;
33 : VAR3 = 821;
34 : VAR3 = 829;
35 : VAR3 = 837;
36 : VAR3 = 845;
37 : VAR3 = 853;
38 : VAR3 = 860;
39 : VAR3 = 868;
40 : VAR3 = 875;
41 : VAR3 = 882;
42 : VAR3 = 889;
43 : VAR3 = 896;
44 : VAR3 = 903;
45 : VAR3 = 910;
46 : VAR3 = 917;
47 : VAR3 = 923;
48 : VAR3 = 930;
49 : VAR3 = 936;
50 : VAR3 = 943;
51 : VAR3 = 949;
52 : VAR3 = 955;
53 : VAR3 = 961;
54 : VAR3 = 967;
55 : VAR3 = 973;
56 : VAR3 = 979;
57 : VAR3 = 985;
58 : VAR3 = 990;
59 : VAR3 = 996;
60 : VAR3 = 1002;
61 : VAR3 = 1007;
62 : VAR3 = 1013;
63 : VAR3 = 1018;
64 : VAR3 = 1023;
65 : VAR3 = 1029;
66 : VAR3 = 1034;
67 : VAR3 = 1039;
68 : VAR3 = 1044;
69 : VAR3 = 1050;
70 : VAR3 = 1055;
71 : VAR3 = 1060;
72 : VAR3 = 1065;
73 : VAR3 = 1069;
74 : VAR3 = 1074;
75 : VAR3 = 1079;
76 : VAR3 = 1084;
77 : VAR3 = 1089;
78 : VAR3 = 1093;
79 : VAR3 = 1098;
80 : VAR3 = 1103;
81 : VAR3 = 1107;
82 : VAR3 = 1112;
83 : VAR3 = 1116;
84 : VAR3 = 1121;
85 : VAR3 = 1125;
86 : VAR3 = 1129;
87 : VAR3 = 1134;
88 : VAR3 = 1138;
89 : VAR3 = 1142;
90 : VAR3 = 1147;
91 : VAR3 = 1151;
92 : VAR3 = 1155;
93 : VAR3 = 1159;
94 : VAR3 = 1163;
95 : VAR3 = 1168;
96 : VAR3 = 1172;
97 : VAR3 = 1176;
98 : VAR3 = 1180;
99 : VAR3 = 1184;
100 : VAR3 = 1188;
101 : VAR3 = 1192;
102 : VAR3 = 1196;
103 : VAR3 = 1200;
104 : VAR3 = 1203;
105 : VAR3 = 1207;
106 : VAR3 = 1211;
107 : VAR3 = 1215;
108 : VAR3 = 1219;
109 : VAR3 = 1222;
110 : VAR3 = 1226;
111 : VAR3 = 1230;
112 : VAR3 = 1233;
113 : VAR3 = 1237;
114 : VAR3 = 1241;
115 : VAR3 = 1244;
116 : VAR3 = 1248;
117 : VAR3 = 1252;
118 : VAR3 = 1255;
119 : VAR3 = 1259;
120 : VAR3 = 1262;
121 : VAR3 = 1266;
122 : VAR3 = 1269;
123 : VAR3 = 1273;
124 : VAR3 = 1276;
125 : VAR3 = 1279;
126 : VAR3 = 1283;
127 : VAR3 = 1286;
128 : VAR3 = 1290;
129 : VAR3 = 1293;
130 : VAR3 = 1296;
131 : VAR3 = 1300;
132 : VAR3 = 1303;
133 : VAR3 = 1306;
134 : VAR3 = 1310;
135 : VAR3 = 1313;
136 : VAR3 = 1316;
137 : VAR3 = 1319;
138 : VAR3 = 1322;
139 : VAR3 = 1326;
140 : VAR3 = 1329;
141 : VAR3 = 1332;
142 : VAR3 = 1335;
143 : VAR3 = 1338;
144 : VAR3 = 1341;
145 : VAR3 = 1344;
146 : VAR3 = 1348;
147 : VAR3 = 1351;
148 : VAR3 = 1354;
149 : VAR3 = 1357;
150 : VAR3 = 1360;
151 : VAR3 = 1363;
152 : VAR3 = 1366;
153 : VAR3 = 1369;
154 : VAR3 = 1372;
155 : VAR3 = 1375;
156 : VAR3 = 1378;
157 : VAR3 = 1381;
158 : VAR3 = 1383;
159 : VAR3 = 1386;
160 : VAR3 = 1389;
161 : VAR3 = 1392;
162 : VAR3 = 1395;
163 : VAR3 = 1398;
164 : VAR3 = 1401;
165 : VAR3 = 1404;
166 : VAR3 = 1406;
167 : VAR3 = 1409;
168 : VAR3 = 1412;
169 : VAR3 = 1415;
170 : VAR3 = 1418;
171 : VAR3 = 1420;
172 : VAR3 = 1423;
173 : VAR3 = 1426;
174 : VAR3 = 1429;
175 : VAR3 = 1431;
176 : VAR3 = 1434;
177 : VAR3 = 1437;
178 : VAR3 = 1440;
179 : VAR3 = 1442;
180 : VAR3 = 1445;
181 : VAR3 = 1448;
182 : VAR3 = 1450;
183 : VAR3 = 1453;
184 : VAR3 = 1456;
185 : VAR3 = 1458;
186 : VAR3 = 1461;
187 : VAR3 = 1463;
188 : VAR3 = 1466;
189 : VAR3 = 1469;
190 : VAR3 = 1471;
191 : VAR3 = 1474;
192 : VAR3 = 1476;
193 : VAR3 = 1479;
194 : VAR3 = 1481;
195 : VAR3 = 1484;
196 : VAR3 = 1487;
197 : VAR3 = 1489;
198 : VAR3 = 1492;
199 : VAR3 = 1494;
200 : VAR3 = 1497;
201 : VAR3 = 1499;
202 : VAR3 = 1502;
203 : VAR3 = 1504;
204 : VAR3 = 1507;
205 : VAR3 = 1509;
206 : VAR3 = 1511;
207 : VAR3 = 1514;
208 : VAR3 = 1516;
209 : VAR3 = 1519;
210 : VAR3 = 1521;
211 : VAR3 = 1524;
212 : VAR3 = 1526;
213 : VAR3 = 1528;
214 : VAR3 = 1531;
215 : VAR3 = 1533;
216 : VAR3 = 1535;
217 : VAR3 = 1538;
218 : VAR3 = 1540;
219 : VAR3 = 1543;
220 : VAR3 = 1545;
221 : VAR3 = 1547;
222 : VAR3 = 1550;
223 : VAR3 = 1552;
224 : VAR3 = 1554;
225 : VAR3 = 1557;
226 : VAR3 = 1559;
227 : VAR3 = 1561;
228 : VAR3 = 1563;
229 : VAR3 = 1566;
230 : VAR3 = 1568;
231 : VAR3 = 1570;
232 : VAR3 = 1573;
233 : VAR3 = 1575;
234 : VAR3 = 1577;
235 : VAR3 = 1579;
236 : VAR3 = 1582;
237 : VAR3 = 1584;
238 : VAR3 = 1586;
239 : VAR3 = 1588;
240 : VAR3 = 1590;
241 : VAR3 = 1593;
242 : VAR3 = 1595;
243 : VAR3 = 1597;
244 : VAR3 = 1599;
245 : VAR3 = 1601;
246 : VAR3 = 1604;
247 : VAR3 = 1606;
248 : VAR3 = 1608;
249 : VAR3 = 1610;
250 : VAR3 = 1612;
251 : VAR3 = 1614;
252 : VAR3 = 1616;
253 : VAR3 = 1619;
254 : VAR3 = 1621;
255 : VAR3 = 1623;
256 : VAR3 = 1625;
endcase
end
endfunction
endmodule
module MODULE2;
reg[ VAR18 - 1 : 0 ]VAR6;
reg[ VAR18 - 1 : 0 ]VAR27;
reg[ VAR18 - 1 : 0 ]VAR11;
wire[ VAR18 - 1 : 0 ]VAR2;
wire signed[ VAR18 - 1 : 0 ]VAR15;
wire signed[ VAR18 - 1 : 0 ]VAR16;
MODULE1 VAR13( VAR6, VAR27, VAR11, VAR2, VAR15, VAR16 );
begin
begin
begin
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/edfxtp/sky130_fd_sc_hs__edfxtp.symbol.v
| 1,388 |
module MODULE1 (
input VAR6 ,
output VAR4 ,
input VAR3 ,
input VAR2
);
supply1 VAR1;
supply0 VAR5;
endmodule
|
apache-2.0
|
skarpenko/ultiparc
|
rtl/src/cpu/uparc_lsu.v
| 5,550 |
module MODULE1(
clk,
VAR10,
addr,
VAR22,
VAR23,
VAR8,
VAR28,
VAR21,
VAR7,
VAR9,
VAR25,
VAR20,
VAR16,
VAR24,
VAR3,
VAR14,
VAR17,
VAR18
);
localparam VAR26 = 1'b0;
localparam VAR4 = 1'b1;
input wire clk;
input wire VAR10;
input wire [VAR2-1:0] addr;
input wire [VAR12-1:0] VAR22;
output reg [VAR12-1:0] VAR23;
input wire [1:0] VAR8;
input wire VAR28;
output wire VAR21;
output wire VAR7;
output wire VAR9;
output reg [VAR2-1:0] VAR25;
output reg VAR20;
output reg VAR16;
output reg [VAR11-1:0] VAR24;
output reg [VAR12-1:0] VAR3;
input wire [VAR12-1:0] VAR14;
input wire VAR17;
input wire VAR18;
assign VAR7 = (VAR8 == VAR5 && addr[0] != 1'b0) ||
(VAR8 == VAR1 && addr[1:0] != 2'b0);
wire VAR6 = (!VAR7 && !VAR18 && VAR8 != VAR15);
assign VAR21 = ((VAR6 || (state == VAR4)) && !VAR17);
assign VAR9 = VAR18;
always @
begin
if(VAR6)
VAR23 = VAR27(VAR14, VAR24);
end
else if(state == VAR4)
VAR23 = VAR27(VAR14, VAR19);
else
VAR23 = VAR27(VAR13, VAR19);
end
endmodule
|
bsd-2-clause
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nand3/gf180mcu_fd_sc_mcu7t5v0__nand3_2.behavioral.v
| 1,267 |
module MODULE1( VAR5, VAR6, VAR2, VAR3 );
input VAR3, VAR2, VAR6;
output VAR5;
VAR4 VAR1(.VAR5(VAR5),.VAR6(VAR6),.VAR2(VAR2),.VAR3(VAR3));
VAR4 VAR7(.VAR5(VAR5),.VAR6(VAR6),.VAR2(VAR2),.VAR3(VAR3));
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/common/rtl/jbi_1r1w_16x10.v
| 6,710 |
module MODULE1(
do,
VAR6,
VAR45,
VAR40,
VAR47,
VAR10,
VAR46
);
output [9:0] do;
input [3:0] VAR6;
input [3:0] VAR45;
input [9:0] VAR40;
input VAR47;
input VAR10;
input VAR46;
wire VAR38;
reg [9:0] dout;
wire VAR50;
wire VAR56;
wire VAR3;
wire VAR22;
wire VAR30;
wire VAR43;
wire VAR44;
wire VAR23;
wire VAR53;
wire VAR29;
wire VAR52;
wire VAR20;
wire VAR16;
wire VAR54;
wire VAR19;
wire VAR25;
wire [9:0] VAR37;
wire [9:0] VAR17;
wire [9:0] VAR5;
wire [9:0] VAR18;
wire [9:0] VAR12;
wire [9:0] VAR27;
wire [9:0] VAR28;
wire [9:0] VAR36;
wire [9:0] VAR4;
wire [9:0] VAR9;
wire [9:0] VAR13;
wire [9:0] VAR26;
wire [9:0] VAR11;
wire [9:0] VAR57;
wire [9:0] VAR24;
wire [9:0] VAR35;
assign VAR38 = ~VAR46;
assign do = dout;
assign VAR50 = ~VAR45[3] & ~VAR45[2] & ~VAR45[1] & ~VAR45[0] & VAR38;
assign VAR56 = ~VAR45[3] & ~VAR45[2] & ~VAR45[1] & VAR45[0] & VAR38;
assign VAR3 = ~VAR45[3] & ~VAR45[2] & VAR45[1] & ~VAR45[0] & VAR38;
assign VAR22 = ~VAR45[3] & ~VAR45[2] & VAR45[1] & VAR45[0] & VAR38;
assign VAR30 = ~VAR45[3] & VAR45[2] & ~VAR45[1] & ~VAR45[0] & VAR38;
assign VAR43 = ~VAR45[3] & VAR45[2] & ~VAR45[1] & VAR45[0] & VAR38;
assign VAR44 = ~VAR45[3] & VAR45[2] & VAR45[1] & ~VAR45[0] & VAR38;
assign VAR23 = ~VAR45[3] & VAR45[2] & VAR45[1] & VAR45[0] & VAR38;
assign VAR53 = VAR45[3] & ~VAR45[2] & ~VAR45[1] & ~VAR45[0] & VAR38;
assign VAR29 = VAR45[3] & ~VAR45[2] & ~VAR45[1] & VAR45[0] & VAR38;
assign VAR52 = VAR45[3] & ~VAR45[2] & VAR45[1] & ~VAR45[0] & VAR38;
assign VAR20 = VAR45[3] & ~VAR45[2] & VAR45[1] & VAR45[0] & VAR38;
assign VAR16 = VAR45[3] & VAR45[2] & ~VAR45[1] & ~VAR45[0] & VAR38;
assign VAR54 = VAR45[3] & VAR45[2] & ~VAR45[1] & VAR45[0] & VAR38;
assign VAR19 = VAR45[3] & VAR45[2] & VAR45[1] & ~VAR45[0] & VAR38;
assign VAR25 = VAR45[3] & VAR45[2] & VAR45[1] & VAR45[0] & VAR38;
VAR31 #(10) VAR33( .en(VAR50),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR37[9:0]));
VAR31 #(10) VAR32( .en(VAR56),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR17[9:0]));
VAR31 #(10) VAR7( .en(VAR3),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR5[9:0]));
VAR31 #(10) VAR1( .en(VAR22),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR18[9:0]));
VAR31 #(10) VAR14( .en(VAR30),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR12[9:0]));
VAR31 #(10) VAR51( .en(VAR43),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR27[9:0]));
VAR31 #(10) VAR2( .en(VAR44),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR28[9:0]));
VAR31 #(10) VAR15( .en(VAR23),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR36[9:0]));
VAR31 #(10) VAR21( .en(VAR53),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR4[9:0]));
VAR31 #(10) VAR34( .en(VAR29),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR9[9:0]));
VAR31 #(10) VAR39( .en(VAR52),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR13[9:0]));
VAR31 #(10) VAR49( .en(VAR20),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR26[9:0]));
VAR31 #(10) VAR48( .en(VAR16),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR11[9:0]));
VAR31 #(10) VAR55( .en(VAR54),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR57[9:0]));
VAR31 #(10) VAR42( .en(VAR19),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR24[9:0]));
VAR31 #(10) VAR8( .en(VAR25),
.din(VAR40[9:0]), .clk(VAR10),
.VAR41(VAR35[9:0]));
always@(VAR6
or VAR37
or VAR17
or VAR5
or VAR18
or VAR12
or VAR27
or VAR28
or VAR36
or VAR4
or VAR9
or VAR13
or VAR26
or VAR11
or VAR57
or VAR24
or VAR35
)
begin
case(VAR6)
4'b0000 : dout= VAR37;
4'b0001 : dout= VAR17;
4'b0010 : dout= VAR5;
4'b0011 : dout= VAR18;
4'b0100 : dout= VAR12;
4'b0101 : dout= VAR27;
4'b0110 : dout= VAR28;
4'b0111 : dout= VAR36;
4'b1000 : dout= VAR4;
4'b1001 : dout= VAR9;
4'b1010 : dout= VAR13;
4'b1011 : dout= VAR26;
4'b1100 : dout= VAR11;
4'b1101 : dout= VAR57;
4'b1110 : dout= VAR24;
4'b1111 : dout= VAR35;
default: dout= VAR37;
endcase
end
endmodule
|
gpl-2.0
|
a5teri5m/async4ph
|
basys3/srcs/async.v
| 1,904 |
module MODULE1 (
input wire clk,
input wire reset,
input wire [3:0] VAR12,
output reg VAR7,
output reg [1:0] VAR2,
output reg VAR5
);
reg [3:0] VAR9, VAR11;
wire VAR10, VAR3;
reg VAR1, VAR4;
wire VAR6, VAR8;
always @(posedge clk) begin
if (reset == 1'b1) begin
VAR9 <= 4'b0;
VAR11 <= 4'b0;
end else begin
VAR9 <= VAR12;
VAR11 <= VAR9;
end
end
assign VAR10 = (VAR11[0] | VAR11[1]) & (VAR11[2] | VAR11[3]);
assign VAR3 = ~(VAR11[0] | VAR11[1]) & ~(VAR11[2] | VAR11[3]);
always @(posedge clk) begin
if (reset == 1'b1) begin
VAR1 <= 1'b0;
VAR4 <= 1'b0;
end else begin
VAR1 <= VAR10;
VAR4 <= VAR3;
end
end
assign VAR6 = ~VAR1 & VAR10;
assign VAR8 = ~VAR4 & VAR3;
always @(posedge clk) begin
if (reset == 1'b1) begin
VAR7 <= 1'b0;
VAR2 <= 2'b0;
VAR5 <= 1'b0;
end else begin
if (VAR6 == 1'b1) begin
VAR7 <= 1'b1;
VAR2 <= {VAR11[3], VAR11[1]};
VAR5 <= 1'b1;
end else if (VAR8 == 1'b1) begin
VAR7 <= 1'b0;
VAR2 <= 2'b0;
VAR5 <= 1'b0;
end else begin
VAR5 <= 1'b0;
end
end
end
endmodule
|
mit
|
rurume/openrisc_vision_hardware
|
ISE/or1200_dc_ram.v
| 5,355 |
module MODULE1(
clk, rst,
VAR10, VAR17, VAR11,
addr, en, VAR15, VAR6, VAR14
);
parameter VAR5 = VAR12;
parameter VAR16 = VAR1;
input clk;
input rst;
input [VAR16-1:0] addr;
input en;
input [3:0] VAR15;
input [VAR5-1:0] VAR6;
output [VAR5-1:0] VAR14;
input VAR10;
input [VAR18 - 1:0] VAR11; output VAR17;
assign VAR14 = {VAR5{1'b0}};
assign VAR17 = VAR10;
VAR8 VAR13(
VAR7 VAR13(
.VAR10(VAR10),
.VAR17(VAR17),
.VAR11(VAR11),
.clk(clk),
.rst(rst),
.VAR4(en),
.VAR15(VAR15),
.VAR2(1'b1),
.addr(addr),
.VAR3(VAR6),
.VAR9(VAR14)
);
endmodule
|
gpl-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/DE4_SOPC_clock_0.v
| 23,542 |
module MODULE4 (
VAR37,
VAR55,
VAR52,
VAR27
)
;
output VAR27;
input VAR37;
input VAR55;
input VAR52;
reg VAR24;
wire VAR27;
always @(posedge VAR37 or negedge VAR52)
begin
if (VAR52 == 0)
VAR24 <= 0;
end
else
VAR24 <= VAR55;
end
assign VAR27 = VAR55 ^ VAR24;
endmodule
module MODULE2 (
VAR11,
VAR51,
VAR13,
VAR23,
VAR6,
VAR35,
VAR66,
VAR10,
VAR17
)
;
output VAR66;
output VAR10;
output VAR17;
input VAR11;
input VAR51;
input VAR13;
input VAR23;
input VAR6;
input VAR35;
reg VAR5;
reg [ 2: 0] VAR40;
reg VAR38;
reg VAR66;
reg [ 2: 0] VAR15;
reg VAR10;
reg VAR17;
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR66 <= 0;
end
else if (1)
VAR66 <= VAR5;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR17 <= 0;
end
else if (1)
VAR17 <= VAR38;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR15 <= 3'b001;
end
else if (1)
VAR15 <= VAR40;
end
always @(VAR11 or VAR51 or VAR23 or VAR66 or VAR15 or VAR35 or VAR17)
begin
case (VAR15)
3'b001: begin
if (VAR23)
begin
VAR40 = 3'b010;
VAR10 = 1;
VAR5 = !VAR66;
VAR38 = VAR17;
end
else if (VAR35)
begin
VAR40 = 3'b100;
VAR10 = 1;
VAR5 = VAR66;
VAR38 = !VAR17;
end
else
begin
VAR40 = VAR15;
VAR10 = 0;
VAR5 = VAR66;
VAR38 = VAR17;
end
end
3'b010: begin
if (VAR11)
begin
VAR40 = 3'b001;
VAR10 = 0;
end
else
begin
VAR40 = 3'b010;
VAR10 = 1;
end
VAR5 = VAR66;
VAR38 = VAR17;
end
3'b100: begin
if (VAR51)
begin
VAR40 = 3'b001;
VAR10 = 0;
end
else
begin
VAR40 = 3'b100;
VAR10 = 1;
end
VAR5 = VAR66;
VAR38 = VAR17;
end
default: begin
VAR40 = 3'b001;
VAR10 = 0;
VAR5 = VAR66;
VAR38 = VAR17;
end
endcase end
endmodule
module MODULE5 (
VAR64,
VAR1,
VAR49,
VAR31,
VAR29,
VAR22,
VAR56,
VAR60,
VAR43
)
;
output VAR22;
output VAR56;
output VAR60;
output VAR43;
input VAR64;
input VAR1;
input VAR49;
input VAR31;
input VAR29;
reg VAR22;
reg VAR56;
reg [ 2: 0] VAR54;
reg VAR60;
reg VAR43;
reg VAR45;
reg VAR59;
reg [ 2: 0] VAR30;
reg VAR3;
reg VAR16;
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR56 <= 0;
end
else if (1)
VAR56 <= VAR59;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR43 <= 0;
end
else if (1)
VAR43 <= VAR16;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR22 <= 0;
end
else if (1)
VAR22 <= VAR45;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR60 <= 0;
end
else if (1)
VAR60 <= VAR3;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR54 <= 3'b001;
end
else if (1)
VAR54 <= VAR30;
end
always @(VAR22 or VAR56 or VAR54 or VAR49 or VAR60 or VAR43 or VAR31 or VAR29)
begin
case (VAR54)
3'b001: begin
if (VAR31)
begin
VAR30 = 3'b010;
VAR45 = 1;
VAR3 = 0;
end
else if (VAR29)
begin
VAR30 = 3'b100;
VAR45 = 0;
VAR3 = 1;
end
else
begin
VAR30 = VAR54;
VAR45 = 0;
VAR3 = 0;
end
VAR59 = VAR56;
VAR16 = VAR43;
end
3'b010: begin
if (!VAR49)
begin
VAR30 = 3'b001;
VAR59 = !VAR56;
VAR45 = 0;
end
else
begin
VAR30 = 3'b010;
VAR59 = VAR56;
VAR45 = VAR22;
end
VAR16 = VAR43;
VAR3 = 0;
end
3'b100: begin
if (!VAR49)
begin
VAR30 = 3'b001;
VAR3 = 0;
VAR16 = !VAR43;
end
else
begin
VAR30 = 3'b100;
VAR3 = VAR60;
VAR16 = VAR43;
end
VAR59 = VAR56;
VAR45 = 0;
end
default: begin
VAR30 = 3'b001;
VAR3 = 0;
VAR16 = VAR43;
VAR45 = 0;
VAR59 = VAR56;
end
endcase end
endmodule
module MODULE3 (
VAR4,
VAR33,
VAR55,
VAR34,
VAR69,
VAR27
)
;
output VAR27;
input VAR4;
input VAR33;
input VAR55;
input VAR34;
input VAR69;
reg VAR24 ;
reg VAR27 ;
always @(posedge VAR4 or negedge VAR34)
begin
if (VAR34 == 0)
VAR24 <= 0;
end
else
VAR24 <= VAR55;
end
always @(posedge VAR33 or negedge VAR69)
begin
if (VAR69 == 0)
VAR27 <= 0;
end
else
VAR27 <= VAR24;
end
endmodule
module MODULE1 (
VAR64,
VAR71,
VAR20,
VAR1,
VAR49,
VAR18,
VAR46,
VAR13,
VAR21,
VAR23,
VAR6,
VAR35,
VAR32,
VAR57,
VAR58,
VAR26,
VAR22,
VAR60,
VAR70,
VAR48,
VAR19,
VAR10
)
;
output [ 3: 0] VAR57;
output [ 1: 0] VAR58;
output [ 2: 0] VAR26;
output VAR22;
output VAR60;
output [ 15: 0] VAR70;
output VAR48;
output [ 15: 0] VAR19;
output VAR10;
input VAR64;
input VAR71;
input [ 15: 0] VAR20;
input VAR1;
input VAR49;
input [ 3: 0] VAR18;
input [ 1: 0] VAR46;
input VAR13;
input [ 2: 0] VAR21;
input VAR23;
input VAR6;
input VAR35;
input [ 15: 0] VAR32;
reg [ 3: 0] VAR57 ;
reg [ 1: 0] VAR58 ;
reg [ 2: 0] VAR26 ;
wire VAR22;
wire VAR56;
wire VAR12;
wire VAR11;
wire VAR60;
wire VAR43;
wire VAR7;
wire VAR51;
reg [ 15: 0] VAR70 ;
reg [ 3: 0] VAR67 ;
reg [ 1: 0] VAR61 ;
wire VAR48;
reg [ 2: 0] VAR28 ;
wire VAR66;
wire VAR68;
wire VAR31;
reg [ 15: 0] VAR19 ;
reg [ 15: 0] VAR36;
wire VAR10;
wire VAR17;
wire VAR44;
wire VAR29;
reg [ 15: 0] VAR63 ;
VAR25 VAR8
(
.clk (VAR13),
.din (VAR56),
.dout (VAR12),
.VAR52 (VAR6)
);
VAR25 VAR9
(
.clk (VAR13),
.din (VAR43),
.dout (VAR7),
.VAR52 (VAR6)
);
MODULE4 MODULE6
(
.VAR37 (VAR13),
.VAR55 (VAR12),
.VAR27 (VAR11),
.VAR52 (VAR6)
);
MODULE4 MODULE4
(
.VAR37 (VAR13),
.VAR55 (VAR7),
.VAR27 (VAR51),
.VAR52 (VAR6)
);
MODULE2 VAR50
(
.VAR11 (VAR11),
.VAR51 (VAR51),
.VAR13 (VAR13),
.VAR23 (VAR23),
.VAR66 (VAR66),
.VAR6 (VAR6),
.VAR10 (VAR10),
.VAR35 (VAR35),
.VAR17 (VAR17)
);
VAR25 VAR62
(
.clk (VAR64),
.din (VAR66),
.dout (VAR68),
.VAR52 (VAR1)
);
VAR25 VAR65
(
.clk (VAR64),
.din (VAR17),
.dout (VAR44),
.VAR52 (VAR1)
);
MODULE4 MODULE1
(
.VAR37 (VAR64),
.VAR55 (VAR68),
.VAR27 (VAR31),
.VAR52 (VAR1)
);
MODULE4 MODULE7
(
.VAR37 (VAR64),
.VAR55 (VAR44),
.VAR27 (VAR29),
.VAR52 (VAR1)
);
MODULE5 VAR14
(
.VAR64 (VAR64),
.VAR22 (VAR22),
.VAR56 (VAR56),
.VAR1 (VAR1),
.VAR49 (VAR49),
.VAR60 (VAR60),
.VAR43 (VAR43),
.VAR31 (VAR31),
.VAR29 (VAR29)
);
MODULE3 MODULE5
(
.VAR4 (VAR13),
.VAR33 (VAR64),
.VAR55 (VAR71),
.VAR27 (VAR48),
.VAR34 (VAR6),
.VAR69 (VAR1)
);
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR36 <= 0;
end
else if (VAR22 & ~VAR49)
VAR36 <= VAR20;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR19 <= 0;
end
else
VAR19 <= VAR36;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR63 <= 0;
end
else
VAR63 <= VAR32;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR70 <= 0;
end
else
VAR70 <= VAR63;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR67 <= 0;
end
else
VAR67 <= VAR18;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR57 <= 0;
end
else
VAR57 <= VAR67;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR28 <= 0;
end
else
VAR28 <= VAR21;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR26 <= 0;
end
else
VAR26 <= VAR28;
end
always @(posedge VAR13 or negedge VAR6)
begin
if (VAR6 == 0)
VAR61 <= 0;
end
else
VAR61 <= VAR46;
end
always @(posedge VAR64 or negedge VAR1)
begin
if (VAR1 == 0)
VAR58 <= 0;
end
else
VAR58 <= VAR61;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfbbp/sky130_fd_sc_hs__dfbbp.functional.pp.v
| 2,251 |
module MODULE1 (
VAR11 ,
VAR4 ,
VAR7 ,
VAR9 ,
VAR15 ,
VAR19,
VAR21 ,
VAR13
);
output VAR11 ;
output VAR4 ;
input VAR7 ;
input VAR9 ;
input VAR15 ;
input VAR19;
input VAR21 ;
input VAR13 ;
wire VAR14 ;
wire VAR8 ;
wire VAR10 ;
wire VAR12 ;
wire VAR5;
wire VAR1 ;
not VAR2 (VAR14 , VAR19 );
not VAR20 (VAR8 , VAR15 );
VAR3 VAR6 VAR16 (VAR10 , VAR8, VAR14, VAR9, VAR7, VAR21, VAR13);
buf VAR18 (VAR11 , VAR10 );
not VAR17 (VAR4 , VAR10 );
endmodule
|
apache-2.0
|
donnaware/ZBC---The-Zero-Board-Computer
|
rtl/ver1/rtl/sdspi.v
| 2,181 |
module MODULE1 (
output reg VAR9, input VAR17,
output reg VAR11,
output reg VAR7,
input VAR16, input VAR14,
input [8:0] VAR10,
output reg [7:0] VAR8,
input VAR19,
input [1:0] VAR2,
input VAR20,
input VAR18,
output reg VAR12
);
wire VAR15;
wire VAR3;
wire VAR6;
reg [7:0] VAR4;
reg VAR1;
reg [7:0] VAR5;
reg [1:0] VAR13;
assign VAR15 = VAR20 & VAR18;
assign VAR3 = !VAR1 & VAR15;
assign VAR6 = VAR3 & VAR19 & VAR2[0];
always @(posedge VAR16)
VAR11 <= VAR14 ? 1'b1 : (VAR13==2'b10 ? (VAR6 ? VAR10[7] : VAR4[7]) : VAR11);
always @(posedge VAR16)
VAR4 <= VAR14 ? 8'hff : (VAR13==2'b10 ? { (VAR6 ? VAR10[6:0] : VAR4[6:0]), 1'b1 } : VAR4);
always @(posedge VAR16)
VAR12 <= VAR14 ? 1'b0 : (VAR12 ? 1'b0 : (VAR5[0] && VAR13==2'b00));
always @(posedge VAR16)
VAR5 <= VAR14 ? 8'h0 : (VAR13==2'b10 ? { VAR3, VAR5[7:1] } : VAR5);
always @(posedge VAR16)
VAR1 <= VAR14 ? 1'b0 : (VAR1 ? !VAR5[0] : VAR15 && VAR13==2'b10);
always @(posedge VAR16)
VAR8 <= VAR14 ? 8'h0 : ((VAR15 && VAR13==2'b0) ? { VAR8[6:0], VAR17 } : VAR8);
always @(posedge VAR16)
VAR9 <= VAR14 ? 1'b1 : (VAR13[0] ? VAR9 : !(VAR15 & VAR13[1]));
always @(negedge VAR16)
VAR7 <= VAR14 ? 1'b1 : ((VAR15 & VAR19 & VAR2[1]) ? VAR10[8] : VAR7);
always @(posedge VAR16) VAR13 <= VAR13 - 2'd1;
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/xor3/gf180mcu_fd_sc_mcu9t5v0__xor3_4.behavioral.v
| 2,510 |
module MODULE1( VAR4, VAR3, VAR1, VAR2 );
input VAR3, VAR4, VAR1;
output VAR2;
VAR6 VAR7(.VAR4(VAR4),.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2));
VAR6 VAR5(.VAR4(VAR4),.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2));
|
apache-2.0
|
markusC64/1541ultimate2
|
fpga/nios_dut/nios_dut/synthesis/submodules/nios_dut_nios2_gen2_0_cpu_debug_slave_sysclk.v
| 6,183 |
module MODULE1 (
clk,
VAR13,
VAR18,
VAR20,
VAR26,
VAR29,
VAR30,
VAR23,
VAR15,
VAR9,
VAR16,
VAR7,
VAR8,
VAR12,
VAR17,
VAR10
)
;
output [ 37: 0] VAR29;
output VAR30;
output VAR23;
output VAR15;
output VAR9;
output VAR16;
output VAR7;
output VAR8;
output VAR12;
output VAR17;
output VAR10;
input clk;
input [ 1: 0] VAR13;
input [ 37: 0] VAR18;
input VAR20;
input VAR26;
reg VAR28 ;
reg [ 1: 0] VAR2 ;
reg [ 37: 0] VAR29 ;
reg VAR27 ;
reg VAR22 ;
reg VAR25 ;
wire VAR3;
wire VAR24;
wire VAR30;
wire VAR23;
wire VAR15;
wire VAR9;
wire VAR16;
wire VAR7;
wire VAR8;
wire VAR12;
wire VAR17;
wire VAR10;
wire VAR19;
wire VAR11;
reg VAR21 ;
assign VAR19 = 1'b1;
VAR5 VAR6
(
.clk (clk),
.din (VAR20),
.dout (VAR3),
.VAR1 (VAR19)
);
assign VAR11 = 1'b1;
VAR5 VAR4
(
.clk (clk),
.din (VAR26),
.dout (VAR24),
.VAR1 (VAR11)
);
always @(posedge clk)
begin
VAR22 <= VAR3;
VAR21 <= VAR3 & ~VAR22;
VAR28 <= VAR21;
VAR25 <= VAR24;
VAR27 <= VAR24 & ~VAR25;
end
assign VAR9 = VAR28 && (VAR2 == 2'b00) &&
~VAR29[35] && VAR29[34];
assign VAR10 = VAR28 && (VAR2 == 2'b00) &&
~VAR29[35] && ~VAR29[34];
assign VAR16 = VAR28 && (VAR2 == 2'b00) &&
VAR29[35];
assign VAR30 = VAR28 && (VAR2 == 2'b10) &&
~VAR29[36] &&
VAR29[37];
assign VAR8 = VAR28 && (VAR2 == 2'b10) &&
~VAR29[36] &&
~VAR29[37];
assign VAR23 = VAR28 && (VAR2 == 2'b10) &&
VAR29[36] && ~VAR29[35] &&
VAR29[37];
assign VAR12 = VAR28 && (VAR2 == 2'b10) &&
VAR29[36] && ~VAR29[35] &&
~VAR29[37];
assign VAR15 = VAR28 && (VAR2 == 2'b10) &&
VAR29[36] && VAR29[35] &&
VAR29[37];
assign VAR17 = VAR28 && (VAR2 == 2'b10) &&
VAR29[36] && VAR29[35] &&
~VAR29[37];
assign VAR7 = VAR28 && (VAR2 == 2'b11) &&
VAR29[15];
always @(posedge clk)
begin
if (VAR27)
VAR2 <= VAR13;
if (VAR21)
VAR29 <= VAR18;
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dfsbp/sky130_fd_sc_hs__dfsbp.functional.pp.v
| 1,859 |
module MODULE1 (
VAR10 ,
VAR2 ,
VAR13 ,
VAR11 ,
VAR7 ,
VAR4 ,
VAR9
);
input VAR10 ;
input VAR2 ;
output VAR13 ;
output VAR11 ;
input VAR7 ;
input VAR4 ;
input VAR9;
wire VAR12;
wire VAR8 ;
not VAR3 (VAR8 , VAR9 );
VAR14 VAR15 VAR5 (VAR12 , VAR4, VAR7, VAR8, VAR10, VAR2);
buf VAR1 (VAR13 , VAR12 );
not VAR6 (VAR11 , VAR12 );
endmodule
|
apache-2.0
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/hdl/verilog/tri_intersect_fsub_32ns_32ns_32_9_full_dsp.v
| 1,942 |
module MODULE1
VAR27 = 0,
VAR6 = 9,
VAR9 = 32,
VAR13 = 32,
VAR16 = 32
)(
input wire clk,
input wire reset,
input wire VAR3,
input wire [VAR9-1:0] VAR19,
input wire [VAR13-1:0] VAR10,
output wire [VAR16-1:0] dout
);
wire VAR8;
wire VAR5;
wire VAR26;
wire [31:0] VAR4;
wire VAR14;
wire [31:0] VAR23;
wire VAR7;
wire [31:0] VAR18;
reg [VAR9-1:0] VAR12;
reg [VAR13-1:0] VAR20;
VAR24 VAR17 (
.VAR8 ( VAR8 ),
.VAR5 ( VAR5 ),
.VAR1 ( VAR26 ),
.VAR21 ( VAR4 ),
.VAR15 ( VAR14 ),
.VAR11 ( VAR23 ),
.VAR22 ( VAR7 ),
.VAR2 ( VAR18 )
);
assign VAR8 = clk;
assign VAR5 = VAR3;
assign VAR26 = 1'b1;
assign VAR4 = VAR12==='VAR25 ? 'b0 : VAR12;
assign VAR14 = 1'b1;
assign VAR23 = VAR20==='VAR25 ? 'b0 : VAR20;
assign dout = VAR18;
always @(posedge clk) begin
if (VAR3) begin
VAR12 <= VAR19;
VAR20 <= VAR10;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.blackbox.v
| 1,311 |
module MODULE1 (
VAR3,
VAR2 ,
VAR1 ,
VAR4
);
output VAR3;
input VAR2 ;
input VAR1 ;
input VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/mux2i/sky130_fd_sc_hdll__mux2i_4.v
| 2,230 |
module MODULE2 (
VAR9 ,
VAR5 ,
VAR2 ,
VAR6 ,
VAR4,
VAR1,
VAR8 ,
VAR10
);
output VAR9 ;
input VAR5 ;
input VAR2 ;
input VAR6 ;
input VAR4;
input VAR1;
input VAR8 ;
input VAR10 ;
VAR3 VAR7 (
.VAR9(VAR9),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR9 ,
VAR5,
VAR2,
VAR6
);
output VAR9 ;
input VAR5;
input VAR2;
input VAR6 ;
supply1 VAR4;
supply0 VAR1;
supply1 VAR8 ;
supply0 VAR10 ;
VAR3 VAR7 (
.VAR9(VAR9),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/ip_compiler_for_pci_express-library/altpcie_pll_phy1_62p5.v
| 18,362 |
module MODULE1 (
VAR104,
VAR3,
VAR83,
VAR59,
VAR108,
VAR99);
input VAR104;
input VAR3;
output VAR83;
output VAR59;
output VAR108;
output VAR99;
tri0 VAR104;
wire [5:0] VAR110;
wire VAR24;
wire [0:0] VAR76 = 1'h0;
wire [2:2] VAR7 = VAR110[2:2];
wire [1:1] VAR70 = VAR110[1:1];
wire [0:0] VAR93 = VAR110[0:0];
wire VAR83 = VAR93;
wire VAR59 = VAR70;
wire VAR108 = VAR7;
wire VAR99 = VAR24;
wire VAR40 = VAR3;
wire [1:0] VAR46 = {VAR76, VAR40};
VAR23 VAR112 (
.VAR96 (VAR46),
.VAR104 (VAR104),
.clk (VAR110),
.VAR99 (VAR24),
.VAR12 (),
.VAR44 (),
.VAR42 ({6{1'b1}}),
.VAR11 (),
.VAR15 (1'b0),
.VAR86 (1'b0),
.VAR102 (),
.VAR65 (),
.VAR56 (),
.VAR62 ({4{1'b1}}),
.VAR5 (1'b1),
.VAR58 (),
.VAR72 (),
.VAR34 (1'b1),
.VAR10 ({4{1'b1}}),
.VAR14 (),
.VAR54 (1'b1),
.VAR68 (1'b1),
.VAR116 (1'b1),
.VAR18 (1'b0),
.VAR52 (1'b0),
.VAR115 (1'b1),
.VAR98 (1'b0),
.VAR92 (),
.VAR16 (),
.VAR61 (1'b0),
.VAR48 (1'b0),
.VAR73 (),
.VAR103 (),
.VAR105 (),
.VAR77 ());
VAR112.VAR57 = 1,
VAR112.VAR53 = 50,
VAR112.VAR81 = 1,
VAR112.VAR29 = "0",
VAR112.VAR88 = 1,
VAR112.VAR49 = 50,
VAR112.VAR67 = 1,
VAR112.VAR94 = "-3200",
VAR112.VAR55 = 2,
VAR112.VAR38 = 50,
VAR112.VAR1 = 1,
VAR112.VAR89 = "0",
VAR112.VAR4 = "VAR37",
VAR112.VAR22 = "VAR36",
VAR112.VAR19 = 8000,
VAR112.VAR50 = "VAR97 VAR20",
VAR112.VAR111 = 5,
VAR112.VAR85 = "VAR23",
VAR112.VAR47 = "VAR79",
VAR112.VAR8 = "VAR91",
VAR112.VAR21 = "VAR51",
VAR112.VAR26 = "VAR91",
VAR112.VAR60 = "VAR91",
VAR112.VAR66 = "VAR91",
VAR112.VAR27 = "VAR91",
VAR112.VAR84 = "VAR91",
VAR112.VAR31 = "VAR91",
VAR112.VAR114 = "VAR51",
VAR112.VAR101 = "VAR91",
VAR112.VAR25 = "VAR51",
VAR112.VAR2 = "VAR91",
VAR112.VAR87 = "VAR91",
VAR112.VAR30 = "VAR91",
VAR112.VAR43 = "VAR91",
VAR112.VAR33 = "VAR91",
VAR112.VAR41 = "VAR91",
VAR112.VAR9 = "VAR91",
VAR112.VAR78 = "VAR91",
VAR112.VAR39 = "VAR91",
VAR112.VAR75 = "VAR91",
VAR112.VAR17 = "VAR91",
VAR112.VAR100 = "VAR91",
VAR112.VAR64 = "VAR91",
VAR112.VAR113 = "VAR91",
VAR112.VAR80 = "VAR51",
VAR112.VAR13 = "VAR51",
VAR112.VAR95 = "VAR51",
VAR112.VAR74 = "VAR91",
VAR112.VAR63 = "VAR91",
VAR112.VAR32 = "VAR91",
VAR112.VAR106 = "VAR91",
VAR112.VAR28 = "VAR91",
VAR112.VAR6 = "VAR91",
VAR112.VAR69 = "VAR91",
VAR112.VAR45 = "VAR91",
VAR112.VAR107 = "VAR91",
VAR112.VAR35 = "VAR91",
VAR112.VAR82 = "VAR91",
VAR112.VAR71 = "VAR91",
VAR112.VAR109 = "VAR91",
VAR112.VAR90 = 1;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkbuf/sky130_fd_sc_ms__clkbuf_8.v
| 2,034 |
module MODULE1 (
VAR3 ,
VAR5 ,
VAR1,
VAR2,
VAR6 ,
VAR7
);
output VAR3 ;
input VAR5 ;
input VAR1;
input VAR2;
input VAR6 ;
input VAR7 ;
VAR8 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR3,
VAR5
);
output VAR3;
input VAR5;
supply1 VAR1;
supply0 VAR2;
supply1 VAR6 ;
supply0 VAR7 ;
VAR8 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
jaruiz/ION
|
src/rtl/cpu.v
| 43,722 |
module MODULE1
parameter VAR146 = 32'hbfc00000,
parameter VAR243 = 32'hbfc00180
)
(
input VAR174,
input VAR82,
output [31:0] VAR144,
output [1:0] VAR205,
input [31:0] VAR125,
input VAR191,
input [1:0] VAR45,
output [31:0] VAR128,
output [1:0] VAR232,
output [2:0] VAR194,
input [31:0] VAR56,
output [31:0] VAR155,
output VAR152,
input VAR117,
input [1:0] VAR188,
input [4:0] VAR201,
input VAR209
);
localparam VAR214 = 32'h00000000;
localparam VAR16 = 32'h00000000;
localparam VAR221 = 32'h00000000;
localparam
VAR223 = 4'b0000, VAR55 = 4'b0001,
VAR242 = 4'b0010, VAR133 = 4'b0011,
VAR106 = 4'b0100, VAR198 = 4'b0101;
localparam
VAR199 = 4'b0000, VAR2 = 4'b0001, VAR36 = 4'b0010, VAR31 = 4'b0011,
VAR130 = 4'b0100, VAR63 = 4'b0101, VAR143 = 4'b0110, VAR121 = 4'b0111,
VAR33 = 4'b1000, VAR22 =4'b1001, VAR83 =4'b1010, VAR197 = 4'b1111;
localparam
VAR53 = 3'b000, VAR139 = 3'b001, VAR186 = 3'b010,
VAR113 = 3'b011, VAR72 = 3'b100, VAR218 = 3'b000;
localparam
VAR244 = 2'b00, VAR101 = 2'b01, VAR222 = 2'b10,
VAR80 = 2'b11, VAR57 = 2'b00;
localparam
VAR177 = 5'b00000, VAR99 = 5'b00100, VAR35 = 5'b00110,
VAR227 = 5'b00111, VAR161 = 5'b10000, VAR180 = 5'b10001,
VAR115 = 5'b10101, VAR220 = 5'b10111, VAR126 = 5'b01000,
VAR91 = 5'b01001, VAR132 = 5'b01010, VAR61 = 5'b01011;
localparam
VAR11 = 2'b10, VAR93 = 2'b00, VAR240 = 2'b01;
always @(posedge VAR174) \
if (VAR82) \
VAR212 <= VAR207; \
else if(~VAR60) \
VAR212 <= VAR74;
always @(posedge VAR174) \
if (VAR82 || ~enable) \
VAR212 <= VAR207; \
else if(~VAR60) \
VAR212 <= VAR74;
always @(posedge VAR174) \
if (VAR82) \
VAR27 <= VAR207; \
else begin \
if (VAR208 & ~VAR60 & VAR124) \
VAR27 <= VAR120; \
end
else if (VAR208 & VAR195 & (VAR66==VAR104)) \
VAR27 <= VAR74; \
end
always @(posedge VAR174) \
if (VAR82) \
VAR27 <= 32'h0; \
else if (VAR208 & ~VAR60 & VAR195 & (VAR66==VAR104)) \
VAR27 <= VAR137;
reg [16:0] VAR105;
reg [12:0] VAR187;
reg [31:0] VAR179;
reg [31:0] VAR44; reg [31:0] VAR109;
reg [31:0] VAR96;
reg [31:0] VAR9 [0:31];
reg VAR37; reg VAR168; reg VAR200; reg VAR173, VAR38, VAR122, VAR4, VAR51;
reg VAR39; reg VAR189; reg VAR190; reg [31:0] VAR213; reg VAR26; reg [31:0] VAR5; reg [31:0] VAR30;
always @ begin
VAR164 = VAR26 & ~VAR168;
VAR107 = VAR38 & VAR191;
VAR46 = VAR234? VAR88 : VAR125; end
reg [31:0] VAR217; reg [31:0] VAR76; reg VAR114; reg VAR185; reg [3:0] VAR68; reg [4:0] VAR85; reg [4:0] VAR23; reg [31:0] VAR73; reg [1:0] VAR108; reg [1:0] VAR211; reg VAR151; reg VAR25; reg [31:0] VAR203; reg VAR241; reg VAR127; reg VAR98; reg [4:0] VAR67; reg [31:0] VAR159;
reg VAR62; reg VAR49; reg [31:0] VAR87; reg [1:0] VAR182; reg [4:0] VAR81; reg [4:0] VAR157; reg [4:0] VAR172; reg [7:0] VAR29; reg [3:0] VAR165; reg [27:0] VAR150; reg [3:0] VAR181; reg VAR158; reg [2:0] VAR149; reg [1:0] VAR226; reg VAR41; reg VAR162; reg [1:0] VAR215; reg [4:0] VAR65; reg [4:0] VAR84; reg [4:0] VAR21; reg VAR169;
reg VAR43; reg [1:0] VAR147; reg VAR216; reg VAR166; reg [1:0] VAR116; reg VAR142; reg VAR77; reg VAR19; reg VAR238; reg [4:0] VAR160;
reg [31:0] VAR12; reg [31:0] VAR178; reg [31:0] VAR28; reg [31:0] VAR112;
reg [31:0] VAR42; reg [31:0] VAR119; reg [31:0] VAR183; reg [31:0] VAR167; reg [31:0] VAR100; reg [31:0] VAR176; reg [31:0] VAR20; reg [31:0] VAR47; reg [31:0] VAR102; reg [31:0] VAR34;
reg [31:0] VAR8; reg [31:0] VAR92; reg [31:0] VAR206; reg [31:0] VAR136; reg [31:0] VAR237; reg VAR71; reg VAR231; reg [2:0] VAR97; reg [31:0] VAR163; reg [31:0] VAR59; reg VAR110; reg [31:0] VAR89; reg [31:0] VAR48; reg [1:0] VAR75; reg [1:0] VAR79; reg VAR193; reg VAR15; reg VAR153; reg [31:0] VAR170; reg VAR1; reg [7:0] VAR94; reg VAR156; reg VAR6; reg VAR175;
always @ begin
casez (VAR14)
default: VAR150 = VAR134; endcase
VAR116 = VAR150[27:26];
VAR216 = VAR150[25];
VAR97 = VAR150[24:22];
{VAR15, VAR153} = VAR150[21:20];
{VAR19, VAR147, VAR181} = VAR150[19:12];
{VAR149, VAR226} = VAR150[11:7];
{VAR41, VAR162, VAR65} = VAR150[6:0];
VAR169 = ~(VAR65 == VAR177);
VAR110 = (VAR181==VAR63) | (VAR181 == VAR143) | (VAR181 == VAR130);
VAR158 = (VAR149==VAR113) & VAR41;
end
always @ begin
VAR92 = (VAR81 == 5'd0)? 32'd0 : VAR9[VAR81];
VAR206 = (VAR157 == 5'd0)? 32'd0 : VAR9[VAR157];
end
always @ begin
case (VAR29)
8'b01011000: VAR165 = VAR223;
8'b01100000: VAR165 = VAR55;
8'b01101000: VAR165 = VAR242;
8'b01110000: VAR165 = VAR133;
8'b10000000: VAR165 = VAR106;
8'b11110000: VAR165 = VAR198;
default: VAR165 = 4'b1111; endcase
case (VAR29)
8'b01011000: VAR8 = VAR96;
8'b01100000: VAR8 = VAR196(VAR187);
8'b01101000: VAR8 = VAR210(VAR105);
8'b01110000: VAR8 = VAR179;
8'b01111000: VAR8 = VAR214;
8'b10000000: VAR8 = VAR16;
8'b10000001: VAR8 = VAR221;
8'b11110000: VAR8 = VAR109;
default: VAR8 = 32'h00000000; endcase
end
always @ begin
VAR112 = (VAR77|VAR6)? VAR243 : VAR179;
VAR12 = VAR202 + VAR119;
VAR178 = VAR42;
VAR28 = VAR136;
VAR175 = 1'b0;
casez ({(VAR77|VAR6|VAR19),VAR231,VAR147})
4'b1???: VAR87 = VAR112;
4'b0?01: VAR87 = VAR28;
4'b0?10: VAR87 = VAR178;
4'b0111: VAR87 = VAR12;
default: begin
VAR87 = VAR112;
VAR175 = 1'b1; end
endcase
end
always @ begin
VAR1 = VAR236 & ~(VAR58 | VAR145);
VAR94 = {1'b0, VAR201, VAR64} & VAR233;
VAR156 = |(VAR94) & VAR1;
VAR6 = VAR156; end
always @ begin
VAR48 = VAR167;
VAR89 = VAR136 + VAR48;
VAR75 = (VAR15 | VAR153)? 2'b10 : 2'b00; VAR193 = VAR14[28];
case (VAR182[1:0]) 2'b00: VAR79 = 2'b00;
2'b01: VAR79 = 2'b01;
2'b10: VAR79 = 2'b10;
default: VAR79 = 2'b10;
endcase
case (VAR79)
2'b00: VAR170 = {4{VAR237[ 7: 0]}};
2'b01: VAR170 = {2{VAR237[15: 0]}};
default: VAR170 = VAR237;
endcase
end
reg VAR32; reg VAR70; reg [31:0] VAR78; reg [31:0] VAR137; reg VAR239; reg [4:0] VAR230; reg VAR123; reg [31:0] VAR225; reg [1:0] VAR103; reg [1:0] VAR140; reg VAR18; reg VAR195; reg [3:0] VAR66; reg VAR138; reg VAR52; reg [4:0] VAR219; reg [31:0] VAR54; reg [32:0] VAR229; reg [32:0] VAR118; reg [32:0] VAR69; reg [31:0] VAR184; reg [31:0] VAR95; reg [31:0] VAR204; reg [31:0] VAR171; reg VAR111; reg VAR13;
assign VAR128 = VAR73;
assign VAR232 = VAR108;
assign VAR194 = VAR211;
assign VAR152 = VAR151;
always @ begin
VAR229[31:0] = VAR217;
VAR229[32] = VAR23[1]? 1'b0 : VAR217[31];
VAR118[31:0] = VAR76;
VAR118[32] = VAR23[1]? 1'b0 : VAR76[31];
VAR69 = VAR23[0]?
VAR229 - VAR118 :
VAR229 + VAR118;
case (VAR23[2:1])
2'b10,
2'b11: VAR184 = {31'h0, VAR69[32]};
default: VAR184 = VAR69[31:0];
endcase
case (VAR23[1:0])
2'b00: VAR204 = VAR76 << VAR217[4:0];
2'b10: VAR204 = VAR76 >> VAR217[4:0];
default: VAR204 = (VAR76) >>> VAR217[4:0];
endcase
case (VAR23[1:0])
2'b00: VAR95 = VAR217 | VAR76;
2'b01: VAR95 = VAR217 & VAR76;
2'b10: VAR95 = VAR217 ^ VAR76;
default: VAR95 = ~(VAR217 | VAR76);
endcase
VAR171 = VAR23[3]? VAR95 : VAR204;
VAR78 = VAR23[4]? VAR184 : VAR171;
end
reg VAR208; reg [31:0] VAR192; reg [31:0] VAR50; reg [4:0] VAR7; reg [16:0] VAR148; reg [12:0] VAR3; reg [31:0] VAR154;
reg VAR141;
reg VAR40;
assign VAR155 = VAR225;
always @ begin
case ({VAR103, VAR140})
4'b0011: VAR192 = VAR154[7:0];
4'b0010: VAR192 = VAR154[15:8];
4'b0001: VAR192 = VAR154[23:16];
4'b0000: VAR192 = VAR154[31:24];
4'b0110: VAR192 = VAR154[15:0];
4'b0100: VAR192 = VAR154[31:16];
default: VAR192 = VAR154;
endcase
if (~VAR18) begin
case (VAR103)
2'b00: VAR192[31:8] = {24{VAR192[7]}};
2'b01: VAR192[31:16] = {16{VAR192[15]}};
endcase
end
end
always @ begin
VAR3 = VAR187;
casez ({VAR138, VAR52})
2'b1?: begin VAR3[1] = 1'b1; end
2'b01: begin if (VAR58) begin
VAR3[2] = 1'b0; end
else begin
VAR3[1] = 1'b0; end
end
default:; endcase
VAR148 = {1'b0,2'b00,1'b0, VAR94, VAR7};
end
reg VAR10; reg VAR131; reg VAR17; reg VAR90; reg VAR129; reg VAR235; reg VAR224; reg VAR86; reg VAR24; reg VAR135; reg VAR228;
always @(*) begin
VAR10 = (VAR32 & VAR114 & (VAR85==VAR81));
VAR131 = (VAR32 & VAR114 & (VAR85==VAR157));
VAR17 = (VAR208 & VAR239 & (VAR230==VAR81));
VAR90 = (VAR208 & VAR239 & (VAR230==VAR157));
VAR129 = (VAR32 & VAR25 & (VAR85==VAR81));
VAR235 = (VAR32 & VAR25 & (VAR85==VAR157));
VAR224 = (VAR129 | VAR235);
VAR86 = VAR127 & VAR208;
VAR24 = VAR98 & VAR208;
VAR135 = VAR189 & ~VAR191;
VAR228 = VAR13 & ~VAR117;
VAR200 = VAR224 | VAR86 | VAR24 | VAR135;
VAR168 = VAR86 | VAR24 | VAR238;
VAR37 = VAR228;
VAR51 = 1'b0 | VAR228;
VAR4 = VAR51;
VAR122 = VAR4 | VAR24 | VAR224 | VAR86 | VAR135;
VAR38 = VAR122;
VAR173 = VAR38;
end
endmodule
|
lgpl-3.0
|
sh-chris110/chris
|
FPGA/Math/Qsys/nios_design/synthesis/submodules/nios_design_onchip_memory2_0.v
| 3,036 |
module MODULE1 (
address,
VAR11,
VAR5,
clk,
VAR30,
reset,
VAR8,
write,
VAR32,
VAR25
)
;
parameter VAR26 = "MODULE1.VAR17";
output [ 31: 0] VAR25;
input [ 15: 0] address;
input [ 3: 0] VAR11;
input VAR5;
input clk;
input VAR30;
input reset;
input VAR8;
input write;
input [ 31: 0] VAR32;
wire VAR21;
wire [ 31: 0] VAR25;
wire VAR27;
assign VAR27 = VAR5 & write;
assign VAR21 = VAR30 & ~VAR8;
VAR15 VAR28
(
.VAR12 (address),
.VAR18 (VAR11),
.VAR31 (clk),
.VAR21 (VAR21),
.VAR13 (VAR32),
.VAR2 (VAR25),
.VAR20 (VAR27)
);
VAR28.VAR33 = VAR26,
VAR28.VAR10 = "VAR15",
VAR28.VAR22 = 50350,
VAR28.VAR9 = 50350,
VAR28.VAR19 = "VAR4",
VAR28.VAR14 = "VAR23",
VAR28.VAR29 = "VAR3",
VAR28.VAR24 = "VAR34",
VAR28.VAR6 = 32,
VAR28.VAR1 = 4,
VAR28.VAR7 = 16;
endmodule
|
gpl-2.0
|
phase4ground/DVB-receiver
|
modem/hdl/library/multiply_accumulate/multiply_accumulate.v
| 7,759 |
module MODULE1 #
(
parameter integer VAR80 = 16,
parameter integer VAR3 = 16,
parameter integer VAR14 = 48,
parameter integer VAR69 = 0,
parameter integer VAR66 = 1,
parameter integer VAR72 = 1,
parameter integer VAR114 = 1,
parameter integer VAR106 = 0,
parameter integer VAR61 = 0
)
(
input wire VAR57,
input wire reset,
input wire [VAR80-1:0] VAR65,
input wire [VAR3-1:0] VAR49,
input wire [VAR14-1:0] VAR58,
output wire [VAR14-1:0] VAR34,
output wire [VAR80-1:0] VAR45,
output wire [VAR80-1:0] VAR94,
input wire VAR60,
input wire VAR48,
input wire VAR5,
input wire [6:0] VAR84,
input wire [4:0] VAR67
);
localparam integer VAR55 = 1;
localparam integer VAR73 = 1;
localparam integer VAR96 = 1;
localparam integer VAR25 = 1;
localparam VAR36 = "VAR30"; localparam VAR20 = "VAR104";
localparam integer VAR35 = 1;
localparam integer VAR2 = 1;
localparam VAR68 = VAR106 == 1 ? "VAR104" : "VAR113";
localparam integer VAR79 = 1;
localparam integer VAR75 = 1;
localparam integer VAR81 = 1;
localparam integer VAR16 = 1;
localparam integer VAR7 = 1;
localparam integer VAR26 = 1;
localparam integer VAR23 = 1;
localparam integer VAR71 = 1;
localparam VAR37 = "VAR92";
localparam VAR62 = "VAR1";
localparam VAR110 = "VAR9";
localparam VAR4 = "VAR43";
localparam VAR88 = "VAR89";
localparam VAR50 = "VAR109";
localparam [47:0] VAR92 = 48'h3FFFFFFFFFFF;
localparam [47:0] VAR1 = 48'h000000000000;
localparam [3:0] VAR70 = 4'b0;
localparam [0:0] VAR42 = 1'b0;
localparam [0:0] VAR91 = 1'b0;
localparam [4:0] VAR31 = 5'b0;
localparam [6:0] VAR18 = 7'b0;
localparam integer VAR32 = 48;
localparam integer VAR24 = 30;
localparam integer VAR40 = 18;
localparam integer VAR63 = 48;
localparam integer VAR8 = 25;
wire [29:0] VAR100;
wire [17:0] VAR90;
wire VAR112;
wire [3:0] VAR12;
wire VAR77;
wire VAR108;
wire [47:0] VAR111;
wire VAR86;
wire VAR107;
wire [47:0] VAR103;
wire VAR27;
wire [29:0] VAR76;
wire [29:0] VAR98;
wire [3:0] VAR56;
wire [17:0] VAR52;
wire [17:0] VAR82;
wire [47:0] VAR29;
wire VAR11;
wire VAR87;
wire [2:0] VAR17;
wire VAR22;
wire VAR54;
wire VAR13;
wire VAR6;
wire VAR33;
wire VAR105;
wire VAR64;
wire VAR93;
wire VAR53;
wire VAR85;
wire VAR74;
wire VAR59;
wire VAR19;
wire VAR99;
wire [24:0] VAR78;
wire VAR39;
wire [6:0] VAR21;
wire [47:0] VAR95;
wire VAR46;
wire VAR47;
wire VAR101;
wire VAR41;
wire VAR15;
wire VAR28;
wire VAR83;
wire VAR10;
wire VAR44;
wire VAR51;
assign VAR99 = VAR57;
assign VAR46 = VAR5;
assign VAR47 = reset;
assign VAR101 = reset;
assign VAR41 = reset;
assign VAR15 = reset;
assign VAR28 = reset;
assign VAR83 = reset;
assign VAR10 = reset;
assign VAR44 = reset;
assign VAR51 = reset;
generate
if (VAR114) begin
if (VAR106) begin
assign VAR52 = {{(VAR40-VAR80){VAR65[VAR80-1]}}, VAR65};
assign VAR95 = 0;
end
else begin
assign VAR82 = {{(VAR40-VAR80){VAR65[VAR80-1]}}, VAR65};
assign VAR95 = {{(VAR32-VAR14){VAR58[VAR14-1]}}, VAR58};
end
assign VAR45 = VAR90;
assign VAR76 = {{(VAR24-VAR3){VAR49[VAR3-1]}}, VAR49};
assign VAR94 = VAR111[VAR80+VAR3+VAR69-1:VAR3+VAR69];
assign VAR34 = VAR103;
end
else begin
assign VAR76 = {{(VAR24-VAR3){VAR49[VAR3-1]}}, VAR49};
assign VAR52 = {{(VAR40-VAR80){VAR65[VAR80-1]}}, VAR65};
assign VAR95 = 0;
assign VAR94 = VAR111[VAR80+VAR3+VAR69-1:VAR3+VAR69];
end
endgenerate
assign VAR22 = VAR48;
assign VAR54 = VAR48;
assign VAR13 = VAR48;
assign VAR33 = VAR60;
assign VAR105 = VAR60;
assign VAR6 = VAR60;
assign VAR64 = VAR60;
assign VAR93 = VAR60;
assign VAR53 = VAR60;
assign VAR85 = VAR60;
assign VAR74 = VAR60;
assign VAR59 = VAR60;
assign VAR19 = VAR60;
assign VAR98 = 0;
assign VAR56 = 0;
assign VAR11 = 0;
assign VAR17 = 0;
assign VAR87 = 0;
assign VAR39 = 0;
VAR97 #(
.VAR55(VAR55),
.VAR73(VAR73),
.VAR96(VAR96),
.VAR25(VAR72),
.VAR36(VAR36),
.VAR20(VAR20),
.VAR35(VAR66),
.VAR2(VAR66),
.VAR68(VAR68),
.VAR79(VAR79),
.VAR75(VAR75),
.VAR81(VAR81),
.VAR16(VAR16),
.VAR7(VAR7),
.VAR26(VAR26),
.VAR23(VAR23),
.VAR71(VAR71),
.VAR37(VAR37),
.VAR62(VAR62),
.VAR110(VAR110),
.VAR4(VAR4),
.VAR88(VAR88),
.VAR50(VAR50),
.VAR92(VAR92),
.VAR1(VAR1),
.VAR70(VAR70),
.VAR42(VAR42),
.VAR91(VAR91),
.VAR31(VAR31),
.VAR18(VAR18)
) VAR102(
.VAR100(VAR100),
.VAR90(VAR90),
.VAR112(VAR112),
.VAR12(VAR12),
.VAR77(VAR77),
.VAR108(VAR108),
.VAR111(VAR111),
.VAR86(VAR86),
.VAR107(VAR107),
.VAR103(VAR103),
.VAR27(VAR27),
.VAR76(VAR76),
.VAR98(VAR98),
.VAR56(VAR56),
.VAR52(VAR52),
.VAR82(VAR82),
.VAR29(VAR29),
.VAR11(VAR11),
.VAR87(VAR87),
.VAR17(VAR17),
.VAR22(VAR22),
.VAR54(VAR54),
.VAR13(VAR13),
.VAR6(VAR6),
.VAR33(VAR33),
.VAR105(VAR105),
.VAR64(VAR64),
.VAR93(VAR93),
.VAR53(VAR53),
.VAR85(VAR85),
.VAR74(VAR74),
.VAR59(VAR59),
.VAR19(VAR19),
.VAR99(VAR99),
.VAR78(VAR78),
.VAR38(VAR67),
.VAR39(VAR39),
.VAR21(VAR84),
.VAR95(VAR95),
.VAR46(VAR46),
.VAR47(VAR47),
.VAR101(VAR101),
.VAR41(VAR41),
.VAR15(VAR15),
.VAR28(VAR28),
.VAR83(VAR83),
.VAR10(VAR10),
.VAR44(VAR44),
.VAR51(VAR51)
);
|
gpl-3.0
|
AndreaCorallo/KPU
|
rtl/kpu/monitor.v
| 3,112 |
module MODULE1(
input wire VAR26,
input wire VAR25,
input wire VAR27,
input wire VAR29,
input wire [VAR7-1:0] VAR21,
input wire VAR15,
input wire VAR10,
input wire VAR32,
input wire [VAR7-1:0] VAR18,
input wire [VAR7-1:0] VAR8,
input wire [VAR13-1:0] VAR12,
input wire [VAR7-1:0] VAR11,
input wire [3:0] VAR28,
input wire [VAR7-1:0] VAR9,
input wire [VAR7-1:0] VAR33
);
reg VAR31;
always @(posedge VAR26) begin
if (VAR24 >= 1)
if (VAR15 && !VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR6 %0d <= 0x%VAR1",
( / 10) + 1, VAR18, VAR12,
VAR11);
if (VAR24 >= 4) begin
if (VAR25)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR5",
/ 10, VAR18);
if (VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR19",
/ 10, VAR18);
if (VAR29 && !VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR30 <= %VAR2",
( / 10) + 1, VAR18, VAR21);
if (VAR10 && !VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR16 VAR3",
/ 10, VAR18);
if (VAR32 && !VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR23 VAR17 <= 0x%VAR1",
/ 10, VAR18, VAR8);
if (VAR28 != 4'h0 && !VAR27)
VAR20("VAR22: clk %0d: VAR4 = 0x%VAR1: VAR14 = %VAR2 *addr 0x%VAR1 <= 0x%VAR1",
( / 10) + 1, VAR18, VAR28,
VAR9 << 2, VAR33);
end
end
endmodule
|
gpl-3.0
|
mriosrivas/logica_booleana
|
logica_secuencial.v
| 1,283 |
module MODULE1(
input VAR5,
output reg VAR6,
input clk
);
always @(clk)
VAR6 <= VAR5;
endmodule
module MODULE3(
input VAR5,
output reg VAR6,
input clk
);
always @(posedge clk)
VAR6 <= VAR5;
endmodule
module MODULE2;
reg VAR3, VAR2;
wire VAR4;
MODULE1 MODULE1( .VAR5(VAR3), .VAR6(VAR4), .clk(VAR2) );
begin
begin
begin
|
apache-2.0
|
wkoszek/hardware
|
debouncer/debouncer.v
| 1,117 |
module MODULE1(VAR3, VAR1, do);
parameter VAR4 = 50*1000*1000/16;
input wire VAR3;
input wire VAR1;
output wire do;
reg [30:0] VAR5;
reg VAR2;
reg [1:0] state;
begin
begin
begin
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a222o/sky130_fd_sc_ls__a222o.pp.symbol.v
| 1,419 |
module MODULE1 (
input VAR3 ,
input VAR5 ,
input VAR11 ,
input VAR2 ,
input VAR4 ,
input VAR1 ,
output VAR7 ,
input VAR6 ,
input VAR8,
input VAR9,
input VAR10
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/mux4/sky130_fd_sc_hvl__mux4.pp.symbol.v
| 1,380 |
module MODULE1 (
input VAR7 ,
input VAR3 ,
input VAR9 ,
input VAR4 ,
output VAR8 ,
input VAR2 ,
input VAR6 ,
input VAR11 ,
input VAR5,
input VAR1,
input VAR10
);
endmodule
|
apache-2.0
|
kwantam/multiexp-a5gx
|
verilog/multiexp_top.v
| 35,236 |
module MODULE1 #( parameter VAR142 = 11
, parameter VAR119 = 1
)
( input clk
, input VAR161
, input VAR14
, input VAR71
, input VAR109
, output VAR65
, input [31:0] VAR132
, input VAR139
, output VAR151
, input [VAR142:0] VAR98
, input VAR23
, output [31:0] VAR90
, output VAR11
, input [VAR142:0] VAR22
, output [3:0] VAR26
, output [12:0] VAR8 , output [2:0] VAR17 , output VAR32 , output VAR38 , output VAR13 , output VAR89 , output [3:0] VAR28 , output VAR127 , output VAR110 , output VAR102 , output VAR33 , inout [31:0] VAR25 , inout [3:0] VAR35 , inout [3:0] VAR131 , output VAR149 , input VAR134 , input VAR68
);
localparam VAR20 = 40;
localparam VAR10 = 4;
localparam VAR108 = 1024;
localparam VAR3 = 9;
localparam VAR95 = 7;
reg [6:0] VAR93, VAR100;
wire [4:0] VAR67;
wire [8:0] VAR148;
wire VAR144, VAR24;
wire [26:0] VAR70;
wire VAR30;
wire VAR63;
reg [4:0] VAR157, VAR159;
wire [4:0] VAR40 = VAR63 ? VAR67 : VAR157;
reg [8:0] VAR18, VAR59;
wire [8:0] VAR43 = VAR63 ? VAR148 : VAR18;
reg VAR44, VAR107, VAR129, VAR133;
wire VAR47 = VAR63 ? VAR144 : VAR44;
wire VAR97 = VAR63 ? VAR24 : VAR129;
reg [26:0] VAR106, VAR99;
wire [26:0] VAR140 = VAR63 ? VAR70 : VAR106;
reg [14:0] VAR61, VAR76;
wire [26:0] VAR46 = VAR106;
wire [14:0] VAR83 = VAR61;
reg [2:0] VAR74, VAR75;
wire [26:0] VAR111, VAR53, VAR96;
wire VAR41;
reg [1:0] VAR105, VAR104;
wire [VAR119-1:0] VAR156;
reg [2:0] VAR37, VAR36;
wire [26:0] VAR116[VAR119-1:0];
reg [26:0] VAR79;
VAR138 begin
if (VAR40 < VAR119) begin
VAR79 = VAR116[VAR40];
end else begin
VAR79 = '0;
end
end
wire [31:0] VAR121, VAR45;
wire [11:0] VAR5;
reg VAR9, VAR103;
assign VAR121 = VAR132;
assign VAR5 = {~VAR61[1:0],VAR61[11:2]};
reg [2:0] VAR58, VAR66;
localparam VAR152 = 3'b000;
localparam VAR52 = 3'b001;
localparam VAR80 = 3'b010;
localparam VAR31 = 3'b011;
localparam VAR141 = 3'b100;
localparam VAR84 = 3'b110;
localparam VAR48 = 6'b000001;
localparam VAR2 = 6'b000010;
localparam VAR15 = 6'b000100;
localparam VAR115 = 6'b001000;
localparam VAR42 = 6'b100000;
localparam VAR88 = 2'b10;
localparam VAR112 = 2'b11;
localparam VAR101 = 2'b01;
localparam VAR158 = 2'b01;
localparam VAR130 = 2'b10;
localparam VAR12 = 2'b11;
localparam VAR87 = 3'b111;
wire VAR78 = VAR58 == VAR152;
wire VAR69 = VAR58 == VAR52;
wire VAR120 = VAR58 == VAR80;
wire VAR123 = VAR58 == VAR31;
wire VAR7 = VAR58 == VAR141;
wire VAR27 = VAR58 == VAR84;
reg [31:0] VAR62, VAR64;
reg VAR86, VAR150, VAR56, VAR60;
reg VAR118, VAR73;
assign VAR90 = VAR62;
assign VAR151 = VAR86;
assign VAR11 = VAR56;
wire [5:0] VAR49 = VAR132[31:26];
wire VAR126 = VAR22[VAR142];
assign VAR63 = (VAR144 | VAR24) & ~VAR7;
wire VAR34 = VAR98 > (VAR20 - 1);
wire VAR92 = (VAR22 + VAR20 + 1) < (1 << VAR142);
wire VAR1 = VAR61[11:0] == {12{1'b1}};
wire VAR146 = VAR61[11:0] == {{11{1'b1}},1'b0};
wire VAR39 = VAR18[5:0] == (VAR20 + 1);
wire VAR145 = VAR18[5:0] == (VAR20 - 1);
wire VAR54 = VAR18[5:0] == (VAR20 - 3);
wire VAR29 = VAR18[5:0] == 6'd1;
reg VAR57, VAR114;
wire VAR124 = VAR156 == {VAR119{1'b1}};
wire VAR50 = VAR61[VAR3-1:0] == {VAR3{1'b1}};
wire VAR160 = VAR18[VAR95-1:0] == {VAR95{1'b1}};
assign VAR26 = {~VAR124, ~VAR27, ~VAR120, ~VAR123};
VAR138 begin
VAR100 = VAR93;
VAR159 = VAR157;
VAR59 = VAR18;
VAR107 = VAR44;
VAR133 = VAR129;
VAR99 = VAR106;
VAR75 = VAR74;
VAR104 = VAR105;
VAR36 = VAR37;
VAR103 = VAR9;
VAR66 = VAR58;
VAR76 = VAR61;
VAR64 = VAR62;
VAR150 = VAR86;
VAR60 = VAR56;
VAR73 = VAR118;
VAR114 = '0;
case (VAR58)
VAR152: begin
VAR100 = '0;
VAR59 = '0;
VAR107 = '0;
VAR133 = '0;
VAR99 = '0;
VAR75 = '0;
VAR104 = '0;
VAR36 = '0;
VAR103 = '0;
VAR66 = VAR152;
VAR76 = '0;
VAR64 = '0;
VAR150 = '1;
VAR60 = '0;
VAR73 = '0;
VAR114 = '0;
if (VAR86 & ~VAR139) begin
VAR150 = '0;
VAR66 = VAR52;
end
end
VAR52: begin
case (VAR49)
VAR2, VAR48: begin
if (VAR44) begin
VAR107 = '0;
VAR99 = {7'b0,VAR132[19:0]};
VAR133 = '1;
end else if (VAR129) begin
VAR99 = {7'b0,VAR79[19:0]};
VAR59 = 9'd193;
VAR73 = VAR132[25];
if (VAR49 == VAR2) begin
VAR76[14] = '1;
end else begin
VAR76[14] = '0;
end
VAR66 = VAR80;
end else begin
VAR159 = VAR132[24:20];
VAR59 = 9'd192;
VAR107 = '1;
end
end
VAR15: begin
if (VAR34) begin
VAR76 = VAR132[14:0];
VAR59 = 9'd64;
VAR150 = '1;
VAR159 = '0;
VAR114 = '1;
VAR66 = VAR31;
end
end
VAR115: begin
if (VAR92) begin
VAR114 = '1;
VAR100 = {VAR112,5'b11100};
VAR66 = VAR141;
VAR64 = {4'h4,8'(VAR20),VAR132[19:0]};
VAR60 = '1;
end
end
VAR42: begin
if (VAR105 == VAR130) begin
VAR104 = VAR158;
VAR36 = VAR81;
VAR114 = '1;
VAR66 = VAR84;
end else begin
VAR104 = VAR130;
VAR36 = VAR87;
VAR159 = 5'b11111;
VAR76 = '0;
VAR59 = '0;
VAR73 = '0;
end
end
default: begin
VAR66 = VAR152;
end
endcase
end
VAR84: begin
VAR36 = '0;
if (VAR105 != VAR130) begin
VAR104 = '0;
end
if (VAR56) begin
if (~VAR126) begin
VAR60 = '0;
VAR66 = VAR152;
end
end else if (~VAR57 & VAR118 & VAR124) begin
VAR60 = '1;
VAR64 = {4'h6,28'b0};
if (~VAR126) begin
VAR66 = VAR152;
end
end else if (~VAR57 & (VAR105 == VAR130) & VAR124) begin
VAR104 = '0;
if (VAR160) begin
VAR73 = '1;
VAR114 = '1;
VAR36 = VAR125;
end else begin
VAR76 = '0;
VAR59 = VAR18 + 1'b1;
VAR114 = '1;
VAR104 = VAR158;
VAR36 = VAR81;
end
end else if (~VAR57 & VAR124) begin
if (~VAR41) begin
VAR104 = VAR12;
VAR114 = '1;
end else begin
VAR114 = '1;
if (VAR50) begin
VAR104 = VAR130;
if (VAR160) begin
VAR36 = VAR117;
end else begin
VAR36 = VAR155;
end
end else begin
VAR76 = VAR61 + 1'b1;
VAR104 = VAR158;
VAR36 = VAR81;
end
end
end
end
VAR141: begin
if (VAR57) begin
VAR100 = '0;
VAR60 = '0;
end else begin
if (~VAR30) begin
VAR60 = VAR24;
VAR64 = {5'b0,VAR70};
end else begin
VAR60 = '0;
VAR66 = VAR152;
end
end
end
VAR31: begin
if (VAR18[7:6] == 2'b01) begin
if (VAR57) begin
VAR75 = '0;
VAR133 = '0;
end else begin
VAR99 = VAR132[26:0];
if (VAR86 | VAR129) begin
VAR133 = '1;
VAR75 = 3'b001;
if (VAR129) begin
if (VAR54) begin
VAR150 = '0;
end
if (VAR145) begin
VAR133 = '0;
VAR75 = '0;
end else begin
VAR59 = VAR18 + 1'b1;
VAR76 = VAR61 + 1'b1;
end
end
if (VAR29) begin
VAR36 = VAR91;
end else begin
VAR36 = '0;
end
end else begin
if (VAR34) begin
VAR59 = '0;
VAR76 = VAR61 - 6'd39;
VAR150 = '1;
VAR114 = '1;
end
end
end
end else if (VAR18[7:6] == 2'b00) begin
if (VAR57) begin
VAR75 = '0;
VAR133 = '0;
end else begin
VAR99 = VAR132[26:0];
if (VAR86 | VAR129) begin
VAR133 = '1;
VAR75 = 3'b010;
if (VAR129) begin
if (VAR54) begin
VAR150 = '0;
end
if (VAR145) begin
VAR133 = '0;
VAR75 = '0;
end else begin
VAR59 = VAR18 + 1'b1;
VAR76 = VAR61 + 1'b1;
end
end
if (VAR29) begin
VAR36 = VAR117;
end else begin
VAR36 = '0;
end
end else begin
if (VAR156[0]) begin
VAR36 = VAR125;
VAR59 = 9'b110111110;
VAR76 = VAR61 - 6'd39;
end
end
end
end else if (VAR56) begin
if (~VAR126) begin
VAR60 = '0;
VAR66 = VAR152;
end
end else begin
VAR99 = VAR79[26:0];
VAR36 = '0;
if (~VAR44 & ~VAR74[2]) begin
if (VAR29) begin
VAR59 = 9'd128;
VAR107 = '1;
VAR114 = '1;
end else begin
VAR59 = VAR18 + 1'b1;
end
end else begin
VAR59 = VAR18 + 1'b1;
if (~VAR57) begin
VAR75 = 3'b100;
if (VAR74[2]) begin
if (VAR39) begin
VAR76 = '0;
VAR75 = '0;
VAR64 = {4'h3,28'b0};
VAR60 = '1;
if (~VAR126) begin
VAR66 = VAR152;
end
end else begin
VAR76 = VAR61 + 1'b1;
end
end
end
if (VAR145) begin
VAR107 = '0;
end
end
end
end
VAR80: begin
if (VAR129) begin
VAR133 = '0;
if (~VAR61[14]) begin
VAR150 = '1;
end
VAR100 = {VAR88,VAR157};
VAR36 = VAR16;
end else begin
VAR36 = '0;
VAR103 = '0;
if (VAR93 != 2'b00) begin
VAR100 = '0;
end else if (~VAR61[12] & VAR30) begin
VAR76[12] = 1'b1;
if (VAR118) begin
VAR100 = {VAR112,VAR157};
VAR114 = '1;
end else begin
VAR100 = {VAR101,VAR157};
VAR114 = '1;
end
end
if (~VAR61[14]) begin
if (~VAR61[13]) begin
if (VAR9) begin
if (VAR1) begin
VAR76[13] = 1'b1;
VAR150 = '0;
VAR103 = '0;
end else begin
VAR76[11:0] = VAR76[11:0] + 1'b1;
end
end
if (~VAR139 & VAR86) begin
if ( (VAR146 & VAR9) | VAR1 ) begin
VAR150 = '0;
end
VAR103 = '1;
end
end else begin
if (VAR56) begin
if (~VAR126) begin
VAR76 = '0;
VAR60 = '0;
VAR66 = VAR152;
end
end else if (VAR61[12] & VAR30 & ~VAR57) begin
VAR64 = {4'h1,28'b0};
VAR60 = '1;
if (~VAR126) begin
VAR76 = '0;
VAR66 = VAR152;
end
end
end
end else begin
if (VAR56) begin
if (~VAR126) begin
VAR76 = '0;
VAR60 = '0;
VAR66 = VAR152;
end
end else if (VAR61[12] & VAR30 & ~VAR57) begin
VAR64 = {4'h2,28'b0};
VAR60 = '1;
if (~VAR126) begin
VAR76 ='0;
VAR66 = VAR152;
end
end
end
end
end
default: begin
VAR66 = VAR152;
end
endcase
end
VAR51 @(posedge clk or negedge VAR65) begin
if (~VAR65) begin
VAR93 <= '0;
VAR157 <= '0;
VAR18 <= '0;
VAR44 <= '0;
VAR129 <= '0;
VAR106 <= '0;
VAR74 <= '0;
VAR105 <= '0;
VAR37 <= '0;
VAR9 <= '0;
VAR58 <= '0;
VAR61 <= '0;
VAR62 <= '0;
VAR86 <= '0;
VAR56 <= '0;
VAR118 <= '0;
VAR57 <= '0;
end else begin
VAR93 <= VAR100;
VAR157 <= VAR159;
VAR18 <= VAR59;
VAR44 <= VAR107;
VAR129 <= VAR133;
VAR106 <= VAR99;
VAR74 <= VAR75;
VAR105 <= VAR104;
VAR37 <= VAR36;
VAR9 <= VAR103;
VAR58 <= VAR66;
VAR61 <= VAR76;
VAR62 <= VAR64;
VAR86 <= VAR150;
VAR56 <= VAR60;
VAR118 <= VAR73;
VAR57 <= VAR114;
end
end
VAR82 VAR137 ( .clk (clk)
, .VAR161 (VAR161)
, .VAR14 (VAR14 & VAR109)
, .VAR71 (VAR71)
, .VAR65 (VAR65)
, .VAR136 (VAR93)
, .VAR21 ({VAR67, VAR148})
, .VAR55 (VAR24)
, .VAR113 (VAR144)
, .VAR154 (VAR70)
, .VAR77 (VAR79)
, .VAR122 (VAR132[19:0])
, .VAR8 (VAR8)
, .VAR17 (VAR17)
, .VAR32 (VAR32)
, .VAR38 (VAR38)
, .VAR13 (VAR13)
, .VAR89 (VAR89)
, .VAR28 (VAR28)
, .VAR127 (VAR127)
, .VAR110 (VAR110)
, .VAR102 (VAR102)
, .VAR33 (VAR33)
, .VAR25 (VAR25)
, .VAR35 (VAR35)
, .VAR131 (VAR131)
, .VAR149 (VAR149)
, .VAR134 (VAR134)
, .VAR68 (VAR68)
, .VAR128 (VAR30)
);
VAR72 VAR153 ( .clk (clk)
, .VAR65 (VAR65)
, .VAR46 (VAR46)
, .VAR83 (VAR83)
, .VAR19 (VAR74)
, .VAR111 (VAR111)
, .VAR53 (VAR53)
, .VAR96 (VAR96)
, .VAR136 (VAR105)
, .VAR128 (VAR41)
);
genvar VAR147;
generate for(VAR147=0; VAR147<VAR119; VAR147++) begin: VAR135
VAR94 #( .VAR6 (VAR147)
) VAR85
( .clk (clk)
, .VAR65 (VAR65)
, .VAR40 (VAR40)
, .VAR43 (VAR43)
, .VAR140 (VAR140)
, .VAR47 (VAR47)
, .VAR97 (VAR97)
, .VAR79 (VAR116[VAR147])
, .VAR4 (VAR5)
, .VAR121 (VAR121)
, .VAR143 (VAR9)
, .VAR136 (VAR37)
, .VAR128 (VAR156[VAR147])
, .VAR111 (VAR111)
, .VAR53 (VAR53)
, .VAR96 (VAR96)
);
end
endgenerate
endmodule
|
gpl-3.0
|
hpeng2/ECE492_Group4_Project
|
ECE_492_Project_new/video_sys/synthesis/submodules/video_sys_VGA_Controller.v
| 9,843 |
module MODULE1 (
clk,
reset,
VAR13,
VAR10,
VAR3,
VAR17,
valid,
ready,
VAR8,
VAR48,
VAR21,
VAR16,
VAR37,
VAR15,
VAR9,
VAR33
);
parameter VAR35 = 9;
parameter VAR52 = 29;
parameter VAR28 = 29;
parameter VAR32 = 20;
parameter VAR29 = 19;
parameter VAR4 = 10;
parameter VAR36 = 9;
parameter VAR19 = 0;
parameter VAR56 = 640;
parameter VAR42 = 16;
parameter VAR43 = 96;
parameter VAR20 = 48;
parameter VAR40 = 800;
parameter VAR11 = 480;
parameter VAR50 = 10;
parameter VAR49 = 2;
parameter VAR41 = 33;
parameter VAR57 = 525;
parameter VAR51 = 10;
parameter VAR6 = 10'h001;
parameter VAR24 = 10;
parameter VAR12 = 10'h001;
input clk;
input reset;
input [VAR52: 0] VAR13;
input VAR10;
input VAR3;
input [ 1: 0] VAR17;
input valid;
output ready;
output VAR8;
output reg VAR48;
output reg VAR21;
output reg VAR16;
output reg VAR37;
output reg [VAR35: 0] VAR15;
output reg [VAR35: 0] VAR9;
output reg [VAR35: 0] VAR33;
localparam VAR2 = 1'b0,
VAR22 = 1'b1;
wire VAR14;
wire VAR18;
wire VAR25;
wire VAR45;
wire VAR23;
wire VAR27;
wire VAR53;
wire [VAR35: 0] VAR44;
wire [VAR35: 0] VAR34;
wire [VAR35: 0] VAR30;
wire [VAR35: 0] VAR39;
reg [ 3: 0] VAR1;
reg VAR46;
reg VAR54;
always @(posedge clk) begin
if (reset == 1'b1)
VAR54 <= VAR2;
end
else
VAR54 <= VAR46;
end
always @(*)
begin
VAR46 = VAR2;
case (VAR54)
VAR2:
begin
if (valid & VAR10)
VAR46 = VAR22;
end
else
VAR46 = VAR2;
end
VAR22:
begin
if (VAR18)
VAR46 = VAR2;
end
else
VAR46 = VAR22;
end
default:
begin
VAR46 = VAR2;
end
endcase
end
always @(posedge clk)
begin
VAR48 <= VAR25;
VAR21 <= 1'b0;
VAR16 <= VAR23;
VAR37 <= VAR27;
VAR15 <= VAR44;
VAR9 <= VAR34;
VAR33 <= VAR30;
end
always @(posedge clk)
begin
if (reset)
VAR1 <= 4'h1;
end
else if (VAR54 == VAR2)
VAR1 <= 4'h1;
else if (~VAR14)
VAR1 <= {VAR1[2:0], VAR1[3]};
end
assign ready =
(VAR54 == VAR2) ?
valid & ~VAR10 :
VAR14;
assign VAR8 = ~clk;
VAR5 VAR7 (
.clk (clk),
.reset (reset),
.VAR31 (VAR13[VAR28:VAR32]),
.VAR26 (VAR13[VAR29:VAR4]),
.VAR47 (VAR13[VAR36:VAR19]),
.VAR1 (VAR1),
.VAR14 (VAR14),
.VAR18 (VAR18),
.VAR55 (),
.VAR38 (VAR25),
.VAR45 (VAR45),
.VAR23 (VAR23),
.VAR27 (VAR27),
.VAR53 (VAR53),
.VAR44 (VAR44),
.VAR34 (VAR34),
.VAR30 (VAR30),
.VAR39 (VAR39)
);
VAR7.VAR35 = VAR35,
VAR7.VAR56 = VAR56,
VAR7.VAR42 = VAR42,
VAR7.VAR43 = VAR43,
VAR7.VAR20 = VAR20,
VAR7.VAR40 = VAR40,
VAR7.VAR11 = VAR11,
VAR7.VAR50 = VAR50,
VAR7.VAR49 = VAR49,
VAR7.VAR41 = VAR41,
VAR7.VAR57 = VAR57,
VAR7.VAR51 = VAR51,
VAR7.VAR6 = VAR6,
VAR7.VAR24 = VAR24,
VAR7.VAR12 = VAR12;
endmodule
|
gpl-2.0
|
andykarpov/radio-86rk-wxeda
|
src/video/rambuffer_bb.v
| 8,495 |
module MODULE1 (
VAR4,
VAR3,
VAR5,
VAR1,
VAR7,
VAR2,
VAR6,
VAR9,
VAR8);
input [16:0] VAR4;
input [16:0] VAR3;
input VAR5;
input [0:0] VAR1;
input [0:0] VAR7;
input VAR2;
input VAR6;
output [0:0] VAR9;
output [0:0] VAR8;
tri1 VAR5;
tri0 VAR2;
tri0 VAR6;
endmodule
|
bsd-2-clause
|
zhangly/azpr_cpu
|
rtl/io/gpio/rtl/gpio.v
| 4,218 |
module MODULE1 (
input wire clk, input wire reset,
input wire VAR25, input wire VAR4, input wire VAR23, input wire [VAR17] addr, input wire [VAR18] VAR11, output reg [VAR18] VAR21, output reg VAR9
);
wire [VAR20-1:0] VAR8; reg [VAR20-1:0] VAR13; reg [VAR20-1:0] VAR3; reg [VAR20-1:0] VAR12; integer VAR14;
assign VAR8 = VAR2; assign VAR2 = VAR12;
always @(*) begin
for (VAR14 = 0; VAR14 < VAR20; VAR14 = VAR14 + 1) begin : VAR6
VAR12[VAR14] = (VAR3[VAR14] == VAR7) ? 1'VAR1 : VAR13[VAR14];
end
end
always @(posedge clk or VAR24 reset) begin
if (reset == VAR15) begin
VAR21 <= VAR22'h0;
VAR9 <= VAR27;
VAR3 <= {VAR20{VAR7}};
end else begin
if ((VAR25 == VAR19) && (VAR4 == VAR19)) begin
VAR9 <= VAR19;
end else begin
VAR9 <= VAR27;
end
if ((VAR25 == VAR19) && (VAR4 == VAR19) && (VAR23 == VAR5)) begin
case (addr)
VAR10};
end
VAR16};
end
VAR8};
end
VAR3};
end
endcase
end else begin
VAR21 <= VAR22'h0;
end
if ((VAR25 == VAR19) && (VAR4 == VAR19) && (VAR23 == VAR26)) begin
case (addr)
end
end
end
endcase
end
end
end
endmodule
|
mit
|
SWORDfpga/ComputerOrganizationDesign
|
labs/lab04/lab04/Code/IO/port/Multi_8CH32_IO.v
| 1,098 |
module MODULE1(input clk,
input rst,
input VAR5, input[2:0]VAR13, input[63:0]VAR9, input[63:0]VAR14, input[31:0] VAR10, input[31:0] VAR11,
input[31:0] VAR1,
input[31:0] VAR8,
input[31:0] VAR3,
input[31:0] VAR4,
input[31:0] VAR6,
input[31:0] VAR7,
output [7:0] VAR2,
output [7:0] VAR12,
output [31:0]VAR15
);
endmodule
|
gpl-3.0
|
merckhung/zet
|
cores/vga/rtl/fml/vga_planar_fml.v
| 5,697 |
module MODULE1 (
input clk,
input rst,
input enable,
output [17:1] VAR33,
input [15:0] VAR25,
output VAR5,
input [3:0] VAR6,
input VAR35,
input [9:0] VAR20,
input [9:0] VAR24,
input VAR19,
input VAR31,
output VAR2,
output reg [3:0] VAR30,
output VAR12
);
reg [11:0] VAR29;
reg [ 5:0] VAR1;
reg [14:0] VAR28;
reg [ 1:0] VAR7;
reg [ 1:0] VAR16;
reg [15:0] VAR32;
reg [15:0] VAR3;
reg [15:0] VAR34;
reg [15:0] VAR17;
reg [15:0] VAR14;
reg [15:0] VAR21;
reg [15:0] VAR22;
reg [ 7:0] VAR9;
reg [ 7:0] VAR18;
wire [15:0] VAR11;
wire VAR23;
wire VAR27, VAR26, VAR13, VAR8;
reg [9:0] VAR15;
reg [9:0] VAR4;
reg [7:0] VAR10;
assign VAR33 = { VAR28, VAR16 };
assign VAR11 = { VAR18, VAR9 };
assign VAR8 = |(VAR11 & VAR32);
assign VAR13 = |(VAR11 & VAR34);
assign VAR26 = |(VAR11 & VAR14);
assign VAR27 = |(VAR11 & VAR22);
assign VAR2 = VAR15[9];
assign VAR12 = VAR4[9];
assign VAR5 = |VAR10[4:1];
assign VAR23 = VAR35 ? 1'b0 : VAR24[0];
always @(posedge clk)
if (rst)
begin
VAR10 <= 8'b0;
end
else
if (enable)
begin
VAR10 <= { VAR10[6:0],
VAR35 ? (VAR20[4:0]==5'h0) : (VAR20[3:0]==4'h0) };
end
always @(posedge clk)
if (rst)
begin
VAR15 <= 10'b0;
end
else
if (enable)
begin
VAR15 <= { VAR15[8:0], VAR31 };
end
always @(posedge clk)
if (rst)
begin
VAR4 <= 10'b0;
end
else
if (enable)
begin
VAR4 <= { VAR4[8:0], VAR19 };
end
always @(posedge clk)
if (rst)
begin
VAR29 <= 12'h0;
VAR1 <= 6'h0;
VAR7 <= 2'b00;
VAR28 <= 15'h0;
VAR16 <= 2'b00;
end
else
if (enable)
begin
VAR29 <= { VAR24[9:1], VAR23, 2'b00 } + { VAR24[9:1], VAR23 }
+ (VAR35 ? VAR24[9:1] : 9'h0);
VAR1 <= VAR35 ? VAR20[9:5] : VAR20[9:4];
VAR7 <= VAR20[1:0];
VAR28 <= (VAR35 ? { VAR29, 1'b0 }
: { VAR29, 3'b000 }) + VAR1;
VAR16 <= VAR7;
end
always @(posedge clk)
if (rst)
begin
VAR3 <= 16'h0;
VAR17 <= 16'h0;
VAR21 <= 16'h0;
end
else
if (enable)
begin
VAR3 <= VAR10[4] ? VAR25 : VAR3;
VAR17 <= VAR10[5] ? VAR25 : VAR17;
VAR21 <= VAR10[6] ? VAR25 : VAR21;
end
always @(posedge clk)
if (rst)
begin
VAR32 <= 16'h0;
VAR34 <= 16'h0;
VAR14 <= 16'h0;
VAR22 <= 16'h0;
end
else
if (enable)
begin
VAR32 <= VAR10[7] ? VAR3 : VAR32;
VAR34 <= VAR10[7] ? VAR17 : VAR34;
VAR14 <= VAR10[7] ? VAR21 : VAR14;
VAR22 <= VAR10[7] ? VAR25 : VAR22;
end
always @(posedge clk)
if (rst)
begin
VAR9 <= 8'h0;
VAR18 <= 8'h0;
end
else
if (enable)
begin
VAR9 <= (VAR20[0] & VAR35) ? VAR9
: { VAR10[7], VAR9[7:1] };
VAR18 <= (VAR20[0] & VAR35) ? VAR18
: { VAR9[0], VAR18[7:1] };
end
always @(posedge clk)
if (rst)
begin
VAR30 <= 4'h0;
end
else
if (enable)
begin
VAR30 <= (VAR6 & { VAR27, VAR26, VAR13, VAR8 });
end
endmodule
|
gpl-3.0
|
Nrpickle/ECE272
|
Lab5_TekBotSM/Lab4_SmartTekbotRemote/Lab2_TekbotRemote_schematic_prim.v
| 4,507 |
module MODULE1 (VAR51, VAR18, VAR32, VAR14, VAR1, VAR59, VAR19) ; input VAR51; input VAR18; input VAR32; output VAR14; output VAR1; output VAR59; output VAR19;
wire VAR56; wire VAR58; wire VAR48; wire VAR10; wire VAR34; wire VAR27; wire VAR21; wire VAR33; wire VAR8; wire VAR43; wire VAR55; wire VAR49; wire VAR9; wire VAR15;
wire VAR50, VAR24;
VAR17 VAR16 (.VAR51(VAR33), .VAR18(VAR48), .VAR28(VAR15)) ;
VAR47 VAR31 (.VAR47(VAR50));
VAR2 VAR38 (.VAR28(VAR50));
VAR44 VAR12 (.VAR44(VAR50));
VAR30 VAR4 (.VAR6(VAR32), .VAR11(VAR48));
VAR30 VAR54 (.VAR6(VAR18), .VAR11(VAR58));
VAR30 VAR35 (.VAR6(VAR51), .VAR11(VAR56));
VAR40 VAR20 (.VAR6(VAR21), .VAR11(VAR19));
VAR40 VAR25 (.VAR6(VAR27), .VAR11(VAR59));
VAR40 VAR23 (.VAR6(VAR34), .VAR11(VAR1));
VAR40 VAR7 (.VAR6(VAR10), .VAR11(VAR14));
VAR41 VAR39 (.VAR28(VAR24));
VAR22 VAR57 (.VAR51(VAR49), .VAR18(VAR9), .VAR32(VAR58), .VAR28(VAR10)) ;
VAR17 VAR42 (.VAR51(VAR33), .VAR18(VAR27), .VAR28(VAR49)) ;
VAR17 VAR36 (.VAR51(VAR56), .VAR18(VAR48), .VAR28(VAR9)) ;
VAR5 VAR45 (.VAR51(VAR15), .VAR18(VAR8), .VAR28(VAR43)) ;
VAR5 VAR52 (.VAR51(VAR8), .VAR18(VAR49), .VAR28(VAR55)) ;
VAR37 VAR3 (.VAR51(VAR56), .VAR28(VAR33));
VAR37 VAR26 (.VAR51(VAR43), .VAR28(VAR34));
VAR37 VAR13 (.VAR51(VAR55), .VAR28(VAR21));
VAR37 VAR29 (.VAR51(VAR58), .VAR28(VAR8));
VAR37 VAR46 (.VAR51(VAR48), .VAR28(VAR27));
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/invz/gf180mcu_fd_sc_mcu7t5v0__invz_3.behavioral.pp.v
| 1,246 |
module MODULE1( VAR7, VAR2, VAR3, VAR5, VAR1 );
input VAR7, VAR2;
inout VAR5, VAR1;
output VAR3;
VAR8 VAR4(.VAR7(VAR7),.VAR2(VAR2),.VAR3(VAR3),.VAR5(VAR5),.VAR1(VAR1));
VAR8 VAR6(.VAR7(VAR7),.VAR2(VAR2),.VAR3(VAR3),.VAR5(VAR5),.VAR1(VAR1));
|
apache-2.0
|
jefg89/proyecto_final_prototipado
|
ProyectoFinal/HDLNeuralNetwork/sumadorPuntoFijo.v
| 2,312 |
module MODULE1#(parameter VAR8 = 24, VAR1 = 4, VAR11 = 19, VAR5 = 1)
(VAR10,VAR4,VAR2,VAR7,VAR12);
input VAR10;
input signed [VAR8-1:0] VAR4,VAR2;
output reg signed [VAR8-1:0] VAR7 = 0;
output VAR12;
reg signed [VAR8-1:0] VAR3 = 0;
reg VAR9 = 0;
reg VAR6 = 0;
always @* begin if(VAR10)
VAR3 <= VAR2 + VAR4;
end
else
VAR3 <= 0;
end
always @* begin if (~VAR2[VAR8-1] && ~VAR3[VAR8-1] && VAR3[VAR8-1]) begin
VAR9 <= 1;
VAR6 <= 0;
end
else if(VAR2[VAR8-1] && VAR3[VAR8-1] && ~VAR3[VAR8-1]) begin
VAR9 <= 0;
VAR6 <= 1;
end
else begin
VAR9 <= 0;
VAR6 <= 0;
end
end
always @* begin if(VAR9) begin
VAR7 <= 2**(VAR8-1) -1;
end
else begin
if(VAR6) begin
VAR7 <= -2**(VAR8-1);
end
else begin
VAR7 <= VAR3;
end
end
end
assign VAR12 = VAR9 | VAR6;
endmodule
|
gpl-2.0
|
nyaxt/dmix
|
dcm.v
| 1,817 |
module MODULE1(
input wire VAR24,
input wire VAR8,
output wire VAR21,
output wire VAR20,
output wire VAR5);
reg [1:0] VAR26;
always @(posedge VAR24)
VAR26 <= VAR26 + 2'b1;
assign VAR21 = VAR26[1];
assign VAR20 = VAR26[0];
assign VAR5 = VAR24;
assign VAR21 = VAR24;
wire VAR1; wire VAR36; wire VAR31; VAR30 #(
.VAR12("1X"),
.VAR10(1),
.VAR3(4),
.VAR11(40.690),
.VAR22("VAR39"),
.VAR15("VAR18"),
.VAR32(16'hC080),
.VAR33(0),
.VAR7("VAR37")
) VAR17(
.VAR4(VAR1),
.VAR6(VAR24),
.VAR35(1'b0),
.VAR29(1'b0), .VAR19(1'b0),
.VAR2(1'b0),
.VAR23(VAR8),
.VAR14(VAR1),
.VAR38(VAR36),
.VAR27(VAR31));
VAR13 VAR41(.VAR40(VAR36), .VAR28(VAR20));
VAR13 VAR25(.VAR40(VAR31), .VAR28(VAR5));
reg VAR16;
reg VAR34;
reg VAR9;
assign VAR21 = VAR16;
assign VAR20 = VAR34;
assign VAR5 = VAR9;
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/8-way_2-tree/src/riffa/rxc_engine_ultrascale.v
| 19,933 |
module MODULE1
parameter VAR91=10,
parameter VAR53 = 0,
parameter VAR4 = 1)
( input VAR17,
input VAR54, input VAR31, output VAR77,
input VAR110,
input VAR50,
input [VAR8-1:0] VAR76,
input [(VAR8/32)-1:0] VAR117,
input [VAR27-1:0] VAR33,
output VAR66,
output [VAR8-1:0] VAR49,
output VAR88,
output [(VAR8/32)-1:0] VAR52,
output VAR62,
output [VAR55(VAR8/32)-1:0] VAR32,
output VAR126,
output [VAR55(VAR8/32)-1:0] VAR85,
output [VAR16-1:0] VAR111,
output [VAR84-1:0] VAR100,
output [VAR37-1:0] VAR71,
output [VAR6-1:0] VAR78,
output [VAR63-1:0] VAR89,
output [VAR22-1:0] VAR125,
output [VAR124-1:0] VAR129,
output [VAR28-1:0] VAR73,
output VAR105
);
localparam VAR127 = (VAR84 + VAR16);
localparam VAR43 = 0;
localparam VAR114 = 2; localparam VAR42 = 1;
localparam VAR130 = VAR42 + VAR114 + VAR43;
localparam VAR14 = (VAR115/VAR8) + VAR43;
localparam VAR12 = (VAR5/VAR8) + VAR43;
localparam VAR19 = (VAR90/VAR8) + VAR43;
localparam VAR92 = (VAR69/VAR8) + VAR43;
localparam VAR1 = VAR43;
localparam VAR96 = VAR8*VAR43 + (VAR115%VAR8);
localparam VAR94 = VAR8*VAR43 + (VAR5%VAR8);
localparam VAR112 = VAR8*VAR43 + (VAR90%VAR8);
localparam VAR95 = VAR8*VAR43;
localparam VAR38 = VAR30(VAR8/32);
wire VAR133;
wire VAR34;
wire [VAR91:0] VAR2;
wire [VAR91:0] VAR104;
wire [VAR91:0] VAR82;
wire [(VAR91+1)*VAR127-1:0] VAR40;
wire [(VAR91+1)*VAR8-1:0] VAR128;
wire VAR98;
wire VAR58; wire [(VAR8/32)-1:0] VAR3;
wire VAR135;
wire [VAR30(VAR8/32)-1:0] VAR103;
wire VAR39;
wire [VAR30(VAR8/32)-1:0] VAR68;
wire [VAR124-1:0] VAR116;
wire [VAR28-1:0] VAR46;
wire [VAR81-1:0] VAR47;
wire [VAR63-1:0] VAR120;
wire [VAR119-1:0] VAR80;
wire [VAR81-1:0] VAR26;
wire [VAR63-1:0] VAR121;
wire VAR45;
wire VAR132;
wire VAR132;
wire VAR86;
wire [VAR30(VAR8/32)-1:0] VAR41;
wire [(VAR8/32)-1:0] VAR44;
wire VAR107;
wire VAR107;
wire [1:0] VAR75;
wire [VAR30(VAR8/32)-1:0] VAR35;
wire [(VAR8/32)-1:0] VAR15;
wire [VAR38-1:0] VAR10;
reg VAR97,VAR97;
reg VAR25;
assign VAR77 = ~VAR25;
assign VAR133 = VAR33[VAR122];
assign VAR34 = VAR50;
assign VAR132 = VAR104[VAR43 + VAR42];
assign VAR86 = VAR104[VAR43 + VAR42 + 1];
assign VAR35 = 3;
assign VAR41 = VAR26[VAR131 +: VAR38] + ((VAR81-32)/32);
assign VAR125 = VAR47[VAR131+:VAR22];
assign VAR71 = VAR47[VAR93];
assign VAR100 = 0; assign VAR111 = 0; assign VAR78 = VAR47[(VAR23) +: VAR6];
assign VAR62 = VAR39;
assign VAR32 = {VAR8 > 64, 1'b1};
assign VAR126 = VAR135;
assign VAR85 = VAR103;
assign VAR88 = VAR98;
assign VAR49 = VAR128[(VAR130)*VAR8 +: VAR8];
assign VAR89 = VAR120;
assign VAR129 = VAR47[VAR72 +: VAR124];
assign VAR73 = VAR47[VAR102];
assign VAR105 = VAR47[VAR64];
assign VAR66 = 1'b1;
assign VAR132 = VAR104[VAR43];
assign VAR132 = VAR104[VAR43+1];
assign VAR107 = VAR75 != 0;
assign VAR121 = (VAR45)? VAR79: VAR123;
generate
if(VAR8 == 64) begin
assign VAR75[0] = 0;
assign VAR75[1] = VAR2[VAR43 + 1];
end else if (VAR8 == 128) begin
assign VAR75[1] = 0;
assign VAR75[0] = VAR2[VAR43];
end else begin assign VAR75[1] = 0;
assign VAR75[0] = VAR2[VAR43];
end endgenerate
always @(*) begin
VAR97 = VAR97;
if(VAR107) begin
VAR97 = 1'b1;
end else if (VAR132) begin
VAR97 = 1'b0;
end
end
always @(posedge VAR17) begin
if(VAR25) begin
VAR97 <= 1'b0;
end else begin
VAR97 <= VAR97;
end
end
always @(posedge VAR17) begin
VAR25 <= VAR54 | VAR31;
end
register
.VAR106 (0)
)
VAR59
( .VAR136 (VAR107),
.VAR9 (VAR107),
.VAR51 (1),
.VAR11 (0),
.VAR17 (VAR17));
register
.VAR106 (0)
)
VAR74
( .VAR136 (VAR26[95:64]),
.VAR9 (VAR128[VAR112 +: 32]),
.VAR51 (VAR2[VAR19]),
.VAR11 (0),
.VAR17 (VAR17));
register
.VAR106 (0)
)
VAR48
( .VAR136 ({VAR26[63:32],VAR45}),
.VAR9 ({VAR128[VAR94 +: 32],
VAR128[VAR94 +: VAR118] != 0}),
.VAR51 (VAR2[VAR12]),
.VAR11 (0),
.VAR17 (VAR17));
register
.VAR106 (0)
)
VAR29
( .VAR136 (VAR26[31:0]),
.VAR9 (VAR128[VAR96 +: 32]),
.VAR51 (VAR2[VAR14]),
.VAR11 (0),
.VAR17 (VAR17));
VAR108
.VAR20 (VAR8),
.VAR106 (0)
)
VAR70
( .VAR136 (VAR128),
.VAR9 (VAR76),
.VAR11 (0),
.VAR17 (VAR17));
VAR108
.VAR20 (1'b1),
.VAR106 (0)
)
VAR101
( .VAR136 (VAR2),
.VAR9 (VAR133 & VAR110),
.VAR11 (0),
.VAR17 (VAR17));
VAR108
.VAR20 (1'b1),
.VAR106 (0)
)
VAR60
( .VAR136 (VAR104),
.VAR9 (VAR34),
.VAR11 (0),
.VAR17 (VAR17));
VAR108
.VAR20 (1),
.VAR106 (0)
)
VAR21
( .VAR136 (VAR82),
.VAR9 (VAR110),
.VAR11 (VAR25),
.VAR17 (VAR17));
assign VAR15 = {VAR8/32{1'b1}} << ({VAR38{VAR107}}& VAR35[VAR38-1:0]);
VAR24
.VAR67 (VAR8/32)
)
VAR36
( .VAR99 (VAR44),
.VAR87 (VAR132),
.VAR83 (VAR41)
);
generate
if(VAR114 == 0) begin
assign VAR52 = {VAR44 & VAR15} & {VAR8/32{~VAR97 | ~VAR45}};
end else begin
register
.VAR106 (0)
)
VAR56
( .VAR136 (VAR3),
.VAR11 (~VAR97 | ~VAR45),
.VAR9 (VAR44 & VAR15),
.VAR51 (1),
.VAR17 (VAR17));
VAR134
.VAR109 (VAR114-1),
.VAR20 (VAR8/32),
.VAR65 (0)
)
VAR61
( .VAR113 (), .VAR136 (VAR52),
.VAR18 (),
.VAR9 (VAR3),
.VAR57 (1),
.VAR7 (1'b1),
.VAR11 (0),
.VAR17 (VAR17));
end
endgenerate
VAR134
.VAR109 (VAR114),
.VAR20 (VAR81 +
2*(1 + VAR30(VAR8/32))+VAR63),
.VAR65 (0)
)
VAR13
(
.VAR113 (), .VAR136 ({VAR47, VAR39,
VAR68,VAR135,
VAR103,VAR120}),
.VAR18 (VAR98),
.VAR9 ({VAR26,VAR107,
VAR35[VAR38-1:0],
VAR132,VAR41[VAR38-1:0],VAR121}),
.VAR57 (VAR97),
.VAR7 (1'b1),
.VAR11 (VAR25),
.VAR17 (VAR17));
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/xnor3/gf180mcu_fd_sc_mcu9t5v0__xnor3_1.functional.v
| 1,585 |
module MODULE1( VAR17, VAR19, VAR11, VAR14 );
input VAR19, VAR17, VAR11;
output VAR14;
wire VAR4;
not VAR3( VAR4, VAR11 );
wire VAR6;
and VAR2( VAR6, VAR4, VAR19, VAR17 );
wire VAR13;
not VAR8( VAR13, VAR17 );
wire VAR7;
and VAR12( VAR7, VAR13, VAR19, VAR11 );
wire VAR18;
not VAR1( VAR18, VAR19 );
wire VAR9;
and VAR16( VAR9, VAR18, VAR17, VAR11 );
wire VAR10;
and VAR5( VAR10, VAR18, VAR13, VAR4 );
or VAR15( VAR14, VAR6, VAR7, VAR9, VAR10 );
endmodule
|
apache-2.0
|
SiLab-Bonn/pyBAR
|
firmware/mio/src/clk_gen.v
| 6,001 |
module MODULE1 (
VAR14,
VAR45,
VAR16,
VAR95,
VAR44,
VAR77,
VAR74,
VAR35,
VAR13,
VAR36,
VAR102
);
input wire VAR14;
input wire VAR45;
output wire VAR77;
output wire VAR16;
output wire VAR95;
output wire [7:0] VAR44;
output wire VAR74;
output wire VAR35;
output wire VAR13;
output wire VAR36;
output wire [7:0] VAR102;
wire VAR34;
wire VAR99;
wire VAR85;
wire VAR100;
wire VAR109;
wire VAR71;
wire VAR82;
wire VAR93;
wire VAR28;
wire VAR43;
wire VAR89;
wire VAR81;
wire VAR41;
wire VAR72;
assign VAR34 = 0;
assign VAR16 = VAR99;
assign VAR35 = VAR82;
VAR54 #( .VAR101("1X"), .VAR50(4.0), .VAR105(3),
.VAR20(10), .VAR7("VAR60"),
.VAR40(20.833), .VAR111("VAR24"),
.VAR37("VAR30"), .VAR66("VAR33"),
.VAR25("VAR33"), .VAR90("VAR3"),
.VAR107(16'h8080), .VAR84(0), .VAR31("VAR60") )
VAR39 (
.VAR12(VAR95),
.VAR48(VAR99), .VAR4(VAR34),
.VAR68(VAR34),
.VAR73(VAR34),
.VAR10(VAR34),
.VAR22(VAR109),
.VAR110(),
.VAR32(VAR41),
.VAR62(),
.VAR21(VAR85),
.VAR11(),
.VAR67(),
.VAR78(),
.VAR61(),
.VAR76(),
.VAR9(VAR100),
.VAR56(),
.VAR96(VAR44[7:0]));
VAR54 #( .VAR101("1X"), .VAR50(10.0), .VAR105(8),
.VAR20(2), .VAR7("VAR60"),
.VAR40(6.250), .VAR111("VAR24"),
.VAR37("VAR30"), .VAR66("VAR33"),
.VAR25("VAR33"), .VAR90("VAR3"),
.VAR107(16'h8080), .VAR84(0), .VAR31("VAR60") )
VAR8 (
.VAR12(VAR82),
.VAR48(VAR72), .VAR4(VAR34),
.VAR68(VAR34),
.VAR73(VAR34),
.VAR10(VAR34),
.VAR22(VAR81),
.VAR110(VAR71),
.VAR32(VAR93),
.VAR62(),
.VAR21(VAR28),
.VAR11(VAR43),
.VAR67(),
.VAR78(),
.VAR61(),
.VAR76(),
.VAR9(VAR36),
.VAR56(),
.VAR96(VAR102[7:0]));
VAR18 VAR112 (.VAR27(VAR14),
.VAR42(VAR99));
VAR103 VAR19 (.VAR27(VAR85),
.VAR42(VAR95));
VAR103 VAR64 (.VAR27(VAR71),
.VAR42(VAR74));
VAR103 VAR91 (.VAR27(VAR93),
.VAR42(VAR77));
VAR103 VAR94 (.VAR27(VAR28),
.VAR42(VAR82));
VAR103 VAR97 (.VAR27(VAR43),
.VAR42(VAR13));
VAR103 VAR38 (.VAR27(VAR41),
.VAR42(VAR72));
wire VAR104;
wire VAR69;
wire VAR98;
wire VAR2;
wire VAR26;
VAR52 VAR57 (.VAR49(VAR99),
.VAR75(VAR34),
.VAR87(VAR34),
.VAR59(VAR104));
VAR83 VAR63 (.VAR49(VAR99),
.VAR75(VAR104),
.VAR59(VAR69));
VAR83 VAR58 (.VAR49(VAR99),
.VAR75(VAR69),
.VAR59(VAR98));
VAR83 VAR86 (.VAR49(VAR99),
.VAR75(VAR98),
.VAR59(VAR2));
VAR23 VAR15 (.VAR27(VAR100),
.VAR42(VAR89));
VAR1 VAR47 (.VAR6(VAR45),
.VAR92(VAR26),
.VAR42(VAR109));
VAR46 VAR79 (.VAR6(VAR2),
.VAR92(VAR98),
.VAR106(VAR69),
.VAR42(VAR26));
wire VAR70;
wire VAR108;
wire VAR55;
wire VAR80;
wire VAR51;
VAR52 VAR65 (.VAR49(VAR72),
.VAR75(VAR34),
.VAR87(VAR34),
.VAR59(VAR70));
VAR83 VAR5 (.VAR49(VAR72),
.VAR75(VAR70),
.VAR59(VAR108));
VAR83 VAR53 (.VAR49(VAR72),
.VAR75(VAR108),
.VAR59(VAR55));
VAR83 VAR17 (.VAR49(VAR72),
.VAR75(VAR55),
.VAR59(VAR80));
VAR1 VAR29 (.VAR6(VAR89),
.VAR92(VAR51),
.VAR42(VAR81));
VAR46 VAR88 (.VAR6(VAR80),
.VAR92(VAR55),
.VAR106(VAR108),
.VAR42(VAR51));
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o41a/sky130_fd_sc_lp__o41a_0.v
| 2,411 |
module MODULE1 (
VAR2 ,
VAR12 ,
VAR6 ,
VAR1 ,
VAR8 ,
VAR9 ,
VAR10,
VAR11,
VAR7 ,
VAR3
);
output VAR2 ;
input VAR12 ;
input VAR6 ;
input VAR1 ;
input VAR8 ;
input VAR9 ;
input VAR10;
input VAR11;
input VAR7 ;
input VAR3 ;
VAR4 VAR5 (
.VAR2(VAR2),
.VAR12(VAR12),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR2 ,
VAR12,
VAR6,
VAR1,
VAR8,
VAR9
);
output VAR2 ;
input VAR12;
input VAR6;
input VAR1;
input VAR8;
input VAR9;
supply1 VAR10;
supply0 VAR11;
supply1 VAR7 ;
supply0 VAR3 ;
VAR4 VAR5 (
.VAR2(VAR2),
.VAR12(VAR12),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
neale/CS-program
|
474-VLSI/Lab_4/db/brightness_clk_2hz_altpll.v
| 4,587 |
module MODULE1
(
VAR2,
clk,
VAR3,
VAR6) ;
input VAR2;
output [4:0] clk;
input [1:0] VAR3;
output VAR6;
tri0 VAR2;
tri0 [1:0] VAR3;
reg VAR4;
wire [4:0] VAR7;
wire VAR1;
wire VAR5;
|
unlicense
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a21oi/sky130_fd_sc_hd__a21oi.pp.symbol.v
| 1,352 |
module MODULE1 (
input VAR7 ,
input VAR3 ,
input VAR5 ,
output VAR8 ,
input VAR6 ,
input VAR2,
input VAR1,
input VAR4
);
endmodule
|
apache-2.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_rd_cmd_fsm.v
| 4,201 |
module MODULE1 (
input wire clk ,
input wire reset ,
output wire VAR17 ,
input wire VAR3 ,
input wire [7:0] VAR15 ,
output wire VAR12 ,
input wire VAR11 ,
output wire VAR16 ,
input wire VAR13 ,
input wire VAR7 ,
output wire VAR10 ,
output wire VAR2
);
localparam VAR8 = 2'b00;
localparam VAR4 = 2'b01;
localparam VAR6 = 2'b10;
localparam VAR5 = 2'b11;
reg [1:0] state;
reg [1:0] VAR14;
reg [1:0] VAR1;
reg [7:0] VAR9;
always @(posedge clk) begin
if (reset) begin
state <= VAR8;
VAR14 <= VAR8;
VAR9 <= 0;
end else begin
state <= VAR1;
VAR14 <= state;
VAR9 <= VAR15;
end
end
always @( * ) begin
VAR1 = state;
case (state)
VAR8:
if (VAR3 & VAR7) begin
VAR1 = VAR4;
end else begin
VAR1 = state;
end
VAR4:
if (~VAR7 & VAR11 & VAR13) begin
VAR1 = VAR6;
end else if (VAR11 & ~VAR13)begin
VAR1 = VAR5;
end else if (VAR11 & VAR13) begin
VAR1 = VAR4;
end else begin
VAR1 = state;
end
VAR6:
if (VAR7) begin
VAR1 = VAR4;
end else begin
VAR1 = state;
end
VAR5:
VAR1 = VAR8;
default:
VAR1 = VAR8;
endcase
end
assign VAR12 = (state == VAR4);
assign VAR16 = VAR11 && (state == VAR4);
assign VAR2 = VAR16;
assign VAR10 = (state == VAR8);
assign VAR17 = ((state == VAR4) || (state == VAR5)) && (VAR1 == VAR8);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/and4b/sky130_fd_sc_ls__and4b.pp.blackbox.v
| 1,341 |
module MODULE1 (
VAR8 ,
VAR1 ,
VAR7 ,
VAR4 ,
VAR3 ,
VAR9,
VAR2,
VAR6 ,
VAR5
);
output VAR8 ;
input VAR1 ;
input VAR7 ;
input VAR4 ;
input VAR3 ;
input VAR9;
input VAR2;
input VAR6 ;
input VAR5 ;
endmodule
|
apache-2.0
|
jmahler/EECE344-Digital_System_Design
|
lab03/CPLD/spi_ctl.v
| 3,950 |
module MODULE1(
input VAR1,
VAR11,
VAR2,
output VAR8,
output reg [6:0] VAR7,
inout [7:0] VAR3,
output reg VAR12,
output reg VAR15);
reg [8:0] VAR10;
reg VAR5;
reg [7:0] VAR4;
assign VAR3 = (~(VAR15 | ~VAR12)) ? VAR4 : 8'VAR14;
reg [7:0] VAR9;
wire [7:0] VAR6;
assign VAR6 = {VAR9[6:0], VAR5};
assign VAR8 = VAR9[7];
reg VAR13;
always @(negedge VAR1, posedge VAR2) begin
if (VAR2)
VAR13 <= 1'b0;
end
else
VAR13 <= 1'b1;
end
always @(posedge VAR2, posedge VAR1) begin
VAR10 <= 1;
if (VAR1) begin
VAR12 <= 1'b1; end else begin
VAR5 <= VAR11;
if (VAR13) begin
VAR10 <= 1;
VAR12 <= 1'b1; end else begin
VAR10 <= VAR10 + 1;
end
if (7 == VAR10) begin
VAR7 <= {VAR9[5:0], VAR11};
if (VAR9[6] == 1'b1)
VAR12 <= 1'b0; end else if (15 == VAR10 && VAR12 == 1'b1) begin
VAR4 <= {VAR9[6:0], VAR11};
end
end
end
always @(negedge VAR2, posedge VAR1) begin
VAR9 <= 8'h00;
if (VAR1) begin
VAR15 <= 1'b1; end else begin
VAR9 <= VAR6;
if (1 == VAR10) begin
VAR15 <= 1'b1; end else if (8 == VAR10) begin
if (1'b0 == VAR12)
VAR9 <= VAR3;
end else if (16 == VAR10) begin
if (VAR12 == 1'b1)
VAR15 <= 1'b0; end
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkbuf/sky130_fd_sc_lp__clkbuf_2.v
| 2,034 |
module MODULE1 (
VAR1 ,
VAR7 ,
VAR5,
VAR2,
VAR8 ,
VAR6
);
output VAR1 ;
input VAR7 ;
input VAR5;
input VAR2;
input VAR8 ;
input VAR6 ;
VAR3 VAR4 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR1,
VAR7
);
output VAR1;
input VAR7;
supply1 VAR5;
supply0 VAR2;
supply1 VAR8 ;
supply0 VAR6 ;
VAR3 VAR4 (
.VAR1(VAR1),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
MIPSfpga/schoolMIPS
|
board/zeowaa/zeowaa.v
| 1,446 |
module MODULE1
(
input VAR1,
input [ 5:2] VAR10,
input [ 7:0] VAR19,
output [11:0] VAR17,
output [ 7:0] VAR13,
output [ 7:0] VAR24,
output VAR12
);
wire VAR14;
wire VAR7 = VAR1;
wire VAR3 = VAR10[4];
wire VAR22 = ~VAR19[ 7] | ~VAR10[5];
wire [ 3:0 ] VAR25 = { ~VAR19[6:5], 2'b00 };
wire [ 4:0 ] VAR4 = ~VAR19[4:0];
wire [ 31:0 ] VAR21;
VAR9 VAR9
(
.VAR7 ( VAR7 ),
.VAR3 ( VAR3 ),
.VAR25 ( VAR25 ),
.VAR22 ( VAR22 ),
.clk ( VAR14 ),
.VAR4 ( VAR4 ),
.VAR21 ( VAR21 )
);
assign VAR17[0] = ~VAR14;
assign VAR17[11:1] = ~VAR21[11:0];
wire [ 31:0 ] VAR11 = VAR21;
wire VAR28;
VAR8 VAR15
(
.VAR7 ( VAR7 ),
.VAR3 ( VAR3 ),
.VAR5 ( 4'b0 ),
.enable ( 1'b1 ),
.VAR2 ( VAR28 )
);
VAR18 VAR18
(
.VAR20 ( VAR28 ),
.VAR6 ( VAR3 ),
.VAR16 ( VAR11 ),
.VAR27 ( VAR13[6:0] ),
.VAR23 ( VAR13[7] ),
.VAR26 ( VAR24 )
);
assign VAR12 = 1'b1;
endmodule
|
mit
|
joshtm00/Verificaci-n-de-Circuitos-Digitales
|
Proyecto 1/FIFO_LIFO/DUT.v
| 6,407 |
module MODULE1 #(parameter VAR6=1'b1, parameter VAR16=32, parameter VAR13=6, parameter VAR7=64)
( input [VAR16-1:0] VAR24,
input VAR1,
input VAR28,
input VAR23,
input VAR17,
input VAR20,
output reg VAR30,
output reg VAR31,
output reg [VAR16-1:0] VAR18
);
wire [VAR16-1:0] VAR19;
wire VAR10;
reg [VAR13-1:0] VAR15;
reg [VAR13-1:0] VAR29;
reg [VAR13-1:0] VAR2;
assign VAR10 = (VAR6 == 1'b1 )? VAR17 : VAR23;
always @ (posedge VAR23 or posedge VAR20) begin : VAR14
if (VAR20) begin
VAR29 <= 0;
end
else if (VAR23 && VAR1) begin
if (VAR29 == VAR7 ) begin
VAR29 <= VAR29;
end
else begin
VAR29 <= VAR29 + 1;
end
end
if((VAR6==0) && VAR28) begin
if(VAR29 == 0) begin
VAR29 <= VAR29;
end
else begin
VAR29 <= VAR29 -1;
end
end
end
always @ (posedge VAR10 or posedge VAR20) begin : VAR26
if (VAR20) begin
VAR15 <= 0;
end
else if (VAR28 && (VAR6 == 1'b1) ) begin
if (VAR15 == VAR7 ) begin
VAR15 <= VAR15;
end
else begin
VAR15 <= VAR15 + 1;
end
end
if(VAR6 == 1'b0) begin
VAR15 <= VAR29-1;
end
end
always @ (posedge VAR10 or posedge VAR20 ) begin : VAR22
if (VAR20 ||!VAR28 ) begin
VAR18 <= 0;
end else if (VAR28) begin
VAR18 <= VAR19;
end
end
always @ (posedge VAR10 or posedge VAR20) begin : VAR5
if (VAR20) begin
VAR2 <= 0;
end else if (VAR28 && !(VAR1) && (VAR2 != 0) ) begin
VAR2 <= VAR2 - 1;
end else if (VAR1 && !(VAR28) && (VAR2 != VAR7)) begin
if (VAR2 == (VAR7-1)) begin
VAR2 <=VAR2;
end else begin
VAR2 <= VAR2 + 1;
end
end
end
always @ (posedge VAR23 or posedge VAR20) begin: VAR3
if (VAR20) begin
VAR30 <= 0 ;
VAR31 <= 1 ;
end else if (VAR2 == (VAR7-1)) begin
VAR30 <= 1 ;
VAR31 <= 0 ;
end else if (VAR2 == 0) begin
VAR30 <= 0 ;
VAR31 <= 1 ;
end else begin
VAR30 <= 0 ;
VAR31 <= 0 ;
end
end
VAR4 #(
.VAR21(VAR7),
.VAR27(VAR13),
.VAR16(VAR16)
) VAR9(
.VAR25(VAR24),
.VAR11(VAR19),
.VAR29(VAR29),
.VAR15(VAR15),
.VAR12(VAR1),
.VAR8(VAR28),
.clk(VAR10)
);
endmodule
|
gpl-3.0
|
cafe-alpha/wasca
|
fpga_firmware/wasca/synthesis/submodules/wasca_uart_0.v
| 26,847 |
module MODULE3 (
VAR42,
VAR71,
clk,
VAR43,
VAR31,
VAR88,
VAR5,
VAR61,
VAR92,
VAR59,
VAR15,
VAR96,
VAR18
)
;
output VAR59;
output VAR15;
output VAR96;
output VAR18;
input [ 9: 0] VAR42;
input VAR71;
input clk;
input VAR43;
input VAR31;
input VAR88;
input VAR5;
input [ 7: 0] VAR61;
input VAR92;
reg VAR89;
reg [ 9: 0] VAR83;
wire VAR35;
reg VAR67;
wire VAR80;
reg VAR62;
wire VAR21;
wire [ 9: 0] VAR47;
reg VAR59;
reg VAR15;
reg VAR96;
wire VAR63;
wire [ 9: 0] VAR27;
wire VAR39;
reg VAR18;
wire [ 9: 0] VAR29;
reg [ 9: 0] VAR44;
assign VAR39 = VAR92 && VAR71;
assign VAR47 = {{1 {1'b1}},
VAR61,
1'b0};
assign VAR21 = ~(|VAR27);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR67 <= 0;
end
else if (VAR43)
VAR67 <= (~VAR15) && VAR21;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR15 <= 1'b1;
end
else if (VAR43)
if (VAR39)
VAR15 <= 0;
else if (VAR67)
VAR15 <= -1;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR59 <= 0;
end
else if (VAR43)
if (VAR5)
VAR59 <= 0;
else if (~VAR15 && VAR39)
VAR59 <= -1;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR96 <= 1'b1;
end
else if (VAR43)
VAR96 <= VAR15 && VAR21;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR83 <= 0;
end
else if (VAR43)
if (VAR35 || VAR67)
VAR83 <= VAR42;
else
VAR83 <= VAR83 - 1;
end
assign VAR35 = VAR83 == 0;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR89 <= 0;
end
else if (VAR43)
VAR89 <= VAR35;
end
assign VAR80 = VAR89 &&
(~VAR21) &&
(~VAR67);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR62 <= 1;
end
else if (~VAR21)
VAR62 <= VAR63;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR18 <= 1;
end
else if (VAR43)
VAR18 <= VAR62 & ~VAR31;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR44 <= 0;
end
else if (VAR43)
VAR44 <= VAR29;
end
assign VAR29 = (VAR67)? VAR47 :
(VAR80)? {1'b0,
VAR44[9 : 1]} :
VAR44;
assign VAR27 = VAR44;
assign VAR63 = VAR44[0];
endmodule
module MODULE5 (
VAR42,
clk,
VAR43,
VAR88,
VAR70,
VAR60,
VAR72
)
;
output VAR72;
input [ 9: 0] VAR42;
input clk;
input VAR43;
input VAR88;
input VAR70;
input VAR60;
reg [ 7: 0] VAR57;
reg VAR84;
wire VAR49;
wire VAR51;
wire VAR72;
wire [ 7: 0] VAR74;
wire VAR8;
wire VAR100;
wire VAR46;
MODULE3 MODULE1
(
.VAR42 (VAR42),
.VAR71 (VAR49),
.clk (clk),
.VAR43 (VAR43),
.VAR31 (1'b0),
.VAR88 (VAR88),
.VAR5 (1'b0),
.VAR61 (VAR57),
.VAR59 (VAR100),
.VAR15 (VAR46),
.VAR96 (VAR8),
.VAR92 (1'b1),
.VAR18 (VAR72)
);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR57 <= 0;
end
else if (VAR49)
VAR57 <= VAR74;
end
assign VAR74 = 8'b0;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR84 <= 0;
end
else if (VAR43)
VAR84 <= VAR70;
end
assign VAR51 = ~(VAR70) & (VAR84);
assign VAR49 = (VAR51 || 1'b0) && 1'b0;
endmodule
module MODULE4 (
VAR42,
VAR71,
clk,
VAR43,
VAR88,
VAR37,
VAR60,
VAR5,
VAR4,
VAR54,
VAR53,
VAR70,
VAR1,
VAR19
)
;
output VAR4;
output VAR54;
output VAR53;
output VAR70;
output [ 7: 0] VAR1;
output VAR19;
input [ 9: 0] VAR42;
input VAR71;
input clk;
input VAR43;
input VAR88;
input VAR37;
input VAR60;
input VAR5;
reg VAR89;
wire [ 9: 0] VAR86;
reg [ 9: 0] VAR83;
wire VAR35;
reg VAR4;
reg VAR81;
reg VAR76;
reg VAR22;
reg VAR87;
reg VAR54;
wire VAR11;
wire [ 8: 0] VAR20;
wire VAR91;
wire VAR36;
wire VAR53;
wire [ 7: 0] VAR85;
reg VAR70;
reg [ 7: 0] VAR1;
wire VAR9;
reg VAR19;
wire VAR97;
wire VAR2;
wire VAR45;
wire [ 9: 0] VAR38;
wire VAR94;
wire VAR73;
wire VAR72;
wire VAR103;
wire VAR101;
wire VAR79;
wire [ 9: 0] VAR7;
reg [ 9: 0] VAR13;
MODULE5 MODULE3
(
.VAR42 (VAR42),
.clk (clk),
.VAR43 (VAR43),
.VAR88 (VAR88),
.VAR70 (VAR70),
.VAR60 (VAR60),
.VAR72 (VAR72)
);
VAR55 VAR102
(
.clk (clk),
.din (VAR72),
.dout (VAR101),
.VAR88 (VAR88)
);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR76 <= 0;
end
else if (VAR43)
VAR76 <= VAR101;
end
assign VAR45 = ~(VAR101) & (VAR76);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR22 <= 0;
end
else if (VAR43)
VAR22 <= VAR101;
end
assign VAR2 = (VAR101) ^ (VAR22);
assign VAR97 = VAR37 && VAR71;
assign VAR20 = VAR42[9 : 1];
assign VAR86 = (VAR2)? VAR20 :
VAR42;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR83 <= 0;
end
else if (VAR43)
if (VAR35 || VAR2)
VAR83 <= VAR86;
else
VAR83 <= VAR83 - 1;
end
assign VAR35 = VAR83 == 0;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR89 <= 0;
end
else if (VAR43)
if (VAR2)
VAR89 <= 0;
else
VAR89 <= VAR35;
end
assign VAR94 = VAR89 && VAR9;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR87 <= 0;
end
else if (VAR43)
if (~VAR9 && VAR45)
VAR87 <= 1;
else
VAR87 <= 0;
end
assign VAR9 = VAR73;
assign {VAR103,
VAR85,
VAR79} = VAR38;
assign VAR91 = ~(|VAR38);
assign VAR36 = ~VAR103 && ~VAR91;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR81 <= 0;
end
else if (VAR43)
VAR81 <= VAR9;
end
assign VAR11 = ~(VAR9) & (VAR81);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR1 <= 0;
end
else if (VAR11)
VAR1 <= VAR85;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR54 <= 0;
end
else if (VAR43)
if (VAR5)
VAR54 <= 0;
else if (VAR11 && VAR36)
VAR54 <= -1;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR4 <= 0;
end
else if (VAR43)
if (VAR5)
VAR4 <= 0;
else if (VAR11 && VAR91)
VAR4 <= -1;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR19 <= 0;
end
else if (VAR43)
if (VAR5)
VAR19 <= 0;
else if (VAR11 && VAR70)
VAR19 <= -1;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR70 <= 0;
end
else if (VAR43)
if (VAR97)
VAR70 <= 0;
else if (VAR11)
VAR70 <= -1;
end
assign VAR53 = 0;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR13 <= 0;
end
else if (VAR43)
VAR13 <= VAR7;
end
assign VAR7 = (VAR87)? {10{1'b1}} :
(VAR94)? {VAR101,
VAR13[9 : 1]} :
VAR13;
assign VAR38 = VAR13;
assign VAR73 = VAR13[0];
endmodule
module MODULE1 (
address,
VAR4,
VAR56,
clk,
VAR43,
VAR54,
VAR53,
VAR30,
VAR88,
VAR70,
VAR1,
VAR19,
VAR59,
VAR15,
VAR96,
VAR24,
VAR32,
VAR42,
VAR52,
VAR31,
irq,
VAR68,
VAR78,
VAR37,
VAR5,
VAR61,
VAR92
)
;
output [ 9: 0] VAR42;
output VAR52;
output VAR31;
output irq;
output [ 15: 0] VAR68;
output VAR78;
output VAR37;
output VAR5;
output [ 7: 0] VAR61;
output VAR92;
input [ 2: 0] address;
input VAR4;
input VAR56;
input clk;
input VAR43;
input VAR54;
input VAR53;
input VAR30;
input VAR88;
input VAR70;
input [ 7: 0] VAR1;
input VAR19;
input VAR59;
input VAR15;
input VAR96;
input VAR24;
input [ 15: 0] VAR32;
wire VAR23;
wire [ 9: 0] VAR42;
reg [ 9: 0] VAR34;
wire VAR33;
wire VAR64;
reg VAR3;
reg VAR16;
wire VAR52;
wire VAR50;
reg VAR6;
wire [ 9: 0] VAR28;
wire VAR31;
wire VAR14;
wire VAR26;
wire VAR17;
wire VAR99;
wire VAR66;
wire VAR25;
wire VAR95;
wire VAR58;
wire VAR69;
wire VAR48;
wire VAR40;
reg irq;
wire VAR65;
reg [ 15: 0] VAR68;
wire VAR78;
wire VAR37;
wire [ 15: 0] VAR12;
wire [ 12: 0] VAR98;
wire VAR5;
reg [ 7: 0] VAR61;
wire VAR92;
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR68 <= 0;
end
else if (VAR43)
VAR68 <= VAR12;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
irq <= 0;
end
else if (VAR43)
irq <= VAR65;
end
assign VAR37 = VAR56 && ~VAR30 && (address == 3'd0);
assign VAR92 = VAR56 && ~VAR24 && (address == 3'd1);
assign VAR5 = VAR56 && ~VAR24 && (address == 3'd2);
assign VAR33 = VAR56 && ~VAR24 && (address == 3'd3);
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR61 <= 0;
end
else if (VAR92)
VAR61 <= VAR32[7 : 0];
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR34 <= 0;
end
else if (VAR33)
VAR34 <= VAR32[9 : 0];
end
assign VAR42 = VAR28;
assign VAR64 = 0;
assign VAR50 = 0;
assign {VAR31,
VAR17,
VAR95,
VAR48,
VAR40,
VAR69,
VAR58,
VAR99,
VAR66,
VAR25} = VAR34;
assign VAR23 = VAR59 ||
VAR19 ||
VAR53 ||
VAR54 ||
VAR4;
assign VAR98 = {VAR26,
VAR64,
VAR50,
1'b0,
VAR23,
VAR70,
VAR15,
VAR96,
VAR59,
VAR19,
VAR4,
VAR54,
VAR53};
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR3 <= 0;
end
else if (VAR43)
VAR3 <= VAR70;
end
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR16 <= 0;
end
else if (VAR43)
VAR16 <= VAR15;
end
assign VAR52 = VAR3;
assign VAR78 = VAR16;
assign VAR26 = 1'b0;
assign VAR12 = ({16 {(address == 3'd0)}} & VAR1) |
({16 {(address == 3'd1)}} & VAR61) |
({16 {(address == 3'd2)}} & VAR98) |
({16 {(address == 3'd3)}} & VAR34);
assign VAR65 = (VAR17 && VAR23 ) ||
(VAR40 && VAR96 ) ||
(VAR69 && VAR59 ) ||
(VAR58 && VAR19 ) ||
(VAR99 && VAR4 ) ||
(VAR66 && VAR54 ) ||
(VAR25 && VAR53 ) ||
(VAR95 && VAR70 ) ||
(VAR48 && VAR15 );
always @(posedge clk or negedge VAR88)
begin
if (VAR88 == 0)
VAR6 <= 0;
end
else if (VAR43)
VAR6 <= VAR15;
end
assign VAR14 = (VAR15) & ~(VAR6);
always @(posedge clk)
begin
if (VAR14)
("%VAR90", VAR61);
end
assign VAR28 = 4;
endmodule
module MODULE2 (
address,
VAR71,
VAR56,
clk,
VAR30,
VAR88,
VAR60,
VAR24,
VAR32,
VAR52,
irq,
VAR68,
VAR78,
VAR18
)
;
output VAR52;
output irq;
output [ 15: 0] VAR68;
output VAR78;
output VAR18;
input [ 2: 0] address;
input VAR71;
input VAR56;
input clk;
input VAR30;
input VAR88;
input VAR60;
input VAR24;
input [ 15: 0] VAR32;
wire [ 9: 0] VAR42;
wire VAR4;
wire VAR43;
wire VAR52;
wire VAR31;
wire VAR54;
wire irq;
wire VAR53;
wire [ 15: 0] VAR68;
wire VAR78;
wire VAR70;
wire [ 7: 0] VAR1;
wire VAR19;
wire VAR37;
wire VAR5;
wire [ 7: 0] VAR61;
wire VAR59;
wire VAR15;
wire VAR96;
wire VAR92;
wire VAR18;
assign VAR43 = 1;
MODULE3 MODULE5
(
.VAR42 (VAR42),
.VAR71 (VAR71),
.clk (clk),
.VAR43 (VAR43),
.VAR31 (VAR31),
.VAR88 (VAR88),
.VAR5 (VAR5),
.VAR61 (VAR61),
.VAR59 (VAR59),
.VAR15 (VAR15),
.VAR96 (VAR96),
.VAR92 (VAR92),
.VAR18 (VAR18)
);
MODULE4 MODULE4
(
.VAR42 (VAR42),
.VAR71 (VAR71),
.VAR4 (VAR4),
.clk (clk),
.VAR43 (VAR43),
.VAR54 (VAR54),
.VAR53 (VAR53),
.VAR88 (VAR88),
.VAR70 (VAR70),
.VAR1 (VAR1),
.VAR19 (VAR19),
.VAR37 (VAR37),
.VAR60 (VAR60),
.VAR5 (VAR5)
);
MODULE1 MODULE2
(
.address (address),
.VAR42 (VAR42),
.VAR4 (VAR4),
.VAR56 (VAR56),
.clk (clk),
.VAR43 (VAR43),
.VAR52 (VAR52),
.VAR31 (VAR31),
.VAR54 (VAR54),
.irq (irq),
.VAR53 (VAR53),
.VAR30 (VAR30),
.VAR68 (VAR68),
.VAR78 (VAR78),
.VAR88 (VAR88),
.VAR70 (VAR70),
.VAR1 (VAR1),
.VAR19 (VAR19),
.VAR37 (VAR37),
.VAR5 (VAR5),
.VAR61 (VAR61),
.VAR59 (VAR59),
.VAR15 (VAR15),
.VAR96 (VAR96),
.VAR92 (VAR92),
.VAR24 (VAR24),
.VAR32 (VAR32)
);
endmodule
|
gpl-2.0
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/acl_fp_custom_clz.v
| 2,709 |
module MODULE1(VAR9, VAR2, VAR4);
input [26:0] VAR9;
output [4:0] VAR2;
output VAR4;
wire VAR10 = ~|VAR9[26:11];
wire VAR11 = ~|VAR9[26:19];
wire VAR8 = ~|VAR9[26:23];
wire VAR5 = ~|VAR9[26:25];
wire VAR1 = ~(|VAR9[10:3]);
wire VAR3 = ~(|VAR9[10:7]);
wire VAR6 = ~(|VAR9[10:9]);
wire VAR7 = ~|VAR9;
assign VAR2[4] = VAR10;
assign VAR2[3] = VAR10 & VAR1 | ~VAR10 & VAR11;
assign VAR2[2] = (VAR10 & ~VAR1 & VAR3) | (~VAR10 & VAR11 & ~|VAR9[18:15]) |
(~VAR10 & ~VAR11 & VAR8);
assign VAR2[1] = (VAR10 & VAR1 & ~|VAR9[2:1]) | (VAR10 & ~VAR1 & VAR3 & ~|VAR9[6:5]) |
(VAR10 & ~VAR1 & ~VAR3 & VAR6) |
(~VAR10 & VAR11 & ~|VAR9[18:17] & |VAR9[16:15]) |
(~VAR10 & VAR11 & ~|VAR9[18:13]) |
(~VAR10 & ~VAR11 & VAR8 & ~|VAR9[22:21]) |
(~VAR10 & ~VAR11 & ~VAR8 & VAR5);
assign VAR2[0] = (VAR7) |
(~|VAR9[26:2] & VAR9[1]) |
(~|VAR9[26:4] & VAR9[3]) |
(~|VAR9[26:6] & VAR9[5]) |
(~|VAR9[26:8] & VAR9[7]) |
(~|VAR9[26:10] & VAR9[9]) |
(~|VAR9[26:12] & VAR9[11]) |
(~|VAR9[26:14] & VAR9[13]) |
(~|VAR9[26:16] & VAR9[15]) |
(~|VAR9[26:18] & VAR9[17]) |
(~|VAR9[26:20] & VAR9[19]) |
(~|VAR9[26:22] & VAR9[21]) |
(~|VAR9[26:24] & VAR9[23]) |
(~VAR9[26] & VAR9[25]);
assign VAR4 = VAR7;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o21a/sky130_fd_sc_ms__o21a.functional.v
| 1,412 |
module MODULE1 (
VAR2 ,
VAR5,
VAR7,
VAR6
);
output VAR2 ;
input VAR5;
input VAR7;
input VAR6;
wire VAR8 ;
wire VAR9;
or VAR3 (VAR8 , VAR7, VAR5 );
and VAR1 (VAR9, VAR8, VAR6 );
buf VAR4 (VAR2 , VAR9 );
endmodule
|
apache-2.0
|
freecores/eco32
|
fpga/src/dsp/timing.v
| 1,916 |
module MODULE1(clk, VAR8,
VAR16, VAR12,
VAR14, VAR17,
VAR6, VAR4, VAR2, VAR13);
input clk;
output VAR8;
output [4:0] VAR16;
output [6:0] VAR12;
output [3:0] VAR14;
output [2:0] VAR17;
output VAR6;
output VAR4;
output VAR2;
output reg VAR13;
reg VAR15;
reg [9:0] VAR11;
reg VAR3, VAR1;
reg [9:0] VAR9;
reg VAR10, VAR7;
reg [5:0] VAR5;
always @(posedge clk) begin
VAR15 <= ~VAR15;
end
assign VAR8 = VAR15;
always @(posedge clk) begin
if (VAR15 == 1) begin
if (VAR11 == 10'd799) begin
VAR11 <= 10'd0;
VAR3 <= 1;
end else begin
VAR11 <= VAR11 + 1;
end
if (VAR11 == 10'd639) begin
VAR3 <= 0;
end
if (VAR11 == 10'd655) begin
VAR1 <= 0;
end
if (VAR11 == 10'd751) begin
VAR1 <= 1;
end
end
end
always @(posedge clk) begin
if (VAR15 == 1 && VAR11 == 10'd799) begin
if (VAR9 == 10'd524) begin
VAR9 <= 10'd0;
VAR10 <= 1;
end else begin
VAR9 <= VAR9 + 1;
end
if (VAR9 == 10'd479) begin
VAR10 <= 0;
end
if (VAR9 == 10'd489) begin
VAR7 <= 0;
end
if (VAR9 == 10'd491) begin
VAR7 <= 1;
end
end
end
always @(posedge clk) begin
if (VAR15 == 1 && VAR11 == 10'd799 && VAR9 == 10'd524) begin
if (VAR5 == 6'd59) begin
VAR5 <= 6'd0;
VAR13 <= 1;
end else begin
VAR5 <= VAR5 + 1;
end
if (VAR5 == 6'd29) begin
VAR13 <= 0;
end
end
end
assign VAR6 = VAR3 & VAR10;
assign VAR4 = VAR1;
assign VAR2 = VAR7;
assign VAR16[4:0] = VAR9[8:4];
assign VAR12[6:0] = VAR11[9:3];
assign VAR14[3:0] = VAR9[3:0];
assign VAR17[2:0] = VAR11[2:0];
endmodule
|
bsd-2-clause
|
wgml/sysrek
|
skin_color_segm/median5x5.v
| 4,239 |
module MODULE1 # (
parameter [9:0] VAR19 = 83 )(
input clk,
input VAR26,
input rst,
input VAR18,
input VAR23,
input VAR31,
input VAR6,
output VAR29,
output VAR28,
output VAR32,
output VAR25
);
wire [3:0] VAR20;
reg [3:0] VAR7 [4:0];
wire [3:0] VAR2;
reg [3:0] VAR4 [4:0];
wire [3:0] VAR8;
reg [3:0] VAR13 [4:0];
wire [3:0] VAR30;
reg [3:0] VAR24 [4:0];
wire [3:0] VAR3;
reg [3:0] VAR5 [4:0];
reg [4:0] VAR11[4:0];
reg [4:0] VAR16;
assign VAR20 = {VAR18, VAR23, VAR6, VAR31};
genvar VAR27;
generate
always @(posedge clk)
begin
VAR7[0] <= VAR20;
VAR4[0] <= VAR2;
VAR13[0] <= VAR8;
VAR24[0] <= VAR30;
VAR5[0] <= VAR3;
VAR7[1] <= VAR7[0];
VAR4[1] <= VAR4[0];
VAR13[1] <= VAR13[0];
VAR24[1] <= VAR24[0];
VAR5[1] <= VAR5[0];
VAR7[2] <= VAR7[1];
VAR4[2] <= VAR4[1];
VAR13[2] <= VAR13[1];
VAR24[2] <= VAR24[1];
VAR5[2] <= VAR5[1];
VAR7[3] <= VAR7[2];
VAR4[3] <= VAR4[2];
VAR13[3] <= VAR13[2];
VAR24[3] <= VAR24[2];
VAR5[3] <= VAR5[2];
VAR7[4] <= VAR7[3];
VAR4[4] <= VAR4[3];
VAR13[4] <= VAR13[3];
VAR24[4] <= VAR24[3];
VAR5[4] <= VAR5[3];
end
endgenerate;
VAR34 VAR15
(
.clk(clk),
.rst(1'b0),
.VAR26(1'b1),
.din({VAR7[4], VAR4[4], VAR13[4], VAR24[4]}),
.dout({VAR2, VAR8, VAR30, VAR3}),
.VAR10(VAR19 - 5)
);
always @(posedge clk)
begin
if(VAR14) begin
VAR11[0] <= VAR7[0][3] + VAR7[1][3] + VAR7[2][3] + VAR7[3][3] + VAR7[4][3];
VAR11[1] <= VAR4[0][3] + VAR4[1][3] + VAR4[2][3] + VAR4[3][3] + VAR4[4][3];
VAR11[2] <= VAR13[0][3] + VAR13[1][3] + VAR13[2][3] + VAR13[3][3] + VAR13[4][3];
VAR11[3] <= VAR24[0][3] + VAR24[1][3] + VAR24[2][3] + VAR24[3][3] + VAR24[4][3];
VAR11[4] <= VAR5[0][3] + VAR5[1][3] + VAR5[2][3] + VAR5[3][3] + VAR5[4][3];
VAR16 <= VAR11[0] + VAR11[1] + VAR11[2] + VAR11[3] + VAR11[4];
end
end
wire VAR14;
assign VAR14 = VAR7[0][1] & VAR7[1][1] & VAR7[2][1] & VAR7[3][1] & VAR7[4][1] &
VAR4[0][1] & VAR4[1][1] & VAR4[2][1] & VAR4[3][1] & VAR4[4][1] &
VAR13[0][1] & VAR13[1][1] & VAR13[2][1] & VAR13[3][1] & VAR13[4][1] &
VAR24[0][1] & VAR24[1][1] & VAR24[2][1] & VAR24[3][1] & VAR24[4][1] &
VAR5[0][1] & VAR5[1][1] & VAR5[2][1] & VAR5[3][1] & VAR5[4][1];
wire [2:0] VAR33;
delay #
(
.VAR1(3),
.VAR22(2)
)
VAR21
(
.VAR12(VAR13[3][2:0]),
.VAR26(1'b1),
.clk(clk),
.VAR17({VAR33})
);
assign VAR28 = VAR33[2];
assign VAR25 = VAR33[1];
assign VAR32 = VAR33[0];
reg VAR9 = 0;
always @(posedge clk)
begin
if(VAR14) VAR9 <= (VAR16 > 5'd12) ? 1'b1 : 1'b0;
end;
assign VAR29 = VAR9;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o311a/sky130_fd_sc_ms__o311a_2.v
| 2,422 |
module MODULE2 (
VAR8 ,
VAR9 ,
VAR11 ,
VAR2 ,
VAR10 ,
VAR12 ,
VAR5,
VAR7,
VAR1 ,
VAR3
);
output VAR8 ;
input VAR9 ;
input VAR11 ;
input VAR2 ;
input VAR10 ;
input VAR12 ;
input VAR5;
input VAR7;
input VAR1 ;
input VAR3 ;
VAR4 VAR6 (
.VAR8(VAR8),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR8 ,
VAR9,
VAR11,
VAR2,
VAR10,
VAR12
);
output VAR8 ;
input VAR9;
input VAR11;
input VAR2;
input VAR10;
input VAR12;
supply1 VAR5;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR3 ;
VAR4 VAR6 (
.VAR8(VAR8),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR12(VAR12)
);
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.