repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/einvp/sky130_fd_sc_ls__einvp.pp.symbol.v
1,329
module MODULE1 ( input VAR6 , output VAR2 , input VAR5 , input VAR7 , input VAR1, input VAR3, input VAR4 ); endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dmac_v1_00_a/hdl/verilog/axi_dmac.v
17,470
module MODULE1 ( input VAR25, input VAR29, input VAR19, input [31:0] VAR133, output VAR83, input VAR39, input [31:0] VAR15, input [ 3:0] VAR177, output VAR185, output VAR12, output [ 1:0] VAR66, input VAR194, input VAR58, input [31:0] VAR32, output VAR189, output VAR88, input VAR55, output [ 1:0] VAR149, output [31:0] VAR157, output reg irq, input VAR67, input VAR22, input VAR147, input VAR54, output [31:0] VAR38, output [ 7:0] VAR91, output [ 2:0] VAR129, output [ 1:0] VAR122, output [ 2:0] VAR131, output [ 3:0] VAR3, output VAR110, input VAR26, output [VAR61-1:0] VAR84, output [(VAR61/8)-1:0] VAR79, input VAR95, output VAR60, output VAR37, input VAR23, input [ 1:0] VAR63, output VAR87, input VAR104, output VAR155, output [31:0] VAR145, output [ 7:0] VAR76, output [ 2:0] VAR85, output [ 1:0] VAR124, output [ 2:0] VAR82, output [ 3:0] VAR197, input [VAR61-1:0] VAR113, output VAR80, input VAR120, input [ 1:0] VAR190, input VAR121, output VAR45, input VAR179, input [VAR61-1:0] VAR198, input [0:0] VAR182, input VAR49, input VAR18, output VAR173, output [VAR61-1:0] VAR16, input VAR187, input VAR115, input [VAR61-1:0] VAR36, output VAR90, input VAR10, input VAR180, input VAR164, output VAR11, output [VAR61-1:0] VAR68, output VAR154 ); parameter VAR134 = 0; parameter VAR4 = 32'hffffffff; parameter VAR116 = 32'h00000000; parameter VAR61 = 64; parameter VAR64 = 3; parameter VAR9 = 14; parameter VAR7 = 1; parameter VAR112 = 1; parameter VAR166 = 1; parameter VAR94 = 1; parameter VAR99 = 0; parameter VAR89 = 0; parameter VAR70 = 0; parameter VAR46 = 1; parameter VAR81 = VAR34; parameter VAR71 = VAR146; localparam VAR34 = 0; localparam VAR172 = 1; localparam VAR146 = 2; localparam VAR107 = 'h00040061; localparam VAR69 = 32 - VAR64; localparam VAR17 = VAR81 == VAR34; localparam VAR51 = VAR71 == VAR34; reg [31:0] VAR52 = 'd0; wire VAR106; wire VAR24; wire [31:0] VAR188; wire [13:0] VAR62; wire VAR141; reg [31:0] VAR191 = 'h00; reg VAR156 = 'h00; reg VAR35 = 'h00; wire VAR175; wire VAR44; reg [1:0] VAR13 = 'h3; reg [1:0] VAR100 = 'h0; wire [1:0] VAR174; wire [1:0] VAR105; wire [1:0] VAR143; reg VAR27 = 1'b0; wire VAR28; reg [1:0] VAR165; reg [1:0] VAR183; reg [3:0] VAR31; reg [31:VAR64] VAR109 = 'h00; reg [31:VAR64] VAR135 = 'h00; reg [VAR9-1:0] VAR47 = 'h00; reg [VAR9-1:0] VAR128 = 'h00; reg [VAR9-1:0] VAR5 = 'h00; reg [VAR9-1:0] VAR140 = 'h00; wire VAR72 = VAR70 ? 1'b1 : 1'b0; wire [2:0] VAR158; wire [2:0] VAR144; wire [2:0] VAR139; wire [2:0] VAR92; wire [2:0] VAR102; wire [2:0] VAR162; wire [2:0] VAR186; wire [2:0] VAR114; wire [7:0] VAR123; VAR169 #( .VAR192 (VAR4), .VAR41 (VAR116) ) VAR127 ( .VAR125(VAR29), .VAR196(VAR25), .VAR93(VAR19), .VAR130(VAR133), .VAR30(VAR83), .VAR160(VAR39), .VAR40(VAR15), .VAR118(VAR177), .VAR142(VAR185), .VAR1(VAR12), .VAR96(VAR66), .VAR126(VAR194), .VAR170(VAR58), .VAR97(VAR32), .VAR78(VAR189), .VAR43(VAR88), .VAR168(VAR149), .VAR181(VAR157), .VAR98(VAR55), .VAR106(VAR106), .VAR24(VAR24), .VAR62(VAR62), .VAR188(VAR188), .VAR52(VAR52), .VAR117(VAR24) ); assign VAR174 = ~VAR13 & VAR100; assign VAR105 = {VAR175, VAR44}; assign VAR143 = (VAR141 == 1'b1 && VAR62[11:0] == 12'h021) ? VAR188[1:0] : 0; always @(posedge VAR25) begin if (VAR29 == 1'b0) irq <= 1'b0; end else irq <= |VAR174; end always @(posedge VAR25) begin if (VAR29 == 1'b0) begin VAR100 <= 2'b00; end else begin VAR100 <= VAR105 | (VAR100 & ~VAR143); end end assign VAR141 = VAR106 & VAR24; always @(posedge VAR25) begin if (VAR29 == 1'b0) begin VAR156 <= 'h00; VAR35 <= 'h00; VAR135 <= 'h00; VAR109 <= 'h00; VAR128 <= 'h00; VAR47 <= 'h00; VAR140 <= 'h00; VAR5 <= 'h00; VAR13 <= 3'b11; VAR27 <= 1'b0; VAR191 <= 'h00; end else begin if (VAR156 == 1'b1) begin if (VAR141 && VAR62[11:0] == 12'h102) begin VAR27 <= VAR27 | VAR188[0]; end else if (VAR44) begin VAR27 <= 1'b0; end end else begin VAR27 <= 1'b0; end if (VAR141) begin case (VAR62[11:0]) 12'h002: VAR191 <= VAR188; 12'h020: VAR13 <= VAR188; 12'h100: {VAR35, VAR156} <= VAR188[1:0]; 12'h104: VAR109 <= VAR188[31:VAR64]; 12'h105: VAR135 <= VAR188[31:VAR64]; 12'h106: VAR47 <= VAR188[VAR9-1:0]; 12'h107: VAR128 <= VAR188[VAR9-1:0]; 12'h108: VAR140 <= VAR188[VAR9-1:0]; 12'h109: VAR5 <= VAR188[VAR9-1:0]; endcase end end end always @(posedge VAR25) begin if (VAR29 == 1'b0) begin VAR52 <= 'h00; end else begin case (VAR62[11:0]) 12'h000: VAR52 <= VAR107; 12'h001: VAR52 <= VAR134; 12'h002: VAR52 <= VAR191; 12'h020: VAR52 <= VAR13; 12'h021: VAR52 <= VAR174; 12'h022: VAR52 <= VAR100; 12'h100: VAR52 <= {VAR35, VAR156}; 12'h101: VAR52 <= VAR165; 12'h102: VAR52 <= VAR27; 12'h103: VAR52 <= 'h00; 12'h104: VAR52 <= VAR17 ? {VAR109,{VAR64{1'b0}}} : 'h00; 12'h105: VAR52 <= VAR51 ? {VAR135,{VAR64{1'b0}}} : 'h00; 12'h106: VAR52 <= VAR47; 12'h107: VAR52 <= VAR7 ? VAR128 : 'h00; 12'h108: VAR52 <= VAR7 ? VAR140 : 'h00; 12'h109: VAR52 <= VAR7 ? VAR5 : 'h00; 12'h10a: VAR52 <= VAR31; 12'h10b: VAR52 <= VAR183; 12'h10c: VAR52 <= 'h00; 12'h10d: VAR52 <= VAR38; 12'h10e: VAR52 <= VAR145; 12'h10f: VAR52 <= {VAR114, 1'b0, VAR162, 1'b0, VAR186, 1'b0, VAR102, 1'b0, VAR92, 1'b0, VAR144, 1'b0, VAR139, 1'b0, VAR158}; 12'h110: VAR52 <= {VAR123}; default: VAR52 <= 'h00; endcase end end always @(posedge VAR25) begin if (VAR29 == 1'b0 || VAR156 == 1'b0) begin VAR165 <= 'h0; VAR183 <= 'h0; VAR31 <= 'h0; end begin if (VAR27 == 1'b1 && VAR28 == 1'b1) begin VAR165 <= VAR165 + 1'b1; VAR31[VAR165] <= 1'b0; end if (VAR175 == 1'b1) begin VAR31[VAR183] <= 1'b1; VAR183 <= VAR183 + 1'b1; end end end wire VAR86; wire VAR136; wire [31:VAR64] VAR150; wire [31:VAR64] VAR184; wire [VAR9-1:0] VAR132; wire VAR50; wire VAR159; wire VAR48; assign VAR44 = VAR46 ? 1'b0 : VAR27 & VAR28; assign VAR175 = VAR46 ? 1'b0 : VAR48; generate if (VAR7 == 1) begin VAR53 #( .VAR9(VAR9), .VAR64(VAR64) ) VAR138 ( .VAR148(VAR25), .VAR73(VAR29), .VAR57(VAR48), .VAR6(VAR27), .VAR33(VAR28), .VAR193(VAR109), .VAR103(VAR135), .VAR152(VAR47), .VAR56(VAR128), .VAR137(VAR140), .VAR77(VAR5), .VAR59(VAR72), .VAR42(VAR86), .VAR74(VAR136), .VAR171(VAR150), .VAR65(VAR184), .VAR8(VAR132), .VAR101(VAR159), .VAR163(VAR50) ); end else begin assign VAR86 = VAR27; assign VAR28 = VAR136; assign VAR150 = VAR109; assign VAR184 = VAR135; assign VAR132 = VAR47; assign VAR159 = VAR72; assign VAR48 = VAR50; end endgenerate VAR119 #( .VAR75(3), .VAR153(VAR61), .VAR9(VAR9), .VAR64(VAR64), .VAR81(VAR81), .VAR71(VAR71), .VAR112(VAR112), .VAR166(VAR166), .VAR94(VAR94), .VAR99(VAR99), .VAR89(VAR89) ) VAR167 ( .VAR148(VAR25), .VAR73(VAR29), .enable(VAR156), .VAR2(VAR35), .VAR6(VAR86), .VAR33(VAR136), .VAR193(VAR150), .VAR103(VAR184), .VAR14(VAR132), .VAR59(VAR159), .VAR20(VAR50), .VAR67(VAR67), .VAR22(VAR22), .VAR147(VAR147), .VAR54(VAR54), .VAR38(VAR38), .VAR91(VAR91), .VAR129(VAR129), .VAR122(VAR122), .VAR131(VAR131), .VAR3(VAR3), .VAR110(VAR110), .VAR26(VAR26), .VAR84(VAR84), .VAR79(VAR79), .VAR95(VAR95), .VAR60(VAR60), .VAR37(VAR37), .VAR23(VAR23), .VAR63(VAR63), .VAR87(VAR87), .VAR104(VAR104), .VAR155(VAR155), .VAR145(VAR145), .VAR76(VAR76), .VAR85(VAR85), .VAR124(VAR124), .VAR82(VAR82), .VAR197(VAR197), .VAR113(VAR113), .VAR80(VAR80), .VAR120(VAR120), .VAR190(VAR190), .VAR121(VAR121), .VAR45(VAR45), .VAR179(VAR179), .VAR198(VAR198), .VAR182(VAR182), .VAR49(VAR49), .VAR18(VAR18), .VAR173(VAR173), .VAR16(VAR16), .VAR187(VAR187), .VAR115(VAR115), .VAR36(VAR36), .VAR90(VAR90), .VAR10(VAR10), .VAR180(VAR180), .VAR164(VAR164), .VAR11(VAR11), .VAR68(VAR68), .VAR154(VAR154), .VAR161(VAR158), .VAR111(VAR139), .VAR151(VAR144), .VAR21(VAR92), .VAR195(VAR102), .VAR178(VAR186), .VAR176(VAR162), .VAR108(VAR114), .VAR123(VAR123) ); endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
Literature FPUs/hrfp_1.0/hrfp_xilinx_normalize.v
13,812
module MODULE1 (input wire clk, input wire [VAR19:0] VAR24, input wire [30:0] VAR28, input wire [7:0] VAR18, output reg [VAR23-1:0] VAR20, output reg VAR31,VAR2, output reg [30:0] VAR7, output reg [30:0] VAR25, output reg VAR16, output reg [VAR19:0] VAR26, output reg VAR3, output reg VAR21); reg [2:0] VAR13; reg VAR11, VAR33; wire VAR30 = VAR28[30]; wire [2:0] VAR8; wire VAR32; wire [1:0] VAR10; wire [1:0] VAR22; wire VAR9; wire VAR29; wire [7:0] VAR17; VAR15 VAR5( .VAR22 (VAR22[1:0]), .VAR10 (VAR10[1:0]), .VAR8 (VAR8[2:0]), .VAR32 (VAR32), .VAR9(VAR9), .VAR29(VAR29), .VAR17 (VAR17[7:0]), .VAR28 (VAR28), .VAR30 (VAR30), .clk (clk)); wire VAR12 = (VAR28 == 0); wire VAR14 = (VAR28 == 0); wire VAR12 = (VAR8 == 3'b011) && !VAR28[30]; always @* begin VAR13 = 0; casez(VAR17) 8'b1111111?: VAR13 = 3'b111; 8'b1111110?: VAR13 = 3'b110; 8'b111110??: VAR13 = 3'b101; 8'b11110???: VAR13 = 3'b100; 8'b1110????: VAR13 = 3'b011; 8'b110?????: VAR13 = 3'b010; 8'b10??????: VAR13 = 3'b001; 8'b0???????: VAR13 = 3'b000; endcase VAR33 = 0; VAR11 = VAR30; if((VAR28[29:5] == 25'h1ffffff)) begin VAR11 = 1; end if((VAR28[29:1] == 29'h01ffffff)) begin VAR33 = 1; end end reg [30:0] VAR1,VAR4; wire [30:0] VAR27; wire [30:0] VAR6 = {3'b000, VAR28[30:5], |VAR28[4:0]};
gpl-3.0
jefg89/proyecto_final_prototipado
ProyectoFinal/SOC/synthesis/submodules/SoC_nios2_qsys_0_jtag_debug_module_tck.v
8,222
module MODULE1 ( VAR20, VAR35, VAR31, VAR8, VAR12, VAR34, VAR37, VAR38, VAR2, VAR36, VAR7, VAR24, VAR30, VAR5, VAR23, VAR3, VAR39, VAR17, VAR13, VAR14, VAR33, VAR22, VAR18, VAR27, VAR21, VAR28, VAR29, VAR10, VAR9, VAR16, VAR6 ) ; output [ 1: 0] VAR29; output VAR10; output [ 37: 0] VAR9; output VAR16; output VAR6; input [ 31: 0] VAR20; input [ 31: 0] VAR35; input VAR31; input VAR8; input VAR12; input VAR34; input VAR37; input [ 1: 0] VAR38; input VAR2; input VAR36; input VAR7; input VAR24; input VAR30; input VAR5; input VAR23; input VAR3; input [ 35: 0] VAR39; input VAR17; input [ 6: 0] VAR13; input VAR14; input VAR33; input VAR22; input VAR18; input VAR27; input VAR21; input VAR28; reg [ 2: 0] VAR19 ; wire VAR4; reg [ 1: 0] VAR29; wire VAR10; wire VAR26; reg [ 37: 0] VAR9 ; wire VAR16; wire VAR6; wire VAR15; wire VAR11; always @(posedge VAR5) begin if (VAR27) case (VAR38) 2'b00: begin VAR9[35] <= VAR4; VAR9[34] <= VAR36; VAR9[33] <= VAR30; VAR9[32 : 1] <= VAR20; VAR9[0] <= VAR26; end 2'b01: begin VAR9[35 : 0] <= VAR39; VAR9[37] <= VAR17; VAR9[36] <= VAR3; end 2'b10: begin VAR9[37] <= VAR18; VAR9[36] <= VAR34; VAR9[35] <= VAR12; VAR9[34] <= VAR8; VAR9[33] <= VAR31; VAR9[32 : 1] <= VAR35; VAR9[0] <= VAR22; end 2'b11: begin VAR9[15 : 12] <= 1'b0; VAR9[11 : 2] <= VAR13; VAR9[1] <= VAR33; VAR9[0] <= VAR14; end endcase if (VAR21) case (VAR19) 3'b000: begin VAR9 <= {VAR23, VAR9[37 : 2], VAR23}; end 3'b001: begin VAR9 <= {VAR23, VAR9[37 : 9], VAR23, VAR9[7 : 1]}; end 3'b010: begin VAR9 <= {VAR23, VAR9[37 : 17], VAR23, VAR9[15 : 1]}; end 3'b011: begin VAR9 <= {VAR23, VAR9[37 : 33], VAR23, VAR9[31 : 1]}; end 3'b100: begin VAR9 <= {VAR23, VAR9[37], VAR23, VAR9[35 : 1]}; end 3'b101: begin VAR9 <= {VAR23, VAR9[37 : 1]}; end default: begin VAR9 <= {VAR23, VAR9[37 : 2], VAR23}; end endcase if (VAR28) case (VAR38) 2'b00: begin VAR19 <= 3'b100; end 2'b01: begin VAR19 <= 3'b101; end 2'b10: begin VAR19 <= 3'b101; end 2'b11: begin VAR19 <= 3'b010; end endcase end assign VAR6 = VAR9[0]; assign VAR16 = VAR2; assign VAR15 = VAR10; VAR32 VAR25 ( .clk (VAR5), .din (VAR37), .dout (VAR4), .VAR24 (VAR15) ); assign VAR11 = VAR10; VAR32 VAR40 ( .clk (VAR5), .din (VAR7), .dout (VAR26), .VAR24 (VAR11) ); always @(posedge VAR5 or negedge VAR10) begin if (VAR10 == 0) VAR29 <= 2'b0; end else VAR29 <= {VAR4, VAR26}; end assign VAR10 = VAR24; endmodule
gpl-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/common/up_axi.v
8,721
module MODULE1 ( VAR36, VAR22, VAR35, VAR24, VAR3, VAR23, VAR21, VAR9, VAR27, VAR1, VAR34, VAR25, VAR13, VAR38, VAR11, VAR32, VAR28, VAR18, VAR6, VAR30, VAR15, VAR14, VAR20, VAR16, VAR2, VAR8, VAR31); parameter VAR33 = 14; localparam VAR5 = VAR33 - 1; input VAR36; input VAR22; input VAR35; input [31:0] VAR24; output VAR3; input VAR23; input [31:0] VAR21; input [ 3:0] VAR9; output VAR27; output VAR1; output [ 1:0] VAR34; input VAR25; input VAR13; input [31:0] VAR38; output VAR11; output VAR32; output [ 1:0] VAR28; output [31:0] VAR18; input VAR6; output VAR30; output [VAR5:0] VAR15; output [31:0] VAR14; input VAR20; output VAR16; output [VAR5:0] VAR2; input [31:0] VAR8; input VAR31; reg VAR3 = 'd0; reg VAR27 = 'd0; reg VAR1 = 'd0; reg VAR10 = 'd0; reg VAR30 = 'd0; reg [VAR5:0] VAR15 = 'd0; reg [31:0] VAR14 = 'd0; reg [ 2:0] VAR4 = 'd0; reg VAR19 = 'd0; reg VAR11 = 'd0; reg VAR32 = 'd0; reg [31:0] VAR18 = 'd0; reg VAR17 = 'd0; reg VAR16 = 'd0; reg [VAR5:0] VAR2 = 'd0; reg [ 3:0] VAR26 = 'd0; reg VAR29 = 'd0; reg [31:0] VAR12 = 'd0; reg VAR7 = 'd0; reg [31:0] VAR37 = 'd0; assign VAR34 = 2'd0; always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 1'b0) begin VAR3 <= 'd0; VAR27 <= 'd0; VAR1 <= 'd0; end else begin if (VAR3 == 1'b1) begin VAR3 <= 1'b0; end else if (VAR19 == 1'b1) begin VAR3 <= 1'b1; end if (VAR27 == 1'b1) begin VAR27 <= 1'b0; end else if (VAR19 == 1'b1) begin VAR27 <= 1'b1; end if ((VAR25 == 1'b1) && (VAR1 == 1'b1)) begin VAR1 <= 1'b0; end else if (VAR19 == 1'b1) begin VAR1 <= 1'b1; end end end always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 1'b0) begin VAR10 <= 'd0; VAR30 <= 'd0; VAR15 <= 'd0; VAR14 <= 'd0; VAR4 <= 'd0; end else begin if (VAR10 == 1'b1) begin if ((VAR25 == 1'b1) && (VAR1 == 1'b1)) begin VAR10 <= 1'b0; end VAR30 <= 1'b0; VAR15 <= VAR15; VAR14 <= VAR14; VAR4 <= VAR4 + 1'b1; end else begin VAR10 <= VAR35 & VAR23; VAR30 <= VAR35 & VAR23; VAR15 <= VAR24[VAR5+2:2]; VAR14 <= VAR21; VAR4 <= 3'd0; end end end always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 0) begin VAR19 <= 'd0; end else begin if ((VAR4 == 3'h7) && (VAR20 == 1'b0)) begin VAR19 <= 1'b1; end else if (VAR10 == 1'b1) begin VAR19 <= VAR20; end end end assign VAR28 = 2'd0; always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 1'b0) begin VAR11 <= 'd0; VAR32 <= 'd0; VAR18 <= 'd0; end else begin if (VAR11 == 1'b1) begin VAR11 <= 1'b0; end else if (VAR29 == 1'b1) begin VAR11 <= 1'b1; end if ((VAR6 == 1'b1) && (VAR32 == 1'b1)) begin VAR32 <= 1'b0; VAR18 <= 32'd0; end else if (VAR7 == 1'b1) begin VAR32 <= 1'b1; VAR18 <= VAR37; end end end always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 1'b0) begin VAR17 <= 'd0; VAR16 <= 'd0; VAR2 <= 'd0; VAR26 <= 'd0; end else begin if (VAR17 == 1'b1) begin if ((VAR6 == 1'b1) && (VAR32 == 1'b1)) begin VAR17 <= 1'b0; end VAR16 <= 1'b0; VAR2 <= VAR2; end else begin VAR17 <= VAR13; VAR16 <= VAR13; VAR2 <= VAR38[VAR5+2:2]; end if (VAR29 == 1'b1) begin VAR26 <= 4'd0; end else if (VAR26[3] == 1'b1) begin VAR26 <= VAR26 + 1'b1; end else if (VAR16 == 1'b1) begin VAR26 <= 4'd8; end end end always @(negedge VAR36 or posedge VAR22) begin if (VAR36 == 0) begin VAR29 <= 'd0; VAR12 <= 'd0; VAR7 <= 'd0; VAR37 <= 'd0; end else begin if ((VAR26 == 4'hf) && (VAR31 == 1'b0)) begin VAR29 <= 1'b1; VAR12 <= {2{16'hdead}}; end else begin VAR29 <= VAR31; VAR12 <= VAR8; end VAR7 <= VAR29; VAR37 <= VAR12; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4bb/sky130_fd_sc_lp__nor4bb.functional.pp.v
1,998
module MODULE1 ( VAR5 , VAR14 , VAR13 , VAR10 , VAR2 , VAR12, VAR11, VAR9 , VAR15 ); output VAR5 ; input VAR14 ; input VAR13 ; input VAR10 ; input VAR2 ; input VAR12; input VAR11; input VAR9 ; input VAR15 ; wire VAR4 ; wire VAR7 ; wire VAR3; nor VAR16 (VAR4 , VAR14, VAR13 ); and VAR6 (VAR7 , VAR4, VAR10, VAR2 ); VAR8 VAR1 (VAR3, VAR7, VAR12, VAR11); buf VAR17 (VAR5 , VAR3 ); endmodule
apache-2.0
olajep/oh
src/adi/hdl/library/common/up_clkgen.v
6,016
module MODULE1 #( parameter VAR33 = 0) ( output VAR23, output VAR11, output reg VAR7, output reg VAR5, output reg [11:0] VAR1, output reg [15:0] VAR18, input [15:0] VAR22, input VAR21, input VAR32, input VAR3, input VAR8, input VAR4, input [13:0] VAR26, input [31:0] VAR20, output reg VAR6, input VAR16, input [13:0] VAR15, output reg [31:0] VAR35, output reg VAR19); localparam VAR2 = 32'h00040063; reg VAR34 = 'd0; reg [31:0] VAR29 = 'd0; reg VAR28 = 'd0; reg VAR31 = 'd0; reg VAR30 = 'd0; reg VAR9 = 'd0; reg [15:0] VAR12 = 'd0; reg VAR24 = 'd0; wire VAR25; wire VAR10; assign VAR25 = (VAR26[13:8] == 6'h00) ? VAR4 : 1'b0; assign VAR10 = (VAR15[13:8] == 6'h00) ? VAR16 : 1'b0; assign VAR11 = ~VAR24; always @(negedge VAR3 or posedge VAR8) begin if (VAR3 == 0) begin VAR34 <= 1'd1; VAR6 <= 'd0; VAR29 <= 'd0; VAR28 <= 'd0; VAR31 <= 'd0; VAR7 <= 'd0; VAR5 <= 'd0; VAR30 <= 'd0; VAR9 <= 'd0; VAR1 <= 'd0; VAR18 <= 'd0; VAR12 <= 'd0; VAR24 <= 'd0; end else begin VAR34 <= ~VAR28; VAR6 <= VAR25; if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h02)) begin VAR29 <= VAR20; end if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h10)) begin VAR28 <= VAR20[1]; VAR31 <= VAR20[0]; end if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h11)) begin VAR24 <= VAR20[0]; end if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h1c)) begin VAR7 <= 1'b1; VAR5 <= ~VAR20[28]; end else begin VAR7 <= 1'b0; VAR5 <= 1'b0; end if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h1c)) begin VAR30 <= 1'b1; end else if (VAR21 == 1'b1) begin VAR30 <= 1'b0; end if ((VAR25 == 1'b1) && (VAR26[7:0] == 8'h1c)) begin VAR9 <= VAR20[28]; VAR1 <= VAR20[27:16]; VAR18 <= VAR20[15:0]; end if (VAR21 == 1'b1) begin VAR12 <= VAR22; end end end always @(negedge VAR3 or posedge VAR8) begin if (VAR3 == 0) begin VAR19 <= 'd0; VAR35 <= 'd0; end else begin VAR19 <= VAR10; if (VAR10 == 1'b1) begin case (VAR15[7:0]) 8'h00: VAR35 <= VAR2; 8'h01: VAR35 <= VAR33; 8'h02: VAR35 <= VAR29; 8'h10: VAR35 <= {30'd0, VAR28, VAR31}; 8'h11: VAR35 <= {31'd0, VAR24}; 8'h17: VAR35 <= {31'd0, VAR32}; 8'h1c: VAR35 <= {3'd0, VAR9, VAR1, VAR18}; 8'h1d: VAR35 <= {14'd0, VAR32, VAR30, VAR12}; default: VAR35 <= 0; endcase end else begin VAR35 <= 32'd0; end end end VAR27 VAR17 (.VAR13(VAR34), .clk(VAR8), .VAR14(), .rst(VAR23)); endmodule
mit
vvk/sysrek
arithm/ipcore_dir/multiplier.v
12,663
module MODULE2 ( clk, VAR35, VAR100, VAR1 ); input clk; output [27 : 0] VAR35; input [13 : 0] VAR100; input [13 : 0] VAR1; wire \VAR105/VAR41 ; wire \VAR105/VAR14 ; wire \VAR105/VAR21 ; wire \VAR105/VAR40 ; wire \VAR105/VAR59 ; wire \VAR105/VAR36 ; wire \VAR105/VAR4 ; wire \VAR105/VAR116 ; wire \VAR105/VAR84 ; wire \VAR105/VAR81 ; wire \VAR105/VAR92 ; wire \VAR105/VAR29 ; wire \VAR105/VAR64 ; wire \VAR105/VAR47 ; wire \VAR105/VAR60 ; wire \VAR105/VAR58 ; wire \VAR105/VAR118 ; wire \VAR105/VAR74 ; wire \VAR105/VAR85 ; wire \VAR105/VAR78 ; wire \VAR105/VAR44 ; wire \VAR105/VAR90 ; wire \VAR105/VAR30 ; wire \VAR105/VAR67 ; wire \VAR105/VAR38 ; wire \VAR105/VAR76 ; wire \VAR105/VAR6 ; wire \VAR105/VAR45 ; wire \VAR105/VAR62 ; wire \VAR105/VAR91 ; wire \VAR124/VAR79<34>VAR12 ; wire \VAR124/VAR79<33>VAR12 ; wire \VAR124/VAR79<32>VAR12 ; wire \VAR124/VAR79<31>VAR12 ; wire \VAR124/VAR79<30>VAR12 ; wire \VAR124/VAR79<29>VAR12 ; wire \VAR124/VAR79<28>VAR12 ; wire \VAR124/VAR79<27>VAR12 ; wire \VAR124/VAR106<17>VAR12 ; wire \VAR124/VAR106<16>VAR12 ; wire \VAR124/VAR106<15>VAR12 ; wire \VAR124/VAR106<14>VAR12 ; wire \VAR124/VAR106<13>VAR12 ; wire \VAR124/VAR106<12>VAR12 ; wire \VAR124/VAR106<11>VAR12 ; wire \VAR124/VAR106<10>VAR12 ; wire \VAR124/VAR106<9>VAR12 ; wire \VAR124/VAR106<8>VAR12 ; wire \VAR124/VAR106<7>VAR12 ; wire \VAR124/VAR106<6>VAR12 ; wire \VAR124/VAR106<5>VAR12 ; wire \VAR124/VAR106<4>VAR12 ; wire \VAR124/VAR106<3>VAR12 ; wire \VAR124/VAR106<2>VAR12 ; wire \VAR124/VAR106<1>VAR12 ; wire \VAR124/VAR106<0>VAR12 ; VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR25 ( .VAR39(clk), .VAR107(\VAR105/VAR45 ), .VAR123(VAR35[0]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR3 ( .VAR39(clk), .VAR107(\VAR105/VAR118 ), .VAR123(VAR35[1]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR103 ( .VAR39(clk), .VAR107(\VAR105/VAR84 ), .VAR123(VAR35[2]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR66 ( .VAR39(clk), .VAR107(\VAR105/VAR4 ), .VAR123(VAR35[3]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR82 ( .VAR39(clk), .VAR107(\VAR105/VAR36 ), .VAR123(VAR35[4]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR61 ( .VAR39(clk), .VAR107(\VAR105/VAR59 ), .VAR123(VAR35[5]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR89 ( .VAR39(clk), .VAR107(\VAR105/VAR40 ), .VAR123(VAR35[6]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR49 ( .VAR39(clk), .VAR107(\VAR105/VAR21 ), .VAR123(VAR35[7]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR102 ( .VAR39(clk), .VAR107(\VAR105/VAR14 ), .VAR123(VAR35[8]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR109 ( .VAR39(clk), .VAR107(\VAR105/VAR41 ), .VAR123(VAR35[9]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR110 ( .VAR39(clk), .VAR107(\VAR105/VAR6 ), .VAR123(VAR35[10]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR120 ( .VAR39(clk), .VAR107(\VAR105/VAR76 ), .VAR123(VAR35[11]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR22 ( .VAR39(clk), .VAR107(\VAR105/VAR38 ), .VAR123(VAR35[12]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR63 ( .VAR39(clk), .VAR107(\VAR105/VAR67 ), .VAR123(VAR35[13]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR69 ( .VAR39(clk), .VAR107(\VAR105/VAR30 ), .VAR123(VAR35[14]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR94 ( .VAR39(clk), .VAR107(\VAR105/VAR90 ), .VAR123(VAR35[15]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR17 ( .VAR39(clk), .VAR107(\VAR105/VAR44 ), .VAR123(VAR35[16]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR122 ( .VAR39(clk), .VAR107(\VAR105/VAR78 ), .VAR123(VAR35[17]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR121 ( .VAR39(clk), .VAR107(\VAR105/VAR85 ), .VAR123(VAR35[18]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR114 ( .VAR39(clk), .VAR107(\VAR105/VAR74 ), .VAR123(VAR35[19]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR2 ( .VAR39(clk), .VAR107(\VAR105/VAR58 ), .VAR123(VAR35[20]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR68 ( .VAR39(clk), .VAR107(\VAR105/VAR60 ), .VAR123(VAR35[21]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR93 ( .VAR39(clk), .VAR107(\VAR105/VAR47 ), .VAR123(VAR35[22]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR87 ( .VAR39(clk), .VAR107(\VAR105/VAR64 ), .VAR123(VAR35[23]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR119 ( .VAR39(clk), .VAR107(\VAR105/VAR29 ), .VAR123(VAR35[24]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR88 ( .VAR39(clk), .VAR107(\VAR105/VAR92 ), .VAR123(VAR35[25]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR26 ( .VAR39(clk), .VAR107(\VAR105/VAR81 ), .VAR123(VAR35[26]) ); VAR57 #( .VAR37 ( 1'b0 )) \VAR105/VAR23 ( .VAR39(clk), .VAR107(\VAR105/VAR116 ), .VAR123(VAR35[27]) ); VAR77 #( .VAR52 ( 1 ), .VAR108 ( 1 ), .VAR33 ( "VAR8" ), .VAR18 ( 1 )) \VAR105/VAR65 ( .VAR126(\VAR105/VAR62 ), .VAR99(\VAR105/VAR62 ), .VAR50(\VAR105/VAR62 ), .VAR71(clk), .VAR24(\VAR105/VAR91 ), .VAR80(\VAR105/VAR91 ), .VAR125(\VAR105/VAR91 ), .VAR54({VAR100[13], VAR100[13], VAR100[13], VAR100[13], VAR100[13], VAR100[12], VAR100[11], VAR100[10], VAR100[9], VAR100[8], VAR100[7], VAR100[6], VAR100[5], VAR100[4], VAR100[3], VAR100[2], VAR100[1], VAR100[0]}), .VAR112({VAR1[13], VAR1[13], VAR1[13], VAR1[13], VAR1[13], VAR1[12], VAR1[11], VAR1[10], VAR1[9], VAR1[8], VAR1[7], VAR1[6], VAR1[5], VAR1[4], VAR1[3], VAR1[2], VAR1[1], VAR1[0]}), .VAR117({\VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 , \VAR105/VAR91 }), .VAR104({\VAR105/VAR116 , \VAR124/VAR79<34>VAR12 , \VAR124/VAR79<33>VAR12 , \VAR124/VAR79<32>VAR12 , \VAR124/VAR79<31>VAR12 , \VAR124/VAR79<30>VAR12 , \VAR124/VAR79<29>VAR12 , \VAR124/VAR79<28>VAR12 , \VAR124/VAR79<27>VAR12 , \VAR105/VAR81 , \VAR105/VAR92 , \VAR105/VAR29 , \VAR105/VAR64 , \VAR105/VAR47 , \VAR105/VAR60 , \VAR105/VAR58 , \VAR105/VAR74 , \VAR105/VAR85 , \VAR105/VAR78 , \VAR105/VAR44 , \VAR105/VAR90 , \VAR105/VAR30 , \VAR105/VAR67 , \VAR105/VAR38 , \VAR105/VAR76 , \VAR105/VAR6 , \VAR105/VAR41 , \VAR105/VAR14 , \VAR105/VAR21 , \VAR105/VAR40 , \VAR105/VAR59 , \VAR105/VAR36 , \VAR105/VAR4 , \VAR105/VAR84 , \VAR105/VAR118 , \VAR105/VAR45 }), .VAR101({\VAR124/VAR106<17>VAR12 , \VAR124/VAR106<16>VAR12 , \VAR124/VAR106<15>VAR12 , \VAR124/VAR106<14>VAR12 , \VAR124/VAR106<13>VAR12 , \VAR124/VAR106<12>VAR12 , \VAR124/VAR106<11>VAR12 , \VAR124/VAR106<10>VAR12 , \VAR124/VAR106<9>VAR12 , \VAR124/VAR106<8>VAR12 , \VAR124/VAR106<7>VAR12 , \VAR124/VAR106<6>VAR12 , \VAR124/VAR106<5>VAR12 , \VAR124/VAR106<4>VAR12 , \VAR124/VAR106<3>VAR12 , \VAR124/VAR106<2>VAR12 , \VAR124/VAR106<1>VAR12 , \VAR124/VAR106<0>VAR12 }) ); VAR53 \VAR105/VAR46 ( .VAR104(\VAR105/VAR62 ) ); VAR31 \VAR105/VAR7 ( .VAR19(\VAR105/VAR91 ) ); endmodule module MODULE1 (); parameter VAR83 = 100000; parameter VAR73 = 0; wire VAR42; wire VAR95; wire VAR97; wire VAR5; tri1 VAR75; tri (weak1, strong0) VAR70 = VAR75; wire VAR9; wire VAR28; reg VAR51; reg VAR86; reg VAR55; wire VAR72; wire VAR27; wire VAR115; wire VAR13; wire VAR34; reg VAR43; reg VAR96; reg VAR113; reg VAR20; reg VAR98; reg VAR127 = 0; reg VAR10 = 0 ; reg VAR111 = 0; reg VAR48 = 0; reg VAR11 = 1'VAR16; reg VAR56 = 1'VAR16; reg VAR32 = 1'VAR16; reg VAR15 = 1'VAR16; assign (weak1, weak0) VAR42 = VAR51; assign (weak1, weak0) VAR95 = VAR86; assign (weak1, weak0) VAR5 = VAR55;
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/tapvpwrvgnd/sky130_fd_sc_hs__tapvpwrvgnd.pp.symbol.v
1,197
module MODULE1 ( input VAR1, input VAR2 ); endmodule
apache-2.0
qmn/riscv-invicta
hardware/src/multiplier.v
2,028
module MODULE1 ( output [31:0] VAR4, input [31:0] VAR2, input [31:0] VAR3, input [1:0] VAR5 ); wire [63:0] VAR7 = VAR2[31] ? {32'hFFFFFFFF, VAR2} : {32'h0, VAR2}; wire [63:0] VAR1 = VAR3[31] ? {32'hFFFFFFFF, VAR3} : {32'h0, VAR3}; reg [63:0] VAR8; always @ (*) begin case (VAR5) VAR8 = (VAR7) * (VAR1); VAR8 = (VAR7) * {32'h0, VAR3}; VAR8 = {32'h0, VAR2} * {32'h0, VAR3}; default: VAR8 = 0; endcase end assign VAR4 = (VAR5 == VAR6) ? VAR8[31:0] : VAR8[63:0]; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfrtn/sky130_fd_sc_hd__dfrtn.symbol.v
1,431
module MODULE1 ( input VAR6 , output VAR1 , input VAR7, input VAR4 ); supply1 VAR8; supply0 VAR5; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o2bb2a/sky130_fd_sc_hs__o2bb2a.pp.blackbox.v
1,347
module MODULE1 ( VAR6 , VAR1, VAR2, VAR7 , VAR4 , VAR5, VAR3 ); output VAR6 ; input VAR1; input VAR2; input VAR7 ; input VAR4 ; input VAR5; input VAR3; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/dram_dqs_pad.v
5,073
module MODULE1( VAR20, VAR21, VAR16, VAR6, VAR10, VAR25, VAR18, VAR15, VAR1, VAR5, VAR19, VAR17, VAR8, VAR22, VAR29, VAR13, VAR2, VAR27, clk, VAR24, VAR3, VAR26 ); input VAR26; input [8:1] VAR3; input [8:1] VAR24; input clk; input VAR27; input VAR2; input VAR13; input VAR29;input VAR22; input VAR8; input VAR17; input VAR19; input VAR5; input VAR1; input VAR15; input VAR18; input VAR25; input [7:0] VAR10; inout VAR6; output VAR16; output VAR21; output VAR20; wire VAR4; wire VAR23; wire VAR14; VAR12 VAR28( .VAR21(VAR21), .VAR20(VAR20), .VAR14(VAR14), .VAR4 (VAR4), .clk (clk), .VAR15(VAR15), .VAR2(VAR2), .VAR13(VAR13), .VAR8(VAR8), .VAR22(VAR22), .VAR29(VAR29), .VAR23(VAR23)); VAR9 VAR7( .VAR16 (VAR16), .VAR23 (VAR23), .VAR6 (VAR6), .VAR26 (VAR26), .VAR3 (VAR3[8:1]), .VAR24 (VAR24[8:1]), .VAR27 (VAR27), .VAR11 (VAR14), .VAR17 (VAR17), .VAR19 (VAR19), .VAR5 (VAR5), .VAR4 (VAR4), .VAR1 (VAR1), .VAR18 (VAR18), .VAR25 (VAR25), .VAR10 (VAR10[7:0])); endmodule
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_qpll_drp.v
20,743
module MODULE1 # ( parameter VAR60 = "VAR40", parameter VAR27 = "3.0", parameter VAR46 = "VAR44", parameter VAR53 = 0, parameter VAR1 = 2'd3, parameter VAR69 = 3'd6 ) ( input VAR66, input VAR76, input VAR9, input VAR71, input VAR38, input VAR70, input [15:0] VAR20, input VAR75, output [ 7:0] VAR5, output VAR3, output [15:0] VAR36, output VAR54, output VAR14, output VAR24, output [ 5:0] VAR21, output [ 8:0] VAR31 ); reg VAR52; reg VAR79; reg VAR49; reg VAR33; reg [15:0] VAR32; reg VAR48; reg VAR8; reg VAR17; reg VAR10; reg VAR45; reg [15:0] VAR30; reg VAR58; reg [ 1:0] VAR12 = 2'd0; reg [ 2:0] VAR2 = 3'd0; reg VAR39 = 1'd0; reg [ 5:0] VAR51 = 6'd0; reg [ 7:0] addr = 8'd0; reg [15:0] VAR73 = 16'd0; reg VAR84 = 1'd0; reg [ 8:0] fsm = 7'd1; localparam VAR19 = 8'h36; localparam VAR4 = 8'h32; localparam VAR41 = 8'h31; localparam VAR64 = 8'h88; localparam VAR43 = 8'h35; localparam VAR72 = 8'h36; localparam VAR13 = 8'h34; localparam VAR15 = 16'b1111110000000000; localparam VAR18 = 16'b1111111110111111; localparam VAR67 = 16'b1000011111111111; localparam VAR63 = 16'b0000001111111111; localparam VAR57 = 16'b1111011111111111; localparam VAR50 = 16'b1110011111111111; localparam VAR55 = 16'b0000000000000000; localparam VAR62 = 16'b0000000000000000; localparam VAR22 = 16'b0000000000000000; localparam VAR78 = 16'b0000000000000000; localparam VAR16 = 16'b0000100000000000; localparam VAR77 = 16'b0000000000000000; localparam VAR68 = (VAR53 == 2) && (VAR46 == "VAR7") ? 16'b0000000010000000 : (VAR53 == 1) && (VAR46 == "VAR7") ? 16'b0000000100100000 : (VAR53 == 0) && (VAR46 == "VAR7") ? 16'b0000000101110000 : (VAR53 == 2) && (VAR46 == "VAR44") ? 16'b0000000001100000 : (VAR53 == 1) && (VAR46 == "VAR44") ? 16'b0000000011100000 : 16'b0000000100100000; localparam VAR42 = (VAR53 == 2) ? 16'b0000000010000000 : (VAR53 == 1) ? 16'b0000000100100000 : 16'b0000000101110000; localparam VAR82 = (VAR53 == 2) ? 16'b0000000001100000 : (VAR53 == 1) ? 16'b0000000011100000 : 16'b0000000100100000; localparam VAR61 = (VAR46 == "VAR7") ? 16'b0000000000000000 : 16'b0000000001000000; localparam VAR34 = 16'b0000000001000000; localparam VAR28 = (VAR46 == "VAR7") ? 16'b0010000000000000 : 16'b0110100000000000; localparam VAR74 = 16'b0110100000000000; wire [15:0] VAR56; wire [15:0] VAR25; wire [15:0] VAR47; wire [15:0] VAR59; wire [15:0] VAR35; wire [15:0] VAR37; localparam VAR80 = 9'b000000001; localparam VAR23 = 9'b000000010; localparam VAR6 = 9'b000000100; localparam VAR11 = 9'b000001000; localparam VAR29 = 9'b000010000; localparam VAR81 = 9'b000100000; localparam VAR65 = 9'b001000000; localparam VAR83 = 9'b010000000; localparam VAR26 = 9'b100000000; always @ (posedge VAR66) begin if (!VAR76) begin VAR52 <= 1'd0; VAR79 <= 1'd0; VAR49 <= 1'd0; VAR33 <= 1'd0; VAR32 <= 16'd0; VAR48 <= 1'd0; VAR8 <= 1'd0; VAR17 <= 1'd0; VAR10 <= 1'd0; VAR45 <= 1'd0; VAR30 <= 16'd0; VAR58 <= 1'd0; end else begin VAR52 <= VAR9; VAR79 <= VAR71; VAR49 <= VAR38; VAR33 <= VAR70; VAR32 <= VAR20; VAR48 <= VAR75; VAR8 <= VAR52; VAR17 <= VAR79; VAR10 <= VAR49; VAR45 <= VAR33; VAR30 <= VAR32; VAR58 <= VAR48; end end assign VAR56 = (VAR17) ? VAR82 : VAR42; assign VAR25 = (VAR17) ? VAR34 : VAR61; assign VAR47 = (VAR17) ? VAR74 : VAR28; assign VAR59 = VAR55; assign VAR35 = (VAR8) ? VAR16 : VAR62; assign VAR37 = (VAR8) ? VAR77 : VAR22; always @ (posedge VAR66) begin if (!VAR76) VAR12 <= 2'd0; end else if ((fsm == VAR23) && (VAR12 < VAR1)) VAR12 <= VAR12 + 2'd1; else if ((fsm == VAR23) && (VAR12 == VAR1)) VAR12 <= VAR12; else VAR12 <= 2'd0; end always @ (posedge VAR66) begin if (!VAR76) begin addr <= 8'd0; VAR73 <= 16'd0; VAR51 <= 6'd0; end else begin case (VAR2) 3'd0 : begin addr <= VAR19; VAR73 <= (VAR30 & VAR15) | (VAR39 ? VAR56 : VAR68); VAR51 <= VAR51; end 3'd1 : begin addr <= VAR4; if (VAR60 == "VAR40") VAR73 <= (VAR30 & VAR18) | VAR25; end else VAR73 <= (VAR30 & 16'hFFFF) | VAR25; VAR51 <= VAR51; end 3'd2 : begin addr <= VAR41; if (VAR60 == "VAR40") VAR73 <= (VAR30 & VAR67) | VAR47; end else VAR73 <= (VAR30 & 16'hFFFF) | VAR47; VAR51 <= VAR51; end 3'd3 : begin addr <= VAR64; VAR73 <= VAR30; if (VAR8) VAR51 <= VAR30[6:1]; end else VAR51 <= VAR51; end 3'd4 : begin addr <= VAR43; VAR73 <= (VAR30 & VAR63) | {(VAR51 - 6'd1), VAR59[9:0]}; VAR51 <= VAR51; end 3'd5 : begin addr <= VAR72; VAR73 <= (VAR30 & VAR57) | VAR35; VAR51 <= VAR51; end 3'd6 : begin addr <= VAR13; VAR73 <= (VAR30 & VAR50) | VAR37; VAR51 <= VAR51; end default : begin addr <= 8'd0; VAR73 <= 16'd0; VAR51 <= 6'd0; end endcase end end always @ (posedge VAR66) begin if (!VAR76) begin fsm <= VAR80; VAR2 <= 3'd0; VAR39 <= 1'd0; VAR84 <= 1'd0; end else begin case (fsm) VAR80 : begin if (VAR45) begin fsm <= VAR23; VAR2 <= 3'd0; VAR39 <= 1'd0; VAR84 <= 1'd0; end else if ((VAR17 != VAR79) && (VAR46 == "VAR7")) begin fsm <= VAR23; VAR2 <= 3'd0; VAR39 <= 1'd1; VAR84 <= 1'd0; end else begin fsm <= VAR80; VAR2 <= 3'd0; VAR39 <= 1'd0; VAR84 <= 1'd1; end end VAR23 : begin fsm <= (VAR12 == VAR1) ? VAR6 : VAR23; VAR2 <= VAR2; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR6 : begin fsm <= VAR11; VAR2 <= VAR2; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR11 : begin fsm <= (VAR58 ? VAR29 : VAR11); VAR2 <= VAR2; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR29 : begin fsm <= VAR81; VAR2 <= VAR2; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR81 : begin fsm <= (VAR58 ? VAR65 : VAR81); VAR2 <= VAR2; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR65 : begin if ((VAR2 == VAR69) || (VAR39 && (VAR2 == 3'd2))) begin fsm <= VAR39 ? VAR83 : VAR80; VAR2 <= 3'd0; VAR39 <= VAR39; VAR84 <= 1'd0; end else begin fsm <= VAR23; VAR2 <= VAR2 + 3'd1; VAR39 <= VAR39; VAR84 <= 1'd0; end end VAR83 : begin fsm <= !VAR10 ? VAR26 : VAR83; VAR2 <= 3'd0; VAR39 <= VAR39; VAR84 <= 1'd0; end VAR26 : begin fsm <= VAR10 ? VAR80 : VAR26; VAR2 <= 3'd0; VAR39 <= VAR39; VAR84 <= 1'd0; end default : begin fsm <= VAR80; VAR2 <= 3'd0; VAR39 <= 1'd0; VAR84 <= 1'd0; end endcase end end assign VAR5 = addr; assign VAR3 = (fsm == VAR6) || (fsm == VAR29); assign VAR36 = VAR73; assign VAR54 = (fsm == VAR29); assign VAR14 = VAR84; assign VAR24 = (fsm == VAR83); assign VAR21 = VAR51; assign VAR31 = fsm; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/inv/sky130_fd_sc_ms__inv.symbol.v
1,238
module MODULE1 ( input VAR4, output VAR6 ); supply1 VAR1; supply0 VAR2; supply1 VAR3 ; supply0 VAR5 ; endmodule
apache-2.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/7a200ffg1156/pcie_core/source/pcie_core_qpll_wrapper.v
29,077
module MODULE1 # ( parameter VAR108 = "VAR46", parameter VAR44 = "VAR36", parameter VAR142 = "3.0", parameter VAR23 = "VAR102", parameter VAR101 = 0 ) ( input VAR57, input VAR93, output VAR128, output VAR140, output VAR31, input VAR98, input VAR33, input VAR121, input [ 7:0] VAR107, input VAR114, input [15:0] VAR127, input VAR134, output [15:0] VAR29, output VAR82 ); localparam VAR20 = (VAR101 == 2) && (VAR23 == "VAR119") ? 10'b0010000000 : (VAR101 == 1) && (VAR23 == "VAR119") ? 10'b0100100000 : (VAR101 == 0) && (VAR23 == "VAR119") ? 10'b0101110000 : (VAR101 == 2) && (VAR23 == "VAR102") ? 10'b0001100000 : (VAR101 == 1) && (VAR23 == "VAR102") ? 10'b0011100000 : 10'b0100100000; localparam VAR136 = (VAR101 == 2) ? 3'd2 : (VAR101 == 1) ? 3'd4 : 3'd5; localparam VAR62 = ((VAR142 == "1.0") && (VAR23 == "VAR102")) ? 64'h0000042000001000 : 64'h0000040000001000; generate if (VAR44 == "VAR12") begin : VAR49 VAR34 # ( .VAR10 (3'b001), .VAR19 (3'b001), .VAR70 (VAR108), .VAR91 (VAR142), .VAR21 (27'h01F024C), .VAR139 (27'h01F024C), .VAR88 (8'd0), .VAR11 (1'b0), .VAR84 (1'b0), .VAR130 (VAR136), .VAR115 (VAR136), .VAR39 (5), .VAR80 (5), .VAR132 (24'h00001E), .VAR92 (24'h00001E), .VAR37 ( 9'h1E8), .VAR117 ( 9'h1E8), .VAR15 (1), .VAR120 (1), .VAR62 (64'h0000000000050001), .VAR30 (16'd0), .VAR54 (16'd0) ) VAR5 ( .VAR133 ( 1'd0), .VAR118 ( 1'd0), .VAR8 (VAR57), .VAR123 ( 1'd0), .VAR144 ( 1'd0), .VAR16 ( 1'd0), .VAR59 ( 1'd0), .VAR42 ( 1'd0), .VAR110 (VAR93), .VAR143 (VAR93), .VAR38 ( 1'd1), .VAR67 ( 1'd1), .VAR3 ( 3'd1), .VAR61 ( 3'd1), .VAR14 (16'd0), .VAR73 ( 5'd0), .VAR52 (VAR128), .VAR137 (), .VAR56 (VAR140), .VAR58 (), .VAR2 (VAR31), .VAR95 (), .VAR43 (), .VAR22 (), .VAR47 (), .VAR76 (), .VAR99 (), .VAR78 (VAR98), .VAR32 ( 1'd1), .VAR6 (VAR33), .VAR77 ( 1'd1), .VAR138 (VAR121), .VAR83 (VAR107), .VAR96 (VAR114), .VAR135 (VAR127), .VAR55 (VAR134), .VAR113 (VAR29), .VAR26 (VAR82), .VAR112 ( 1'd1), .VAR25 ( 1'd1), .VAR17 ( 1'd1), .VAR79 ( 5'd31), .VAR13 ( 1'd1), .VAR50 ( 8'd0), .VAR35 ( 1'd1), .VAR72 (), .VAR75 (), .VAR28 () ); end else if (VAR44 == "VAR94") begin : VAR97 VAR45 # ( .VAR65 (3'b001), .VAR70 (VAR108), .VAR91 ("2.0"), .VAR129 (27'h04801C7), .VAR7 ( 4'b1111), .VAR109 ( 6'b010000), .VAR53 ( 1'd0), .VAR131 (10'h0FF), .VAR74 ( 1'd0), .VAR106 ( 1'd0), .VAR20 (VAR20), .VAR122 ( 1'd0), .VAR51 ( 1'd1), .VAR100 (24'h000006), .VAR71 (16'h05E8), .VAR86 ( 4'hD), .VAR24 ( 1), .VAR90 ( 1'd0), .VAR68 ( 2'd0), .VAR62 (64'h0000040000001050), .VAR4 (32'd0), .VAR89 ( 2'b00), .VAR30 (16'd0), .VAR54 (16'd0) ) VAR85 ( .VAR111 ( 1'd0), .VAR8 (VAR57), .VAR123 ( 1'd0), .VAR104 ( 1'd0), .VAR40 ( 1'd0), .VAR116 ( 1'd0), .VAR66 ( 1'd0), .VAR81 (VAR93), .VAR105 ( 1'd1), .VAR48 ( 3'd1), .VAR63 (16'd0), .VAR64 ( 5'b11111), .VAR60 (VAR128), .VAR87 (VAR140), .VAR124 (VAR31), .VAR9 (), .VAR27 (), .VAR103 (), .VAR69 (VAR98), .VAR125 (VAR33), .VAR41 ( 1'd0), .VAR138 (VAR121), .VAR83 (VAR107), .VAR96 (VAR114), .VAR135 (VAR127), .VAR55 (VAR134), .VAR113 (VAR29), .VAR26 (VAR82), .VAR112 ( 1'd1), .VAR25 ( 1'd1), .VAR17 ( 1'd1), .VAR79 ( 5'd31), .VAR13 ( 1'd1), .VAR50 ( 8'd0), .VAR35 ( 1'd1), .VAR18 (), .VAR28 () ); end else begin : VAR141 VAR126 # ( .VAR65 ( 3'b001), .VAR70 (VAR108), .VAR91 (VAR142), .VAR129 (27'h06801C1), .VAR109 ( 6'b010000), .VAR53 ( 1'd0), .VAR131 (10'h01F), .VAR74 ( 1'd0), .VAR106 ( 1'd0), .VAR20 (VAR20), .VAR122 ( 1'd0), .VAR51 ( 1'd1), .VAR71 (16'h21E8), .VAR86 ( 4'hD), .VAR24 (1), .VAR62 (VAR62) ) VAR1 ( .VAR111 ( 1'd0), .VAR8 (VAR57), .VAR123 ( 1'd0), .VAR104 ( 1'd0), .VAR40 ( 1'd0), .VAR116 ( 1'd0), .VAR66 ( 1'd0), .VAR81 (VAR93), .VAR105 ( 1'd1), .VAR48 ( 3'd1), .VAR63 (16'd0), .VAR64 ( 5'b11111), .VAR60 (VAR128), .VAR87 (VAR140), .VAR124 (VAR31), .VAR9 (), .VAR27 (), .VAR103 (), .VAR69 (VAR98), .VAR125 (VAR33), .VAR41 ( 1'd0), .VAR138 (VAR121), .VAR83 (VAR107), .VAR96 (VAR114), .VAR135 (VAR127), .VAR55 (VAR134), .VAR113 (VAR29), .VAR26 (VAR82), .VAR112 ( 1'd1), .VAR25 ( 1'd1), .VAR17 ( 1'd1), .VAR79 ( 5'd31), .VAR50 ( 8'd0), .VAR35 ( 1'd1), .VAR18 () ); end endgenerate endmodule
lgpl-3.0
fredyamalves/Collision-detection-for-a-CPU-FPGA-heterogeneous-System
Verilog design/add3.v
2,347
module MODULE1 ( input VAR5, input [31:0] VAR11, input [31:0] VAR12, input [31:0] VAR1, input reset, output reg [31:0] VAR18, output reg VAR16 ); wire VAR4; wire VAR7; wire [31:0] VAR14; wire [31:0] VAR8; reg VAR10 = 1'b0; VAR9 VAR17 ( .VAR6 ( 1'b1 ), .VAR13 ( VAR5 ), .VAR2 ( VAR11 ), .VAR3 ( VAR12 ), .VAR18 ( VAR14 ), .reset(reset), .VAR15(VAR4) ); VAR9 VAR19 ( .VAR6 ( 1'b1 ), .VAR13 ( VAR5 ), .VAR2 ( VAR14 ), .VAR3 ( VAR1 ), .VAR18 ( VAR8 ), .reset(VAR10), .VAR15(VAR7) ); always @(posedge VAR4 or posedge VAR7 or negedge reset) begin if(reset == 1'b0) begin VAR10 <= 1'b0; VAR16 <= 1'b0; end else begin if(VAR4) begin VAR10 <= 1'b1; end if(VAR7) begin VAR18 <= VAR8; VAR16 <= 1'b1; end end end endmodule
gpl-3.0
parallella/oh
common/hdl/oh_mux9.v
1,358
module MODULE1 #( parameter VAR17 = 1 ) ( input VAR1, input VAR18, input VAR12, input VAR9, input VAR11, input VAR5, input VAR13, input VAR2, input VAR7, input [VAR17-1:0] VAR10, input [VAR17-1:0] VAR8, input [VAR17-1:0] VAR4, input [VAR17-1:0] VAR16, input [VAR17-1:0] VAR15, input [VAR17-1:0] VAR14, input [VAR17-1:0] VAR3, input [VAR17-1:0] VAR19, input [VAR17-1:0] VAR6, output [VAR17-1:0] out ); assign out[VAR17-1:0] = ({(VAR17){VAR7}} & VAR6[VAR17-1:0] | {(VAR17){VAR2}} & VAR19[VAR17-1:0] | {(VAR17){VAR13}} & VAR3[VAR17-1:0] | {(VAR17){VAR5}} & VAR14[VAR17-1:0] | {(VAR17){VAR11}} & VAR15[VAR17-1:0] | {(VAR17){VAR9}} & VAR16[VAR17-1:0] | {(VAR17){VAR12}} & VAR4[VAR17-1:0] | {(VAR17){VAR18}} & VAR8[VAR17-1:0] | {(VAR17){VAR1}} & VAR10[VAR17-1:0]); endmodule
mit
CatherineH/QubitekkCC
TDH/src/DE0Nano/verilog/twentyonecounter.v
4,562
module MODULE1 ( VAR5, VAR20, VAR8, VAR15); input VAR5; input VAR20; input VAR8; output [20:0] VAR15; wire [20:0] VAR19; wire [20:0] VAR15 = VAR19[20:0]; VAR12 VAR17 ( .VAR5 (VAR5), .VAR20 (VAR20), .VAR8 (VAR8), .VAR15 (VAR19), .VAR21 (1'b0), .VAR16 (1'b0), .VAR25 (1'b0), .VAR23 (1'b1), .VAR1 (1'b1), .VAR18 (), .VAR14 ({21{1'b0}}), .VAR7 (), .VAR3 (1'b0), .VAR10 (1'b0), .VAR6 (1'b1)); VAR17.VAR9 = "VAR4", VAR17.VAR13 = "VAR24", VAR17.VAR2 = "VAR22", VAR17.VAR11 = 21; endmodule
mit
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/or1200_dc_ram.v
5,482
module MODULE1( clk, rst, VAR16, VAR14, VAR7, addr, en, VAR9, VAR17, VAR12 ); parameter VAR5 = VAR18; parameter VAR2 = VAR10; input clk; input rst; input [VAR2-1:0] addr; input en; input [3:0] VAR9; input [VAR5-1:0] VAR17; output [VAR5-1:0] VAR12; input VAR16; input [VAR13 - 1:0] VAR7; output VAR14; assign VAR12 = {VAR5{1'b0}}; assign VAR14 = VAR16; VAR15 VAR8( VAR3 VAR8( .VAR16(VAR16), .VAR14(VAR14), .VAR7(VAR7), .clk(clk), .rst(rst), .VAR1(en), .VAR9(VAR9), .VAR11(1'b1), .addr(addr), .VAR4(VAR17), .VAR6(VAR12) ); endmodule
gpl-3.0
tugrulyatagan/RISC-processor
xilinx_processor/interrupt.v
1,255
module MODULE1( input VAR7, input VAR12, input VAR11, input VAR4, input VAR3, input VAR1, input [11:0] VAR9, output reg VAR10, output reg [11:0] VAR5 ); reg [11:0] VAR2; reg VAR13; reg VAR6; reg VAR8;
gpl-2.0
peteg944/music-fpga
Enlightened Main Project/Microphone code (not used)/MicrophoneTop.v
1,300
module MODULE1( output VAR8, output VAR4, output VAR3, input VAR5, input clk, input rst, output [7:0] VAR10 ); localparam VAR1 = 16'h1388; localparam VAR9 = 16'h09C4; reg [12:0] counter; reg VAR7; wire [9:0] VAR11; VAR6 VAR2(VAR8, VAR4, VAR3, VAR5, clk, rst, VAR7, , VAR11); assign VAR10 = VAR11[9:2]; always @ (posedge clk) begin if(rst) begin counter <= 13'b0; VAR7 <= 1'b0; end else begin if(counter == VAR9) begin VAR7 <= 1'b1; counter <= 13'b0; end else begin VAR7 <= 1'b0; counter <= counter + 1'b1; end end end endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
Literature_KOA/ecp/xc3s_ram16x233_d.v
35,007
module MODULE1 (VAR4, VAR156, VAR31, VAR76, VAR144, VAR97, VAR114); parameter VAR87 = 233; input wire [232:0]VAR4; input wire [3:0] VAR156, VAR31; input wire VAR76; input wire VAR144; output wire [232:0]VAR97, VAR114; wire [232:0]VAR95, VAR222; VAR167 VAR118(VAR222[0], VAR95[0], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[0], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR73(VAR222[1], VAR95[1], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[1], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR147(VAR222[2], VAR95[2], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[2], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR180(VAR222[3], VAR95[3], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[3], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR139(VAR222[4], VAR95[4], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[4], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR7(VAR222[5], VAR95[5], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[5], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR166(VAR222[6], VAR95[6], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[6], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR2(VAR222[7], VAR95[7], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[7], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR111(VAR222[8], VAR95[8], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[8], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR124(VAR222[9], VAR95[9], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[9], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR125(VAR222[10], VAR95[10], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[10], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR145(VAR222[11], VAR95[11], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[11], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR192(VAR222[12], VAR95[12], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[12], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR119(VAR222[13], VAR95[13], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[13], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR204(VAR222[14], VAR95[14], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[14], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR197(VAR222[15], VAR95[15], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[15], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR56(VAR222[16], VAR95[16], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[16], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR138(VAR222[17], VAR95[17], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[17], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR43(VAR222[18], VAR95[18], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[18], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR193(VAR222[19], VAR95[19], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[19], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR151(VAR222[20], VAR95[20], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[20], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR213(VAR222[21], VAR95[21], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[21], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR32(VAR222[22], VAR95[22], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[22], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR210(VAR222[23], VAR95[23], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[23], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR202(VAR222[24], VAR95[24], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[24], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR181(VAR222[25], VAR95[25], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[25], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR74(VAR222[26], VAR95[26], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[26], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR173(VAR222[27], VAR95[27], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[27], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR195(VAR222[28], VAR95[28], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[28], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR241(VAR222[29], VAR95[29], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[29], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR191(VAR222[30], VAR95[30], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[30], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR108(VAR222[31], VAR95[31], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[31], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR194(VAR222[32], VAR95[32], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[32], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR175(VAR222[33], VAR95[33], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[33], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR164(VAR222[34], VAR95[34], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[34], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR221(VAR222[35], VAR95[35], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[35], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR132(VAR222[36], VAR95[36], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[36], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR44(VAR222[37], VAR95[37], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[37], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR176(VAR222[38], VAR95[38], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[38], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR112(VAR222[39], VAR95[39], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[39], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR233(VAR222[40], VAR95[40], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[40], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR150(VAR222[41], VAR95[41], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[41], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR81(VAR222[42], VAR95[42], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[42], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR86(VAR222[43], VAR95[43], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[43], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR49(VAR222[44], VAR95[44], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[44], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR10(VAR222[45], VAR95[45], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[45], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR216(VAR222[46], VAR95[46], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[46], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR39(VAR222[47], VAR95[47], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[47], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR136(VAR222[48], VAR95[48], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[48], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR85(VAR222[49], VAR95[49], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[49], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR1(VAR222[50], VAR95[50], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[50], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR161(VAR222[51], VAR95[51], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[51], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR159(VAR222[52], VAR95[52], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[52], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR68(VAR222[53], VAR95[53], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[53], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR58(VAR222[54], VAR95[54], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[54], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR70(VAR222[55], VAR95[55], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[55], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR228(VAR222[56], VAR95[56], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[56], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR126(VAR222[57], VAR95[57], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[57], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR110(VAR222[58], VAR95[58], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[58], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR21(VAR222[59], VAR95[59], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[59], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR237(VAR222[60], VAR95[60], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[60], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR17(VAR222[61], VAR95[61], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[61], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR11(VAR222[62], VAR95[62], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[62], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR219(VAR222[63], VAR95[63], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[63], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR55(VAR222[64], VAR95[64], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[64], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR184(VAR222[65], VAR95[65], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[65], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR91(VAR222[66], VAR95[66], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[66], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR137(VAR222[67], VAR95[67], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[67], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR71(VAR222[68], VAR95[68], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[68], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR61(VAR222[69], VAR95[69], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[69], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR196(VAR222[70], VAR95[70], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[70], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR83(VAR222[71], VAR95[71], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[71], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR227(VAR222[72], VAR95[72], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[72], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR82(VAR222[73], VAR95[73], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[73], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR8(VAR222[74], VAR95[74], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[74], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR207(VAR222[75], VAR95[75], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[75], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR13(VAR222[76], VAR95[76], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[76], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR38(VAR222[77], VAR95[77], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[77], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR104(VAR222[78], VAR95[78], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[78], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR52(VAR222[79], VAR95[79], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[79], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR201(VAR222[80], VAR95[80], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[80], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR35(VAR222[81], VAR95[81], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[81], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR3(VAR222[82], VAR95[82], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[82], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR240(VAR222[83], VAR95[83], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[83], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR77(VAR222[84], VAR95[84], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[84], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR42(VAR222[85], VAR95[85], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[85], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR158(VAR222[86], VAR95[86], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[86], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR98(VAR222[87], VAR95[87], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[87], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR90(VAR222[88], VAR95[88], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[88], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR215(VAR222[89], VAR95[89], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[89], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR226(VAR222[90], VAR95[90], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[90], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR12(VAR222[91], VAR95[91], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[91], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR168(VAR222[92], VAR95[92], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[92], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR79(VAR222[93], VAR95[93], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[93], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR205(VAR222[94], VAR95[94], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[94], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR102(VAR222[95], VAR95[95], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[95], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR40(VAR222[96], VAR95[96], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[96], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR154(VAR222[97], VAR95[97], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[97], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR217(VAR222[98], VAR95[98], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[98], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR75(VAR222[99], VAR95[99], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[99], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR149(VAR222[100], VAR95[100], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[100], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR187(VAR222[101], VAR95[101], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[101], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR37(VAR222[102], VAR95[102], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[102], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR107(VAR222[103], VAR95[103], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[103], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR48(VAR222[104], VAR95[104], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[104], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR140(VAR222[105], VAR95[105], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[105], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR78(VAR222[106], VAR95[106], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[106], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR23(VAR222[107], VAR95[107], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[107], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR65(VAR222[108], VAR95[108], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[108], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR121(VAR222[109], VAR95[109], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[109], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR208(VAR222[110], VAR95[110], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[110], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR34(VAR222[111], VAR95[111], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[111], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR24(VAR222[112], VAR95[112], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[112], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR50(VAR222[113], VAR95[113], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[113], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR148(VAR222[114], VAR95[114], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[114], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR170(VAR222[115], VAR95[115], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[115], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR146(VAR222[116], VAR95[116], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[116], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR99(VAR222[117], VAR95[117], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[117], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR116(VAR222[118], VAR95[118], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[118], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR141(VAR222[119], VAR95[119], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[119], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR135(VAR222[120], VAR95[120], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[120], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR203(VAR222[121], VAR95[121], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[121], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR171(VAR222[122], VAR95[122], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[122], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR224(VAR222[123], VAR95[123], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[123], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR123(VAR222[124], VAR95[124], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[124], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR186(VAR222[125], VAR95[125], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[125], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR220(VAR222[126], VAR95[126], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[126], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR238(VAR222[127], VAR95[127], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[127], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR94(VAR222[128], VAR95[128], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[128], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR14(VAR222[129], VAR95[129], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[129], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR162(VAR222[130], VAR95[130], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[130], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR66(VAR222[131], VAR95[131], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[131], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR29(VAR222[132], VAR95[132], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[132], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR232(VAR222[133], VAR95[133], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[133], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR46(VAR222[134], VAR95[134], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[134], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR143(VAR222[135], VAR95[135], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[135], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR92(VAR222[136], VAR95[136], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[136], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR26(VAR222[137], VAR95[137], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[137], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR57(VAR222[138], VAR95[138], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[138], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR84(VAR222[139], VAR95[139], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[139], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR152(VAR222[140], VAR95[140], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[140], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR27(VAR222[141], VAR95[141], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[141], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR188(VAR222[142], VAR95[142], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[142], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR209(VAR222[143], VAR95[143], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[143], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR165(VAR222[144], VAR95[144], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[144], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR234(VAR222[145], VAR95[145], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[145], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR122(VAR222[146], VAR95[146], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[146], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR134(VAR222[147], VAR95[147], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[147], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR22(VAR222[148], VAR95[148], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[148], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR236(VAR222[149], VAR95[149], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[149], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR41(VAR222[150], VAR95[150], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[150], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR157(VAR222[151], VAR95[151], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[151], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR218(VAR222[152], VAR95[152], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[152], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR244(VAR222[153], VAR95[153], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[153], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR63(VAR222[154], VAR95[154], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[154], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR155(VAR222[155], VAR95[155], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[155], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR80(VAR222[156], VAR95[156], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[156], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR131(VAR222[157], VAR95[157], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[157], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR16(VAR222[158], VAR95[158], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[158], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR106(VAR222[159], VAR95[159], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[159], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR239(VAR222[160], VAR95[160], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[160], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR18(VAR222[161], VAR95[161], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[161], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR120(VAR222[162], VAR95[162], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[162], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR190(VAR222[163], VAR95[163], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[163], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR229(VAR222[164], VAR95[164], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[164], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR28(VAR222[165], VAR95[165], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[165], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR88(VAR222[166], VAR95[166], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[166], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR206(VAR222[167], VAR95[167], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[167], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR45(VAR222[168], VAR95[168], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[168], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR160(VAR222[169], VAR95[169], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[169], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR5(VAR222[170], VAR95[170], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[170], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR67(VAR222[171], VAR95[171], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[171], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR130(VAR222[172], VAR95[172], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[172], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR200(VAR222[173], VAR95[173], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[173], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR189(VAR222[174], VAR95[174], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[174], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR53(VAR222[175], VAR95[175], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[175], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR127(VAR222[176], VAR95[176], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[176], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR115(VAR222[177], VAR95[177], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[177], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR153(VAR222[178], VAR95[178], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[178], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR25(VAR222[179], VAR95[179], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[179], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR169(VAR222[180], VAR95[180], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[180], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR128(VAR222[181], VAR95[181], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[181], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR198(VAR222[182], VAR95[182], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[182], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR235(VAR222[183], VAR95[183], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[183], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR100(VAR222[184], VAR95[184], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[184], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR6(VAR222[185], VAR95[185], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[185], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR54(VAR222[186], VAR95[186], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[186], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR199(VAR222[187], VAR95[187], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[187], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR72(VAR222[188], VAR95[188], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[188], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR101(VAR222[189], VAR95[189], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[189], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR178(VAR222[190], VAR95[190], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[190], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR69(VAR222[191], VAR95[191], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[191], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR47(VAR222[192], VAR95[192], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[192], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR109(VAR222[193], VAR95[193], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[193], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR129(VAR222[194], VAR95[194], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[194], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR89(VAR222[195], VAR95[195], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[195], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR105(VAR222[196], VAR95[196], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[196], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR242(VAR222[197], VAR95[197], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[197], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR243(VAR222[198], VAR95[198], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[198], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR230(VAR222[199], VAR95[199], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[199], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR59(VAR222[200], VAR95[200], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[200], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR133(VAR222[201], VAR95[201], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[201], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR30(VAR222[202], VAR95[202], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[202], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR223(VAR222[203], VAR95[203], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[203], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR96(VAR222[204], VAR95[204], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[204], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR51(VAR222[205], VAR95[205], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[205], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR36(VAR222[206], VAR95[206], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[206], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR117(VAR222[207], VAR95[207], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[207], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR19(VAR222[208], VAR95[208], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[208], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR64(VAR222[209], VAR95[209], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[209], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR103(VAR222[210], VAR95[210], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[210], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR163(VAR222[211], VAR95[211], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[211], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR9(VAR222[212], VAR95[212], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[212], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR142(VAR222[213], VAR95[213], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[213], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR15(VAR222[214], VAR95[214], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[214], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR93(VAR222[215], VAR95[215], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[215], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR62(VAR222[216], VAR95[216], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[216], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR179(VAR222[217], VAR95[217], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[217], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR33(VAR222[218], VAR95[218], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[218], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR211(VAR222[219], VAR95[219], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[219], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR225(VAR222[220], VAR95[220], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[220], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR212(VAR222[221], VAR95[221], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[221], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR183(VAR222[222], VAR95[222], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[222], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR182(VAR222[223], VAR95[223], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[223], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR20(VAR222[224], VAR95[224], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[224], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR113(VAR222[225], VAR95[225], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[225], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR185(VAR222[226], VAR95[226], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[226], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR172(VAR222[227], VAR95[227], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[227], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR174(VAR222[228], VAR95[228], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[228], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR177(VAR222[229], VAR95[229], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[229], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR214(VAR222[230], VAR95[230], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[230], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR60(VAR222[231], VAR95[231], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[231], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); VAR167 VAR231(VAR222[232], VAR95[232], VAR156[0], VAR156[1], VAR156[2], VAR156[3], VAR4[232], VAR31[0], VAR31[1], VAR31[2], VAR31[3], VAR144, VAR76); assign VAR97 = VAR95; assign VAR114 = VAR222; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/decap/sky130_fd_sc_lp__decap_12.v
1,876
module MODULE2 ( VAR1, VAR5, VAR4 , VAR3 ); input VAR1; input VAR5; input VAR4 ; input VAR3 ; VAR6 VAR2 ( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR3(VAR3) ); endmodule module MODULE2 (); supply1 VAR1; supply0 VAR5; supply1 VAR4 ; supply0 VAR3 ; VAR6 VAR2 (); endmodule
apache-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_41.v
29,708
module MODULE4 ( clk, reset, VAR182, VAR81, VAR33, VAR224, VAR170 ); parameter VAR19 = 18; parameter VAR125 = 41; parameter VAR88 = 21; localparam VAR169 = 48; input clk; input reset; input VAR182; input VAR81; input [VAR19-1:0] VAR33; output VAR224; output [VAR19-1:0] VAR170; localparam VAR209 = 18; localparam VAR247 = 36; localparam VAR70 = 17; localparam VAR206 = 41; reg [VAR19-1:0] VAR126; reg [VAR19-1:0] VAR22; reg [VAR19-1:0] VAR24; reg [VAR19-1:0] VAR165; reg [VAR19-1:0] VAR44; reg [VAR19-1:0] VAR118; reg [VAR19-1:0] VAR218; reg [VAR19-1:0] VAR175; reg [VAR19-1:0] VAR227; reg [VAR19-1:0] VAR9; reg [VAR19-1:0] VAR57; reg [VAR19-1:0] VAR192; reg [VAR19-1:0] VAR146; reg [VAR19-1:0] VAR248; reg [VAR19-1:0] VAR43; reg [VAR19-1:0] VAR102; reg [VAR19-1:0] VAR4; reg [VAR19-1:0] VAR66; reg [VAR19-1:0] VAR108; reg [VAR19-1:0] VAR186; reg [VAR19-1:0] VAR1; always@(posedge clk) begin VAR126 <= 18'd88; VAR22 <= 18'd0; VAR24 <= -18'd97; VAR165 <= -18'd197; VAR44 <= -18'd294; VAR118 <= -18'd380; VAR218 <= -18'd447; VAR175 <= -18'd490; VAR227 <= -18'd504; VAR9 <= -18'd481; VAR57 <= -18'd420; VAR192 <= -18'd319; VAR146 <= -18'd178; VAR248 <= 18'd0; VAR43 <= 18'd212; VAR102 <= 18'd451; VAR4 <= 18'd710; VAR66 <= 18'd980; VAR108 <= 18'd1252; VAR186 <= 18'd1514; VAR1 <= 18'd1756; end reg [VAR169-1:0] VAR28; always@(posedge clk or posedge reset) begin if(reset) begin VAR28 <= 0; end else begin if(VAR182) begin VAR28 <= {VAR28[VAR169-2:0], VAR81}; end else begin VAR28 <= VAR28; end end end wire [VAR19-1:0] VAR113; wire [VAR19-1:0] VAR202; wire [VAR19-1:0] VAR228; wire [VAR19-1:0] VAR144; wire [VAR19-1:0] VAR26; wire [VAR19-1:0] VAR180; wire [VAR19-1:0] VAR167; wire [VAR19-1:0] VAR120; wire [VAR19-1:0] VAR244; wire [VAR19-1:0] VAR75; wire [VAR19-1:0] VAR8; wire [VAR19-1:0] VAR35; wire [VAR19-1:0] VAR150; wire [VAR19-1:0] VAR194; wire [VAR19-1:0] VAR176; wire [VAR19-1:0] VAR17; wire [VAR19-1:0] VAR238; wire [VAR19-1:0] VAR12; wire [VAR19-1:0] VAR140; wire [VAR19-1:0] VAR225; wire [VAR19-1:0] VAR214; wire [VAR19-1:0] VAR15; wire [VAR19-1:0] VAR91; wire [VAR19-1:0] VAR84; wire [VAR19-1:0] VAR147; wire [VAR19-1:0] VAR217; wire [VAR19-1:0] VAR97; wire [VAR19-1:0] VAR212; wire [VAR19-1:0] VAR139; wire [VAR19-1:0] VAR71; wire [VAR19-1:0] VAR190; wire [VAR19-1:0] VAR243; wire [VAR19-1:0] VAR55; wire [VAR19-1:0] VAR215; wire [VAR19-1:0] VAR23; wire [VAR19-1:0] VAR69; wire [VAR19-1:0] VAR240; wire [VAR19-1:0] VAR203; wire [VAR19-1:0] VAR116; wire [VAR19-1:0] VAR174; wire [VAR19-1:0] VAR191; MODULE1 MODULE57( .clk(clk), .VAR182(VAR182), .VAR77(VAR33), .VAR231(VAR113), .VAR112(VAR202), .VAR6(VAR228), .VAR62(VAR144), .VAR13(VAR26), .VAR181(VAR180), .VAR29(VAR167), .VAR178(VAR120), .VAR149(VAR244), .VAR119(VAR75), .VAR99(VAR8), .VAR123(VAR35), .VAR38(VAR150), .VAR198(VAR194), .VAR46(VAR176), .VAR101(VAR17), .VAR197(VAR238), .VAR164(VAR12), .VAR237(VAR140), .VAR58(VAR225), .VAR222(VAR214), .VAR89(VAR15), .VAR41(VAR91), .VAR63(VAR84), .VAR92(VAR147), .VAR205(VAR217), .VAR72(VAR97), .VAR73(VAR212), .VAR42(VAR139), .VAR2(VAR71), .VAR122(VAR190), .VAR74(VAR243), .VAR201(VAR55), .VAR18(VAR215), .VAR137(VAR23), .VAR171(VAR69), .VAR121(VAR240), .VAR207(VAR203), .VAR128(VAR116), .VAR208(VAR174), .VAR27(VAR191), .reset(reset) ); wire [VAR19-1:0] VAR204; wire [VAR19-1:0] VAR52; wire [VAR19-1:0] VAR161; wire [VAR19-1:0] VAR114; wire [VAR19-1:0] VAR234; wire [VAR19-1:0] VAR199; wire [VAR19-1:0] VAR98; wire [VAR19-1:0] VAR82; wire [VAR19-1:0] VAR219; wire [VAR19-1:0] VAR223; wire [VAR19-1:0] VAR143; wire [VAR19-1:0] VAR168; wire [VAR19-1:0] VAR136; wire [VAR19-1:0] VAR48; wire [VAR19-1:0] VAR196; wire [VAR19-1:0] VAR5; wire [VAR19-1:0] VAR51; wire [VAR19-1:0] VAR251; wire [VAR19-1:0] VAR242; wire [VAR19-1:0] VAR177; wire [VAR19-1:0] VAR86; MODULE5 VAR213( .clk(clk), .VAR182(VAR182), .VAR173 (VAR113), .VAR56 (VAR191), .VAR230(VAR204) ); MODULE5 VAR193( .clk(clk), .VAR182(VAR182), .VAR173 (VAR202), .VAR56 (VAR174), .VAR230(VAR52) ); MODULE5 VAR94( .clk(clk), .VAR182(VAR182), .VAR173 (VAR228), .VAR56 (VAR116), .VAR230(VAR161) ); MODULE5 VAR133( .clk(clk), .VAR182(VAR182), .VAR173 (VAR144), .VAR56 (VAR203), .VAR230(VAR114) ); MODULE5 VAR107( .clk(clk), .VAR182(VAR182), .VAR173 (VAR26), .VAR56 (VAR240), .VAR230(VAR234) ); MODULE5 VAR172( .clk(clk), .VAR182(VAR182), .VAR173 (VAR180), .VAR56 (VAR69), .VAR230(VAR199) ); MODULE5 VAR216( .clk(clk), .VAR182(VAR182), .VAR173 (VAR167), .VAR56 (VAR23), .VAR230(VAR98) ); MODULE5 VAR236( .clk(clk), .VAR182(VAR182), .VAR173 (VAR120), .VAR56 (VAR215), .VAR230(VAR82) ); MODULE5 VAR155( .clk(clk), .VAR182(VAR182), .VAR173 (VAR244), .VAR56 (VAR55), .VAR230(VAR219) ); MODULE5 VAR65( .clk(clk), .VAR182(VAR182), .VAR173 (VAR75), .VAR56 (VAR243), .VAR230(VAR223) ); MODULE5 VAR185( .clk(clk), .VAR182(VAR182), .VAR173 (VAR8), .VAR56 (VAR190), .VAR230(VAR143) ); MODULE5 VAR159( .clk(clk), .VAR182(VAR182), .VAR173 (VAR35), .VAR56 (VAR71), .VAR230(VAR168) ); MODULE5 VAR151( .clk(clk), .VAR182(VAR182), .VAR173 (VAR150), .VAR56 (VAR139), .VAR230(VAR136) ); MODULE5 VAR148( .clk(clk), .VAR182(VAR182), .VAR173 (VAR194), .VAR56 (VAR212), .VAR230(VAR48) ); MODULE5 VAR117( .clk(clk), .VAR182(VAR182), .VAR173 (VAR176), .VAR56 (VAR97), .VAR230(VAR196) ); MODULE5 VAR250( .clk(clk), .VAR182(VAR182), .VAR173 (VAR17), .VAR56 (VAR217), .VAR230(VAR5) ); MODULE5 VAR252( .clk(clk), .VAR182(VAR182), .VAR173 (VAR238), .VAR56 (VAR147), .VAR230(VAR51) ); MODULE5 VAR154( .clk(clk), .VAR182(VAR182), .VAR173 (VAR12), .VAR56 (VAR84), .VAR230(VAR251) ); MODULE5 VAR54( .clk(clk), .VAR182(VAR182), .VAR173 (VAR140), .VAR56 (VAR91), .VAR230(VAR242) ); MODULE5 VAR187( .clk(clk), .VAR182(VAR182), .VAR173 (VAR225), .VAR56 (VAR15), .VAR230(VAR177) ); MODULE2 VAR40( .clk(clk), .VAR182(VAR182), .VAR173 (VAR214), .VAR230(VAR86) ); wire [VAR19-1:0] VAR64; wire [VAR19-1:0] VAR36; wire [VAR19-1:0] VAR156; wire [VAR19-1:0] VAR226; wire [VAR19-1:0] VAR104; wire [VAR19-1:0] VAR195; wire [VAR19-1:0] VAR109; wire [VAR19-1:0] VAR93; wire [VAR19-1:0] VAR183; wire [VAR19-1:0] VAR163; wire [VAR19-1:0] VAR184; wire [VAR19-1:0] VAR127; wire [VAR19-1:0] VAR241; wire [VAR19-1:0] VAR53; wire [VAR19-1:0] VAR95; wire [VAR19-1:0] VAR59; wire [VAR19-1:0] VAR25; wire [VAR19-1:0] VAR110; wire [VAR19-1:0] VAR131; wire [VAR19-1:0] VAR179; wire [VAR19-1:0] VAR103; MODULE3 VAR211( .clk(clk), .VAR182(VAR182), .VAR173 (VAR204), .VAR56 (VAR126), .VAR230(VAR64) ); MODULE3 VAR132( .clk(clk), .VAR182(VAR182), .VAR173 (VAR52), .VAR56 (VAR22), .VAR230(VAR36) ); MODULE3 VAR7( .clk(clk), .VAR182(VAR182), .VAR173 (VAR161), .VAR56 (VAR24), .VAR230(VAR156) ); MODULE3 VAR115( .clk(clk), .VAR182(VAR182), .VAR173 (VAR114), .VAR56 (VAR165), .VAR230(VAR226) ); MODULE3 VAR49( .clk(clk), .VAR182(VAR182), .VAR173 (VAR234), .VAR56 (VAR44), .VAR230(VAR104) ); MODULE3 VAR245( .clk(clk), .VAR182(VAR182), .VAR173 (VAR199), .VAR56 (VAR118), .VAR230(VAR195) ); MODULE3 VAR87( .clk(clk), .VAR182(VAR182), .VAR173 (VAR98), .VAR56 (VAR218), .VAR230(VAR109) ); MODULE3 VAR157( .clk(clk), .VAR182(VAR182), .VAR173 (VAR82), .VAR56 (VAR175), .VAR230(VAR93) ); MODULE3 VAR239( .clk(clk), .VAR182(VAR182), .VAR173 (VAR219), .VAR56 (VAR227), .VAR230(VAR183) ); MODULE3 VAR90( .clk(clk), .VAR182(VAR182), .VAR173 (VAR223), .VAR56 (VAR9), .VAR230(VAR163) ); MODULE3 VAR37( .clk(clk), .VAR182(VAR182), .VAR173 (VAR143), .VAR56 (VAR57), .VAR230(VAR184) ); MODULE3 VAR11( .clk(clk), .VAR182(VAR182), .VAR173 (VAR168), .VAR56 (VAR192), .VAR230(VAR127) ); MODULE3 VAR105( .clk(clk), .VAR182(VAR182), .VAR173 (VAR136), .VAR56 (VAR146), .VAR230(VAR241) ); MODULE3 VAR32( .clk(clk), .VAR182(VAR182), .VAR173 (VAR48), .VAR56 (VAR248), .VAR230(VAR53) ); MODULE3 VAR80( .clk(clk), .VAR182(VAR182), .VAR173 (VAR196), .VAR56 (VAR43), .VAR230(VAR95) ); MODULE3 VAR111( .clk(clk), .VAR182(VAR182), .VAR173 (VAR5), .VAR56 (VAR102), .VAR230(VAR59) ); MODULE3 VAR232( .clk(clk), .VAR182(VAR182), .VAR173 (VAR51), .VAR56 (VAR4), .VAR230(VAR25) ); MODULE3 VAR39( .clk(clk), .VAR182(VAR182), .VAR173 (VAR251), .VAR56 (VAR66), .VAR230(VAR110) ); MODULE3 VAR129( .clk(clk), .VAR182(VAR182), .VAR173 (VAR242), .VAR56 (VAR108), .VAR230(VAR131) ); MODULE3 VAR16( .clk(clk), .VAR182(VAR182), .VAR173 (VAR177), .VAR56 (VAR186), .VAR230(VAR179) ); MODULE3 VAR124( .clk(clk), .VAR182(VAR182), .VAR173 (VAR86), .VAR56 (VAR1), .VAR230(VAR103) ); wire [VAR19-1:0] VAR45; wire [VAR19-1:0] VAR145; wire [VAR19-1:0] VAR235; wire [VAR19-1:0] VAR50; wire [VAR19-1:0] VAR83; wire [VAR19-1:0] VAR188; wire [VAR19-1:0] VAR229; wire [VAR19-1:0] VAR21; wire [VAR19-1:0] VAR166; wire [VAR19-1:0] VAR20; wire [VAR19-1:0] VAR96; MODULE5 VAR130( .clk(clk), .VAR182(VAR182), .VAR173 (VAR64), .VAR56 (VAR36), .VAR230(VAR45) ); MODULE5 VAR76( .clk(clk), .VAR182(VAR182), .VAR173 (VAR156), .VAR56 (VAR226), .VAR230(VAR145) ); MODULE5 VAR142( .clk(clk), .VAR182(VAR182), .VAR173 (VAR104), .VAR56 (VAR195), .VAR230(VAR235) ); MODULE5 VAR79( .clk(clk), .VAR182(VAR182), .VAR173 (VAR109), .VAR56 (VAR93), .VAR230(VAR50) ); MODULE5 VAR233( .clk(clk), .VAR182(VAR182), .VAR173 (VAR183), .VAR56 (VAR163), .VAR230(VAR83) ); MODULE5 VAR78( .clk(clk), .VAR182(VAR182), .VAR173 (VAR184), .VAR56 (VAR127), .VAR230(VAR188) ); MODULE5 VAR221( .clk(clk), .VAR182(VAR182), .VAR173 (VAR241), .VAR56 (VAR53), .VAR230(VAR229) ); MODULE5 VAR106( .clk(clk), .VAR182(VAR182), .VAR173 (VAR95), .VAR56 (VAR59), .VAR230(VAR21) ); MODULE5 VAR138( .clk(clk), .VAR182(VAR182), .VAR173 (VAR25), .VAR56 (VAR110), .VAR230(VAR166) ); MODULE5 VAR253( .clk(clk), .VAR182(VAR182), .VAR173 (VAR131), .VAR56 (VAR179), .VAR230(VAR20) ); MODULE2 VAR61( .clk(clk), .VAR182(VAR182), .VAR173 (VAR103), .VAR230(VAR96) ); wire [VAR19-1:0] VAR30; wire [VAR19-1:0] VAR68; wire [VAR19-1:0] VAR34; wire [VAR19-1:0] VAR158; wire [VAR19-1:0] VAR249; wire [VAR19-1:0] VAR47; MODULE5 VAR10( .clk(clk), .VAR182(VAR182), .VAR173 (VAR45), .VAR56 (VAR145), .VAR230(VAR30) ); MODULE5 VAR60( .clk(clk), .VAR182(VAR182), .VAR173 (VAR235), .VAR56 (VAR50), .VAR230(VAR68) ); MODULE5 VAR31( .clk(clk), .VAR182(VAR182), .VAR173 (VAR83), .VAR56 (VAR188), .VAR230(VAR34) ); MODULE5 VAR220( .clk(clk), .VAR182(VAR182), .VAR173 (VAR229), .VAR56 (VAR21), .VAR230(VAR158) ); MODULE5 VAR210( .clk(clk), .VAR182(VAR182), .VAR173 (VAR166), .VAR56 (VAR20), .VAR230(VAR249) ); MODULE2 VAR134( .clk(clk), .VAR182(VAR182), .VAR173 (VAR96), .VAR230(VAR47) ); wire [VAR19-1:0] VAR153; wire [VAR19-1:0] VAR162; wire [VAR19-1:0] VAR67; MODULE5 VAR246( .clk(clk), .VAR182(VAR182), .VAR173 (VAR30), .VAR56 (VAR68), .VAR230(VAR153) ); MODULE5 VAR189( .clk(clk), .VAR182(VAR182), .VAR173 (VAR34), .VAR56 (VAR158), .VAR230(VAR162) ); MODULE5 VAR135( .clk(clk), .VAR182(VAR182), .VAR173 (VAR249), .VAR56 (VAR47), .VAR230(VAR67) ); wire [VAR19-1:0] VAR160; wire [VAR19-1:0] VAR3; MODULE5 VAR200( .clk(clk), .VAR182(VAR182), .VAR173 (VAR153), .VAR56 (VAR162), .VAR230(VAR160) ); MODULE2 VAR85( .clk(clk), .VAR182(VAR182), .VAR173 (VAR67), .VAR230(VAR3) ); wire [VAR19-1:0] VAR14; MODULE5 VAR152( .clk(clk), .VAR182(VAR182), .VAR173 (VAR160), .VAR56 (VAR3), .VAR230(VAR14) ); assign VAR170 = VAR14; assign VAR224 = VAR28[VAR169-1]; endmodule module MODULE1 ( clk, VAR182, VAR77, VAR231, VAR112, VAR6, VAR62, VAR13, VAR181, VAR29, VAR178, VAR149, VAR119, VAR99, VAR123, VAR38, VAR198, VAR46, VAR101, VAR197, VAR164, VAR237, VAR58, VAR222, VAR89, VAR41, VAR63, VAR92, VAR205, VAR72, VAR73, VAR42, VAR2, VAR122, VAR74, VAR201, VAR18, VAR137, VAR171, VAR121, VAR207, VAR128, VAR208, VAR27, reset); parameter VAR141 = 1; input clk; input VAR182; input [VAR141-1:0] VAR77; output [VAR141-1:0] VAR231; output [VAR141-1:0] VAR112; output [VAR141-1:0] VAR6; output [VAR141-1:0] VAR62; output [VAR141-1:0] VAR13; output [VAR141-1:0] VAR181; output [VAR141-1:0] VAR29; output [VAR141-1:0] VAR178; output [VAR141-1:0] VAR149; output [VAR141-1:0] VAR119; output [VAR141-1:0] VAR99; output [VAR141-1:0] VAR123; output [VAR141-1:0] VAR38; output [VAR141-1:0] VAR198; output [VAR141-1:0] VAR46; output [VAR141-1:0] VAR101; output [VAR141-1:0] VAR197; output [VAR141-1:0] VAR164; output [VAR141-1:0] VAR237; output [VAR141-1:0] VAR58; output [VAR141-1:0] VAR222; output [VAR141-1:0] VAR89; output [VAR141-1:0] VAR41; output [VAR141-1:0] VAR63; output [VAR141-1:0] VAR92; output [VAR141-1:0] VAR205; output [VAR141-1:0] VAR72; output [VAR141-1:0] VAR73; output [VAR141-1:0] VAR42; output [VAR141-1:0] VAR2; output [VAR141-1:0] VAR122; output [VAR141-1:0] VAR74; output [VAR141-1:0] VAR201; output [VAR141-1:0] VAR18; output [VAR141-1:0] VAR137; output [VAR141-1:0] VAR171; output [VAR141-1:0] VAR121; output [VAR141-1:0] VAR207; output [VAR141-1:0] VAR128; output [VAR141-1:0] VAR208; output [VAR141-1:0] VAR27; reg [VAR141-1:0] VAR231; reg [VAR141-1:0] VAR112; reg [VAR141-1:0] VAR6; reg [VAR141-1:0] VAR62; reg [VAR141-1:0] VAR13; reg [VAR141-1:0] VAR181; reg [VAR141-1:0] VAR29; reg [VAR141-1:0] VAR178; reg [VAR141-1:0] VAR149; reg [VAR141-1:0] VAR119; reg [VAR141-1:0] VAR99; reg [VAR141-1:0] VAR123; reg [VAR141-1:0] VAR38; reg [VAR141-1:0] VAR198; reg [VAR141-1:0] VAR46; reg [VAR141-1:0] VAR101; reg [VAR141-1:0] VAR197; reg [VAR141-1:0] VAR164; reg [VAR141-1:0] VAR237; reg [VAR141-1:0] VAR58; reg [VAR141-1:0] VAR222; reg [VAR141-1:0] VAR89; reg [VAR141-1:0] VAR41; reg [VAR141-1:0] VAR63; reg [VAR141-1:0] VAR92; reg [VAR141-1:0] VAR205; reg [VAR141-1:0] VAR72; reg [VAR141-1:0] VAR73; reg [VAR141-1:0] VAR42; reg [VAR141-1:0] VAR2; reg [VAR141-1:0] VAR122; reg [VAR141-1:0] VAR74; reg [VAR141-1:0] VAR201; reg [VAR141-1:0] VAR18; reg [VAR141-1:0] VAR137; reg [VAR141-1:0] VAR171; reg [VAR141-1:0] VAR121; reg [VAR141-1:0] VAR207; reg [VAR141-1:0] VAR128; reg [VAR141-1:0] VAR208; reg [VAR141-1:0] VAR27; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR231 <= 0; VAR112 <= 0; VAR6 <= 0; VAR62 <= 0; VAR13 <= 0; VAR181 <= 0; VAR29 <= 0; VAR178 <= 0; VAR149 <= 0; VAR119 <= 0; VAR99 <= 0; VAR123 <= 0; VAR38 <= 0; VAR198 <= 0; VAR46 <= 0; VAR101 <= 0; VAR197 <= 0; VAR164 <= 0; VAR237 <= 0; VAR58 <= 0; VAR222 <= 0; VAR89 <= 0; VAR41 <= 0; VAR63 <= 0; VAR92 <= 0; VAR205 <= 0; VAR72 <= 0; VAR73 <= 0; VAR42 <= 0; VAR2 <= 0; VAR122 <= 0; VAR74 <= 0; VAR201 <= 0; VAR18 <= 0; VAR137 <= 0; VAR171 <= 0; VAR121 <= 0; VAR207 <= 0; VAR128 <= 0; VAR208 <= 0; VAR27 <= 0; end else begin if(VAR182) begin VAR231 <= VAR77; VAR112 <= VAR231; VAR6 <= VAR112; VAR62 <= VAR6; VAR13 <= VAR62; VAR181 <= VAR13; VAR29 <= VAR181; VAR178 <= VAR29; VAR149 <= VAR178; VAR119 <= VAR149; VAR99 <= VAR119; VAR123 <= VAR99; VAR38 <= VAR123; VAR198 <= VAR38; VAR46 <= VAR198; VAR101 <= VAR46; VAR197 <= VAR101; VAR164 <= VAR197; VAR237 <= VAR164; VAR58 <= VAR237; VAR222 <= VAR58; VAR89 <= VAR222; VAR41 <= VAR89; VAR63 <= VAR41; VAR92 <= VAR63; VAR205 <= VAR92; VAR72 <= VAR205; VAR73 <= VAR72; VAR42 <= VAR73; VAR2 <= VAR42; VAR122 <= VAR2; VAR74 <= VAR122; VAR201 <= VAR74; VAR18 <= VAR201; VAR137 <= VAR18; VAR171 <= VAR137; VAR121 <= VAR171; VAR207 <= VAR121; VAR128 <= VAR207; VAR208 <= VAR128; VAR27 <= VAR208; end end end endmodule module MODULE5 ( clk, VAR182, VAR173, VAR56, VAR230); input clk; input VAR182; input [17:0] VAR173; input [17:0] VAR56; output [17:0] VAR230; reg [17:0] VAR230; always @(posedge clk) begin if(VAR182) begin VAR230 <= VAR173 + VAR56; end end endmodule module MODULE3 ( clk, VAR182, VAR173, VAR56, VAR230); input clk; input VAR182; input [17:0] VAR173; input [17:0] VAR56; output [17:0] VAR230; reg [17:0] VAR230; always @(posedge clk) begin if(VAR182) begin VAR230 <= VAR173 * VAR56; end end endmodule module MODULE2 ( clk, VAR182, VAR173, VAR230); input clk; input VAR182; input [17:0] VAR173; output [17:0] VAR230; reg [17:0] VAR230; always @(posedge clk) begin if(VAR182) begin VAR230 <= VAR173; end end endmodule
mit
donnaware/AGC
rtl/de0/agc/JTAG_Probe.v
3,863
module MODULE1 ( VAR27, VAR31); input [15:0] VAR27; output VAR31; wire VAR18; wire VAR31 = VAR18; VAR6 VAR26 ( .VAR27 (VAR27), .VAR31 (VAR18) , .VAR20 (), .VAR9 (), .VAR22 (), .VAR16 (), .VAR4 (), .VAR17 (), .VAR1 (), .VAR8 (), .VAR19 (), .VAR14 (), .VAR2 (), .VAR13 (), .VAR21 (), .VAR10 (), .VAR32 (), .VAR7 (), .VAR5 () ); VAR26.VAR28 = "VAR15", VAR26.VAR25 = "VAR3", VAR26.VAR29 = 16, VAR26.VAR11 = "VAR24", VAR26.VAR23 = 0, VAR26.VAR12 = " 0", VAR26.VAR30 = 0; endmodule
gpl-3.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/add_rm_hdr/megafunctions/hdr_fifo_bb.v
5,809
module MODULE1 ( VAR2, VAR4, VAR5, VAR6, VAR7, VAR3, VAR8, VAR1, VAR9); input VAR2; input VAR4; input [71:0] VAR5; input VAR6; input VAR7; output VAR3; output VAR8; output VAR1; output [71:0] VAR9; endmodule
mit
zuloloxi/swapforth
j1a/verilog/j4.v
7,626
module MODULE1( input wire clk, input wire VAR9, output wire VAR36, output wire VAR23, output wire [15:0] VAR8, output wire VAR24, output wire [VAR15-1:0] dout, input wire [VAR15-1:0] VAR46, output wire [12:0] VAR17, input wire [15:0] VAR52, output wire [1:0] VAR54, output wire [15:0] VAR12, input wire [3:0] VAR44); reg [1:0] VAR50, VAR4; VAR43 VAR21(.VAR25(VAR50), .VAR16(VAR4)); reg [4:0] VAR39, VAR13; reg [14:0] VAR28; reg [VAR15-1:0] VAR37, VAR3; reg [3*VAR15-1:0] VAR26; reg [12:0] VAR6 , VAR49; reg [38:0] VAR45; wire [12:0] VAR31 = VAR6 + 13'd1; reg VAR35 = 1; reg [3:0] VAR48 = 4'h0; assign VAR8 = VAR37[15:0]; assign VAR17 = VAR45[25:13]; reg [15:0] VAR34 = 0; always @(posedge clk) VAR34 <= VAR52; reg [3*VAR15-1:0] VAR40 = 0; always @(posedge clk) VAR40 <= {VAR46, VAR40[3*VAR15-1:VAR15]}; wire [VAR15-1:0] VAR19 = VAR40[VAR15-1:0]; wire [VAR15-1:0] VAR20, VAR1; wire [1:0] VAR51, VAR14; reg VAR41,VAR5; wire [VAR15-1:0] VAR7; VAR53 #(.VAR10(16)) VAR47(.clk(clk), .rd(VAR20), .VAR11(VAR41), .VAR2(VAR37), .VAR33(VAR51)); VAR53 #(.VAR10(19)) VAR32(.clk(clk), .rd(VAR1), .VAR11(VAR5), .VAR2(VAR7), .VAR33(VAR14)); always @* begin casez ({VAR6[12], VAR34[15:8]}) 9'b1????????: VAR3 = VAR34; 9'b01???????: VAR3 = { {(VAR15 - 15){1'b0}}, VAR34[14:0] }; 9'b0000?????: VAR3 = VAR37; 9'b0010?????: VAR3 = VAR37; 9'b0001?????: VAR3 = VAR20; 9'b0011?0000: VAR3 = VAR37; 9'b0011?0001: VAR3 = VAR20; 9'b0011?0010: VAR3 = VAR37 + VAR20; 9'b0011?0011: VAR3 = VAR37 & VAR20; 9'b0011?0100: VAR3 = VAR37 | VAR20; 9'b0011?0101: VAR3 = VAR37 ^ VAR20; 9'b0011?0110: VAR3 = ~VAR37; 9'b0011?0111: VAR3 = {VAR15{(VAR20 == VAR37)}}; 9'b0011?1000: VAR3 = {VAR15{((VAR20) < (VAR37))}}; 9'b0011?1001: VAR3 = {VAR37[VAR15 - 1], VAR37[VAR15 - 1:1]}; 9'b0011?1010: VAR3 = {VAR37[VAR15 - 2:0], 1'b0}; 9'b0011?1011: VAR3 = VAR1; 9'b0011?1100: VAR3 = VAR19; 9'b0011?1101: VAR3 = VAR19; 9'b0011?1110: VAR3 = {{(VAR15 - 5){1'b0}}, VAR39}; 9'b0011?1111: VAR3 = {VAR15{(VAR20 < VAR37)}}; default: VAR3 = {VAR15{1'VAR22}}; endcase end wire VAR18 = (VAR34[6:4] == 1); wire VAR29 = (VAR34[6:4] == 2); wire VAR30 = (VAR34[6:4] == 3); wire VAR42 = (VAR34[6:4] == 4); wire VAR27 = (VAR34[6:4] == 5); wire VAR38 = !VAR6[12] & (VAR34[15:13] == 3'b011); assign VAR24 = !VAR35 & VAR38 & VAR30; assign dout = VAR20; assign VAR23 = !VAR35 & VAR38 & VAR42; assign VAR36 = !VAR35 & VAR38 & VAR27; assign VAR54 = VAR50; assign VAR7 = (VAR34[13] == 1'b0) ? {{(VAR15 - 14){1'b0}}, VAR31, 1'b0} : VAR37; always @* begin casez ({VAR6[12], VAR34[15:13]}) 4'b1???, 4'b01??: {VAR41, VAR51} = {1'b1, 2'b01}; 4'b0001: {VAR41, VAR51} = {1'b0, 2'b11}; 4'b0011: {VAR41, VAR51} = {VAR18, {VAR34[1:0]}}; default: {VAR41, VAR51} = {1'b0, 2'b00}; endcase VAR13 = VAR39 + {VAR51[1], VAR51[1], VAR51[1], VAR51}; casez ({VAR6[12], VAR34[15:13]}) 4'b1???: {VAR5, VAR14} = {1'b0, 2'b11}; 4'b0010: {VAR5, VAR14} = {1'b1, 2'b01}; 4'b0011: {VAR5, VAR14} = {VAR29, VAR34[3:2]}; default: {VAR5, VAR14} = {1'b0, 2'b00}; endcase casez ({VAR35, VAR6[12], VAR34[15:13], VAR34[7], |VAR37}) 7'b1??????: VAR49 = 0; 7'b00000??, 7'b00010??, 7'b00001?0: VAR49 = VAR34[12:0]; 7'b01?????, 7'b000111?: VAR49 = VAR1[13:1]; default: VAR49 = VAR31; endcase end assign VAR12 = {2'b0,VAR1[13:0]}; always @(posedge clk) begin VAR45 <= {VAR49, VAR45[38:13]}; VAR28 <= {VAR13, VAR28[14:5]}; VAR26 <= {VAR3, VAR26[47:16]}; end always @(negedge VAR9 or posedge clk) begin if (!VAR9) begin VAR35 <= 1'b1; { VAR6, VAR39, VAR37} <= 0; VAR50 <= 2'b00; VAR48 <= 4'hf; end else begin VAR35 <= VAR48[VAR4] | VAR44[VAR4]; VAR48[3] <= VAR44[3] ? 1'b1 : ( (VAR50 == 2'd3) ? 1'b0 : VAR48[3]) ; VAR48[2] <= VAR44[2] ? 1'b1 : ( (VAR50 == 2'd2) ? 1'b0 : VAR48[2]) ; VAR48[1] <= VAR44[1] ? 1'b1 : ( (VAR50 == 2'd1) ? 1'b0 : VAR48[1]) ; VAR48[0] <= VAR44[0] ? 1'b1 : ( (VAR50 == 2'd0) ? 1'b0 : VAR48[0]) ; VAR6 <= VAR45[12:0]; VAR39 <= VAR28[4:0]; VAR37 <= VAR26[15:0]; VAR50 <= VAR4; end end endmodule
bsd-3-clause
ncos/Xilinx-Verilog
GYRACC/src/ACC/clkdiv_5hz.v
2,246
module MODULE1( VAR3, VAR2, VAR4 ); input VAR3; input VAR2; output VAR4; reg VAR4; reg [23:0] VAR1 = 24'h000000; parameter [23:0] VAR5 = 24'h989680; always @(posedge VAR3 or posedge VAR2) if (VAR2 == 1'b1) begin VAR4 <= 1'b0; VAR1 <= 24'h000000; end else begin if (VAR1 == VAR5) begin VAR4 <= (~VAR4); VAR1 <= 24'h000000; end else begin VAR1 <= VAR1 + 1'b1; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor2b/sky130_fd_sc_ls__nor2b.pp.symbol.v
1,325
module MODULE1 ( input VAR3 , input VAR2 , output VAR5 , input VAR4 , input VAR7, input VAR1, input VAR6 ); endmodule
apache-2.0
skarpenko/ultiparc
rtl/src/fabric2_decoder.v
2,069
module MODULE1 #( parameter VAR6 = 11 ) ( VAR4, VAR2, VAR5 ); localparam VAR3 = VAR6 + 1; input wire [VAR1-1:0] VAR4; output wire [VAR1-1:0] VAR2; output wire [VAR6-1:0] VAR5; assign VAR2 = (!VAR4[VAR1-1] ? { 1'b0, VAR4[VAR1-2:0] } : { {(VAR3){1'b0}}, VAR4[VAR1-VAR3-1:0] }); assign VAR5 = (VAR4[VAR1-1] ? VAR4[VAR1-2:VAR1-VAR3] + 1'b1 : {(VAR3-1){1'b0}}); endmodule
bsd-2-clause
cpulabs/mist1032sa
src/core/core_if.v
4,823
module MODULE1 #( parameter VAR24 = 32'h0 )( input wire VAR16, input wire VAR14, output wire VAR9, output wire VAR29, output wire [5:0] VAR45, output wire VAR15, output wire VAR37, output wire [1:0] VAR17, output wire VAR21, input wire VAR40, output wire [1:0] VAR2, output wire [31:0] VAR30, output wire [31:0] VAR3, input wire VAR34, output wire VAR22, input wire VAR46, input wire VAR20, input wire [63:0] VAR8, input wire [27:0] VAR13, output wire VAR18, input wire VAR6, output wire [1:0] VAR7, output wire [3:0] VAR31, output wire VAR1, output wire [13:0] VAR36, output wire [1:0] VAR49, output wire [31:0] VAR5, output wire [31:0] VAR35, output wire [31:0] VAR38, input wire VAR10, input wire VAR27, input wire [63:0] VAR48, input wire [27:0] VAR28, output wire VAR47, input wire VAR25, output wire [1:0] VAR4, output wire VAR32, output wire [31:0] VAR43, output wire [31:0] VAR26, input wire VAR44, input wire [31:0] VAR41, input wire VAR19, output wire VAR39, input wire [5:0] VAR12, input wire VAR23, input wire [31:0] VAR42 ); VAR33 #(VAR24) VAR11( .VAR16(VAR16), .VAR14(VAR14), .VAR9(VAR9), .VAR29(VAR29), .VAR45(VAR45), .VAR15(VAR15), .VAR37(VAR37), .VAR17(VAR17), .VAR21(VAR21), .VAR40(VAR40), .VAR2(VAR2), .VAR30(VAR30), .VAR3(VAR3), .VAR34(VAR34), .VAR22(VAR22), .VAR46(VAR46), .VAR20(VAR20), .VAR8(VAR8), .VAR13(VAR13), .VAR18(VAR18), .VAR6(VAR6), .VAR7(VAR7), .VAR31(VAR31), .VAR1(VAR1), .VAR36(VAR36), .VAR49(VAR49), .VAR5(VAR5), .VAR35(VAR35), .VAR38(VAR38), .VAR10(VAR10), .VAR27(VAR27), .VAR48(VAR48), .VAR28(VAR28), .VAR47(VAR47), .VAR25(VAR25), .VAR4(VAR4), .VAR32(VAR32), .VAR43(VAR43), .VAR26(VAR26), .VAR44(VAR44), .VAR41(VAR41), .VAR19(VAR19), .VAR39(VAR39), .VAR12(VAR12), .VAR23(VAR23), .VAR42(VAR42) ); endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/fill_diode/sky130_fd_sc_ms__fill_diode_4.v
1,901
module MODULE1 ( VAR2, VAR4, VAR5 , VAR1 ); input VAR2; input VAR4; input VAR5 ; input VAR1 ; VAR6 VAR3 ( .VAR2(VAR2), .VAR4(VAR4), .VAR5(VAR5), .VAR1(VAR1) ); endmodule module MODULE1 (); supply1 VAR2; supply0 VAR4; supply1 VAR5 ; supply0 VAR1 ; VAR6 VAR3 (); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/sdffq/gf180mcu_fd_sc_mcu9t5v0__sdffq_1.behavioral.pp.v
8,974
module MODULE1( VAR62, VAR59, VAR76, VAR24, VAR91, VAR39, VAR3 ); input VAR24, VAR76, VAR62, VAR59; inout VAR39, VAR3; output VAR91; reg VAR42; VAR1 VAR19(.VAR62(VAR62),.VAR59(VAR59),.VAR76(VAR76),.VAR24(VAR24),.VAR91(VAR91),.VAR39(VAR39),.VAR3(VAR3),.VAR42(VAR42)); VAR1 VAR32(.VAR62(VAR62),.VAR59(VAR59),.VAR76(VAR76),.VAR24(VAR24),.VAR91(VAR91),.VAR39(VAR39),.VAR3(VAR3),.VAR42(VAR42)); not VAR23(VAR63,VAR76); not VAR9(VAR56,VAR62); and VAR65(VAR64,VAR56,VAR63); not VAR8(VAR81,VAR59); and VAR38(VAR66,VAR81,VAR64); not VAR28(VAR72,VAR76); not VAR41(VAR68,VAR62); and VAR12(VAR87,VAR68,VAR72); and VAR53(VAR52,VAR59,VAR87); not VAR82(VAR80,VAR76); and VAR67(VAR77,VAR62,VAR80); not VAR37(VAR17,VAR59); and VAR49(VAR2,VAR17,VAR77); not VAR79(VAR60,VAR76); and VAR73(VAR71,VAR62,VAR60); and VAR55(VAR25,VAR59,VAR71); not VAR75(VAR89,VAR62); and VAR58(VAR50,VAR89,VAR76); not VAR4(VAR5,VAR59); and VAR31(VAR29,VAR5,VAR50); not VAR83(VAR57,VAR62); and VAR61(VAR70,VAR57,VAR76); and VAR45(VAR35,VAR59,VAR70); and VAR22(VAR36,VAR62,VAR76); not VAR21(VAR54,VAR59); and VAR11(VAR10,VAR54,VAR36); and VAR16(VAR30,VAR62,VAR76); and VAR40(VAR69,VAR59,VAR30); not VAR27(VAR78,VAR62); not VAR48(VAR6,VAR59); and VAR14(VAR86,VAR6,VAR78); not VAR13(VAR20,VAR62); and VAR18(VAR88,VAR59,VAR20); not VAR46(VAR84,VAR76); and VAR74(VAR51,VAR59,VAR84); not VAR7(VAR47,VAR59); and VAR26(VAR44,VAR47,VAR76); not VAR33(VAR43,VAR76); and VAR90(VAR34,VAR62,VAR43); and VAR15(VAR85,VAR62,VAR76);
apache-2.0
GSejas/Aproximate-Arithmetic-Operators
add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GDAN8M8P6_syn.v
9,555
module MODULE1 ( VAR352, VAR172, VAR265, VAR185 ); input [15:0] VAR172; input [15:0] VAR265; output [16:0] VAR185; input VAR352; wire VAR166, VAR301, VAR327, VAR350, VAR343, VAR161, VAR103, VAR36, VAR225, VAR338, VAR220, VAR335, VAR85, VAR267, VAR310, VAR1, VAR14, VAR229, VAR318, VAR228, VAR135, VAR193, VAR95, VAR255, VAR235, VAR21, VAR111, VAR18, VAR2, VAR291, VAR192, VAR142, VAR31, VAR153, VAR62, VAR202, VAR257, VAR99, VAR236, VAR67, VAR121, VAR313, VAR57, VAR196, VAR173, VAR175, VAR221, VAR6, VAR240, VAR311, VAR253, VAR52, VAR268, VAR28, VAR68, VAR326, VAR299, VAR287, VAR4, VAR163, VAR72, VAR41, VAR277, VAR73, VAR176, VAR37, VAR169, VAR344, VAR29, VAR353, VAR145, VAR49, VAR285, VAR101, VAR219, VAR284, VAR63, VAR105, VAR304, VAR355, VAR223, VAR181, VAR104, VAR345, VAR32, VAR306, VAR263, VAR330, VAR146, VAR315, VAR232, VAR60, VAR347, VAR184, VAR125, VAR364, VAR197, VAR77, VAR51, VAR317, VAR123, VAR282, VAR100, VAR270, VAR119, VAR188, VAR83, VAR46, VAR283, VAR323, VAR90, VAR3, VAR191, VAR247, VAR118, VAR349, VAR243, VAR76, VAR213, VAR300, VAR340, VAR70, VAR80, VAR319, VAR209, VAR262, VAR157, VAR117, VAR177, VAR237, VAR286, VAR359, VAR23, VAR248, VAR141, VAR274, VAR139, VAR156, VAR260, VAR48; VAR45 VAR182 ( .VAR138(VAR181), .VAR86(VAR300), .VAR272(VAR223), .VAR292(VAR185[16]) ); VAR249 VAR231 ( .VAR138(VAR80), .VAR86(VAR70), .VAR272(VAR340), .VAR292(VAR157) ); VAR333 VAR320 ( .VAR89(VAR68), .VAR183(VAR166), .VAR292(VAR326) ); VAR333 VAR69 ( .VAR89(VAR49), .VAR183(VAR172[11]), .VAR292(VAR191) ); VAR333 VAR190 ( .VAR89(VAR286), .VAR183(VAR359), .VAR292(VAR237) ); VAR61 VAR275 ( .VAR89(VAR287), .VAR183(VAR299), .VAR292(VAR4) ); VAR351 VAR278 ( .VAR89(VAR163), .VAR183(VAR265[12]), .VAR292(VAR287) ); VAR298 VAR155 ( .VAR138(VAR313), .VAR86(VAR265[9]), .VAR272(VAR166), .VAR292(VAR57) ); VAR106 VAR239 ( .VAR89(VAR343), .VAR183(VAR265[6]), .VAR292(VAR161) ); VAR294 VAR200 ( .VAR89(VAR95), .VAR292(VAR255) ); VAR259 VAR78 ( .VAR89(VAR299), .VAR292(VAR166) ); VAR71 VAR9 ( .VAR89(VAR338), .VAR183(VAR220), .VAR292(VAR36) ); VAR133 VAR250 ( .VAR89(VAR265[3]), .VAR183(VAR172[3]), .VAR292(VAR85) ); VAR164 VAR82 ( .VAR89(VAR265[0]), .VAR292(VAR327) ); VAR164 VAR116 ( .VAR89(VAR265[1]), .VAR292(VAR301) ); VAR333 VAR39 ( .VAR89(VAR265[0]), .VAR183(VAR352), .VAR292(VAR228) ); VAR332 VAR17 ( .VAR138(VAR221), .VAR86(VAR139), .VAR272(VAR6), .VAR292(VAR37) ); VAR84 VAR168 ( .VAR89(VAR317), .VAR183(VAR172[1]), .VAR292(VAR282) ); VAR234 VAR321 ( .VAR138(VAR123), .VAR86(VAR172[1]), .VAR272(VAR317), .VAR292(VAR125) ); VAR84 VAR167 ( .VAR89(VAR268), .VAR183(VAR299), .VAR292(VAR350) ); VAR333 VAR199 ( .VAR89(VAR101), .VAR183(VAR172[12]), .VAR292(VAR347) ); VAR230 VAR112 ( .VAR89(VAR172[0]), .VAR183(VAR265[0]), .VAR292(VAR317) ); VAR249 VAR187 ( .VAR138(VAR37), .VAR86(VAR176), .VAR272(VAR73), .VAR292(VAR169) ); VAR360 VAR211 ( .VAR89(VAR196), .VAR183(VAR172[10]), .VAR292(VAR277) ); VAR360 VAR363 ( .VAR89(VAR304), .VAR183(VAR172[14]), .VAR292(VAR243) ); VAR360 VAR150 ( .VAR89(VAR219), .VAR183(VAR172[13]), .VAR292(VAR319) ); VAR130 VAR194 ( .VAR89(VAR219), .VAR183(VAR172[13]), .VAR292(VAR209) ); VAR171 VAR42 ( .VAR89(VAR4), .VAR183(VAR265[13]), .VAR292(VAR219) ); VAR242 VAR206 ( .VAR89(VAR62), .VAR183(VAR265[9]), .VAR292(VAR236) ); VAR130 VAR218 ( .VAR89(VAR101), .VAR183(VAR172[12]), .VAR292(VAR70) ); VAR130 VAR308 ( .VAR89(VAR49), .VAR183(VAR172[11]), .VAR292(VAR247) ); VAR242 VAR322 ( .VAR89(VAR72), .VAR183(VAR265[12]), .VAR292(VAR101) ); VAR13 VAR64 ( .VAR89(VAR353), .VAR183(VAR265[11]), .VAR292(VAR163) ); VAR360 VAR324 ( .VAR89(VAR77), .VAR183(VAR51), .VAR292(VAR46) ); VAR56 VAR203 ( .VAR89(VAR161), .VAR183(VAR265[6]), .VAR334(VAR299), .VAR292(VAR103) ); VAR242 VAR266 ( .VAR89(VAR2), .VAR183(VAR172[5]), .VAR292(VAR141) ); VAR360 VAR339 ( .VAR89(VAR237), .VAR183(VAR197), .VAR292(VAR77) ); VAR242 VAR109 ( .VAR89(VAR255), .VAR183(VAR265[3]), .VAR292(VAR235) ); VAR234 VAR290 ( .VAR138(VAR188), .VAR86(VAR119), .VAR272(VAR270), .VAR292(VAR185[1]) ); VAR230 VAR154 ( .VAR89(VAR125), .VAR183(VAR119), .VAR292(VAR177) ); VAR54 VAR129 ( .VAR50(VAR265[0]), .VAR233(VAR172[0]), .VAR272(VAR317), .VAR292(VAR185[0]) ); VAR259 VAR134 ( .VAR89(VAR352), .VAR292(VAR299) ); VAR66 VAR244 ( .VAR89(VAR146), .VAR183(VAR330), .VAR292(VAR185[15]) ); VAR329 VAR75 ( .VAR89(VAR14), .VAR183(VAR1), .VAR292(VAR359) ); VAR288 VAR180 ( .VAR89(VAR327), .VAR183(VAR301), .VAR40(VAR229), .VAR292(VAR310) ); VAR242 VAR79 ( .VAR89(VAR326), .VAR183(VAR265[14]), .VAR292(VAR304) ); VAR212 VAR354 ( .VAR89(VAR265[2]), .VAR292(VAR229) ); VAR201 VAR27 ( .VAR89(VAR310), .VAR183(VAR265[3]), .VAR292(VAR338) ); VAR242 VAR189 ( .VAR89(VAR57), .VAR183(VAR265[10]), .VAR292(VAR196) ); VAR337 VAR11 ( .VAR89(VAR236), .VAR183(VAR172[9]), .VAR292(VAR221) ); VAR351 VAR148 ( .VAR89(VAR99), .VAR183(VAR172[8]), .VAR292(VAR274) ); VAR337 VAR97 ( .VAR89(VAR221), .VAR183(VAR274), .VAR292(VAR41) ); VAR242 VAR113 ( .VAR89(VAR335), .VAR183(VAR220), .VAR292(VAR111) ); VAR351 VAR158 ( .VAR89(VAR338), .VAR183(VAR299), .VAR292(VAR335) ); VAR171 VAR55 ( .VAR89(VAR225), .VAR183(VAR265[5]), .VAR292(VAR2) ); VAR298 VAR162 ( .VAR138(VAR117), .VAR86(VAR119), .VAR272(VAR364), .VAR292(VAR21) ); VAR333 VAR140 ( .VAR89(VAR111), .VAR183(VAR172[4]), .VAR292(VAR83) ); VAR171 VAR356 ( .VAR89(VAR257), .VAR183(VAR265[8]), .VAR292(VAR99) ); VAR61 VAR222 ( .VAR89(VAR202), .VAR183(VAR299), .VAR292(VAR257) ); VAR333 VAR65 ( .VAR89(VAR163), .VAR183(VAR166), .VAR292(VAR72) ); VAR333 VAR160 ( .VAR89(VAR176), .VAR183(VAR41), .VAR292(VAR344) ); VAR242 VAR205 ( .VAR89(VAR28), .VAR183(VAR265[15]), .VAR292(VAR355) ); VAR43 VAR258 ( .VAR50(VAR359), .VAR233(VAR21), .VAR272(VAR197), .VAR292(VAR18) ); VAR337 VAR254 ( .VAR89(VAR355), .VAR183(VAR172[15]), .VAR292(VAR32) ); VAR333 VAR214 ( .VAR89(VAR23), .VAR183(VAR283), .VAR292(VAR3) ); VAR333 VAR226 ( .VAR89(VAR156), .VAR183(VAR139), .VAR292(VAR260) ); VAR333 VAR256 ( .VAR89(VAR240), .VAR183(VAR6), .VAR292(VAR311) ); VAR234 VAR170 ( .VAR138(VAR29), .VAR86(VAR274), .VAR272(VAR139), .VAR292(VAR253) ); VAR333 VAR289 ( .VAR89(VAR176), .VAR183(VAR277), .VAR292(VAR173) ); VAR234 VAR34 ( .VAR138(VAR29), .VAR86(VAR121), .VAR272(VAR67), .VAR292(VAR175) ); VAR333 VAR143 ( .VAR89(VAR247), .VAR183(VAR191), .VAR292(VAR118) ); VAR333 VAR152 ( .VAR89(VAR70), .VAR183(VAR347), .VAR292(VAR184) ); VAR309 VAR114 ( .VAR89(VAR232), .VAR183(VAR172[7]), .VAR144(VAR315), .VAR246(VAR48), .VAR122(VAR185[7]) ); VAR43 VAR303 ( .VAR50(VAR172[6]), .VAR233(VAR323), .VAR272(VAR31), .VAR292(VAR315) ); VAR201 VAR358 ( .VAR89(VAR343), .VAR183(VAR265[6]), .VAR292(VAR268) ); VAR276 VAR342 ( .VAR89(VAR36), .VAR183(VAR265[5]), .VAR292(VAR343) ); VAR179 VAR47 ( .VAR138(VAR29), .VAR86(VAR344), .VAR272(VAR169), .VAR292(VAR349) ); VAR361 VAR159 ( .VAR89(VAR228), .VAR183(VAR265[1]), .VAR292(VAR123) ); VAR249 VAR186 ( .VAR138(VAR300), .VAR86(VAR76), .VAR272(VAR345), .VAR292(VAR146) ); VAR179 VAR92 ( .VAR138(VAR265[1]), .VAR86(VAR265[0]), .VAR272(VAR352), .VAR292(VAR318) ); VAR294 VAR307 ( .VAR89(VAR277), .VAR292(VAR73) ); VAR294 VAR261 ( .VAR89(VAR265[4]), .VAR292(VAR220) ); VAR133 VAR295 ( .VAR89(VAR350), .VAR183(VAR265[7]), .VAR292(VAR232) ); VAR351 VAR341 ( .VAR89(VAR103), .VAR183(VAR268), .VAR292(VAR323) ); VAR333 VAR314 ( .VAR89(VAR36), .VAR183(VAR166), .VAR292(VAR225) ); VAR108 VAR8 ( .VAR89(VAR111), .VAR183(VAR172[4]), .VAR292(VAR51) ); VAR230 VAR296 ( .VAR89(VAR310), .VAR183(VAR352), .VAR292(VAR95) ); VAR333 VAR30 ( .VAR89(VAR95), .VAR183(VAR85), .VAR292(VAR14) ); VAR294 VAR336 ( .VAR89(VAR85), .VAR292(VAR267) ); VAR252 VAR137 ( .VAR50(VAR352), .VAR233(VAR310), .VAR272(VAR267), .VAR292(VAR1) ); VAR361 VAR115 ( .VAR89(VAR318), .VAR183(VAR229), .VAR292(VAR135) ); VAR108 VAR38 ( .VAR89(VAR135), .VAR183(VAR172[2]), .VAR292(VAR117) ); VAR360 VAR207 ( .VAR89(VAR123), .VAR183(VAR172[1]), .VAR292(VAR119) ); VAR294 VAR120 ( .VAR89(VAR135), .VAR292(VAR193) ); VAR333 VAR147 ( .VAR89(VAR193), .VAR183(VAR172[2]), .VAR292(VAR364) ); VAR333 VAR178 ( .VAR89(VAR235), .VAR183(VAR172[3]), .VAR292(VAR197) ); VAR74 VAR348 ( .VAR50(VAR51), .VAR233(VAR18), .VAR272(VAR83), .VAR292(VAR192) ); VAR294 VAR279 ( .VAR89(VAR2), .VAR292(VAR291) ); VAR360 VAR331 ( .VAR89(VAR291), .VAR183(VAR172[5]), .VAR292(VAR283) ); VAR74 VAR20 ( .VAR50(VAR141), .VAR233(VAR192), .VAR272(VAR283), .VAR292(VAR142) ); VAR179 VAR151 ( .VAR138(VAR323), .VAR86(VAR172[6]), .VAR272(VAR142), .VAR292(VAR31) ); VAR259 VAR10 ( .VAR89(VAR48), .VAR292(VAR29) ); VAR294 VAR280 ( .VAR89(VAR268), .VAR292(VAR153) ); VAR126 VAR131 ( .VAR89(VAR153), .VAR183(VAR265[7]), .VAR292(VAR202) ); VAR195 VAR93 ( .VAR210(VAR265[8]), .VAR183(VAR202), .VAR292(VAR313) ); VAR360 VAR58 ( .VAR89(VAR313), .VAR183(VAR166), .VAR292(VAR62) ); VAR294 VAR91 ( .VAR89(VAR41), .VAR292(VAR121) ); VAR360 VAR15 ( .VAR89(VAR99), .VAR183(VAR172[8]), .VAR292(VAR139) ); VAR360 VAR328 ( .VAR89(VAR236), .VAR183(VAR172[9]), .VAR292(VAR6) ); VAR294 VAR325 ( .VAR89(VAR37), .VAR292(VAR67) ); VAR13 VAR35 ( .VAR89(VAR196), .VAR183(VAR172[10]), .VAR292(VAR176) ); VAR357 VAR251 ( .VAR89(VAR175), .VAR183(VAR173), .VAR292(VAR185[10]) ); VAR294 VAR362 ( .VAR89(VAR221), .VAR292(VAR240) ); VAR357 VAR281 ( .VAR89(VAR253), .VAR183(VAR311), .VAR292(VAR185[9]) ); VAR297 VAR245 ( .VAR89(VAR265[8]), .VAR183(VAR265[7]), .VAR40(VAR265[9]), .VAR59(VAR265[10]), .VAR292(VAR52) ); VAR360 VAR273 ( .VAR89(VAR268), .VAR183(VAR52), .VAR292(VAR353) ); VAR128 VAR7 ( .VAR210(VAR265[13]), .VAR183(VAR287), .VAR292(VAR68) ); VAR298 VAR94 ( .VAR138(VAR68), .VAR86(VAR265[14]), .VAR272(VAR166), .VAR292(VAR28) ); VAR351 VAR208 ( .VAR89(VAR304), .VAR183(VAR172[14]), .VAR292(VAR104) ); VAR61 VAR16 ( .VAR89(VAR32), .VAR183(VAR104), .VAR292(VAR181) ); VAR333 VAR269 ( .VAR89(VAR209), .VAR183(VAR70), .VAR292(VAR105) ); VAR333 VAR238 ( .VAR89(VAR353), .VAR183(VAR166), .VAR292(VAR145) ); VAR357 VAR216 ( .VAR89(VAR145), .VAR183(VAR265[11]), .VAR292(VAR49) ); VAR294 VAR136 ( .VAR89(VAR191), .VAR292(VAR285) ); VAR132 VAR98 ( .VAR138(VAR349), .VAR86(VAR247), .VAR272(VAR285), .VAR292(VAR60) ); VAR294 VAR53 ( .VAR89(VAR347), .VAR292(VAR340) ); VAR294 VAR215 ( .VAR89(VAR319), .VAR292(VAR284) ); VAR96 VAR174 ( .VAR138(VAR209), .VAR86(VAR340), .VAR272(VAR284), .VAR292(VAR63) ); VAR179 VAR149 ( .VAR138(VAR105), .VAR86(VAR60), .VAR272(VAR63), .VAR292(VAR300) ); VAR360 VAR227 ( .VAR89(VAR355), .VAR183(VAR172[15]), .VAR292(VAR306) ); VAR298 VAR305 ( .VAR138(VAR32), .VAR86(VAR243), .VAR272(VAR306), .VAR292(VAR223) ); VAR294 VAR88 ( .VAR89(VAR104), .VAR292(VAR76) ); VAR294 VAR110 ( .VAR89(VAR243), .VAR292(VAR345) ); VAR294 VAR316 ( .VAR89(VAR32), .VAR292(VAR263) ); VAR333 VAR81 ( .VAR89(VAR263), .VAR183(VAR306), .VAR292(VAR330) ); VAR294 VAR271 ( .VAR89(VAR60), .VAR292(VAR80) ); VAR357 VAR24 ( .VAR89(VAR80), .VAR183(VAR184), .VAR292(VAR185[12]) ); VAR298 VAR5 ( .VAR138(VAR177), .VAR86(VAR117), .VAR272(VAR364), .VAR292(VAR286) ); VAR165 VAR26 ( .VAR138(VAR51), .VAR86(VAR77), .VAR272(VAR46), .VAR292(VAR185[4]) ); VAR294 VAR264 ( .VAR89(VAR317), .VAR292(VAR188) ); VAR133 VAR224 ( .VAR89(VAR317), .VAR183(VAR172[1]), .VAR292(VAR100) ); VAR56 VAR33 ( .VAR89(VAR100), .VAR183(VAR282), .VAR334(VAR123), .VAR292(VAR270) ); VAR360 VAR25 ( .VAR89(VAR46), .VAR183(VAR83), .VAR292(VAR248) ); VAR360 VAR12 ( .VAR89(VAR248), .VAR183(VAR141), .VAR292(VAR23) ); VAR357 VAR241 ( .VAR89(VAR323), .VAR183(VAR172[6]), .VAR292(VAR90) ); VAR357 VAR302 ( .VAR89(VAR3), .VAR183(VAR90), .VAR292(VAR185[6]) ); VAR357 VAR44 ( .VAR89(VAR349), .VAR183(VAR118), .VAR292(VAR185[11]) ); VAR333 VAR346 ( .VAR89(VAR76), .VAR183(VAR243), .VAR292(VAR213) ); VAR357 VAR107 ( .VAR89(VAR300), .VAR183(VAR213), .VAR292(VAR185[14]) ); VAR333 VAR204 ( .VAR89(VAR209), .VAR183(VAR319), .VAR292(VAR262) ); VAR133 VAR127 ( .VAR89(VAR157), .VAR183(VAR262), .VAR292(VAR185[13]) ); VAR198 VAR217 ( .VAR89(VAR177), .VAR183(VAR117), .VAR292(VAR185[2]) ); VAR165 VAR22 ( .VAR138(VAR359), .VAR86(VAR286), .VAR272(VAR237), .VAR292(VAR185[3]) ); VAR165 VAR87 ( .VAR138(VAR141), .VAR86(VAR248), .VAR272(VAR23), .VAR292(VAR185[5]) ); VAR294 VAR19 ( .VAR89(VAR274), .VAR292(VAR156) ); VAR357 VAR124 ( .VAR89(VAR48), .VAR183(VAR260), .VAR292(VAR185[8]) ); VAR102 ("VAR293.VAR312"); endmodule
apache-2.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/7k325ffg900/ddr_core/user_design/rtl/phy/mig_7series_v1_8_ddr_prbs_gen.v
7,727
module MODULE1 # ( parameter VAR7 = 100, parameter VAR14 = 64 ) ( input VAR3, input VAR10, input VAR11, input [VAR14-1:0] VAR8, input VAR4, input VAR18, output [VAR14-1:0] VAR16 ); function integer VAR9 (input integer VAR1); begin VAR1 = VAR1 - 1; for (VAR9=1; VAR1>1; VAR9=VAR9+1) VAR1 = VAR1 >> 1; end endfunction localparam VAR12 = 128; localparam VAR2 = VAR9(VAR12); reg VAR17; reg VAR13; reg [VAR2-1:0] VAR15; reg [VAR14 - 1 :0] VAR6; reg [VAR14 :1] VAR5; always @(posedge VAR3) begin end always @(posedge VAR3) begin if (VAR11 || ~VAR10) begin end else if (VAR10 && (~VAR17 || ~VAR18)) begin if (VAR15 == VAR12 - 2) end else end end always @ (posedge VAR3) begin if ((VAR13 && VAR10) || VAR11 || ~VAR10) begin end else if (VAR10 && (~VAR17 || ~VAR18)) begin end end always @ (VAR5[VAR14:1]) begin VAR6 = VAR5[VAR14:1]; end assign VAR16 = VAR6; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4/sky130_fd_sc_ms__nand4.pp.symbol.v
1,303
module MODULE1 ( input VAR8 , input VAR3 , input VAR9 , input VAR6 , output VAR5 , input VAR2 , input VAR4, input VAR1, input VAR7 ); endmodule
apache-2.0
jameshegarty/rigel
generators/hardfloat/source/divSqrtRecFN_small.v
15,509
module MODULE2#( parameter VAR88 = 3, parameter VAR13 = 3, parameter VAR86 = 0 ) ( input VAR62, input VAR24, input [(VAR79 - 1):0] VAR67, output VAR73, input VAR90, input VAR71, input [(VAR88 + VAR13):0] VAR78, input [(VAR88 + VAR13):0] VAR16, input [2:0] VAR85, output VAR42, output VAR75, output [2:0] VAR37, output VAR46, output VAR89, output VAR77, output VAR33, output VAR2, output VAR35, output signed [(VAR88 + 1):0] VAR49, output [(VAR13 + 2):0] VAR84 ); wire VAR52, VAR64, VAR14, VAR31; wire signed [(VAR88 + 1):0] VAR22; wire [VAR13:0] VAR50; VAR70#(VAR88, VAR13) VAR19( VAR78, VAR52, VAR64, VAR14, VAR31, VAR22, VAR50); wire VAR66; VAR12#(VAR88, VAR13) VAR1(VAR78, VAR66); wire VAR26, VAR32, VAR92, VAR8; wire signed [(VAR88 + 1):0] VAR53; wire [VAR13:0] VAR68; VAR70#(VAR88, VAR13) VAR18( VAR16, VAR26, VAR32, VAR92, VAR8, VAR53, VAR68); wire VAR87; VAR12#(VAR88, VAR13) VAR34(VAR16, VAR87); wire VAR23 = (VAR14 && VAR92) || (VAR64 && VAR32); wire VAR40 = !VAR52 && !VAR14 && VAR31; wire VAR3 = VAR71 ? VAR66 || VAR40 : VAR66 || VAR87 || VAR23 || (!VAR52 && !VAR64 && VAR92); wire VAR56 = VAR71 ? VAR52 || VAR40 : VAR52 || VAR26 || VAR23; wire VAR72; wire [(VAR13 - 2):0] VAR47; VAR91#(VAR13) VAR55( VAR67, VAR71, VAR52, VAR31, VAR50[(VAR13 - 2):0], VAR26, VAR8, VAR68[(VAR13 - 2):0], VAR72, VAR47 ); wire VAR30 = VAR71 ? VAR64 : VAR64 || VAR92; wire VAR74 = VAR71 ? VAR14 : VAR14 || VAR32; wire VAR11 = VAR31 ^ (!VAR71 && VAR8); wire VAR82 = VAR52 || VAR64 || VAR14; wire VAR48 = VAR26 || VAR32 || VAR92; wire VAR5 = !VAR82 && !VAR48; wire VAR51 = !VAR82 && !VAR31; wire VAR20 = VAR71 ? VAR51 : VAR5; wire signed [(VAR88 + 2):0] VAR54 = VAR22 + {{3{VAR53[VAR88]}}, ~VAR53[(VAR88 - 1):0]}; wire signed [(VAR88 + 1):0] VAR7 = {(7<<(VAR88 - 2) <= VAR54) ? 4'b0110 : VAR54[(VAR88 + 1):(VAR88 - 2)], VAR54[(VAR88 - 3): 0]}; wire VAR6 = VAR71 && !VAR22[0]; wire VAR27 = VAR71 && VAR22[0]; reg [(VAR41(VAR13 + 3) - 1):0] VAR69; reg VAR65, VAR21; reg VAR25, VAR10, VAR59, VAR58; reg signed [(VAR88 + 1):0] VAR28; reg [(VAR13 - 2):0] VAR63; reg [2:0] VAR15; reg [(VAR13 + 1):0] VAR80; reg VAR60; reg [(VAR13 + 1):0] VAR29; wire VAR36 = (VAR69 == 0); assign VAR73 = (VAR69 <= 1); wire VAR45 = VAR73 && VAR90; wire VAR61 = VAR45 && VAR20; wire VAR43 = (VAR69 == 3) && VAR29[VAR13 + 1]; always @(negedge VAR62, posedge VAR24) begin if (!VAR62) begin VAR69 <= 0; end else begin if (!VAR36 || VAR90) begin VAR69 <= (VAR45 && !VAR20 ? 1 : 0) | (VAR61 ? (VAR71 ? (VAR22[0] ? VAR13 : VAR13 + 1) : VAR13 + 2) : 0) | (!VAR36 && !VAR43 ? VAR69 - 1 : 0) | (!VAR36 && VAR43 ? 1 : 0); end end end always @(posedge VAR24) begin if (VAR45) begin VAR65 <= VAR71; VAR21 <= VAR3; VAR25 <= VAR56; VAR10 <= VAR30; VAR59 <= VAR74; VAR58 <= VAR56 ? VAR72 : VAR11; VAR58 <= VAR11; end if (VAR61) begin VAR28 <= VAR71 ? (VAR22>>>1) + (1<<(VAR88 - 1)) : VAR7; VAR15 <= VAR85; end if (VAR61 && !VAR71) begin VAR63 <= VAR68[(VAR13 - 2):0]; end end wire [1:0] VAR9 = VAR50[(VAR13 - 1):(VAR13 - 2)] - 1; wire [(VAR13 + 2):0] VAR81 = (VAR73 && !VAR27 ? VAR50<<1 : 0) | (VAR73 && VAR27 ? {VAR9, VAR50[(VAR13 - 3):0], 3'b0} : 0) | (!VAR73 ? VAR80<<1 : 0); wire [VAR13:0] VAR38 = ({{(VAR13 + 2){1'b0}}, 1'b1}<<VAR69)>>2; wire [(VAR13 + 1):0] VAR76 = ( VAR73 && !VAR71 ? VAR68<<1 : 0) | ( VAR73 && VAR6 ? 1<<VAR13 : 0) | ( VAR73 && VAR27 ? 5<<(VAR13 - 1) : 0) | (!VAR73 && !VAR65 ? {1'b1, VAR63}<<1 : 0) | (!VAR73 && VAR65 ? VAR29<<1 | VAR38 : 0); wire signed [(VAR13 + 3):0] VAR57 = VAR81 - VAR76; wire VAR39 = (0 <= VAR57); always @(posedge VAR24) begin if (VAR61 || (VAR69 > 2)) begin VAR80 <= VAR39 ? VAR57 : VAR81; end if ( (VAR45 && VAR56) || VAR61 || (!VAR73 && VAR39) ) begin VAR60 <= (VAR57 != 0); VAR29 <= (VAR73 && VAR56 ? {1'b1, VAR47, 2'b00} : 0) | (VAR73 && !VAR56 && !VAR71 ? VAR39<<(VAR13 + 1) : 0) | (VAR73 && !VAR56 && VAR71 ? 1<<VAR13 : 0) | (VAR73 && !VAR56 && VAR27 ? VAR39<<(VAR13 - 1) : 0) | (!VAR73 ? VAR29 | VAR38 : 0); end if (VAR61 || (!VAR73 && VAR39)) begin VAR60 <= (VAR57 != 0); VAR29 <= ( VAR73 && !VAR71 ? VAR39<<(VAR13 + 1) : 0) | ( VAR73 && VAR71 ? 1<<VAR13 : 0) | ( VAR73 && VAR27 ? VAR39<<(VAR13 - 1) : 0) | (!VAR73 ? VAR29 | VAR38 : 0); end end assign VAR42 = (VAR69 == 1); assign VAR75 = VAR65; assign VAR37 = VAR15; assign VAR46 = VAR21 && VAR25; assign VAR89 = VAR21 && !VAR25; assign VAR77 = VAR25; assign VAR33 = VAR10; assign VAR2 = VAR59; assign VAR35 = VAR58; assign VAR49 = VAR28; assign VAR84 = {VAR29, VAR60}; endmodule module MODULE1#( parameter VAR88 = 3, parameter VAR13 = 3, parameter VAR86 = 0 ) ( input VAR62, input VAR24, input [(VAR79 - 1):0] VAR67, output VAR73, input VAR90, input VAR71, input [(VAR88 + VAR13):0] VAR78, input [(VAR88 + VAR13):0] VAR16, input [2:0] VAR85, output VAR42, output VAR75, output [(VAR88 + VAR13):0] out, output [4:0] VAR4 ); wire [2:0] VAR37; wire VAR46, VAR89, VAR77, VAR33, VAR2, VAR35; wire signed [(VAR88 + 1):0] VAR49; wire [(VAR13 + 2):0] VAR84; MODULE2#(VAR88, VAR13, VAR86) VAR83( VAR62, VAR24, VAR67, VAR73, VAR90, VAR71, VAR78, VAR16, VAR85, VAR42, VAR75, VAR37, VAR46, VAR89, VAR77, VAR33, VAR2, VAR35, VAR49, VAR84 ); VAR44#(VAR88, VAR13, 0) VAR17( VAR67, VAR46, VAR89, VAR77, VAR33, VAR2, VAR35, VAR49, VAR84, VAR37, out, VAR4 ); endmodule
mit
MiddleMan5/233
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_stub.v
1,169
module MODULE1(dout) ; output [9:0]dout; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a22oi/sky130_fd_sc_hvl__a22oi.pp.symbol.v
1,380
module MODULE1 ( input VAR7 , input VAR3 , input VAR8 , input VAR5 , output VAR1 , input VAR4 , input VAR6, input VAR2, input VAR9 ); endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/nf2/reference_core/src/nf2_core.v
31,140
module MODULE1 #( parameter VAR56 = 2 ) ( input wire [26:0] VAR179, input wire VAR10, output wire [31:0] VAR52, input wire VAR5, input wire [31:0] VAR35, output wire VAR92, output wire VAR205, output wire [31:0] VAR190, output [7:0] VAR228, output VAR106, input VAR207, output VAR238, output VAR204, input [7:0] VAR102, input VAR194, input VAR19, output [7:0] VAR153, output VAR144, input VAR21, output VAR235, output VAR2, input [7:0] VAR143, input VAR166, input VAR44, output [7:0] VAR43, output VAR154, input VAR216, output VAR83, output VAR214, input [7:0] VAR70, input VAR82, input VAR27, output [7:0] VAR165, output VAR4, input VAR176, output VAR241, output VAR150, input [7:0] VAR159, input VAR203, input VAR136, input VAR73, input reset ); localparam VAR222 = 64; localparam VAR88 = VAR222/8; localparam VAR124 = 8; localparam VAR224 = 11; assign VAR51 = 1'VAR163 0; assign VAR157 = 1'b0; wire [VAR124-1:0] VAR49; wire [VAR124-1:0] VAR213; wire [VAR222-1:0] VAR145 [VAR124-1:0]; wire [VAR88-1:0] VAR209 [VAR124-1:0]; wire [VAR124-1:0] VAR41; wire [VAR124-1:0] VAR63; wire [VAR222-1:0] VAR68 [VAR124-1:0]; wire [VAR88-1:0] VAR248 [VAR124-1:0]; wire VAR14; wire [VAR247-1:0] VAR188; wire [VAR222+VAR88-1:0] VAR91; wire VAR36; wire VAR95; wire [VAR247-1:0] VAR162; wire [VAR222+VAR88-1:0] VAR252; wire VAR20; wire VAR64; wire [VAR247-1:0] VAR172; wire [VAR130-1:0] VAR160; wire [VAR28-1:0] VAR139; wire [VAR28-1:0] VAR16; wire VAR86; wire VAR234; wire VAR99; wire [VAR212-1:0] VAR80; wire [VAR28-1:0] VAR133; wire [VAR28-1:0] VAR13; wire [3:0] VAR11; wire [3:0] VAR85; wire [3:0] VAR226; wire [4 * VAR183-1:0] VAR232; wire [4 * VAR28-1:0] VAR30; wire [4 * VAR28-1:0] VAR117; wire [15:0] VAR26; wire [15:0] VAR167; wire [15:0] VAR199; wire [16 * VAR118-1:0] VAR185; wire [16 * VAR28-1:0] VAR65; wire [16 * VAR28-1:0] VAR193; wire VAR220; wire VAR237; wire VAR50; wire [VAR12-1:0] VAR231; wire [VAR28-1:0] VAR215; wire [VAR28-1:0] VAR97; wire VAR182; wire VAR147; wire VAR81; wire [VAR227-1:0] VAR195; wire [VAR28-1:0] VAR168; wire [VAR28-1:0] VAR164; wire VAR129; wire VAR3; wire VAR149; wire [VAR55-1:0] VAR112; wire [VAR28-1:0] VAR141; wire [VAR28-1:0] VAR23; wire [7:0] VAR134[(VAR124 / 2) - 1:0]; wire VAR71[(VAR124 / 2) - 1:0]; wire VAR61[(VAR124 / 2) - 1:0]; wire VAR89[(VAR124 / 2) - 1:0]; wire VAR54[(VAR124 / 2) - 1:0]; wire [7:0] VAR76[(VAR124 / 2) - 1:0]; wire VAR33[(VAR124 / 2) - 1:0]; wire VAR48[(VAR124 / 2) - 1:0]; wire VAR223[(VAR124 / 2) - 1:0]; wire [1:0] VAR69[(VAR124 / 2) - 1:0]; wire VAR196[(VAR124 / 2) - 1:0]; wire VAR151[(VAR124 / 2) - 1:0]; wire [VAR72-1:0] VAR208[3:0]; wire [3:0] VAR116; wire [3:0] VAR218; wire [3:0] VAR229; wire [VAR28-1:0] VAR200[3:0]; wire [VAR28-1:0] VAR128[3:0]; wire [VAR138-1:0] VAR121[3:0]; wire [3:0] VAR38; wire [3:0] VAR22; wire [3:0] VAR158; wire [VAR28-1:0] VAR78[3:0]; wire [VAR28-1:0] VAR156[3:0]; wire [3:0] VAR66; wire [3:0] VAR59; wire [VAR40-1:0] VAR169 [3:0]; wire [VAR17-1:0] VAR243[3:0]; wire [3:0] VAR125; wire [3:0] VAR60; wire [VAR40-1:0] VAR42[3:0]; wire [VAR17-1:0] VAR187[3:0]; wire [7:0] VAR173[(VAR124 / 2) - 1:0]; wire VAR32[(VAR124 / 2) - 1:0]; wire VAR192[(VAR124 / 2) - 1:0]; wire [7:0] VAR146[(VAR124 / 2) - 1:0]; wire VAR34[(VAR124 / 2) - 1:0]; wire VAR197[(VAR124 / 2) - 1:0]; wire VAR202[(VAR124 / 2) - 1:0]; wire VAR47[(VAR124 / 2) - 1:0]; wire VAR217; wire VAR75; reg VAR126; reg [31:0] VAR137; reg VAR114; wire VAR46; wire VAR135; generate genvar VAR211; for(VAR211=0; VAR211<VAR124/2; VAR211=VAR211+1) begin: VAR225 VAR7 #( .VAR222(VAR222), .VAR25(1), .VAR39(2 * VAR211), .VAR219(VAR96) ) VAR7 ( .VAR116 (VAR26[VAR239(VAR251 + VAR211,1)]), .VAR229 (VAR199[VAR239(VAR251 + VAR211,1)]), .VAR218 (VAR167[VAR239(VAR251 + VAR211,1)]), .VAR208 (VAR185[VAR239(VAR251 + VAR211, .VAR128 (VAR193[VAR239(VAR251 + VAR211, .VAR200 (VAR65[VAR239(VAR251 + VAR211, .VAR49 (VAR41[VAR211*2]), .VAR213 (VAR63[VAR211*2]), .VAR145 (VAR68[VAR211*2]), .VAR209 (VAR248[VAR211*2]), .VAR41 (VAR49[VAR211*2]), .VAR63 (VAR213[VAR211*2]), .VAR68 (VAR145[VAR211*2]), .VAR248 (VAR209[VAR211*2]), .VAR173(VAR173[VAR211]), .VAR32(VAR32[VAR211]), .VAR192(VAR192[VAR211]), .VAR202(VAR202[VAR211]), .VAR47(VAR47[VAR211]), .VAR146(VAR146[VAR211]), .VAR34(VAR34[VAR211]), .VAR197(VAR197[VAR211]), .VAR132 (VAR217), .VAR94 (VAR151[VAR211]), .clk (VAR73), .reset (reset) ); end endgenerate VAR201 VAR201 ( .VAR75(VAR75), .VAR100(VAR179), .VAR155(VAR35), .VAR67(VAR52), .VAR57(VAR57), .VAR109 (VAR103 ), .VAR37 (VAR119 ), .VAR245 (VAR140), .VAR6 (VAR160), .VAR1 (VAR16), .VAR178 (VAR139), .VAR18 (VAR189), .reset (reset), .VAR101 (VAR73) ); always@ begin VAR110 = VAR198; VAR15 = VAR98; case(VAR198) VAR31: begin VAR15 <= 1'b0; if(VAR14)begin VAR110 = VAR191; end end VAR191: begin VAR15 <= 1'b1; if(VAR95)begin VAR110 = VAR191; end else VAR110 = VAR31; end default: begin VAR110 = VAR31; end endcase end always@begin VAR174 = VAR142; VAR58 = VAR62; VAR233 = VAR131; VAR120 = VAR74; case (VAR142) VAR240: begin VAR233 = 1'b0; VAR120 = 32'b0; if(VAR5) begin VAR58 = 1'b1; VAR174 = VAR9; end if(VAR10) begin VAR58 = 1'b1; VAR174 = VAR84; end end VAR9: begin if(VAR135) begin VAR58 = 1'b1; VAR174 = VAR122; end end VAR122: begin if(!VAR46) begin VAR58 = 1'b1; end else begin VAR58 = 1'b0; VAR174 = VAR240; end end VAR84: begin if(VAR189) begin VAR58 = 1'b0; VAR174 = VAR240; VAR233 = 1'b1; VAR120 = VAR52; end end endcase end assign VAR92 = VAR58; assign VAR205 = VAR131; assign VAR190 = VAR74; VAR171 VAR236 ( .VAR109 (VAR103), .VAR37 (VAR119), .VAR245 (VAR140), .VAR6 (VAR160), .VAR1 (VAR16), .VAR178 (VAR139), .VAR18 (VAR189), .VAR135 (VAR135), .VAR86 (VAR86), .VAR234 (VAR234), .VAR80 (VAR80), .VAR133 (VAR133), .VAR13 (VAR13), .VAR99 (VAR99), .VAR220 (VAR220), .VAR237 (VAR237), .VAR231 (VAR231), .VAR215 (VAR215), .VAR97 (VAR97), .VAR50 (VAR50), .VAR182 (VAR182), .VAR147 (VAR147), .VAR195 (VAR195), .VAR168 (VAR168), .VAR164 (VAR164), .VAR81 (VAR81), .VAR129 (VAR129), .VAR3 (VAR3), .VAR112 (VAR112), .VAR141 (VAR141), .VAR23 (VAR23), .VAR149 (VAR149), .clk (VAR73), .reset (reset) ); VAR105 #( .VAR206(VAR212), .VAR113(4) ) VAR181 ( .VAR123 (VAR86), .VAR161 (VAR234), .VAR246 (VAR80), .VAR177 (VAR133), .VAR250 (VAR99), .VAR175 (VAR13), .VAR8 (VAR11), .VAR244 (VAR85), .VAR93 (VAR232), .VAR104 (VAR30), .VAR24 (VAR226), .VAR108 (VAR117), .clk (VAR73), .reset (reset) ); VAR105 #( .VAR206(VAR212 - 2), .VAR113(16) ) VAR111 ( .VAR123 (VAR11[VAR239(1,1)]), .VAR250 (VAR226[VAR239(1,1)]), .VAR161 (VAR85[VAR239(1,1)]), .VAR246 (VAR232[VAR239(1, VAR183)]), .VAR175 (VAR117[VAR239(1, VAR28)]), .VAR177 (VAR30[VAR239(1, VAR28)]), .VAR8 (VAR26), .VAR244 (VAR167), .VAR93 (VAR185), .VAR104 (VAR65), .VAR24 (VAR199), .VAR108 (VAR193), .clk (VAR73), .reset (reset) ); VAR210 .VAR127(VAR127), .VAR186(VAR29), .VAR152(VAR152) ) VAR210 ( .VAR123 (VAR26[VAR239(VAR170,1)]), .VAR250 (VAR199[VAR239(VAR170,1)]), .VAR161 (VAR167[VAR239(VAR170,1)]), .VAR246 (VAR185[VAR239(VAR170,VAR53)]), .VAR175 (VAR193[VAR239(VAR170,VAR28)]), .VAR177 (VAR65[VAR239(VAR170,VAR28)]), .clk (VAR73), .reset (reset) ); VAR115 #( .VAR79(VAR183) ) VAR107 ( .VAR123 (VAR11[VAR239(0,1)]), .VAR250 (VAR226[VAR239(0,1)]), .VAR161 (VAR85[VAR239(0,1)]), .VAR246 (VAR232[VAR239(0, VAR183)]), .VAR175 (VAR117[VAR239(0, VAR28)]), .VAR177 (VAR30[VAR239(0, VAR28)]), .clk (VAR73), .reset (reset) ); VAR115 #( .VAR79(VAR183) ) VAR184 ( .VAR123 (VAR11[VAR239(2,1)]), .VAR250 (VAR226[VAR239(2,1)]), .VAR161 (VAR85[VAR239(2,1)]), .VAR246 (VAR232[VAR239(2, VAR183)]), .VAR175 (VAR117[VAR239(2, VAR28)]), .VAR177 (VAR30[VAR239(2, VAR28)]), .clk (VAR73), .reset (reset) ); VAR115 #( .VAR79(VAR183) ) VAR90 ( .VAR123 (VAR11[VAR239(3,1)]), .VAR250 (VAR226[VAR239(3,1)]), .VAR161 (VAR85[VAR239(3,1)]), .VAR246 (VAR232[VAR239(3, VAR183)]), .VAR175 (VAR117[VAR239(3, VAR28)]), .VAR177 (VAR30[VAR239(3, VAR28)]), .clk (VAR73), .reset (reset) ); generate for (VAR211 = 0; VAR211 < 16; VAR211 = VAR211 + 1) begin: VAR242 if (!(VAR211 >= VAR251 && VAR211 < VAR251 + VAR124/2) && !(VAR211 >= VAR87 && VAR211 < VAR87 + VAR124/2) && VAR211 != VAR170 && VAR211 != VAR77 && VAR211 != VAR148) VAR115 #( .VAR79(VAR118) ) VAR221 ( .VAR123 (VAR26[VAR239(VAR211,1)]), .VAR250 (VAR199[VAR239(VAR211,1)]), .VAR161 (VAR167[VAR239(VAR211,1)]), .VAR246 (VAR185[VAR239(VAR211, VAR118)]), .VAR175 (VAR193[VAR239(VAR211, VAR28)]), .VAR177 (VAR65[VAR239(VAR211, VAR28)]), .clk (VAR73), .reset (reset) ); end endgenerate assign VAR217 = VAR73; assign VAR45 = VAR73; assign VAR249 = VAR73; assign VAR180 = VAR73; assign VAR230 = VAR73; assign VAR165 = VAR173[0]; assign VAR4 = VAR32[0]; assign VAR192[0] = VAR176; assign VAR241 = VAR202[0]; assign VAR150 = VAR47[0]; assign VAR146[0] = VAR159; assign VAR34[0] = VAR203; assign VAR197[0] = VAR136; assign VAR228 = VAR173[1]; assign VAR106 = VAR32[1]; assign VAR192[1] = VAR207; assign VAR238 = VAR202[1]; assign VAR204 = VAR47[1]; assign VAR146[1] = VAR102; assign VAR34[1] = VAR194; assign VAR197[1] = VAR19; assign VAR153 = VAR173[2]; assign VAR144 = VAR32[2]; assign VAR192[2] = VAR21; assign VAR235 = VAR202[2]; assign VAR2 = VAR47[2]; assign VAR146[2] = VAR143; assign VAR34[2] = VAR166; assign VAR197[2] = VAR44; assign VAR43 = VAR173[3]; assign VAR154 = VAR32[3]; assign VAR192[3] = VAR216; assign VAR83 = VAR202[3]; assign VAR214 = VAR47[3]; assign VAR146[3] = VAR70; assign VAR34[3] = VAR82; assign VAR197[3] = VAR27; assign VAR151[0] = VAR45; assign VAR151[1] = VAR249; assign VAR151[2] = VAR180; assign VAR151[3] = VAR230; endmodule
mit
DougFirErickson/parallella-hw
fpga/src/stubs/hdl/ISERDESE2.v
3,227
module MODULE1 ( VAR46, VAR23, VAR43, VAR21, VAR28, VAR26, VAR41, VAR32, VAR20, VAR25, VAR31, VAR1, VAR30, VAR37, VAR40, VAR4, VAR15, VAR14, VAR47, VAR6, VAR42, VAR10, VAR22, VAR24, VAR5, VAR39, VAR12, VAR45 ); parameter VAR16 = 0; parameter VAR9 = 0; parameter VAR34 = 0; parameter VAR8 = 0; parameter VAR18 = 0; parameter VAR36 = 0; parameter VAR7 = 0; parameter VAR19 = 0; parameter VAR3 = 0; parameter VAR29 = 0; parameter VAR38 = 0; parameter VAR44 = 0; parameter VAR13 = 0; parameter VAR27 = 0; parameter VAR2 = 0; parameter VAR33 = 0; parameter VAR17 = 0; input VAR1; input VAR30; input VAR37; input VAR40; input VAR4; input VAR15; input VAR14; input VAR47; input VAR6; input VAR42; input VAR10; input VAR22; input VAR24; input VAR5; input VAR39; input VAR12; input VAR45; output VAR46; output VAR23; output VAR43; output VAR21; output VAR28; output VAR26; output VAR41; output VAR32; output VAR20; output VAR25; output VAR31; reg [3:0] VAR11; reg [3:0] VAR35; always @ (posedge VAR40) VAR11[3:0] <= {VAR11[2:0],VAR47}; always @ (negedge VAR40) VAR35[3:0] <= {VAR35[2:0],VAR47}; assign VAR23 = VAR35[0]; assign VAR43 = VAR11[0]; assign VAR21 = VAR35[1]; assign VAR28 = VAR11[1]; assign VAR26 = VAR35[2]; assign VAR41 = VAR11[2]; assign VAR32 = VAR35[3]; assign VAR20 = VAR11[3]; assign VAR46=VAR47; assign VAR25=1'b0; assign VAR31=1'b0; endmodule
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi_protocol_converter.v
40,363
module MODULE1 #( parameter VAR90 = "VAR251", parameter integer VAR169 = 0, parameter integer VAR181 = 0, parameter integer VAR15 = 0, parameter integer VAR10 = 4, parameter integer VAR196 = 32, parameter integer VAR157 = 32, parameter integer VAR23 = 1, parameter integer VAR124 = 1, parameter integer VAR99 = 0, parameter integer VAR173 = 1, parameter integer VAR69 = 1, parameter integer VAR49 = 1, parameter integer VAR25 = 1, parameter integer VAR215 = 1, parameter integer VAR19 = 1 ) ( input wire VAR107, input wire VAR71, input wire [VAR10-1:0] VAR79, input wire [VAR196-1:0] VAR204, input wire [((VAR181 == 1) ? 4 : 8)-1:0] VAR132, input wire [3-1:0] VAR66, input wire [2-1:0] VAR128, input wire [((VAR181 == 1) ? 2 : 1)-1:0] VAR85, input wire [4-1:0] VAR59, input wire [3-1:0] VAR113, input wire [4-1:0] VAR93, input wire [4-1:0] VAR176, input wire [VAR173-1:0] VAR45, input wire VAR91, output wire VAR87, input wire [VAR10-1:0] VAR84, input wire [VAR157-1:0] VAR246, input wire [VAR157/8-1:0] VAR54, input wire VAR100, input wire [VAR49-1:0] VAR192, input wire VAR119, output wire VAR120, output wire [VAR10-1:0] VAR201, output wire [2-1:0] VAR116, output wire [VAR215-1:0] VAR41, output wire VAR121, input wire VAR81, input wire [VAR10-1:0] VAR235, input wire [VAR196-1:0] VAR110, input wire [((VAR181 == 1) ? 4 : 8)-1:0] VAR162, input wire [3-1:0] VAR258, input wire [2-1:0] VAR257, input wire [((VAR181 == 1) ? 2 : 1)-1:0] VAR226, input wire [4-1:0] VAR219, input wire [3-1:0] VAR9, input wire [4-1:0] VAR118, input wire [4-1:0] VAR117, input wire [VAR69-1:0] VAR166, input wire VAR13, output wire VAR193, output wire [VAR10-1:0] VAR75, output wire [VAR157-1:0] VAR61, output wire [2-1:0] VAR188, output wire VAR195, output wire [VAR25-1:0] VAR200, output wire VAR253, input wire VAR232, output wire [VAR10-1:0] VAR233, output wire [VAR196-1:0] VAR183, output wire [((VAR169 == 1) ? 4 : 8)-1:0] VAR170, output wire [3-1:0] VAR82, output wire [2-1:0] VAR50, output wire [((VAR169 == 1) ? 2 : 1)-1:0] VAR211, output wire [4-1:0] VAR231, output wire [3-1:0] VAR249, output wire [4-1:0] VAR148, output wire [4-1:0] VAR53, output wire [VAR173-1:0] VAR11, output wire VAR31, input wire VAR37, output wire [VAR10-1:0] VAR38, output wire [VAR157-1:0] VAR44, output wire [VAR157/8-1:0] VAR194, output wire VAR22, output wire [VAR49-1:0] VAR234, output wire VAR245, input wire VAR186, input wire [VAR10-1:0] VAR207, input wire [2-1:0] VAR86, input wire [VAR215-1:0] VAR275, input wire VAR137, output wire VAR238, output wire [VAR10-1:0] VAR189, output wire [VAR196-1:0] VAR58, output wire [((VAR169 == 1) ? 4 : 8)-1:0] VAR240, output wire [3-1:0] VAR222, output wire [2-1:0] VAR237, output wire [((VAR169 == 1) ? 2 : 1)-1:0] VAR224, output wire [4-1:0] VAR112, output wire [3-1:0] VAR263, output wire [4-1:0] VAR60, output wire [4-1:0] VAR142, output wire [VAR69-1:0] VAR8, output wire VAR182, input wire VAR123, input wire [VAR10-1:0] VAR94, input wire [VAR157-1:0] VAR35, input wire [2-1:0] VAR185, input wire VAR177, input wire [VAR25-1:0] VAR32, input wire VAR270, output wire VAR2 ); localparam VAR268 = 32'h0; localparam VAR62 = 32'h1; localparam VAR236 = 32'h2; localparam VAR259 = (VAR157 == 32) ? 3'b010 : 3'b011; localparam VAR88 = 2'b01; localparam VAR260 = 2'b11; localparam VAR102 = 2'b10; localparam integer VAR227 = 1; localparam integer VAR163 = 2; wire VAR122; wire VAR155; wire VAR172 ; wire VAR174 ; wire VAR133 ; wire VAR213; wire VAR129; wire VAR30; wire [VAR10-1:0] VAR266; wire [1:0] VAR264; wire [VAR215-1:0] VAR164; wire VAR24; wire VAR272; wire [VAR10-1:0] VAR18; wire [1:0] VAR152; wire [VAR25-1:0] VAR104; wire [VAR157-1:0] VAR108; wire VAR241; generate if ((VAR169 == VAR236) || (VAR181 == VAR236)) begin : VAR267 assign VAR233 = 0; assign VAR170 = 0; assign VAR82 = VAR259; assign VAR50 = VAR88; assign VAR211 = 0; assign VAR231 = 0; assign VAR148 = 0; assign VAR53 = 0; assign VAR11 = 0; assign VAR38 = 0; assign VAR22 = 1'b1; assign VAR234 = 0; assign VAR189 = 0; assign VAR240 = 0; assign VAR222 = VAR259; assign VAR237 = VAR88; assign VAR224 = 0; assign VAR112 = 0; assign VAR60 = 0; assign VAR142 = 0; assign VAR8 = 0; if (((VAR15 == 1) && (VAR19 != VAR163)) || (VAR181 == VAR236)) begin : VAR252 assign VAR183 = VAR204; assign VAR249 = VAR113; assign VAR31 = VAR122; assign VAR213 = VAR37; assign VAR44 = VAR246; assign VAR194 = VAR54; assign VAR245 = VAR172; assign VAR129 = VAR186; assign VAR266 = 0; assign VAR264 = VAR86; assign VAR164 = 0; assign VAR30 = VAR137; assign VAR238 = VAR174; assign VAR58 = VAR110; assign VAR263 = VAR9; assign VAR182 = VAR155; assign VAR24 = VAR123; assign VAR18 = 0; assign VAR108 = VAR35; assign VAR152 = VAR185; assign VAR241 = 1'b1; assign VAR104 = 0; assign VAR272 = VAR270; assign VAR2 = VAR133; end else if (VAR19 == VAR163) begin : VAR242 assign VAR164 = {VAR215{1'b0}}; assign VAR104 = {VAR25{1'b0}}; VAR243 #( .VAR181 (VAR181), .VAR10 (VAR10), .VAR196 (VAR196), .VAR157 (VAR157), .VAR23 (VAR23), .VAR124 (VAR124) ) VAR271 ( .VAR71 (VAR71), .VAR107 (VAR107), .VAR79 (VAR79), .VAR204 (VAR204), .VAR132 (VAR132), .VAR66 (VAR66), .VAR128 (VAR128), .VAR113 (VAR113), .VAR91 (VAR122), .VAR87 (VAR213), .VAR246 (VAR246), .VAR54 (VAR54), .VAR100 (VAR100), .VAR119 (VAR172), .VAR120 (VAR129), .VAR201 (VAR266), .VAR116 (VAR264), .VAR121 (VAR30), .VAR81 (VAR174), .VAR235 (VAR235), .VAR110 (VAR110), .VAR162 (VAR162), .VAR258 (VAR258), .VAR257 (VAR257), .VAR9 (VAR9), .VAR13 (VAR155), .VAR193 (VAR24), .VAR75 (VAR18), .VAR61 (VAR108), .VAR188 (VAR152), .VAR195 (VAR241), .VAR253 (VAR272), .VAR232 (VAR133), .VAR183 (VAR183), .VAR249 (VAR249), .VAR31 (VAR31), .VAR37 (VAR37), .VAR44 (VAR44), .VAR194 (VAR194), .VAR245 (VAR245), .VAR186 (VAR186), .VAR86 (VAR86), .VAR137 (VAR137), .VAR238 (VAR238), .VAR58 (VAR58), .VAR263 (VAR263), .VAR182 (VAR182), .VAR123 (VAR123), .VAR35 (VAR35), .VAR185 (VAR185), .VAR270 (VAR270), .VAR2 (VAR2) ); end else begin : VAR187 VAR78 #( .VAR90 (VAR90), .VAR10 (VAR10), .VAR196 (VAR196), .VAR157 (VAR157), .VAR23 (VAR23), .VAR124 (VAR124), .VAR25 (VAR25), .VAR215 (VAR215) ) VAR179 ( .VAR156 (VAR71), .VAR21 (VAR107), .VAR198 (VAR79), .VAR205 (VAR204), .VAR212 (VAR113), .VAR202 (VAR122), .VAR197 (VAR213), .VAR131 (VAR246), .VAR57 (VAR54), .VAR39 (VAR172), .VAR208 (VAR129), .VAR16 (VAR266), .VAR42 (VAR264), .VAR261 (VAR164), .VAR220 (VAR30), .VAR96 (VAR174), .VAR248 (VAR235), .VAR46 (VAR110), .VAR230 (VAR9), .VAR265 (VAR155), .VAR105 (VAR24), .VAR65 (VAR18), .VAR3 (VAR108), .VAR12 (VAR152), .VAR223 (VAR241), .VAR191 (VAR104), .VAR228 (VAR272), .VAR106 (VAR133), .VAR125 (VAR183), .VAR7 (VAR249), .VAR206 (VAR31), .VAR149 (VAR37), .VAR216 (VAR44), .VAR139 (VAR194), .VAR159 (VAR245), .VAR80 (VAR186), .VAR161 (VAR86), .VAR218 (VAR137), .VAR199 (VAR238), .VAR134 (VAR58), .VAR52 (VAR263), .VAR167 (VAR182), .VAR55 (VAR123), .VAR153 (VAR35), .VAR225 (VAR185), .VAR68 (VAR270), .VAR14 (VAR2) ); end end else if ((VAR169 == VAR62) && (VAR181 == VAR268)) begin : VAR67 VAR109 #( .VAR90 (VAR90), .VAR10 (VAR10), .VAR196 (VAR196), .VAR157 (VAR157), .VAR99 (VAR99), .VAR173 (VAR173), .VAR69 (VAR69), .VAR49 (VAR49), .VAR25 (VAR25), .VAR215 (VAR215), .VAR23 (VAR23), .VAR124 (VAR124), .VAR214 ((VAR19 == VAR163) ? 1 : 0) ) VAR43 ( .VAR156 (VAR71), .VAR21 (VAR107), .VAR198 (VAR79), .VAR205 (VAR204), .VAR147 (VAR132), .VAR247 (VAR66), .VAR98 (VAR128), .VAR64 (VAR85), .VAR89 (VAR59), .VAR212 (VAR113), .VAR273 (VAR176), .VAR6 (VAR45), .VAR202 (VAR122), .VAR197 (VAR213), .VAR131 (VAR246), .VAR57 (VAR54), .VAR97 (VAR100), .VAR210 (VAR192), .VAR39 (VAR172), .VAR208 (VAR129), .VAR16 (VAR266), .VAR42 (VAR264), .VAR261 (VAR164), .VAR220 (VAR30), .VAR96 (VAR174), .VAR248 (VAR235), .VAR46 (VAR110), .VAR127 (VAR162), .VAR165 (VAR258), .VAR184 (VAR257), .VAR101 (VAR226), .VAR274 (VAR219), .VAR230 (VAR9), .VAR51 (VAR117), .VAR63 (VAR166), .VAR265 (VAR155), .VAR105 (VAR24), .VAR65 (VAR18), .VAR3 (VAR108), .VAR12 (VAR152), .VAR223 (VAR241), .VAR191 (VAR104), .VAR228 (VAR272), .VAR106 (VAR133), .VAR276 (VAR233), .VAR125 (VAR183), .VAR279 (VAR170), .VAR229 (VAR82), .VAR221 (VAR50), .VAR150 (VAR211), .VAR180 (VAR231), .VAR7 (VAR249), .VAR160 (VAR53), .VAR280 (VAR11), .VAR206 (VAR31), .VAR149 (VAR37), .VAR171 (VAR38), .VAR216 (VAR44), .VAR139 (VAR194), .VAR168 (VAR22), .VAR26 (VAR234), .VAR159 (VAR245), .VAR80 (VAR186), .VAR20 (VAR207), .VAR161 (VAR86), .VAR29 (VAR275), .VAR218 (VAR137), .VAR199 (VAR238), .VAR158 (VAR189), .VAR134 (VAR58), .VAR126 (VAR240), .VAR269 (VAR222), .VAR115 (VAR237), .VAR278 (VAR224), .VAR92 (VAR112), .VAR52 (VAR263), .VAR277 (VAR142), .VAR141 (VAR8), .VAR167 (VAR182), .VAR55 (VAR123), .VAR262 (VAR94), .VAR153 (VAR35), .VAR225 (VAR185), .VAR48 (VAR177), .VAR255 (VAR32), .VAR68 (VAR270), .VAR14 (VAR2) ); assign VAR148 = 0; assign VAR60 = 0; end else if ((VAR181 == VAR62) && (VAR169 == VAR268)) begin : VAR40 assign VAR233 = VAR79; assign VAR183 = VAR204; assign VAR170 = {4'h0, VAR132[3:0]}; assign VAR82 = VAR66; assign VAR50 = VAR128; assign VAR211 = VAR85[0]; assign VAR231 = VAR59; assign VAR249 = VAR113; assign VAR148 = 4'h0; assign VAR53 = VAR176; assign VAR11 = VAR45; assign VAR31 = VAR122; assign VAR213 = VAR37; assign VAR38 = {VAR10{1'b0}} ; assign VAR44 = VAR246; assign VAR194 = VAR54; assign VAR22 = VAR100; assign VAR234 = VAR192; assign VAR245 = VAR172; assign VAR129 = VAR186; assign VAR266 = VAR207; assign VAR264 = VAR86; assign VAR164 = VAR275; assign VAR30 = VAR137; assign VAR238 = VAR174; assign VAR189 = VAR235; assign VAR58 = VAR110; assign VAR240 = {4'h0, VAR162[3:0]}; assign VAR222 = VAR258; assign VAR237 = VAR257; assign VAR224 = VAR226[0]; assign VAR112 = VAR219; assign VAR263 = VAR9; assign VAR60 = 4'h0; assign VAR142 = VAR117; assign VAR8 = VAR166; assign VAR182 = VAR155; assign VAR24 = VAR123; assign VAR18 = VAR94; assign VAR108 = VAR35; assign VAR152 = VAR185; assign VAR241 = VAR177; assign VAR104 = VAR32; assign VAR272 = VAR270; assign VAR2 = VAR133; end else begin :VAR70 assign VAR233 = VAR79; assign VAR183 = VAR204; assign VAR170 = VAR132; assign VAR82 = VAR66; assign VAR50 = VAR128; assign VAR211 = VAR85; assign VAR231 = VAR59; assign VAR249 = VAR113; assign VAR148 = VAR93; assign VAR53 = VAR176; assign VAR11 = VAR45; assign VAR31 = VAR122; assign VAR213 = VAR37; assign VAR38 = VAR84; assign VAR44 = VAR246; assign VAR194 = VAR54; assign VAR22 = VAR100; assign VAR234 = VAR192; assign VAR245 = VAR172; assign VAR129 = VAR186; assign VAR266 = VAR207; assign VAR264 = VAR86; assign VAR164 = VAR275; assign VAR30 = VAR137; assign VAR238 = VAR174; assign VAR189 = VAR235; assign VAR58 = VAR110; assign VAR240 = VAR162; assign VAR222 = VAR258; assign VAR237 = VAR257; assign VAR224 = VAR226; assign VAR112 = VAR219; assign VAR263 = VAR9; assign VAR60 = VAR118; assign VAR142 = VAR117; assign VAR8 = VAR166; assign VAR182 = VAR155; assign VAR24 = VAR123; assign VAR18 = VAR94; assign VAR108 = VAR35; assign VAR152 = VAR185; assign VAR241 = VAR177; assign VAR104 = VAR32; assign VAR272 = VAR270; assign VAR2 = VAR133; end if ((VAR19 == VAR227) && (((VAR181 != VAR236) && (VAR169 == VAR236)) || ((VAR181 == VAR268) && (VAR169 == VAR62)))) begin : VAR36 wire VAR151; reg VAR154; wire VAR178; reg VAR17; wire VAR4; wire VAR256; wire VAR217; reg VAR77; reg VAR28; wire VAR111; reg [VAR10-1:0] VAR244; reg [VAR10-1:0] VAR103; reg [8-1:0] VAR190; wire [VAR10-1:0] VAR250; wire [VAR10-1:0] VAR74; wire VAR209; wire VAR140; wire VAR33; wire VAR203; wire VAR254; wire VAR114; wire VAR72; wire VAR34; wire VAR239; wire VAR47; wire VAR146; reg VAR5; reg VAR138; reg VAR145; reg VAR175; reg VAR83; reg [4:0] VAR73; reg [4:0] VAR95; reg [4:0] VAR136; reg VAR144; reg VAR1; reg VAR130; assign VAR140 = (VAR169 == VAR236) ? (VAR132 != 0) : ((VAR132>>4) != 0); assign VAR33 = (VAR169 == VAR236) ? (VAR162 != 0) : ((VAR162>>4) != 0); assign VAR122 = VAR91 & VAR138 & ~VAR140; assign VAR151 = VAR154 & ~VAR203 & ~VAR254; assign VAR155 = VAR13 & VAR145 & ~VAR33; assign VAR178 = VAR17 & ~VAR114 ; assign VAR172 = VAR119 & (VAR254 | (VAR5 & ~VAR144)); assign VAR4 = VAR119 & VAR1; assign VAR174 = VAR81 & VAR203; assign VAR133 = VAR232 & VAR114; assign VAR87 = (VAR213 & VAR175) | VAR77; assign VAR120 = (VAR129 & (VAR254 | (VAR5 & ~VAR144))) | VAR111; assign VAR121 = (VAR30 & VAR203) | VAR256; assign VAR201 = VAR1 ? VAR250 : VAR266; assign VAR116 = VAR1 ? VAR102 : VAR264; assign VAR41 = VAR1 ? {VAR215{1'b0}} : VAR164; assign VAR193 = (VAR24 & VAR83) | VAR28; assign VAR253 = (VAR272 & VAR114) | VAR217; assign VAR75 = VAR130 ? VAR74 : VAR18; assign VAR188 = VAR130 ? VAR102 : VAR152; assign VAR200 = VAR130 ? {VAR25{1'b0}} : VAR104; assign VAR61 = VAR130 ? {VAR157{1'b0}} : VAR108; assign VAR195 = VAR130 ? VAR209 : VAR241; assign VAR203 = (VAR73 != 0); assign VAR254 = (VAR136 != 0); assign VAR114 = (VAR95 != 0); assign VAR72 = VAR122 & VAR213 & VAR175; assign VAR34 = VAR30 & VAR174; assign VAR239 = VAR172 & VAR129 & VAR100; assign VAR47 = VAR155 & VAR24 & VAR83; assign VAR146 = VAR272 & VAR133 & VAR241; always @(posedge VAR107) begin if (~VAR71) begin VAR138 <= 1'b0; VAR145 <= 1'b0; VAR175 <= 1'b0; VAR83 <= 1'b0; VAR154 <= 1'b0; VAR17 <= 1'b0; VAR77 <= 1'b0; VAR28 <= 1'b0; VAR73 <= 0; VAR136 <= 0; VAR95 <= 0; VAR1 <= 1'b0; VAR130 <= 1'b0; VAR144 <= 1'b0; VAR5 <= 1'b0; end else begin VAR77 <= 1'b0; if (VAR256 & VAR81) begin VAR138 <= 1'b1; VAR175 <= 1'b1; VAR1 <= 1'b0; end else if (VAR151) begin VAR154 <= 1'b0; VAR1 <= 1'b1; end else if (VAR91 & VAR140 & ~VAR154 & ~VAR1) begin VAR154 <= 1'b1; VAR77 <= ~(VAR213 & VAR138); VAR138 <= 1'b0; VAR175 <= 1'b0; end else if ((&VAR73) | (&VAR136) | VAR72) begin VAR138 <= 1'b0; VAR175 <= 1'b0; end else if (~VAR1 & ~VAR154 & ~(VAR91 & VAR140)) begin VAR138 <= 1'b1; VAR175 <= 1'b1; end if (VAR72 & ~VAR34) begin VAR73 <= VAR73 + 1; end else if (~VAR72 & VAR34 & (|VAR73)) begin VAR73 <= VAR73 - 1; end if (VAR72) begin if (~VAR239 & ~VAR144) begin VAR136 <= VAR136 + 1; end VAR144 <= 1'b0; end else if (~VAR72 & VAR239) begin if (|VAR136) begin VAR136 <= VAR136 - 1; end else begin VAR144 <= 1'b1; end end VAR5 <= VAR122 & ~VAR213; VAR28 <= 1'b0; if (VAR217 & VAR232 & VAR209) begin VAR145 <= 1'b1; VAR83 <= 1'b1; VAR130 <= 1'b0; end else if (VAR178) begin VAR17 <= 1'b0; VAR130 <= 1'b1; end else if (VAR13 & VAR33 & ~VAR17 & ~VAR130) begin VAR17 <= 1'b1; VAR28 <= ~(VAR24 & VAR145); VAR145 <= 1'b0; VAR83 <= 1'b0; end else if ((&VAR95) | VAR47) begin VAR145 <= 1'b0; VAR83 <= 1'b0; end else if (~VAR130 & ~VAR17 & ~(VAR13 & VAR33)) begin VAR145 <= 1'b1; VAR83 <= 1'b1; end if (VAR47 & ~VAR146) begin VAR95 <= VAR95 + 1; end else if (~VAR47 & VAR146 & (|VAR95)) begin VAR95 <= VAR95 - 1; end end end always @(posedge VAR107) begin if (VAR91 & ~VAR1 & ~VAR154 ) begin VAR244 <= VAR79; end if (VAR13 & ~VAR130 & ~VAR17 ) begin VAR103 <= VAR235; VAR190 <= VAR162; end end VAR27 # ( .VAR10 (VAR10), .VAR157 (VAR157), .VAR25 (VAR25), .VAR215 (VAR215), .VAR56 (VAR181), .VAR76 (VAR102), .VAR15 (VAR15) ) VAR135 ( .VAR21 (VAR107), .VAR156 (VAR71), .VAR198 (VAR244), .VAR202 (VAR151), .VAR197 (), .VAR97 (VAR100), .VAR39 (VAR4), .VAR208 (VAR111), .VAR16 (VAR250), .VAR42 (), .VAR261 (), .VAR220 (VAR256), .VAR96 (VAR81), .VAR248 (VAR103), .VAR127 (VAR190), .VAR265 (VAR178), .VAR105 (), .VAR65 (VAR74), .VAR3 (), .VAR12 (), .VAR191 (), .VAR223 (VAR209), .VAR228 (VAR217), .VAR106 (VAR232) ); end else begin : VAR143 assign VAR122 = VAR91; assign VAR155 = VAR13; assign VAR172 = VAR119; assign VAR174 = VAR81; assign VAR133 = VAR232; assign VAR87 = VAR213; assign VAR120 = VAR129; assign VAR121 = VAR30; assign VAR201 = VAR266; assign VAR116 = VAR264; assign VAR41 = VAR164; assign VAR193 = VAR24; assign VAR253 = VAR272; assign VAR75 = VAR18; assign VAR188 = VAR152; assign VAR200 = VAR104; assign VAR61 = VAR108; assign VAR195 = VAR241; end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/diode/sky130_fd_sc_hdll__diode.functional.pp.v
1,208
module MODULE1 ( VAR3, VAR4 , VAR2 , VAR5 , VAR1 ); input VAR3; input VAR4 ; input VAR2 ; input VAR5 ; input VAR1 ; endmodule
apache-2.0
olgirard/openmsp430
core/synthesis/altera/src/megawizard/stratix_dmem.v
7,625
module MODULE1 ( address, VAR28, VAR42, VAR22, VAR49, VAR55, VAR9); input [9:0] address; input [1:0] VAR28; input VAR42; input VAR22; input [15:0] VAR49; input VAR55; output [15:0] VAR9; tri1 [1:0] VAR28; tri1 VAR42; tri1 VAR22; wire [15:0] VAR34; wire [15:0] VAR9 = VAR34[15:0]; VAR43 VAR20 ( .VAR50 (VAR42), .VAR3 (VAR55), .VAR11 (VAR22), .VAR16 (VAR28), .VAR33 (address), .VAR40 (VAR49), .VAR21 (VAR34), .VAR31 (1'b0), .VAR51 (1'b0), .VAR5 (1'b1), .VAR6 (1'b0), .VAR41 (1'b0), .VAR54 (1'b1), .VAR2 (1'b1), .VAR47 (1'b1), .VAR53 (1'b1), .VAR48 (1'b1), .VAR17 (1'b1), .VAR10 (), .VAR38 (), .VAR23 (1'b1), .VAR52 (1'b1), .VAR8 (1'b0)); VAR20.VAR13 = "VAR7", VAR20.VAR14 = "VAR7", VAR20.VAR15 = 8, VAR20.VAR18 = "VAR7", VAR20.VAR25 = "VAR36", VAR20.VAR26 = "VAR39=VAR4", VAR20.VAR1 = "VAR43", VAR20.VAR29 = 1024, VAR20.VAR46 = "VAR27", VAR20.VAR19 = "VAR7", VAR20.VAR12 = "VAR35", VAR20.VAR37 = "VAR30", VAR20.VAR24 = 10, VAR20.VAR32 = 16, VAR20.VAR45 = 2, VAR20.VAR44 = "VAR7"; endmodule
bsd-3-clause
aospan/NetUP_Dual_Universal_CI-fpga
ip_compiler_for_pci_express-library/altpcie_reconfig_4sgx.v
71,781
module MODULE1 ( address, VAR19, VAR14, VAR23, VAR34, VAR5, VAR26, VAR39, VAR40, VAR33, VAR35, reset, VAR17, VAR24) ; input [15:0] address; output VAR19; input [15:0] VAR14; output [15:0] VAR23; input VAR34; output VAR5; output VAR26; output VAR39; input VAR40; input [8:0] VAR33; input VAR35; input reset; input VAR17; input VAR24; tri0 [15:0] VAR14; tri0 VAR35; tri0 reset; tri0 VAR17; tri0 VAR24; reg [31:0] VAR2; reg [15:0] VAR8; reg [15:0] VAR22; wire [2:0] VAR38; reg [2:0] VAR12; wire [2:0] VAR51; reg [2:0] VAR6; reg [31:0] VAR31; wire VAR43; wire VAR3; wire VAR42; wire VAR4; wire VAR16; wire [5:0] VAR15; wire [7:0] VAR9; wire VAR20; wire VAR48; wire VAR36; wire VAR7; wire VAR49; wire VAR1; wire VAR27; wire VAR11; wire VAR37; wire VAR18; wire VAR10; wire VAR13; wire VAR46; wire VAR45; wire VAR29; wire VAR25; wire VAR28; wire VAR47; wire VAR32; wire VAR41; wire VAR44; wire VAR21; wire VAR50; wire VAR30;
gpl-3.0
JakeMercer/mac
MAC/rtl/mac/utilities/utilities.v
1,939
module MODULE1 #( parameter VAR4 = 8, parameter VAR6 = 8, parameter VAR7 = 0 )( output reg [VAR6-1:0] VAR9, output reg VAR5, input wire [VAR4-1:0] VAR10, output reg VAR8, input wire VAR2 ); integer VAR1; integer VAR3; begin begin begin begin end begin begin begin end begin begin begin
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad7091_v1_00_a/hdl/verilog/axi_ad7091.v
11,814
module MODULE1( VAR32, VAR110, VAR98, VAR121, VAR109, VAR68, VAR40, VAR140, VAR148, VAR39, VAR136, VAR104, VAR129, VAR116, VAR26, VAR149, VAR155, VAR119, VAR108, VAR122, VAR62, VAR31, VAR1, VAR120, VAR23, VAR70, VAR85, VAR139, VAR100, VAR54, VAR91, VAR19, VAR115, VAR47, VAR50, VAR107, VAR45 ); parameter VAR30 = 0; parameter VAR12 = 0; parameter VAR41 = "VAR147"; parameter VAR80 = 32'hffff; parameter VAR125 = 32'hffffffff; parameter VAR43 = 32'h00000000; input VAR122; input VAR62; input VAR31; output VAR32; output VAR110; output VAR98; output VAR121; output VAR109; input VAR1; input VAR120; input VAR23; output VAR68; output [31:0] VAR40; output [ 3:0] VAR148; output VAR140; input VAR70; input VAR85; input VAR139; input VAR100; input [31:0] VAR54; output VAR39; input VAR91; input [31:0] VAR19; input [ 3:0] VAR45; output VAR136; output VAR104; output [ 1:0] VAR149; input VAR115; input VAR47; input [31:0] VAR50; output VAR129; output VAR116; output [ 1:0] VAR155; output [31:0] VAR26; input VAR107; output [7:0] VAR119; output [31:0] VAR108; reg VAR121; wire VAR124; wire VAR28; wire VAR83; wire VAR132; wire VAR67; wire VAR15; wire [15:0] VAR144; wire VAR58; wire VAR60; wire [31:0] VAR106; wire VAR81; wire [31:0] VAR59; wire VAR157; wire VAR73; wire VAR156; wire VAR158; wire VAR57; wire [31:0] VAR36; wire VAR112; wire VAR123; wire VAR152; wire [31:0] VAR65; wire [31:0] VAR27; wire VAR95; wire VAR78; wire VAR2; wire [13:0] VAR63; wire [31:0] VAR113; reg VAR146 = 0; reg [31:0] VAR34 = 32'b0; reg [31:0] VAR103 = 32'b0; reg VAR154 = 1'b0; assign VAR124 = VAR23; assign VAR123 = VAR70; assign VAR83 = VAR85; assign VAR28 = VAR139; assign VAR68 = VAR157; assign VAR40 = VAR59; assign VAR140 = VAR73; assign VAR148 = 4'hf; assign VAR67 = VAR85; assign VAR119 = {4'h0, VAR124, VAR81, VAR85, VAR15}; assign VAR108[15: 0] = VAR34[15:0]; assign VAR108[31:16] = 16'h0; assign VAR106 = 32'd0; assign VAR60 = (VAR106 == 32'd0) ? VAR121 : VAR1; assign VAR81 = (VAR106 == 32'd0) ? VAR109 : VAR120; always @(posedge VAR67) begin if (VAR132 == 1'b1) begin VAR121 <= 1'b0; end else begin VAR121 <= 1'b1; end end always @(posedge VAR67) begin VAR146 <= VAR15; VAR34 <= { 16'h0, VAR144 }; end always @(negedge VAR28 or posedge VAR83) begin if (VAR28 == 0) begin VAR103 <= 'd0; VAR154 <= 'd0; end else begin VAR103 <= VAR27; VAR154 <= VAR95; end end VAR18 #( .VAR151 (1) ) VAR99( .VAR105(VAR144), .VAR150(VAR15), .VAR32(VAR32), .VAR98(VAR98), .VAR75(VAR110), .VAR90(VAR122), .VAR49(VAR62), .VAR142(VAR132), .VAR31(VAR31), .VAR71(VAR58) ); VAR145 #( .VAR141 (32) ) VAR88( .VAR82(VAR157), .VAR66(VAR73), .VAR55(VAR59), .VAR94(VAR156), .VAR21(VAR158), .VAR135(VAR57), .VAR14(VAR36), .VAR76(VAR124), .VAR48(VAR112), .VAR4(VAR123), .VAR67(VAR67), .VAR56(VAR132), .VAR146(VAR146), .VAR34(VAR34), .VAR8(VAR81), .VAR7(VAR152), .VAR72(VAR65)); VAR131 VAR69( .VAR114(), .VAR134(1'b0), .VAR86(), .VAR153(), .VAR20(), .VAR53(), .VAR97(), .VAR6(), .VAR17(), .VAR74(5'b0), .VAR56(VAR132), .VAR35(), .VAR29(), .VAR111(), .VAR67(VAR67), .VAR159(VAR58), .VAR77(), .VAR3(), .VAR5(), .VAR10(32'b1), .VAR16(1'b0), .VAR79(16'b0), .VAR102(1'b0), .VAR101(), .VAR130(), .VAR42(), .VAR133(), .VAR127(), .VAR48(VAR112), .VAR8(VAR109), .VAR7(VAR152), .VAR72(VAR65), .VAR76(VAR124), .VAR94(VAR156), .VAR21(VAR158), .VAR135(VAR57), .VAR14(VAR36), .VAR13(VAR28), .VAR33(VAR83), .VAR11(VAR78), .VAR64(VAR2), .VAR46(VAR63), .VAR44(VAR113), .VAR103(VAR27), .VAR154(VAR95), .VAR25(), .VAR89(8'b0) ); VAR51 #( .VAR61 (VAR125), .VAR37 (VAR43)) VAR117( .VAR118(VAR39), .VAR137(VAR136), .VAR160(VAR104), .VAR138(VAR129), .VAR84(VAR116), .VAR92(VAR26), .VAR11(VAR78), .VAR64(VAR2), .VAR46(VAR63), .VAR44(VAR113), .VAR13(VAR28), .VAR33(VAR83), .VAR96(VAR100), .VAR22(VAR54), .VAR24(VAR91), .VAR93(VAR19), .VAR87(VAR115), .VAR38(VAR47), .VAR143(VAR50), .VAR126(VAR107), .VAR103(VAR103), .VAR154(VAR154), .VAR9(VAR45), .VAR128(VAR149), .VAR52(VAR155)); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/buf/gf180mcu_fd_sc_mcu7t5v0__buf_4.behavioral.v
1,093
module MODULE1( VAR1, VAR2 ); input VAR1; output VAR2; VAR3 VAR4(.VAR1(VAR1),.VAR2(VAR2)); VAR3 VAR5(.VAR1(VAR1),.VAR2(VAR2));
apache-2.0
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_processing_system7_0_0/hdl/processing_system7_bfm_v2_0_axi_slave.v
37,656
module MODULE1 ( VAR107, VAR46, VAR47, VAR18, VAR176, VAR166, VAR54, VAR161, VAR165, VAR6, VAR87, VAR76, VAR38, VAR169, VAR106, VAR11, VAR81, VAR56, VAR117, VAR179, VAR160, VAR5, VAR152, VAR19, VAR69, VAR70, VAR86, VAR53, VAR178, VAR25, VAR9, VAR64, VAR118, VAR68, VAR89, VAR95, VAR72, VAR113, VAR142, VAR62, VAR100, VAR59, VAR137, VAR170, VAR168, VAR123, VAR132, VAR43, VAR105, VAR24, VAR177, VAR155, VAR34, VAR33, VAR22, VAR49, VAR141, VAR14, VAR75, VAR66, VAR84 ); parameter VAR112 = 0; parameter VAR20 = "VAR91"; parameter VAR37 = 32; parameter VAR10 = 32; parameter VAR61 = 6; parameter VAR136 = 0; parameter VAR3 = 4; parameter VAR120 = 8; parameter VAR145 = 0; parameter VAR101 = 8; parameter VAR45 = 8; parameter VAR171 = VAR154(VAR101)+1; parameter VAR17 = VAR154(VAR45)+1; parameter VAR133 = VAR1+VAR61; parameter VAR110 = 0; parameter VAR63 = VAR1-1; parameter VAR126 = VAR63 + 1; parameter VAR51 = VAR126 + VAR61-1; input VAR107; output VAR46; output VAR47; output VAR18; output VAR176; output VAR166; output VAR54; output [VAR1-1:0] VAR161; output [VAR1-1:0] VAR165; output [VAR37-1:0] VAR6; output [VAR61-1:0] VAR87; output [VAR61-1:0] VAR76; input VAR38; input VAR169; input VAR106; input VAR11; input VAR81; input VAR56; input VAR117; input [VAR99-1:0] VAR179; input [VAR98-1:0] VAR160; input [VAR174-1:0] VAR5; input [VAR99-1:0] VAR152; input [VAR98-1:0] VAR19; input [VAR174-1:0] VAR69; input [VAR31-1:0] VAR70; input [VAR31-1:0] VAR86; input [VAR10-1:0] VAR53; input [VAR10-1:0] VAR178; input [VAR37-1:0] VAR25; input [VAR131-1:0] VAR9; input [VAR131-1:0] VAR64; input [VAR85-1:0] VAR62; input [VAR131-1:0] VAR118; input [VAR127-1:0] VAR68; input [VAR85-1:0] VAR142; input [(VAR37/8)-1:0] VAR89; input [VAR61-1:0] VAR95; input [VAR61-1:0] VAR72; input [VAR61-1:0] VAR113; input VAR100; input VAR137, VAR59; output reg VAR43, VAR132; output reg [VAR115-1:0] VAR168; output reg [VAR157-1:0] VAR170; output reg [VAR42:0] VAR123; output reg VAR155, VAR177, VAR34; output reg [VAR157-1:0] VAR33; input [VAR115-1:0] VAR49,VAR22, VAR141; output reg[VAR42:0] VAR14; input VAR75,VAR66, VAR84; output reg [VAR85-1:0] VAR105, VAR24; wire VAR116; wire VAR88; wire VAR35; real VAR104; VAR122 #(VAR20, VAR37, VAR10, VAR61, VAR136, (VAR3- VAR136), VAR120, 0, VAR145) VAR8 (.VAR172 (VAR38), .VAR16 (VAR107), .VAR60 (VAR72), .VAR175 (VAR178), .VAR23 (VAR68), .VAR79 (VAR69), .VAR163 (VAR152), .VAR96 (VAR19), .VAR48 (VAR118), .VAR97 (VAR86), .VAR121 (VAR88), .VAR12 (VAR47), .VAR2 (VAR113), .VAR173 (VAR25), .VAR164 (VAR89), .VAR83 (VAR56), .VAR181 (VAR35), .VAR109 (VAR54), .VAR147 (VAR87), .VAR4 (VAR161), .VAR73 (VAR18), .VAR15 (VAR11), .VAR143 (VAR95), .VAR65 (VAR53), .VAR52 (VAR64), .VAR93 (VAR5), .VAR111 (VAR179), .VAR57 (VAR160), .VAR39 (VAR9), .VAR103 (VAR70), .VAR144 (VAR116), .VAR134 (VAR46), .VAR180 (VAR76), .VAR74 (VAR6), .VAR67 (VAR165), .VAR78 (VAR176), .VAR135 (VAR166), .VAR92 (VAR81)); reg[1:0] VAR29 = VAR162; reg VAR21 = 1; reg VAR167 = 1'b1; reg [VAR128-1:0] VAR41 [0:VAR101-1]; reg [VAR171-1:0] VAR71 = 0, VAR90 = 0; wire VAR129; reg [7:0] VAR94 = 0, VAR114 = 0; real VAR124[0:VAR101]; reg VAR50[0:VAR101]; reg[VAR171-1:0] VAR36 = 0; reg [VAR174-1:0] VAR149 [0:VAR101-1]; reg [VAR31-1:0] VAR151 [0:VAR101-1]; reg [VAR98-1:0] VAR140 [0:VAR101-1]; reg [VAR131-1:0] VAR102 [0:VAR101-1]; reg [VAR99-1:0] VAR153 [0:VAR101-1]; reg [VAR127-1:0] VAR7 [0:VAR101-1]; reg VAR108 [0:VAR101-1]; reg [VAR157-1:0] VAR55 [0:VAR101-1]; reg [VAR61-1:0] VAR146 [0:VAR101-1]; reg [VAR85-1:0] VAR119 [0:VAR101-1]; wire VAR138; reg [(VAR37*VAR156)-1:0] VAR32 [0:VAR101-1]; reg [VAR42:0] VAR13 [0:VAR101-1]; reg VAR77 [0:VAR101-1]; wire VAR28; reg [VAR171-1:0] VAR30 = 0; reg [(VAR37*VAR156)-1:0] VAR125; reg [VAR157-1:0] VAR27; reg [VAR42:0] VAR82; reg [VAR171-1:0] VAR158 = 0; reg [VAR1-1:0] VAR148; reg [VAR133-1:0] VAR40 [0:VAR101-1]; reg VAR139; reg [VAR171-1:0] VAR159 = 0; integer VAR130; reg VAR44; wire VAR26; parameter VAR80 = 0, VAR150 = 1; reg state; reg [VAR85-1:0] VAR58, VAR119; begin end begin end begin end begin end begin end begin begin begin end begin end begin begin begin begin begin begin begin begin end begin end begin begin begin begin end begin
bsd-2-clause
borti4938/sd2snes
verilog/sd2snes_sdd1/mcu_cmd.v
12,051
module MODULE1( input clk, input VAR56, input VAR25, input [7:0] VAR39, input [7:0] VAR2, output [2:0] VAR22, output reg VAR13 = 0, output VAR12, output reg VAR15 = 0, input VAR7, output [7:0] VAR28, input [7:0] VAR44, output [7:0] VAR45, input [31:0] VAR16, input [2:0] VAR29, output [23:0] VAR49, output [23:0] VAR31, output [23:0] VAR10, output VAR34, input VAR20, input VAR54, input [7:0] VAR11, input VAR1, output [1:0] VAR23, output VAR14, output [10:0] VAR6, output [10:0] VAR53, output reg VAR32, output reg VAR52, output [10:0] VAR18, input VAR26, output reg VAR9 = 0, output reg VAR42 = 0, output reg [2:0] VAR8 = 3'b000, output reg VAR51 = 0, output reg [8:0] VAR24 = 0, output [13:0] VAR43, input [7:0] VAR55, output [5:0] VAR35, output [5:0] VAR3, output VAR4, input [31:0] VAR38, input [15:0] VAR33, input [7:0] VAR41, output [13:0] VAR37, output VAR47, output reg [15:0] VAR27, output reg VAR5, input VAR21, input [7:0] VAR17, output reg [7:0] VAR36, output reg [8:0] VAR50, output reg VAR46, output reg [7:0] VAR19, output reg [31:0] VAR48, output reg VAR40, output reg [15:0] VAR30 = 16'h0000 );
gpl-2.0
alexforencich/hdg2000
fpga/lib/axis/rtl/axis_rate_limit.v
6,470
module MODULE1 # ( parameter VAR35 = 8 ) ( input wire clk, input wire rst, input wire [VAR35-1:0] VAR28, input wire VAR25, output wire VAR12, input wire VAR21, input wire VAR4, output wire [VAR35-1:0] VAR24, output wire VAR18, input wire VAR3, output wire VAR17, output wire VAR22, input wire [7:0] VAR29, input wire [7:0] VAR32, input wire VAR8 ); reg [VAR35-1:0] VAR30; reg VAR31; reg VAR6 = 0; reg VAR26; reg VAR27; wire VAR11; reg [23:0] VAR33 = 0, VAR5; reg VAR19; reg VAR34 = 0, VAR15; reg VAR13 = 0, VAR14; assign VAR12 = VAR13; always @* begin VAR5 = VAR33; VAR19 = 0; VAR15 = VAR34 & ~VAR21; if (VAR33 >= VAR29) begin VAR5 = VAR33 - VAR29; end if (VAR12 & VAR25) begin VAR15 = ~VAR21; VAR5 = VAR33 + (VAR32 - VAR29); end if (VAR5 >= VAR29) begin if (VAR8) begin VAR19 = ~VAR15; end else begin VAR19 = 1; end end VAR14 = VAR11 & ~VAR19; VAR30 = VAR28; VAR31 = VAR25 & VAR12; VAR26 = VAR21; VAR27 = VAR4; end always @(posedge clk or posedge rst) begin if (rst) begin VAR33 <= 0; VAR34 <= 0; VAR13 <= 0; end else begin VAR33 <= VAR5; VAR34 <= VAR15; VAR13 <= VAR14; end end reg [VAR35-1:0] VAR1 = 0; reg VAR23 = 0; reg VAR7 = 0; reg VAR2 = 0; reg [VAR35-1:0] VAR9 = 0; reg VAR16 = 0; reg VAR20 = 0; reg VAR10 = 0; assign VAR24 = VAR1; assign VAR18 = VAR23; assign VAR17 = VAR7; assign VAR22 = VAR2; assign VAR11 = VAR3 | (~VAR16 & ~VAR23) | (~VAR16 & ~VAR31); always @(posedge clk or posedge rst) begin if (rst) begin VAR1 <= 0; VAR23 <= 0; VAR7 <= 0; VAR2 <= 0; VAR6 <= 0; VAR9 <= 0; VAR16 <= 0; VAR20 <= 0; VAR10 <= 0; end else begin VAR6 <= VAR11; if (VAR6) begin if (VAR3 | ~VAR23) begin VAR1 <= VAR30; VAR23 <= VAR31; VAR7 <= VAR26; VAR2 <= VAR27; end else begin VAR9 <= VAR30; VAR16 <= VAR31; VAR20 <= VAR26; VAR10 <= VAR27; end end else if (VAR3) begin VAR1 <= VAR9; VAR23 <= VAR16; VAR7 <= VAR20; VAR2 <= VAR10; VAR9 <= 0; VAR16 <= 0; VAR20 <= 0; VAR10 <= 0; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o22a/sky130_fd_sc_ls__o22a.pp.blackbox.v
1,385
module MODULE1 ( VAR9 , VAR5 , VAR7 , VAR4 , VAR6 , VAR1, VAR2, VAR8 , VAR3 ); output VAR9 ; input VAR5 ; input VAR7 ; input VAR4 ; input VAR6 ; input VAR1; input VAR2; input VAR8 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a311o/sky130_fd_sc_hs__a311o_4.v
2,310
module MODULE1 ( VAR7 , VAR2 , VAR3 , VAR10 , VAR8 , VAR4 , VAR1, VAR9 ); output VAR7 ; input VAR2 ; input VAR3 ; input VAR10 ; input VAR8 ; input VAR4 ; input VAR1; input VAR9; VAR5 VAR6 ( .VAR7(VAR7), .VAR2(VAR2), .VAR3(VAR3), .VAR10(VAR10), .VAR8(VAR8), .VAR4(VAR4), .VAR1(VAR1), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR7 , VAR2, VAR3, VAR10, VAR8, VAR4 ); output VAR7 ; input VAR2; input VAR3; input VAR10; input VAR8; input VAR4; supply1 VAR1; supply0 VAR9; VAR5 VAR6 ( .VAR7(VAR7), .VAR2(VAR2), .VAR3(VAR3), .VAR10(VAR10), .VAR8(VAR8), .VAR4(VAR4) ); endmodule
apache-2.0
chris-wood/yield
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ip/zc702_s00_data_fifo_0/synth/zc702_s00_data_fifo_0.v
10,808
module MODULE1 ( VAR20, VAR21, VAR101, VAR57, VAR99, VAR16, VAR92, VAR41, VAR46, VAR80, VAR45, VAR86, VAR29, VAR24, VAR87, VAR83, VAR12, VAR2, VAR113, VAR17, VAR55, VAR52, VAR23, VAR66, VAR61, VAR32, VAR112, VAR25, VAR56, VAR34, VAR110, VAR109, VAR40, VAR8, VAR105, VAR49, VAR70, VAR79, VAR72, VAR5 ); input wire VAR20; input wire VAR21; input wire [31 : 0] VAR101; input wire [2 : 0] VAR57; input wire VAR99; output wire VAR16; input wire [31 : 0] VAR92; input wire [3 : 0] VAR41; input wire VAR46; output wire VAR80; output wire [1 : 0] VAR45; output wire VAR86; input wire VAR29; input wire [31 : 0] VAR24; input wire [2 : 0] VAR87; input wire VAR83; output wire VAR12; output wire [31 : 0] VAR2; output wire [1 : 0] VAR113; output wire VAR17; input wire VAR55; output wire [31 : 0] VAR52; output wire [2 : 0] VAR23; output wire VAR66; input wire VAR61; output wire [31 : 0] VAR32; output wire [3 : 0] VAR112; output wire VAR25; input wire VAR56; input wire [1 : 0] VAR34; input wire VAR110; output wire VAR109; output wire [31 : 0] VAR40; output wire [2 : 0] VAR8; output wire VAR105; input wire VAR49; input wire [31 : 0] VAR70; input wire [1 : 0] VAR79; input wire VAR72; output wire VAR5; VAR108 #( .VAR51("VAR95"), .VAR58(2), .VAR90(1), .VAR100(32), .VAR98(32), .VAR18(0), .VAR116(1), .VAR53(1), .VAR35(1), .VAR39(1), .VAR63(1), .VAR27(512), .VAR75("VAR71"), .VAR84(1), .VAR74(512), .VAR19("VAR71"), .VAR7(1) ) VAR88 ( .VAR20(VAR20), .VAR21(VAR21), .VAR9(1'VAR3), .VAR101(VAR101), .VAR115(8'VAR28), .VAR81(3'VAR3), .VAR76(2'VAR3), .VAR106(1'VAR3), .VAR30(4'VAR3), .VAR57(VAR57), .VAR65(4'VAR3), .VAR14(4'VAR3), .VAR4(1'VAR3), .VAR99(VAR99), .VAR16(VAR16), .VAR50(1'VAR3), .VAR92(VAR92), .VAR41(VAR41), .VAR36(1'VAR82), .VAR13(1'VAR3), .VAR46(VAR46), .VAR80(VAR80), .VAR114(), .VAR45(VAR45), .VAR37(), .VAR86(VAR86), .VAR29(VAR29), .VAR103(1'VAR3), .VAR24(VAR24), .VAR33(8'VAR28), .VAR22(3'VAR3), .VAR77(2'VAR3), .VAR48(1'VAR3), .VAR94(4'VAR3), .VAR87(VAR87), .VAR47(4'VAR3), .VAR102(4'VAR3), .VAR68(1'VAR3), .VAR83(VAR83), .VAR12(VAR12), .VAR97(), .VAR2(VAR2), .VAR113(VAR113), .VAR85(), .VAR42(), .VAR17(VAR17), .VAR55(VAR55), .VAR44(), .VAR52(VAR52), .VAR78(), .VAR69(), .VAR43(), .VAR54(), .VAR67(), .VAR23(VAR23), .VAR104(), .VAR93(), .VAR1(), .VAR66(VAR66), .VAR61(VAR61), .VAR91(), .VAR32(VAR32), .VAR112(VAR112), .VAR64(), .VAR15(), .VAR25(VAR25), .VAR56(VAR56), .VAR26(1'VAR3), .VAR34(VAR34), .VAR59(1'VAR3), .VAR110(VAR110), .VAR109(VAR109), .VAR62(), .VAR40(VAR40), .VAR31(), .VAR89(), .VAR96(), .VAR11(), .VAR60(), .VAR8(VAR8), .VAR10(), .VAR107(), .VAR38(), .VAR105(VAR105), .VAR49(VAR49), .VAR73(1'VAR3), .VAR70(VAR70), .VAR79(VAR79), .VAR6(1'VAR82), .VAR111(1'VAR3), .VAR72(VAR72), .VAR5(VAR5) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a31o/sky130_fd_sc_hd__a31o.functional.pp.v
2,026
module MODULE1 ( VAR10 , VAR7 , VAR1 , VAR15 , VAR8 , VAR5, VAR9, VAR12 , VAR3 ); output VAR10 ; input VAR7 ; input VAR1 ; input VAR15 ; input VAR8 ; input VAR5; input VAR9; input VAR12 ; input VAR3 ; wire VAR2 ; wire VAR4 ; wire VAR17; and VAR13 (VAR2 , VAR15, VAR7, VAR1 ); or VAR11 (VAR4 , VAR2, VAR8 ); VAR16 VAR14 (VAR17, VAR4, VAR5, VAR9); buf VAR6 (VAR10 , VAR17 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/invkapwr/sky130_fd_sc_lp__invkapwr.functional.pp.v
1,841
module MODULE1 ( VAR7 , VAR9 , VAR4 , VAR8 , VAR1, VAR5 , VAR11 ); output VAR7 ; input VAR9 ; input VAR4 ; input VAR8 ; input VAR1; input VAR5 ; input VAR11 ; wire VAR2 ; wire VAR10; not VAR12 (VAR2 , VAR9 ); VAR3 VAR13 (VAR10, VAR2, VAR1, VAR8); buf VAR6 (VAR7 , VAR10 ); endmodule
apache-2.0
SymbiFlow/fpga-tool-perf
third_party/daisho-usb3/usb3_descramble.v
7,460
module MODULE1 ( input wire VAR34, input wire VAR41, input wire VAR8, input wire enable, input wire [1:0] VAR32, input wire [5:0] VAR12, input wire [1:0] VAR18, input wire [3:0] VAR21, input wire [31:0] VAR28, output reg [3:0] VAR4, output reg [31:0] VAR40, output reg VAR25, output reg VAR43 ); wire [3:0] VAR11 = { (VAR28[31:24] == 8'h3C) & VAR21[3], (VAR28[23:16] == 8'h3C) & VAR21[2], (VAR28[15:8] == 8'h3C) & VAR21[1], (VAR28[7:0] == 8'h3C) & VAR21[0] }; wire [3:0] VAR42 = { (VAR19[31:24] == 8'hBC) & VAR20[3], (VAR19[23:16] == 8'hBC) & VAR20[2], (VAR19[15:8] == 8'hBC) & VAR20[1], (VAR19[7:0] == 8'hBC) & VAR20[0] }; reg [31:0] VAR30; reg [3:0] VAR37; reg [2:0] VAR33; reg [1:0] VAR39; always @(posedge VAR41) begin case(VAR11) 4'b0000: begin VAR30 <= VAR28; VAR37 <= VAR21; end 4'b0001: begin VAR30 <= VAR28[31:8]; VAR37 <= VAR21[3:1]; end 4'b0010: begin VAR30 <= {VAR28[31:16], VAR28[7:0]}; VAR37 <= {VAR21[3:2], VAR21[0]}; end 4'b0011: begin VAR30 <= VAR28[31:16]; VAR37 <= VAR21[3:2]; end 4'b0100: begin VAR30 <= {VAR28[31:24], VAR28[15:0]}; VAR37 <= {VAR21[3], VAR21[1:0]}; end 4'b0110: begin VAR30 <= {VAR28[31:24], VAR28[7:0]}; VAR37 <= {VAR21[3], VAR21[0]}; end 4'b0111: begin VAR30 <= VAR28[31:24]; VAR37 <= VAR21[3]; end 4'b1110: begin VAR30 <= VAR28[7:0]; VAR37 <= VAR21[0]; end 4'b1100: begin VAR30 <= VAR28[15:0]; VAR37 <= VAR21[1:0]; end 4'b1000: begin VAR30 <= VAR28[23:0]; VAR37 <= VAR21[2:0]; end 4'b1111: begin VAR30 <= 0; VAR37 <= 0; end default: begin {VAR30, VAR37} <= 0; VAR43 <= 1; end endcase VAR33 <= 3'h4 - (VAR11[3] + VAR11[2] + VAR11[1] + VAR11[0]); VAR39 <= VAR32; if(~VAR8) begin VAR43 <= 0; end end reg [5:0] VAR7; reg [63:0] VAR3; reg [7:0] VAR44; reg [2:0] VAR1; always @(posedge VAR41) begin case(VAR33) 0: begin end 1: begin VAR3 <= {VAR3[55:0], VAR30[7:0]}; VAR44 <= {VAR44[6:0], VAR37[0:0]}; VAR1 <= VAR1 + 3'd1; end 2: begin VAR3 <= {VAR3[47:0], VAR30[15:0]}; VAR44 <= {VAR44[5:0], VAR37[1:0]}; VAR1 <= VAR1 + 3'd2; end 3: begin VAR3 <= {VAR3[39:0], VAR30[23:0]}; VAR44 <= {VAR44[4:0], VAR37[2:0]}; VAR1 <= VAR1 + 3'd3; end 4: begin VAR3 <= {VAR3[31:0], VAR30[31:0]}; VAR44 <= {VAR44[3:0], VAR37[3:0]}; VAR1 <= VAR1 + 3'd4; end endcase VAR24 <= VAR39; VAR36 <= (VAR1 > 3); case(VAR1) 4: begin {VAR19, VAR20} <= {VAR3[31:0], VAR44[3:0]}; VAR1 <= 3'd0 + VAR33; end 5: begin {VAR19, VAR20} <= {VAR3[39:8], VAR44[4:1]}; VAR1 <= 3'd1 + VAR33; end 6: begin {VAR19, VAR20} <= {VAR3[47:16], VAR44[5:2]}; VAR1 <= 3'd2 + VAR33; end 7: begin {VAR19, VAR20} <= {VAR3[55:24], VAR44[6:3]}; VAR1 <= 3'd3 + VAR33; end endcase if(~VAR8) begin VAR1 <= 0; end end reg [31:0] VAR19 ; reg [3:0] VAR20; reg VAR36; reg [1:0] VAR24; reg [2:0] VAR14; reg [2:0] VAR5; always @(posedge VAR41) begin if(VAR5 < 3) VAR5 <= VAR5 + 1'b1; if(|VAR42) VAR5 <= 0; case(VAR42) 4'b1111: begin VAR14 <= 0; end 4'b1110: begin VAR14 <= 1; end 4'b1100: begin VAR14 <= 2; end 4'b1000: begin VAR14 <= 3; end endcase if(VAR36) begin VAR22[31:24] <= VAR19[31:24] ^ (VAR20[3] ? 8'h0 : VAR16[31:24]); VAR22[23:16] <= VAR19[23:16] ^ (VAR20[2] ? 8'h0 : VAR16[23:16]); VAR22[15:8] <= VAR19[15:8] ^ (VAR20[1] ? 8'h0 : VAR16[15:8]); VAR22[7:0] <= VAR19[7:0] ^ (VAR20[0] ? 8'h0 : VAR16[7:0]); VAR10 <= VAR20; case(VAR14) 0: VAR16 <= {VAR38}; 1: VAR16 <= {VAR38[23:0], VAR31[31:24]}; 2: VAR16 <= {VAR38[15:0], VAR31[31:16]}; 3: VAR16 <= {VAR38[7:0], VAR31[31:8]}; endcase VAR38 <= VAR31; end VAR6 <= VAR36; if(~VAR24 || ~VAR36) begin VAR22 <= 32'h0; VAR10 <= 4'b0; VAR6 <= 0; end end reg [31:0] VAR22; reg [3:0] VAR10; reg VAR6; always @(posedge VAR41) begin VAR40 <= VAR22; VAR4 <= VAR10; VAR25 <= VAR6; end reg [31:0] VAR16; reg [31:0] VAR38; wire VAR17 = |VAR42 || (VAR5 < 3); wire VAR27 = enable && !VAR17; wire [31:0] VAR29; wire [31:0] VAR31 = VAR27 ? {VAR29[7:0], VAR29[15:8], VAR29[23:16], VAR29[31:24]} : 0; VAR26 VAR9( .VAR34 ( VAR41 ), .VAR8 ( VAR8 ), .VAR23 ( 32'h0 ), .VAR13 ( VAR36 ), .VAR15 ( |VAR42 ), .VAR2 ( 16'h7DBD ), .VAR35 ( VAR29 ) ); endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/mux2i/sky130_fd_sc_ms__mux2i.behavioral.v
1,654
module MODULE1 ( VAR2 , VAR4, VAR12, VAR7 ); output VAR2 ; input VAR4; input VAR12; input VAR7 ; supply1 VAR5; supply0 VAR11; supply1 VAR8 ; supply0 VAR3 ; wire VAR9; VAR6 VAR1 (VAR9, VAR4, VAR12, VAR7 ); buf VAR10 (VAR2 , VAR9); endmodule
apache-2.0
cpulabs/mist1032sa
src/dps/device/utim64/dps_utim64.v
6,674
module MODULE1( input wire VAR38, input wire VAR4, input wire VAR21, input wire VAR28, output wire VAR29, input wire VAR36, input wire [4:0] VAR9, input wire [31:0] VAR17, output wire VAR5, output wire [31:0] VAR11, output wire VAR22, input wire VAR34 ); wire [3:0] VAR6; wire [3:0] VAR33; reg [1:0] VAR18; reg [7:0] VAR1; reg VAR20; reg [7:0] VAR3; wire VAR26; wire VAR25; wire VAR32; wire VAR31; wire VAR14; assign VAR32 = !VAR26 && !VAR25 && VAR28 && (VAR9 >= 5'h0 && VAR9 <= 5'he); assign VAR31 = !VAR26 && !VAR25 && VAR28 && (VAR9 >= 5'h10 && VAR9 <= 5'h1e); assign VAR14 = !VAR26 && !VAR25 && VAR28 && !VAR36 && (VAR9 == 5'h1f); wire VAR37; wire VAR24; wire [31:0] VAR2; wire [31:0] VAR39; parameter VAR19 = 1'h0; parameter VAR8 = 1'h1; reg [1:0] VAR30; reg VAR12; always@(posedge VAR38 or negedge VAR4)begin if(!VAR4)begin VAR12 <= VAR19; VAR30 <= 2'b00; end else begin case(VAR12) VAR19: begin if(!VAR36)begin if(VAR32)begin VAR12 <= VAR8; VAR30 <= 2'b00; end else if(VAR31)begin VAR12 <= VAR8; VAR30 <= 2'b01; end else if(VAR14)begin VAR12 <= VAR8; VAR30 <= 2'b10; end end end VAR8: begin if(VAR37 || VAR24 || VAR20)begin VAR12 <= VAR19; end end endcase end end VAR10 VAR13( .VAR27(VAR38), .VAR21(VAR21), .VAR4(VAR4), .VAR28(VAR32), .VAR29(VAR26), .VAR36(VAR36), .VAR9(VAR9[3:0]), .VAR17(VAR17), .VAR5(VAR37), .VAR11(VAR2), .VAR35(VAR6) ); VAR10 VAR7( .VAR27(VAR38), .VAR21(VAR21), .VAR4(VAR4), .VAR28(VAR31), .VAR29(VAR25), .VAR36(VAR36), .VAR9({1'b0, VAR9[2:0]}), .VAR17(VAR17), .VAR5(VAR24), .VAR11(VAR39), .VAR35(VAR33) ); parameter VAR16 = 2'h0; parameter VAR15 = 2'h1; parameter VAR23 = 2'h2; always@(posedge VAR38 or negedge VAR4)begin if(!VAR4)begin VAR18 <= VAR16; VAR1 <= 8'h0; end else begin case(VAR18) VAR16: begin if(|{VAR6, VAR33})begin VAR18 <= VAR15; VAR1 <= VAR1 | {VAR33, VAR6}; end begin VAR1 <= VAR1 | {VAR33, VAR6}; end end VAR15: begin if(VAR34)begin VAR18 <= VAR23; end VAR1 <= VAR1 | {VAR33, VAR6}; end VAR23: begin if(VAR14)begin VAR18 <= VAR16; VAR1 <= {VAR33, VAR6}; end else begin VAR1 <= VAR1 | {VAR33, VAR6}; end end default: begin VAR18 <= VAR16; end endcase end end always@(posedge VAR38 or negedge VAR4)begin if(!VAR4)begin VAR20 <= 1'b0; VAR3 <= 8'h0; end else begin VAR20 <= VAR14; VAR3 <= VAR1; end end assign VAR22 = (VAR18 == VAR15)? 1'b1 : 1'b0; assign VAR29 = (VAR12 != VAR19) || VAR26 || VAR25; assign VAR5 = VAR37 || VAR24 || VAR20; assign VAR11 = (VAR30 == 2'h0)? VAR2 : ( (VAR30 == 2'h1)? VAR39 : VAR3 ); endmodule
bsd-2-clause
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
Gaussian_Filter/ip/Gaussian_Filter/vfabric_fptoui.v
2,403
module MODULE1(VAR11, VAR5, VAR18, VAR3, VAR25, VAR23, VAR1, VAR20); parameter VAR16 = 32; parameter VAR15 = 3; parameter VAR6 = 64; input VAR11, VAR5; input [VAR16-1:0] VAR18; input VAR3; output VAR25; output [VAR16-1:0] VAR23; input VAR1; output VAR20; reg [VAR15-1:0] VAR21; wire [VAR16-1:0] VAR2; wire VAR19; wire VAR24; wire VAR12; VAR17 VAR14 ( .VAR11(VAR11), .VAR5(VAR5), .VAR28(VAR18), .VAR22(VAR2), .VAR29(VAR3), .VAR13( VAR19 ), .VAR26(VAR12), .VAR8(VAR25) ); VAR4 VAR10( .VAR11(VAR11), .enable(~VAR24), .VAR5(VAR5), .VAR27(VAR2), .VAR9(VAR23)); always @(posedge VAR11 or negedge VAR5) begin if (~VAR5) begin VAR21 <= {VAR15{1'b0}}; end else begin if(~VAR24) VAR21 <= { VAR19, VAR21[VAR15-1:1] }; end end assign VAR24 = (VAR21[0] & VAR1); assign VAR12 = (VAR21[0] & VAR1) | ~VAR19; assign VAR20 = VAR21[0]; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/busdrivernovlpsleep/sky130_fd_sc_lp__busdrivernovlpsleep.symbol.v
1,543
module MODULE1 ( input VAR9 , output VAR8 , input VAR1 , input VAR7 ); supply1 VAR3 ; supply0 VAR5 ; supply1 VAR2; supply1 VAR4 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and3b/sky130_fd_sc_hdll__and3b.functional.v
1,389
module MODULE1 ( VAR4 , VAR5, VAR1 , VAR3 ); output VAR4 ; input VAR5; input VAR1 ; input VAR3 ; wire VAR9 ; wire VAR2; not VAR6 (VAR9 , VAR5 ); and VAR7 (VAR2, VAR3, VAR9, VAR1 ); buf VAR8 (VAR4 , VAR2 ); endmodule
apache-2.0
kactus2/ipxactexamplelib
tut.fi/cpu.logic/instruction_decoder/1.0/instruction_decoder.v
8,411
module MODULE1 #( parameter VAR9 = 4, parameter VAR32 = 16, parameter VAR13 = 4, parameter VAR21 = VAR13+2*VAR9+VAR32, parameter VAR30 = 16, parameter VAR40 = 3, parameter VAR11 = 8 ) ( input VAR19, input VAR6, input [VAR30-1:0] VAR25, input [VAR30-1:0] VAR4, input VAR26, output reg VAR14, output reg [VAR40-1:0] VAR46, output reg [VAR9-1:0] VAR3, output reg [VAR9-1:0] VAR37, output reg VAR31, output reg VAR43, output reg [VAR30-1:0] VAR23, output reg VAR28, input [VAR21-1:0] VAR8, output [VAR11-1:0] VAR34 ); parameter [VAR13-1:0] VAR33 = 4'b0000, VAR22 = 4'b0001, VAR10 = 4'b0010, VAR2 = 4'b0011, VAR39 = 4'b0100, VAR17 = 4'b0101, VAR15 = 4'b1000, VAR12 = 4'b1001, VAR20 = 4'b1010, VAR42 = 4'b1011, VAR27 = 4'b1100, VAR45 = 4'b1111; reg [VAR11-1:0] VAR18; integer VAR29; integer VAR7; reg [VAR13-1:0] VAR44; reg [VAR30:0] VAR5; reg [VAR11-1:0] VAR24; reg VAR35; reg VAR41; reg VAR36; reg [VAR30:0] VAR38; reg VAR1; assign VAR34 = VAR24; always @* begin if (VAR31)begin if (VAR26) begin VAR35 <= 0; VAR24 <= VAR18 + 1; end else begin VAR35 <= 1; VAR24 <= VAR18; end VAR38 <= 0; VAR1 <= 0; VAR41 <= VAR28; VAR36 <= 0; end else begin VAR44 = VAR8[VAR21-1:VAR21-4]; VAR29 = VAR8[VAR21-5:VAR21-8]; VAR7 = VAR8[VAR21-9:VAR21-12]; VAR5 <= VAR8[VAR32-1:0]; if (VAR44 == VAR15 || VAR44 == VAR12 || VAR44 == VAR20 || VAR44 == VAR42) begin VAR36 <= 1; end else begin VAR36 <= 0; end if (VAR44 == VAR39 || (VAR44 == VAR17 && !VAR25[alu.VAR16])) begin VAR35 <= 0; VAR24 = VAR5; end else if (VAR44 == VAR10 || VAR44 == VAR2) begin VAR35 <= 1; VAR24 = VAR18; end else begin VAR35 <= 0; VAR24 = VAR18 + 1; end if (VAR44 == VAR2) begin VAR41 <= 1; end else begin VAR41 <= 0; end if (VAR44 == VAR22) begin VAR1 <= 1; VAR38 <= VAR5; end else begin VAR1 <= 0; end end end always @(posedge VAR19 or posedge VAR6) begin if(VAR6 == 1'b1) begin VAR14 <= 0; VAR46 <= 0; VAR3 <= 0; VAR37 <= 0; VAR31 <= 0; VAR28 <= 0; VAR18 <= 0; VAR23 <= 0; VAR43 <= 0; end else begin VAR3 <= VAR29; VAR37 <= VAR7; VAR23 <= VAR38; VAR43 <= VAR1; VAR14 <= VAR36; VAR18 <= VAR24; VAR31 <= VAR35; VAR28 <= VAR41; VAR46 <= VAR44[VAR40-1:0]; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
models/udp_dff_nsr/sky130_fd_sc_hd__udp_dff_nsr.symbol.v
1,408
module MODULE1 ( input VAR5 , output VAR2 , input VAR1, input VAR3 , input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o2bb2ai/sky130_fd_sc_lp__o2bb2ai_0.v
2,411
module MODULE1 ( VAR1 , VAR4, VAR9, VAR2 , VAR6 , VAR8, VAR7, VAR3 , VAR10 ); output VAR1 ; input VAR4; input VAR9; input VAR2 ; input VAR6 ; input VAR8; input VAR7; input VAR3 ; input VAR10 ; VAR11 VAR5 ( .VAR1(VAR1), .VAR4(VAR4), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6), .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR3), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR1 , VAR4, VAR9, VAR2 , VAR6 ); output VAR1 ; input VAR4; input VAR9; input VAR2 ; input VAR6 ; supply1 VAR8; supply0 VAR7; supply1 VAR3 ; supply0 VAR10 ; VAR11 VAR5 ( .VAR1(VAR1), .VAR4(VAR4), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/ip/hdl/verilog/image_filter_CvtColor.v
27,315
module MODULE1 ( VAR73, VAR117, VAR66, VAR57, VAR22, VAR123, VAR72, VAR45, VAR13, VAR33, VAR48, VAR2, VAR114, VAR94, VAR4, VAR133, VAR16, VAR105, VAR38, VAR93 ); parameter VAR125 = 1'b1; parameter VAR21 = 1'b0; parameter VAR78 = 4'b1; parameter VAR76 = 4'b10; parameter VAR27 = 4'b100; parameter VAR25 = 4'b1000; parameter VAR110 = 32'b00000000000000000000000000000000; parameter VAR31 = 1'b1; parameter VAR87 = 32'b1; parameter VAR131 = 32'b10; parameter VAR70 = 1'b0; parameter VAR24 = 32'b11; parameter VAR3 = 11'b00000000000; parameter VAR35 = 11'b1; parameter VAR15 = 31'b10011001000101101000011; parameter VAR119 = 30'b111010010111100011010; parameter VAR62 = 32'b100101100100010110100001; parameter VAR85 = 32'b11000; parameter VAR49 = 32'b11111; parameter VAR109 = 32'b10111; parameter VAR101 = 32'b111; parameter VAR132 = 8'b11111111; parameter VAR34 = 1'b1; input VAR73; input VAR117; input VAR66; output VAR57; output VAR22; output VAR123; input [11:0] VAR72; input [11:0] VAR45; input [7:0] VAR13; input VAR33; output VAR48; input [7:0] VAR2; input VAR114; output VAR94; input [7:0] VAR4; input VAR133; output VAR16; output [7:0] VAR105; input VAR38; output VAR93; reg VAR57; reg VAR22; reg VAR123; reg VAR48; reg VAR94; reg VAR16; reg VAR93; reg [3:0] VAR60 = 4'b1; reg VAR42; reg VAR127; reg [10:0] VAR36; wire [0:0] VAR50; reg VAR83; reg VAR129; wire [10:0] VAR37; reg [10:0] VAR12; wire [0:0] VAR112; reg [0:0] VAR30; reg VAR97; reg VAR40; reg VAR98 = 1'b0; reg VAR74; reg VAR32 = 1'b0; reg VAR54 = 1'b0; reg VAR69 = 1'b0; reg VAR81 = 1'b0; reg VAR56 = 1'b0; reg [0:0] VAR121; reg VAR107; reg VAR6 = 1'b0; reg [0:0] VAR53; reg [0:0] VAR113; reg [0:0] VAR20; reg [0:0] VAR80; wire [10:0] VAR89; reg [7:0] VAR126; reg [7:0] VAR90; reg [7:0] VAR52; wire [30:0] VAR96; reg [30:0] VAR44; wire [31:0] VAR122; reg [31:0] VAR128; reg [0:0] VAR91; wire [7:0] VAR75; reg [7:0] VAR41; reg [0:0] VAR14; reg [10:0] VAR68; reg VAR106; reg VAR18; wire [11:0] VAR111; wire [11:0] VAR71; wire [7:0] VAR47; wire [23:0] VAR39; wire [7:0] VAR55; wire [21:0] VAR118; wire [7:0] VAR58; wire [24:0] VAR43; wire [29:0] VAR7; wire [30:0] VAR86; wire [30:0] VAR92; wire [31:0] VAR11; wire [31:0] VAR84; wire [0:0] VAR51; wire [7:0] VAR99; wire [7:0] VAR8; wire [0:0] VAR26; wire [0:0] VAR67; reg VAR63; reg VAR115; reg VAR5; reg [3:0] VAR100; wire [30:0] VAR120; wire [29:0] VAR95; wire [31:0] VAR108; VAR64 #( .VAR29( 1 ), .VAR104( 3 ), .VAR46( 8 ), .VAR77( 24 ), .VAR88( 31 )) VAR103( .clk( VAR73 ), .reset( VAR117 ), .VAR130( VAR47 ), .VAR28( VAR39 ), .VAR102( VAR63 ), .dout( VAR96 ) ); VAR17 #( .VAR29( 1 ), .VAR104( 3 ), .VAR46( 8 ), .VAR77( 22 ), .VAR88( 30 )) VAR19( .clk( VAR73 ), .reset( VAR117 ), .VAR130( VAR55 ), .VAR28( VAR118 ), .VAR102( VAR115 ), .dout( VAR7 ) ); VAR61 #( .VAR29( 1 ), .VAR104( 3 ), .VAR46( 8 ), .VAR77( 25 ), .VAR88( 32 )) VAR23( .clk( VAR73 ), .reset( VAR117 ), .VAR130( VAR58 ), .VAR28( VAR43 ), .VAR102( VAR5 ), .dout( VAR11 ) ); always @ (posedge VAR73) begin : VAR9 if (VAR117 == 1'b1) begin VAR60 <= VAR78; end else begin VAR60 <= VAR100; end end always @ (posedge VAR73) begin : VAR65 if (VAR117 == 1'b1) begin VAR98 <= VAR21; end else begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR112 == VAR70))) begin VAR98 <= VAR21; end else if (((VAR125 == VAR83) & (VAR50 == VAR70))) begin VAR98 <= VAR125; end end end always @ (posedge VAR73) begin : VAR82 if (VAR117 == 1'b1) begin VAR32 <= VAR21; end else begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & (VAR112 == VAR70))) begin VAR32 <= VAR125; end else if ((((VAR125 == VAR83) & (VAR50 == VAR70)) | ((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR112 == VAR70)))) begin VAR32 <= VAR21; end end end always @ (posedge VAR73) begin : VAR1 if (VAR117 == 1'b1) begin VAR54 <= VAR21; end else begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR54 <= VAR32; end end end always @ (posedge VAR73) begin : VAR124 if (VAR117 == 1'b1) begin VAR69 <= VAR21; end else begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR69 <= VAR54; end end end always @ (posedge VAR73) begin : VAR116 if (VAR117 == 1'b1) begin VAR81 <= VAR21; end else begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR81 <= VAR69; end end end always @ (posedge VAR73) begin : VAR59 if (VAR117 == 1'b1) begin VAR56 <= VAR21; end else begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR56 <= VAR81; end end end always @ (posedge VAR73) begin : VAR10 if (VAR117 == 1'b1) begin VAR6 <= VAR21; end else begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR6 <= VAR56; end else if (((VAR125 == VAR83) & (VAR50 == VAR70))) begin VAR6 <= VAR21; end end end always @(posedge VAR73) begin if (((VAR125 == VAR42) & ~(VAR66 == VAR21))) begin VAR68 <= VAR3; end else if ((VAR125 == VAR106)) begin VAR68 <= VAR12; end end always @(posedge VAR73) begin if (((VAR125 == VAR97) & (VAR125 == VAR98) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & (VAR112 == VAR70))) begin VAR36 <= VAR89; end else if (((VAR125 == VAR83) & (VAR50 == VAR70))) begin VAR36 <= VAR3; end end always @(posedge VAR73) begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR53 <= VAR30; VAR30 <= VAR112; end end always @(posedge VAR73) begin if (~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6)))) begin VAR113 <= VAR53; VAR20 <= VAR113; VAR80 <= VAR20; VAR121 <= VAR80; VAR52 <= VAR90; end end always @(posedge VAR73) begin if ((VAR125 == VAR83)) begin VAR12 <= VAR37; end end always @(posedge VAR73) begin if ((~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & (VAR70 == VAR80))) begin VAR41 <= VAR75; VAR91 <= VAR84[VAR49]; VAR14 <= VAR75[VAR101]; end end always @(posedge VAR73) begin if ((~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & (VAR70 == VAR113))) begin VAR44 <= VAR96; end end always @(posedge VAR73) begin if (((VAR125 == VAR97) & (VAR30 == VAR70) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR126 <= VAR13; VAR90 <= VAR2; end end always @(posedge VAR73) begin if ((~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & (VAR70 == VAR20))) begin VAR128[0] <= VAR122[0]; VAR128[1] <= VAR122[1]; VAR128[2] <= VAR122[2]; VAR128[3] <= VAR122[3]; VAR128[4] <= VAR122[4]; VAR128[5] <= VAR122[5]; VAR128[6] <= VAR122[6]; VAR128[7] <= VAR122[7]; VAR128[8] <= VAR122[8]; VAR128[9] <= VAR122[9]; VAR128[10] <= VAR122[10]; VAR128[11] <= VAR122[11]; VAR128[12] <= VAR122[12]; VAR128[13] <= VAR122[13]; VAR128[14] <= VAR122[14]; VAR128[15] <= VAR122[15]; VAR128[16] <= VAR122[16]; VAR128[17] <= VAR122[17]; VAR128[18] <= VAR122[18]; VAR128[19] <= VAR122[19]; VAR128[20] <= VAR122[20]; VAR128[21] <= VAR122[21]; VAR128[22] <= VAR122[22]; VAR128[23] <= VAR122[23]; VAR128[24] <= VAR122[24]; VAR128[25] <= VAR122[25]; VAR128[26] <= VAR122[26]; VAR128[27] <= VAR122[27]; VAR128[28] <= VAR122[28]; VAR128[29] <= VAR122[29]; VAR128[30] <= VAR122[30]; end end always @ (VAR66 or VAR42 or VAR50 or VAR83) begin if (((~(VAR125 == VAR66) & (VAR125 == VAR42)) | ((VAR125 == VAR83) & ~(VAR50 == VAR70)))) begin VAR57 = VAR125; end else begin VAR57 = VAR21; end end always @ (VAR66 or VAR42) begin if ((~(VAR125 == VAR66) & (VAR125 == VAR42))) begin VAR22 = VAR125; end else begin VAR22 = VAR21; end end always @ (VAR50 or VAR83) begin if (((VAR125 == VAR83) & ~(VAR50 == VAR70))) begin VAR123 = VAR125; end else begin VAR123 = VAR21; end end always @ (VAR40) begin if (VAR40) begin VAR97 = VAR125; end else begin VAR97 = VAR21; end end always @ (VAR18) begin if (VAR18) begin VAR106 = VAR125; end else begin VAR106 = VAR21; end end always @ (VAR127) begin if (VAR127) begin VAR42 = VAR125; end else begin VAR42 = VAR21; end end always @ (VAR129) begin if (VAR129) begin VAR83 = VAR125; end else begin VAR83 = VAR21; end end always @ (VAR30 or VAR97 or VAR74 or VAR32 or VAR107 or VAR6 or VAR53 or VAR113) begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ((VAR30 == VAR70) | (VAR70 == VAR53) | (VAR70 == VAR113)))) begin VAR63 = VAR125; end else begin VAR63 = VAR21; end end always @ (VAR97 or VAR74 or VAR32 or VAR107 or VAR6 or VAR53 or VAR113 or VAR20) begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ((VAR70 == VAR53) | (VAR70 == VAR113) | (VAR70 == VAR20)))) begin VAR115 = VAR125; end else begin VAR115 = VAR21; end end always @ (VAR97 or VAR74 or VAR32 or VAR107 or VAR6 or VAR113 or VAR20 or VAR80) begin if (((VAR125 == VAR97) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ((VAR70 == VAR113) | (VAR70 == VAR20) | (VAR70 == VAR80)))) begin VAR5 = VAR125; end else begin VAR5 = VAR21; end end always @ (VAR74 or VAR32 or VAR121 or VAR107 or VAR6) begin if (((VAR70 == VAR121) & (VAR125 == VAR6) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR93 = VAR125; end else begin VAR93 = VAR21; end end always @ (VAR30 or VAR97 or VAR74 or VAR32 or VAR107 or VAR6) begin if (((VAR125 == VAR97) & (VAR30 == VAR70) & (VAR125 == VAR32) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR48 = VAR125; end else begin VAR48 = VAR21; end end always @ (VAR30 or VAR97 or VAR74 or VAR32 or VAR107 or VAR6) begin if (((VAR125 == VAR97) & (VAR30 == VAR70) & (VAR125 == VAR32) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR94 = VAR125; end else begin VAR94 = VAR21; end end always @ (VAR30 or VAR97 or VAR74 or VAR32 or VAR107 or VAR6) begin if (((VAR125 == VAR97) & (VAR30 == VAR70) & (VAR125 == VAR32) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))))) begin VAR16 = VAR125; end else begin VAR16 = VAR21; end end always @ (VAR66 or VAR60 or VAR50 or VAR112 or VAR98 or VAR74 or VAR32 or VAR56 or VAR107 or VAR6) begin case (VAR60) VAR78 : begin if (~(VAR66 == VAR21)) begin VAR100 = VAR76; end else begin VAR100 = VAR78; end end VAR76 : begin if (~(VAR50 == VAR70)) begin VAR100 = VAR78; end else begin VAR100 = VAR27; end end VAR27 : begin if ((~((VAR125 == VAR6) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR125 == VAR56)) & ~((VAR125 == VAR98) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR112 == VAR70) & ~(VAR125 == VAR32)))) begin VAR100 = VAR27; end else if ((((VAR125 == VAR6) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR125 == VAR56)) | ((VAR125 == VAR98) & ~((VAR74 & (VAR125 == VAR32)) | (VAR107 & (VAR125 == VAR6))) & ~(VAR112 == VAR70) & ~(VAR125 == VAR32)))) begin VAR100 = VAR25; end else begin VAR100 = VAR27; end end VAR25 : begin VAR100 = VAR76; end default : begin VAR100 = 'VAR79; end endcase end always @ (VAR38 or VAR121) begin VAR107 = ((VAR38 == VAR21) & (VAR70 == VAR121)); end always @ (VAR60) begin VAR18 = (VAR31 == VAR60[VAR24]); end always @ (VAR60) begin VAR127 = (VAR60[VAR110] == VAR31); end always @ (VAR60) begin VAR129 = (VAR31 == VAR60[VAR87]); end always @ (VAR60) begin VAR40 = (VAR31 == VAR60[VAR131]); end always @ (VAR33 or VAR114 or VAR133 or VAR30) begin VAR74 = (((VAR33 == VAR21) & (VAR30 == VAR70)) | ((VAR30 == VAR70) & (VAR114 == VAR21)) | ((VAR30 == VAR70) & (VAR133 == VAR21))); end assign VAR50 = (VAR111 == VAR72? 1'b1: 1'b0); assign VAR112 = (VAR71 == VAR45? 1'b1: 1'b0); assign VAR47 = VAR120; assign VAR120 = VAR4; assign VAR39 = VAR15; assign VAR55 = VAR95; assign VAR95 = VAR126; assign VAR118 = VAR119; assign VAR58 = VAR108; assign VAR108 = VAR52; assign VAR43 = VAR62; assign VAR37 = (VAR68 + VAR35); assign VAR111 = VAR68; assign VAR89 = (VAR36 + VAR35); assign VAR71 = VAR36; assign VAR67 = (VAR14 | VAR26); assign VAR26 = (VAR91 ^ VAR31); assign VAR92 = (VAR44 + VAR86); assign VAR99 = {{VAR84[VAR49 : VAR85]}}; assign VAR75 = (VAR99 + VAR8); assign VAR105 = ((VAR67)? VAR41: VAR132); assign VAR84 = (VAR11 + VAR128); assign VAR86 = VAR7; assign VAR8 = VAR51; assign VAR51 = VAR84[VAR109]; assign VAR122 = VAR92; always @ (posedge VAR73) begin VAR128[31] <= 1'b0; end endmodule
gpl-3.0
silverfoxy/MIPS-Verilog
Pipeline/Core.v
12,003
module MODULE1( input clk, input VAR5, output VAR25, output VAR52, output VAR23, output VAR18, output VAR35, output VAR20, output dout, output VAR37, output wr, output VAR16, output VAR11, output VAR27, output VAR44, output VAR33, output VAR58, output VAR41, output VAR32, output VAR49, output VAR63, output VAR8, output VAR26, output VAR31, output VAR46, output VAR42, output VAR7, output VAR2, output VAR54, output VAR3, output VAR17, output VAR39, output VAR61, output VAR29, output VAR13, output VAR12, output VAR36, output VAR56, output VAR38, output VAR62, output VAR40, output wire[31:0] VAR57, output wire[31:0] VAR60, output wire[31:0] VAR51, output VAR48, output VAR50, output VAR6 ); wire[31:0] VAR25; reg[31:0] VAR52; reg[31:0] VAR23; reg[31:0] VAR18; reg[31:0] VAR35; reg[5:0] VAR20; wire[31:0] dout; reg[31:0] VAR37; reg[3:0] wr; reg[3:0] VAR16; reg[31:0] VAR11; reg[31:0] VAR27; reg[31:0] VAR44; reg[31:0] VAR33; wire[31:0] VAR58; reg[4:0] VAR41; wire[31:0] VAR32; reg[31:0] VAR49; reg[4:0] VAR63; wire[31:0] VAR8; reg[31:0] VAR26; reg[31:0] VAR31; reg VAR46; reg VAR42; reg VAR7; reg[4:0] VAR2; reg[31:0] VAR54; reg[31:0] VAR3; reg[31:0] VAR17; reg[3:0] VAR39; reg VAR61; reg[31:0] VAR29; reg VAR13; reg VAR12; reg VAR36; reg VAR56; reg VAR38; reg VAR62; reg VAR40; reg VAR48; reg VAR50; reg VAR6; reg VAR24; wire[7:0] din[0:3]; reg[31:0] VAR55; reg[31:0] VAR22; reg[31:0] VAR14; reg[4:0] VAR47; wire VAR9; assign VAR9 = !(clk); VAR1 VAR34( clk, VAR41, VAR32, VAR63, VAR8, VAR7, VAR2, VAR58, VAR57, VAR60, VAR51 ); wire[31:0] VAR30; wire VAR21; assign VAR21 = 0; VAR28 VAR4 ( VAR9, VAR21, VAR11[7:2], VAR30, VAR25 ); VAR15 VAR10 ( VAR9, VAR16[0], VAR20[5:0], VAR31[7:0], dout[7:0] ); VAR15 VAR59 ( VAR9, VAR16[1], VAR20[5:0], VAR31[15:8], dout[15:8] ); VAR15 VAR53 ( VAR9, VAR16[2], VAR20[5:0], VAR31[23:16], dout[23:16] ); VAR15 VAR19 ( VAR9, VAR16[3], VAR20[5:0], VAR31[31:24], dout[31:24] ); reg[31:0] VAR43; reg VAR45; always @* begin VAR43 <= VAR45 ? (VAR32 << 2) : {4'b0000, VAR25[25:0], 2'b00}; VAR22 <= VAR6 ? VAR43 : VAR11 + 32'b100; VAR14 <= (({{16{VAR25[15]}}, VAR25[15:0]}) << 2) + (VAR11 + 32'b100); VAR40 <= VAR50 & VAR48; VAR55 <= VAR40 ? VAR14 : VAR22; VAR2 <= VAR62 ? VAR35[15:11] : VAR35[20:16]; VAR41 <= VAR52[25:21]; VAR63 <= VAR52[20:16]; VAR29 <= VAR61 ? {{16{VAR23[15]}}, VAR23[15:0]} : VAR26; end assign VAR58 = VAR36 ? VAR37 : VAR17; always @(posedge clk) begin if (~VAR5) begin VAR11 = 32'b0; VAR50 <= 0; VAR6 <= 0; end else begin VAR11 = VAR55; end end always @(posedge clk) begin if (VAR52[31:26] == 6'b001000) VAR39 = 4'b0000; case (VAR23[31:26]) 6'b000000 : begin case (VAR52[10:0]) 11'b00000100000 : begin VAR39 = 4'b0000; end 11'b00000100100 : begin VAR39 = 4'b0001; end 11'b00000100101: begin VAR39 = 4'b0010; end 11'b00000100110: begin VAR39 = 4'b0111; end 11'b00000011010, 11'b00000011011 : begin VAR39 = 4'b0101; end 11'b00000011000, 11'b00000011001 : begin VAR39 = 4'b0110; end default : begin end endcase end 6'b001101 : VAR39 = 4'b0010; 6'b001110 : VAR39 = 4'b0111; 6'b001100 : VAR39 = 4'b0000; 6'b001000 , 6'b001001 : VAR39 = 4'b0000; 6'b00100, 6'b000001, 6'b000111, 6'b000110, 6'b000001, 6'b000101 : VAR39 = 4'b0100; 6'b100000 : VAR39 = 4'b0000; 6'b001111 : VAR39 = 4'b0000; 6'b100011 : VAR39 = 4'b0000; 6'b101000 : VAR39 = 4'b0000; 6'b101011 : VAR39 = 4'b0000; default : ; endcase end always @(posedge clk) begin if (VAR52 == 32'b0) begin VAR46 <= 0; wr <= 4'b0000; VAR50 <= 0; VAR6 <= 0; VAR50 <= 0; end else begin case (VAR52[31:26]) 6'b000000 : begin case (VAR52[10:0]) 11'b00000100000, 11'b00000100001, 11'b00000100010, 00000100011, 11'b00000100100, 11'b00000100101, 11'b00000100110 : begin VAR56 <= 1; VAR61 <= 0; VAR46 <= 1; VAR13 <= 0; VAR50 <= 0; VAR6 <= 0; end 11'b00000000000 : case(VAR52[5:0]) 6'b000000 : begin VAR56 <= 1; VAR61 <= 0; VAR46 <= 1; VAR13 <= 0; VAR50 <= 0; VAR6 <= 0; VAR47 <= VAR23[10:6]; end endcase 11'b00000001000 : if (VAR52[20:0] == 21'b1000) begin VAR41 <= VAR25[25:21]; VAR46 <= 0; wr <= 4'b0000; VAR50 <= 0; VAR6 <= 1; end default: ; endcase end 6'b001000, 6'b001001, 6'b001100, 6'b001101, 6'b001101 : begin VAR56 <= 0; VAR61 <= 1; VAR46 <= 1; VAR13 <= 0; VAR50 <= 0; VAR6 <= 0; end 6'b100000 : begin VAR56 <= 0; VAR61 <= 1; wr[0] <= 0; wr[1] <= 0; wr[2] <= 0; wr[3] <= 0; VAR46 <= 1; VAR13 <= 1; VAR40 <= 0; VAR50 <= 0; VAR6 <= 0; end 6'b100011 : begin VAR56 <= 0; VAR61 <= 1; wr[0] <= 0; wr[1] <= 0; wr[2] <= 0; wr[3] <= 0; VAR46 <= 1; VAR13 <= 1; VAR40 <= 0; VAR50 <= 0; VAR6 <= 0; end 6'b101000 : begin VAR61 <= 1; wr[0] <= 1; wr[1] <= 0; wr[2] <= 0; wr[3] <= 0; VAR63 <= VAR52[20:16]; VAR46 <= 0; VAR13 <= 0; VAR40 <= 0; VAR50 <= 0; VAR6 <= 0; end 6'b101011 : begin VAR61 <= 1; wr[0] <= 1; wr[1] <= 1; wr[2] <= 1; wr[3] <= 1; VAR63 <= VAR52[20:16]; VAR46 <= 0; VAR13 <= 0; VAR40 <= 0; VAR50 <= 0; VAR6 <= 0; end 6'b000010 : begin VAR46 <= 0; wr <= 4'b0000; VAR50 <= 0; VAR6 <= 1; VAR45 <= 0; end default : ; endcase end end always @(posedge clk) begin if (VAR5) begin VAR35 <= VAR18; VAR18 <= VAR23; VAR23 <= VAR52; VAR52 <= VAR25; VAR33 <= VAR44; VAR44 <= VAR27; VAR27 <= VAR11; VAR17 <= VAR3; VAR3 <= VAR54; VAR49 <= VAR32; VAR31 <= VAR26; VAR26 <= VAR8; VAR7 <= VAR42; VAR42 <= VAR46; VAR36 <= VAR12; VAR12 <= VAR13; VAR62 <= VAR38; VAR38 <= VAR56; VAR20[5:0] <= VAR54[5:0]; VAR37 <= dout; VAR16 <= wr; end end always @(*) begin case (VAR39) 4'b0000 : begin VAR54 <= VAR29 + VAR49; end 4'b0001 : begin VAR54 <= VAR49 & VAR29; end 4'b0010 : begin VAR54 <= VAR49 | VAR29; end 4'b0011 : begin VAR54 <= VAR29 << VAR47; end 4'b0100 : begin VAR54 <= VAR49 - VAR29; VAR48 <= (VAR54 == 32'b0) ? 1 : 0; end 4'b0111 : begin VAR54 <= VAR49 ^ VAR29; end default : ; endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21boi/sky130_fd_sc_hdll__a21boi_2.v
2,348
module MODULE2 ( VAR3 , VAR5 , VAR1 , VAR7, VAR9, VAR6, VAR8 , VAR2 ); output VAR3 ; input VAR5 ; input VAR1 ; input VAR7; input VAR9; input VAR6; input VAR8 ; input VAR2 ; VAR4 VAR10 ( .VAR3(VAR3), .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR3 , VAR5 , VAR1 , VAR7 ); output VAR3 ; input VAR5 ; input VAR1 ; input VAR7; supply1 VAR9; supply0 VAR6; supply1 VAR8 ; supply0 VAR2 ; VAR4 VAR10 ( .VAR3(VAR3), .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_p_pp_pkg_s/sky130_fd_sc_hs__udp_dff_p_pp_pkg_s.blackbox.v
1,423
module MODULE1 ( VAR1 , VAR3 , VAR6 , VAR2, VAR7 , VAR5 , VAR4 ); output VAR1 ; input VAR3 ; input VAR6 ; input VAR2; input VAR7 ; input VAR5 ; input VAR4 ; endmodule
apache-2.0
ShirmanXia/EE469SPRING16
lab3/nios_system/synthesis/submodules/altera_avalon_sc_fifo.v
34,467
module MODULE1 parameter VAR41 = 1, parameter VAR133 = 8, parameter VAR119 = 16, parameter VAR109 = 0, parameter VAR17 = 0, parameter VAR91 = 0, parameter VAR132 = 0, parameter VAR76 = 0, parameter VAR104 = 0, parameter VAR101 = 0, parameter VAR100 = 3, parameter VAR37 = 1, parameter VAR121 = VAR41 * VAR133, parameter VAR55 = VAR20(VAR41) ) ( input clk, input reset, input [VAR121-1: 0] VAR58, input VAR54, input VAR124, input VAR13, input [((VAR55>0) ? (VAR55-1):0) : 0] VAR111, input [((VAR17>0) ? (VAR17-1):0) : 0] VAR88, input [((VAR109>0) ? (VAR109-1):0): 0] VAR61, output VAR26, output [VAR121-1 : 0] VAR5, output reg VAR131, output VAR24, output VAR18, output [((VAR55>0) ? (VAR55-1):0) : 0] VAR72, output [((VAR17>0) ? (VAR17-1):0) : 0] VAR31, output [((VAR109>0) ? (VAR109-1):0): 0] VAR73, input VAR4, input [(VAR76 ? 2 : 1) : 0] VAR36, input VAR130, input VAR93, input [31 : 0] VAR2, output reg [31 : 0] VAR92, output wire VAR66, output wire VAR80 ); localparam VAR69 = VAR20(VAR119); localparam VAR29 = VAR119; localparam VAR77 = 2 + VAR55; localparam VAR96 = (VAR91 == 1) ? 2 + VAR55 + VAR121 + VAR17 + VAR109: VAR121 + VAR17 + VAR109; genvar VAR81; reg [VAR96-1 : 0] VAR106 [VAR29-1 : 0]; reg [VAR69-1 : 0] VAR34; reg [VAR69-1 : 0] VAR32; reg [VAR29-1 : 0] VAR59; wire [VAR69-1 : 0] VAR21; wire [VAR69-1 : 0] VAR45; wire [VAR69-1 : 0] VAR83; wire [VAR69-1 : 0] VAR39; wire [VAR69-1 : 0] VAR9; wire read; wire write; reg VAR3; reg VAR65; reg VAR90; reg VAR63; wire [VAR77-1 : 0] VAR35; wire [VAR77-1 : 0] VAR112; wire [VAR96-1 : 0] VAR50; reg [VAR96-1 : 0] VAR56; reg [VAR96-1 : 0] VAR25; reg VAR75; wire VAR64; reg [VAR69 : 0] VAR102; reg [VAR69 : 0] VAR94; reg [VAR69-1 : 0] VAR134 = 0; wire [VAR69-1 : 0] VAR103; reg [23:0] VAR129; reg [23:0] VAR114; reg [23:0] VAR12; reg [15:0] VAR33; reg VAR127; reg VAR125; reg VAR70; reg VAR6; reg VAR11; reg VAR71; reg VAR7; wire VAR30; reg VAR51; wire VAR40; wire VAR84; wire VAR87; wire VAR19; wire VAR53; wire VAR57; wire VAR98; wire VAR43; wire VAR78; wire [31:0] VAR60; reg VAR46; generate if (VAR55 > 0) begin : VAR67 assign VAR35 = {VAR124, VAR13, VAR111}; assign {VAR24, VAR18, VAR72} = VAR112; end else begin : VAR23 assign VAR72 = VAR88; assign VAR35 = {VAR124, VAR13}; assign {VAR24, VAR18} = VAR112; end endgenerate generate if (VAR91) begin : VAR107 if (VAR17 > 0) begin : VAR113 if (VAR109 > 0) begin : VAR38 assign VAR50 = {VAR35, VAR58, VAR88, VAR61}; assign {VAR112, VAR5, VAR31, VAR73} = VAR25; end else begin : VAR27 assign VAR73 = VAR61; assign VAR50 = {VAR35, VAR58, VAR88}; assign {VAR112, VAR5, VAR31} = VAR25; end end else begin : VAR74 assign VAR31 = VAR88; if (VAR109 > 0) begin : VAR108 assign VAR50 = {VAR35, VAR58, VAR61}; assign {VAR112, VAR5, VAR73} = VAR25; end else begin : VAR79 assign VAR73 = VAR61; assign VAR50 = {VAR35, VAR58}; assign {VAR112, VAR5} = VAR25; end end end else begin : VAR14 assign VAR112 = 0; if (VAR17 > 0) begin : VAR8 if (VAR109 > 0) begin : VAR44 assign VAR50 = {VAR58, VAR88, VAR61}; assign {VAR5, VAR31, VAR73} = VAR25; end else begin : VAR105 assign VAR73 = VAR61; assign VAR50 = {VAR58, VAR88}; assign {VAR5, VAR31} = VAR25; end end else begin : VAR95 assign VAR31 = VAR88; if (VAR109 > 0) begin : VAR42 assign VAR50 = {VAR58, VAR61}; assign {VAR5, VAR73} = VAR25; end else begin : VAR68 assign VAR73 = VAR61; assign VAR50 = VAR58; assign VAR5 = VAR25; end end end endgenerate generate if (VAR37 == 1) begin : VAR16 if (VAR100 == 1) begin : VAR89 always @(posedge clk) begin if (VAR54 && VAR26) VAR106[VAR34] = VAR50; VAR56 = VAR106[VAR9]; end end else begin : VAR128 always @(posedge clk) begin if (VAR54 && VAR26) VAR106[VAR34] <= VAR50; VAR56 <= VAR106[VAR9]; end end assign VAR9 = VAR45; end else begin : VAR126 for (VAR81 = 0; VAR81 < VAR29-1; VAR81 = VAR81 + 1) begin : VAR97 always @(posedge clk or posedge reset) begin if (reset) begin VAR106[VAR81] <= 0; end else if (read || !VAR59[VAR81]) begin if (!VAR59[VAR81+1]) VAR106[VAR81] <= VAR50; end else VAR106[VAR81] <= VAR106[VAR81+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin VAR106[VAR29-1] <= 0; end else begin if (VAR29 == 1) begin if (write) VAR106[VAR29-1] <= VAR50; end else if (!VAR59[VAR29-1]) VAR106[VAR29-1] <= VAR50; end end end endgenerate assign read = VAR64 && VAR75 && VAR84; assign write = VAR26 && VAR54; generate if (VAR37 == 1) begin : VAR122 assign VAR83 = VAR34 + 1'b1; assign VAR39 = VAR32 + 1'b1; assign VAR21 = VAR98 ? VAR103 : write ? VAR83 : VAR34; assign VAR45 = (read) ? VAR39 : VAR32; always @(posedge clk or posedge reset) begin if (reset) begin VAR34 <= 0; VAR32 <= 0; end else begin VAR34 <= VAR21; VAR32 <= VAR45; end end end else begin : VAR15 always @(posedge clk or posedge reset) begin if (reset) begin VAR59[0] <= 0; end else begin if (write ^ read) begin if (write) VAR59[0] <= 1; end else if (read) begin if (VAR29 > 1) VAR59[0] <= VAR59[1]; end else VAR59[0] <= 0; end end end end if (VAR29 > 1) begin : VAR99 always @(posedge clk or posedge reset) begin if (reset) begin VAR59[VAR29-1] <= 0; end else begin if (write ^ read) begin VAR59[VAR29-1] <= 0; if (write) VAR59[VAR29-1] <= VAR59[VAR29-2]; end end end end for (VAR81 = 1; VAR81 < VAR29-1; VAR81 = VAR81 + 1) begin : VAR123 always @(posedge clk, posedge reset) begin if (reset) begin VAR59[VAR81] <= 0; end else begin if (write ^ read) begin if (write) VAR59[VAR81] <= VAR59[VAR81-1]; end else if (read) VAR59[VAR81] <= VAR59[VAR81+1]; end end end end end endgenerate generate if (VAR37 == 1) begin : VAR115 always @* begin VAR63 = VAR90; VAR65 = VAR3; if (read && !write) begin VAR63 = 1'b0; if (VAR39 == VAR34) VAR65 = 1'b1; end if (write && !read) begin if (!VAR98) VAR65 = 1'b0; end else if (VAR103 == VAR32) VAR65 = 1'b1; if (VAR83 == VAR32 && !VAR98) VAR63 = 1'b1; end if (write && read && VAR98) begin if (VAR103 == VAR45) VAR65 = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin VAR3 <= 1; VAR90 <= 0; end else begin VAR3 <= VAR65; VAR90 <= VAR63; end end end else begin : VAR47 always @* begin VAR90 = VAR59[VAR29-1]; VAR3 = !VAR59[0]; if (VAR29 == 1) VAR90 = VAR59[0] && !read; VAR56 = VAR106[0]; if (VAR100 == 0) begin VAR3 = !VAR59[0] && !VAR54; if (!VAR59[0] && VAR54) VAR56 = VAR50; end end end endgenerate assign VAR26 = !VAR90; assign VAR64 = VAR4 || !VAR131; generate if (VAR100 > 1) begin : VAR118 always @(posedge clk or posedge reset) begin if (reset) VAR75 <= 0; end else begin VAR75 <= !VAR3 & VAR84 & ~VAR98; if (read) begin if (VAR39 == VAR34) VAR75 <= 1'b0; end end end end else begin : VAR28 always @* begin VAR75 = !VAR3 & VAR84; end end endgenerate generate if (VAR100 == 3) begin : VAR85 always @(posedge clk or posedge reset) begin if (reset) begin VAR131 <= 0; VAR25 <= 0; end else begin if (VAR64) begin VAR131 <= VAR75 & VAR84; VAR25 <= VAR56; end end end end else begin : VAR116 always @* begin VAR131 = VAR75; VAR25 = VAR56; end end endgenerate generate if (VAR132) begin : VAR1 wire [31:0] VAR86; assign VAR86 = VAR29; if (VAR76) begin reg [VAR69 : 0] VAR117; always @(posedge clk or posedge reset) begin if (reset) begin VAR117 <= 0; end else begin if (write) begin VAR117 <= VAR117 + 1'b1; if (VAR13) VAR117 <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin VAR102 <= 0; end else if (VAR98) begin VAR102 <= VAR102 - VAR117; if (read) VAR102 <= VAR102 - VAR117 - 1'b1; end else if (write && !read) begin VAR102 <= VAR102 + 1'b1; end else if (read && !write) begin VAR102 <= VAR102 - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) VAR102 <= 0; end else if (VAR63 & !VAR98) VAR102 <= VAR86[VAR69:0]; end else begin VAR102[VAR69] <= 1'b0; VAR102[VAR69-1 : 0] <= VAR21 - VAR45; end end end always @* begin VAR94 = VAR102; if (VAR100 == 3) VAR94 = VAR102 + {{VAR69{1'b0}}, VAR131}; end end else begin : VAR82 always @* begin VAR94 = 0; end end endgenerate generate if (VAR104) begin : VAR10 assign VAR66 = (VAR94 >= VAR129); end else assign VAR66 = 0; endgenerate generate if (VAR101) begin : VAR52 assign VAR80 = (VAR94 <= VAR114); end else assign VAR80 = 0; endgenerate generate if (VAR76) begin : VAR110 assign VAR60 = VAR119 - 1; always @(posedge clk or posedge reset) begin if (reset) begin VAR129 <= VAR60[23 : 0]; VAR114 <= 0; VAR12 <= 0; VAR127 <= 0; VAR92 <= 0; VAR51 <= 1'b1; end else begin if (VAR93) begin VAR92 <= 32'b0; if (VAR36 == 5) VAR92 <= {31'b0, VAR127}; end else if (VAR36 == 4) VAR92 <= {8'b0, VAR12}; end else if (VAR36 == 3) VAR92 <= {8'b0, VAR114}; end else if (VAR36 == 2) VAR92 <= {8'b0, VAR129}; end else if (VAR36 == 0) VAR92 <= {{(31 - VAR69){1'b0}}, VAR94}; end else if (VAR130) begin if(VAR36 == 3'b101) VAR127 <= VAR2[0]; end else if(VAR36 == 3'b100) begin VAR12 <= VAR2[23:0]; VAR51 <= (VAR2[23:0] == 0); end else if(VAR36 == 3'b011) VAR114 <= VAR2[23:0]; end else if(VAR36 == 3'b010) VAR129 <= VAR2[23:0]; end end end end else if (VAR104 || VAR101) begin : VAR120 assign VAR60 = VAR119 - 1; always @(posedge clk or posedge reset) begin if (reset) begin VAR129 <= VAR60[23 : 0]; VAR114 <= 0; VAR92 <= 0; end else begin if (VAR93) begin VAR92 <= 32'b0; if (VAR36 == 3) VAR92 <= {8'b0, VAR114}; end else if (VAR36 == 2) VAR92 <= {8'b0, VAR129}; end else if (VAR36 == 0) VAR92 <= {{(31 - VAR69){1'b0}}, VAR94}; end else if (VAR130) begin if(VAR36 == 3'b011) VAR114 <= VAR2[23:0]; end else if(VAR36 == 3'b010) VAR129 <= VAR2[23:0]; end end end end else begin : VAR62 always @(posedge clk or posedge reset) begin if (reset) begin VAR92 <= 0; end else if (VAR93) begin VAR92 <= 0; if (VAR36 == 0) VAR92 <= {{(31 - VAR69){1'b0}}, VAR94}; end end end endgenerate generate if (VAR76) begin : VAR49 assign VAR30 = (VAR46) & VAR40 ; assign VAR40 = VAR71 | (VAR7 & VAR19); assign VAR84 = (VAR51 ? (~VAR40 | ~VAR70) : ~VAR30) | VAR11; assign VAR87 = VAR54 & VAR26 & VAR13; assign VAR53 = VAR54 & VAR26 & VAR124; assign VAR57 = VAR54 & VAR26 & |VAR88; assign VAR78 = VAR131 & VAR4 & VAR24; assign VAR19 = VAR131 & VAR4 & VAR18; assign VAR43 = (VAR51 ? VAR40 : VAR30) & VAR90 & VAR4; always @(posedge clk or posedge reset) begin if (reset) begin VAR33 <= 0; VAR70 <= 0; VAR6 <= 0; VAR11 <= 0; VAR71 <= 1'b1; VAR7 <= 1'b0; VAR46 <= 1'b1; end else begin VAR46 <= VAR102 < VAR12; VAR11 <= VAR43; if( VAR87 ) VAR6 <= 1'b0; end else if (VAR78 & VAR71 ) VAR6 <= 1'b1; if (VAR87 & ~VAR19 & ~VAR98 ) begin VAR33 <= VAR33 + 1'b1; VAR71 <= 0; if (VAR33 == 0) VAR7 <= 1'b1; end else VAR7 <= 1'b0; end else if((~VAR87 | VAR98) & VAR19) begin VAR33 <= VAR33 - 1'b1; if (VAR33 == 1) VAR71 <= 1'b1; end else VAR71 <= 1'b0; if (VAR33 == 2) VAR7 <= 1'b1; end else VAR7 <= 1'b0; end if (VAR53) VAR70 <= 1'b1; else if (VAR87) VAR70 <= 1'b0; end end always @(posedge clk or posedge reset) begin if (reset) begin VAR134 <= 0; VAR125 <= 0; end else begin if ( VAR53 ) VAR134 <= VAR34; if (VAR87) VAR125 <= 1'b0; end else if ( VAR57 & (VAR70 | VAR53)) VAR125 <= 1'b1; end end assign VAR98 = VAR127 & (VAR125 | VAR57) & VAR87 & ~VAR6 & ~(VAR78 & VAR71); assign VAR103 = (write && VAR124 && VAR13) ? VAR34 : VAR134; end else begin : VAR22 assign VAR84 = 1'b1; assign VAR98 = 1'b0; if (VAR69 <= 1) assign VAR103 = 1'b0; end else assign VAR103 = {VAR69 - 1 { 1'b0 }}; end endgenerate function integer VAR20; input integer VAR48; reg[31:0] VAR81; begin VAR81 = 1; VAR20 = 0; while (VAR81 < VAR48) begin VAR20 = VAR20 + 1; VAR81 = VAR81[30:0] << 1; end end endfunction endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkinv/sky130_fd_sc_lp__clkinv.symbol.v
1,264
module MODULE1 ( input VAR2, output VAR1 ); supply1 VAR4; supply0 VAR5; supply1 VAR3 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/mux2/sky130_fd_sc_lp__mux2_lp.v
2,195
module MODULE1 ( VAR10 , VAR5 , VAR6 , VAR4 , VAR1, VAR9, VAR3 , VAR8 ); output VAR10 ; input VAR5 ; input VAR6 ; input VAR4 ; input VAR1; input VAR9; input VAR3 ; input VAR8 ; VAR7 VAR2 ( .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR4(VAR4), .VAR1(VAR1), .VAR9(VAR9), .VAR3(VAR3), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR10 , VAR5, VAR6, VAR4 ); output VAR10 ; input VAR5; input VAR6; input VAR4 ; supply1 VAR1; supply0 VAR9; supply1 VAR3 ; supply0 VAR8 ; VAR7 VAR2 ( .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o2111ai/sky130_fd_sc_hs__o2111ai_1.v
2,334
module MODULE2 ( VAR3 , VAR8 , VAR1 , VAR5 , VAR2 , VAR10 , VAR6, VAR7 ); output VAR3 ; input VAR8 ; input VAR1 ; input VAR5 ; input VAR2 ; input VAR10 ; input VAR6; input VAR7; VAR4 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2), .VAR10(VAR10), .VAR6(VAR6), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR3 , VAR8, VAR1, VAR5, VAR2, VAR10 ); output VAR3 ; input VAR8; input VAR1; input VAR5; input VAR2; input VAR10; supply1 VAR6; supply0 VAR7; VAR4 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2), .VAR10(VAR10) ); endmodule
apache-2.0
jhol/butterflylogic
rtl/cdc.v
9,039
module MODULE1 #( parameter integer VAR6 = 1, parameter integer VAR28 = 4, parameter integer VAR21 = 2, parameter VAR17 = 1, parameter VAR30 = 1 )( input wire VAR7, input wire VAR2, input wire [VAR6-1:0] VAR18, input wire VAR29, output wire VAR8, input wire VAR36, input wire VAR20, output wor [VAR6-1:0] VAR22, output wire VAR24, input wire VAR16 ); function integer VAR33 (input integer VAR26); begin VAR26 = VAR26-1; for (VAR33=0; VAR26>0; VAR33=VAR33+1) VAR26 = VAR26>>1; end endfunction localparam VAR32 = VAR33(VAR28)+1; localparam VAR32 = VAR33(VAR28)+1; localparam VAR34 = {1'b1,{VAR32-1{1'b0}}}; function [VAR32-1:0] VAR31 (input [VAR32-1:0] VAR4); integer VAR12; begin for (VAR12=0; VAR12<VAR32-1; VAR12=VAR12+1) VAR31[VAR12] = VAR4[VAR12+1] ^ VAR4[VAR12]; VAR31[VAR32-1] = VAR4[VAR32-1]; end endfunction function [VAR32-1:0] VAR15 (input [VAR32-1:0] VAR4); integer VAR12; begin VAR15[VAR32-1] = VAR4[VAR32-1]; for (VAR12=VAR32-1; VAR12>0; VAR12=VAR12-1) VAR15[VAR12-1] = VAR4[VAR12-1] ^ VAR15[VAR12]; end endfunction function [VAR32-1:0] VAR23 (input [VAR32-1:0] VAR5); begin VAR23 = VAR31 (VAR15 (VAR5) + 'd1); end endfunction wire VAR27; wire VAR14; reg [VAR32-1:0] VAR35; reg [VAR32-1:0] VAR19; reg [VAR32-1:0] VAR38 [VAR21-1:0]; reg [VAR6-1:0] VAR10 [0:VAR28-1]; wire VAR25; wire VAR9; reg [VAR32-1:0] VAR13; reg [VAR32-1:0] VAR1 [VAR21-1:0]; genvar VAR12; assign VAR27 = VAR29 & VAR8; generate for (VAR12=0; VAR12<VAR21; VAR12=VAR12+1) begin : VAR11 if (VAR12==0) begin always @ (posedge VAR7, posedge VAR2) if (VAR2) VAR38 [VAR12] <= {VAR32{1'b0}}; end else VAR38 [VAR12] <= VAR13; end else begin always @ (posedge VAR7, posedge VAR2) if (VAR2) VAR38 [VAR12] <= {VAR32{1'b0}}; end else VAR38 [VAR12] <= VAR38 [VAR12-1]; end end endgenerate always @ (posedge VAR7, posedge VAR2) if (VAR2) VAR19 <= {VAR32{1'b0}}; else if (VAR27) VAR19 <= VAR14 ? VAR19 ^ VAR34 : VAR23 (VAR19); always @ (posedge VAR7, posedge VAR2) if (VAR2) VAR35 <= VAR31(-VAR28); else if (VAR27) VAR35 <= VAR14 ? VAR35 ^ VAR34 : VAR23 (VAR35); assign VAR8 = VAR38 [VAR21-1] != VAR35; reg [VAR32-2:0] VAR39; assign VAR14 = VAR39 == (VAR28-1); always @ (posedge VAR7, posedge VAR2) if (VAR2) VAR39 <= 'b0; else if (VAR27) VAR39 <= VAR14 ? 'b0 : VAR39 + 'b1; always @ (posedge VAR7) if (VAR27) VAR10 [VAR39] <= VAR18; reg [VAR32-2:0] VAR37; assign VAR9 = VAR37 == (VAR28-1); always @ (posedge VAR36, posedge VAR20) if (VAR20) VAR37 <= 'b0; else if (VAR25) VAR37 <= VAR9 ? 'b0 : VAR37 + 'b1; assign VAR22 = VAR10 [VAR37]; assign VAR25 = VAR24 & VAR16; generate for (VAR12=0; VAR12<VAR21; VAR12=VAR12+1) begin : VAR3 if (VAR12==0) begin always @ (posedge VAR36, posedge VAR20) if (VAR20) VAR1 [VAR12] <= {VAR32{1'b0}}; end else VAR1 [VAR12] <= VAR19; end else begin always @ (posedge VAR36, posedge VAR20) if (VAR20) VAR1 [VAR12] <= {VAR32{1'b0}}; end else VAR1 [VAR12] <= VAR1 [VAR12-1]; end end endgenerate always @ (posedge VAR36, posedge VAR20) if (VAR20) VAR13 <= {VAR32{1'b0}}; else if (VAR25) VAR13 <= VAR9 ? VAR13 ^ VAR34 : VAR23 (VAR13); assign VAR24 = VAR1 [VAR21-1] != VAR13; endmodule
gpl-2.0
wamgoo/FPGA-Imaging-Library
Geometry/Rotate/HDL/Rotate.srcs/sources_1/new/SinLUT.v
18,447
module MODULE1(VAR3, VAR2); input[8 : 0] VAR3; output[19 : 0] VAR2; reg[19 : 0] VAR1; assign VAR2 = VAR1; always@(*) begin case(VAR3) 0 : VAR1 <= 20'b00000000000000000000; 1 : VAR1 <= 20'b00000001000111011110; 2 : VAR1 <= 20'b00000010001110111100; 3 : VAR1 <= 20'b00000011010110010111; 4 : VAR1 <= 20'b00000100011101101110; 5 : VAR1 <= 20'b00000101100100111111; 6 : VAR1 <= 20'b00000110101100001001; 7 : VAR1 <= 20'b00000111110011001011; 8 : VAR1 <= 20'b00001000111010000011; 9 : VAR1 <= 20'b00001010000000110000; 10 : VAR1 <= 20'b00001011000111010000; 11 : VAR1 <= 20'b00001100001101100011; 12 : VAR1 <= 20'b00001101010011100110; 13 : VAR1 <= 20'b00001110011001011001; 14 : VAR1 <= 20'b00001111011110111010; 15 : VAR1 <= 20'b00010000100100000111; 16 : VAR1 <= 20'b00010001101001000000; 17 : VAR1 <= 20'b00010010101101100011; 18 : VAR1 <= 20'b00010011110001101110; 19 : VAR1 <= 20'b00010100110101100001; 20 : VAR1 <= 20'b00010101111000111010; 21 : VAR1 <= 20'b00010110111011111000; 22 : VAR1 <= 20'b00010111111110011000; 23 : VAR1 <= 20'b00011001000000011011; 24 : VAR1 <= 20'b00011010000001111111; 25 : VAR1 <= 20'b00011011000011000010; 26 : VAR1 <= 20'b00011100000011100100; 27 : VAR1 <= 20'b00011101000011100010; 28 : VAR1 <= 20'b00011110000010111101; 29 : VAR1 <= 20'b00011111000001110010; 30 : VAR1 <= 20'b00100000000000000000; 31 : VAR1 <= 20'b00100000111101100110; 32 : VAR1 <= 20'b00100001111010100011; 33 : VAR1 <= 20'b00100010110110110101; 34 : VAR1 <= 20'b00100011110010011101; 35 : VAR1 <= 20'b00100100101101010111; 36 : VAR1 <= 20'b00100101100111100100; 37 : VAR1 <= 20'b00100110100001000010; 38 : VAR1 <= 20'b00100111011001101111; 39 : VAR1 <= 20'b00101000010001101100; 40 : VAR1 <= 20'b00101001001000110111; 41 : VAR1 <= 20'b00101001111111001101; 42 : VAR1 <= 20'b00101010110100110000; 43 : VAR1 <= 20'b00101011101001011101; 44 : VAR1 <= 20'b00101100011101010100; 45 : VAR1 <= 20'b00101101010000010011; 46 : VAR1 <= 20'b00101110000010011010; 47 : VAR1 <= 20'b00101110110011101000; 48 : VAR1 <= 20'b00101111100011111011; 49 : VAR1 <= 20'b00110000010011010010; 50 : VAR1 <= 20'b00110001000001101101; 51 : VAR1 <= 20'b00110001101111001100; 52 : VAR1 <= 20'b00110010011011101100; 53 : VAR1 <= 20'b00110011000111001101; 54 : VAR1 <= 20'b00110011110001101110; 55 : VAR1 <= 20'b00110100011011001111; 56 : VAR1 <= 20'b00110101000011101111; 57 : VAR1 <= 20'b00110101101011001100; 58 : VAR1 <= 20'b00110110010001100110; 59 : VAR1 <= 20'b00110110110110111101; 60 : VAR1 <= 20'b00110111011011001111; 61 : VAR1 <= 20'b00110111111110011100; 62 : VAR1 <= 20'b00111000100000100011; 63 : VAR1 <= 20'b00111001000001100100; 64 : VAR1 <= 20'b00111001100001011101; 65 : VAR1 <= 20'b00111010000000001111; 66 : VAR1 <= 20'b00111010011101111000; 67 : VAR1 <= 20'b00111010111010011000; 68 : VAR1 <= 20'b00111011010101101111; 69 : VAR1 <= 20'b00111011101111111100; 70 : VAR1 <= 20'b00111100001000111110; 71 : VAR1 <= 20'b00111100100000110110; 72 : VAR1 <= 20'b00111100110111100001; 73 : VAR1 <= 20'b00111101001101000001; 74 : VAR1 <= 20'b00111101100001010101; 75 : VAR1 <= 20'b00111101110100011011; 76 : VAR1 <= 20'b00111110000110010101; 77 : VAR1 <= 20'b00111110010111000001; 78 : VAR1 <= 20'b00111110100110011111; 79 : VAR1 <= 20'b00111110110100101111; 80 : VAR1 <= 20'b00111111000001110001; 81 : VAR1 <= 20'b00111111001101100100; 82 : VAR1 <= 20'b00111111011000001000; 83 : VAR1 <= 20'b00111111100001011101; 84 : VAR1 <= 20'b00111111101001100011; 85 : VAR1 <= 20'b00111111110000011010; 86 : VAR1 <= 20'b00111111110110000001; 87 : VAR1 <= 20'b00111111111010011000; 88 : VAR1 <= 20'b00111111111101100000; 89 : VAR1 <= 20'b00111111111111011000; 90 : VAR1 <= 20'b01000000000000000000; 91 : VAR1 <= 20'b00111111111111011000; 92 : VAR1 <= 20'b00111111111101100000; 93 : VAR1 <= 20'b00111111111010011000; 94 : VAR1 <= 20'b00111111110110000001; 95 : VAR1 <= 20'b00111111110000011010; 96 : VAR1 <= 20'b00111111101001100011; 97 : VAR1 <= 20'b00111111100001011101; 98 : VAR1 <= 20'b00111111011000001000; 99 : VAR1 <= 20'b00111111001101100100; 100 : VAR1 <= 20'b00111111000001110001; 101 : VAR1 <= 20'b00111110110100101111; 102 : VAR1 <= 20'b00111110100110011111; 103 : VAR1 <= 20'b00111110010111000001; 104 : VAR1 <= 20'b00111110000110010101; 105 : VAR1 <= 20'b00111101110100011011; 106 : VAR1 <= 20'b00111101100001010101; 107 : VAR1 <= 20'b00111101001101000001; 108 : VAR1 <= 20'b00111100110111100001; 109 : VAR1 <= 20'b00111100100000110110; 110 : VAR1 <= 20'b00111100001000111110; 111 : VAR1 <= 20'b00111011101111111100; 112 : VAR1 <= 20'b00111011010101101111; 113 : VAR1 <= 20'b00111010111010011000; 114 : VAR1 <= 20'b00111010011101111000; 115 : VAR1 <= 20'b00111010000000001111; 116 : VAR1 <= 20'b00111001100001011101; 117 : VAR1 <= 20'b00111001000001100100; 118 : VAR1 <= 20'b00111000100000100011; 119 : VAR1 <= 20'b00110111111110011100; 120 : VAR1 <= 20'b00110111011011001111; 121 : VAR1 <= 20'b00110110110110111101; 122 : VAR1 <= 20'b00110110010001100110; 123 : VAR1 <= 20'b00110101101011001100; 124 : VAR1 <= 20'b00110101000011101111; 125 : VAR1 <= 20'b00110100011011001111; 126 : VAR1 <= 20'b00110011110001101110; 127 : VAR1 <= 20'b00110011000111001101; 128 : VAR1 <= 20'b00110010011011101100; 129 : VAR1 <= 20'b00110001101111001100; 130 : VAR1 <= 20'b00110001000001101101; 131 : VAR1 <= 20'b00110000010011010010; 132 : VAR1 <= 20'b00101111100011111011; 133 : VAR1 <= 20'b00101110110011101000; 134 : VAR1 <= 20'b00101110000010011010; 135 : VAR1 <= 20'b00101101010000010011; 136 : VAR1 <= 20'b00101100011101010100; 137 : VAR1 <= 20'b00101011101001011101; 138 : VAR1 <= 20'b00101010110100110000; 139 : VAR1 <= 20'b00101001111111001101; 140 : VAR1 <= 20'b00101001001000110111; 141 : VAR1 <= 20'b00101000010001101100; 142 : VAR1 <= 20'b00100111011001101111; 143 : VAR1 <= 20'b00100110100001000010; 144 : VAR1 <= 20'b00100101100111100100; 145 : VAR1 <= 20'b00100100101101010111; 146 : VAR1 <= 20'b00100011110010011101; 147 : VAR1 <= 20'b00100010110110110101; 148 : VAR1 <= 20'b00100001111010100011; 149 : VAR1 <= 20'b00100000111101100110; 150 : VAR1 <= 20'b00100000000000000000; 151 : VAR1 <= 20'b00011111000001110010; 152 : VAR1 <= 20'b00011110000010111101; 153 : VAR1 <= 20'b00011101000011100010; 154 : VAR1 <= 20'b00011100000011100100; 155 : VAR1 <= 20'b00011011000011000010; 156 : VAR1 <= 20'b00011010000001111111; 157 : VAR1 <= 20'b00011001000000011011; 158 : VAR1 <= 20'b00010111111110011000; 159 : VAR1 <= 20'b00010110111011111000; 160 : VAR1 <= 20'b00010101111000111010; 161 : VAR1 <= 20'b00010100110101100001; 162 : VAR1 <= 20'b00010011110001101110; 163 : VAR1 <= 20'b00010010101101100011; 164 : VAR1 <= 20'b00010001101001000000; 165 : VAR1 <= 20'b00010000100100000111; 166 : VAR1 <= 20'b00001111011110111010; 167 : VAR1 <= 20'b00001110011001011001; 168 : VAR1 <= 20'b00001101010011100110; 169 : VAR1 <= 20'b00001100001101100011; 170 : VAR1 <= 20'b00001011000111010000; 171 : VAR1 <= 20'b00001010000000110000; 172 : VAR1 <= 20'b00001000111010000011; 173 : VAR1 <= 20'b00000111110011001011; 174 : VAR1 <= 20'b00000110101100001001; 175 : VAR1 <= 20'b00000101100100111111; 176 : VAR1 <= 20'b00000100011101101110; 177 : VAR1 <= 20'b00000011010110010111; 178 : VAR1 <= 20'b00000010001110111100; 179 : VAR1 <= 20'b00000001000111011110; 180 : VAR1 <= 20'b00000000000000000000; 181 : VAR1 <= 20'b11111110111000100010; 182 : VAR1 <= 20'b11111101110001000100; 183 : VAR1 <= 20'b11111100101001101001; 184 : VAR1 <= 20'b11111011100010010010; 185 : VAR1 <= 20'b11111010011011000001; 186 : VAR1 <= 20'b11111001010011110111; 187 : VAR1 <= 20'b11111000001100110101; 188 : VAR1 <= 20'b11110111000101111101; 189 : VAR1 <= 20'b11110101111111010000; 190 : VAR1 <= 20'b11110100111000110000; 191 : VAR1 <= 20'b11110011110010011101; 192 : VAR1 <= 20'b11110010101100011010; 193 : VAR1 <= 20'b11110001100110100111; 194 : VAR1 <= 20'b11110000100001000110; 195 : VAR1 <= 20'b11101111011011111001; 196 : VAR1 <= 20'b11101110010111000000; 197 : VAR1 <= 20'b11101101010010011101; 198 : VAR1 <= 20'b11101100001110010010; 199 : VAR1 <= 20'b11101011001010011111; 200 : VAR1 <= 20'b11101010000111000110; 201 : VAR1 <= 20'b11101001000100001000; 202 : VAR1 <= 20'b11101000000001101000; 203 : VAR1 <= 20'b11100110111111100101; 204 : VAR1 <= 20'b11100101111110000001; 205 : VAR1 <= 20'b11100100111100111110; 206 : VAR1 <= 20'b11100011111100011100; 207 : VAR1 <= 20'b11100010111100011110; 208 : VAR1 <= 20'b11100001111101000011; 209 : VAR1 <= 20'b11100000111110001110; 210 : VAR1 <= 20'b11100000000000000000; 211 : VAR1 <= 20'b11011111000010011010; 212 : VAR1 <= 20'b11011110000101011101; 213 : VAR1 <= 20'b11011101001001001011; 214 : VAR1 <= 20'b11011100001101100011; 215 : VAR1 <= 20'b11011011010010101001; 216 : VAR1 <= 20'b11011010011000011100; 217 : VAR1 <= 20'b11011001011110111110; 218 : VAR1 <= 20'b11011000100110010001; 219 : VAR1 <= 20'b11010111101110010100; 220 : VAR1 <= 20'b11010110110111001001; 221 : VAR1 <= 20'b11010110000000110011; 222 : VAR1 <= 20'b11010101001011010000; 223 : VAR1 <= 20'b11010100010110100011; 224 : VAR1 <= 20'b11010011100010101100; 225 : VAR1 <= 20'b11010010101111101101; 226 : VAR1 <= 20'b11010001111101100110; 227 : VAR1 <= 20'b11010001001100011000; 228 : VAR1 <= 20'b11010000011100000101; 229 : VAR1 <= 20'b11001111101100101110; 230 : VAR1 <= 20'b11001110111110010011; 231 : VAR1 <= 20'b11001110010000110100; 232 : VAR1 <= 20'b11001101100100010100; 233 : VAR1 <= 20'b11001100111000110011; 234 : VAR1 <= 20'b11001100001110010010; 235 : VAR1 <= 20'b11001011100100110001; 236 : VAR1 <= 20'b11001010111100010001; 237 : VAR1 <= 20'b11001010010100110100; 238 : VAR1 <= 20'b11001001101110011010; 239 : VAR1 <= 20'b11001001001001000011; 240 : VAR1 <= 20'b11001000100100110001; 241 : VAR1 <= 20'b11001000000001100100; 242 : VAR1 <= 20'b11000111011111011101; 243 : VAR1 <= 20'b11000110111110011100; 244 : VAR1 <= 20'b11000110011110100011; 245 : VAR1 <= 20'b11000101111111110001; 246 : VAR1 <= 20'b11000101100010001000; 247 : VAR1 <= 20'b11000101000101101000; 248 : VAR1 <= 20'b11000100101010010001; 249 : VAR1 <= 20'b11000100010000000100; 250 : VAR1 <= 20'b11000011110111000010; 251 : VAR1 <= 20'b11000011011111001010; 252 : VAR1 <= 20'b11000011001000011111; 253 : VAR1 <= 20'b11000010110010111111; 254 : VAR1 <= 20'b11000010011110101011; 255 : VAR1 <= 20'b11000010001011100101; 256 : VAR1 <= 20'b11000001111001101011; 257 : VAR1 <= 20'b11000001101000111111; 258 : VAR1 <= 20'b11000001011001100001; 259 : VAR1 <= 20'b11000001001011010001; 260 : VAR1 <= 20'b11000000111110001111; 261 : VAR1 <= 20'b11000000110010011100; 262 : VAR1 <= 20'b11000000100111111000; 263 : VAR1 <= 20'b11000000011110100011; 264 : VAR1 <= 20'b11000000010110011101; 265 : VAR1 <= 20'b11000000001111100110; 266 : VAR1 <= 20'b11000000001001111111; 267 : VAR1 <= 20'b11000000000101101000; 268 : VAR1 <= 20'b11000000000010100000; 269 : VAR1 <= 20'b11000000000000101000; 270 : VAR1 <= 20'b11000000000000000000; 271 : VAR1 <= 20'b11000000000000101000; 272 : VAR1 <= 20'b11000000000010100000; 273 : VAR1 <= 20'b11000000000101101000; 274 : VAR1 <= 20'b11000000001001111111; 275 : VAR1 <= 20'b11000000001111100110; 276 : VAR1 <= 20'b11000000010110011101; 277 : VAR1 <= 20'b11000000011110100011; 278 : VAR1 <= 20'b11000000100111111000; 279 : VAR1 <= 20'b11000000110010011100; 280 : VAR1 <= 20'b11000000111110001111; 281 : VAR1 <= 20'b11000001001011010001; 282 : VAR1 <= 20'b11000001011001100001; 283 : VAR1 <= 20'b11000001101000111111; 284 : VAR1 <= 20'b11000001111001101011; 285 : VAR1 <= 20'b11000010001011100101; 286 : VAR1 <= 20'b11000010011110101011; 287 : VAR1 <= 20'b11000010110010111111; 288 : VAR1 <= 20'b11000011001000011111; 289 : VAR1 <= 20'b11000011011111001010; 290 : VAR1 <= 20'b11000011110111000010; 291 : VAR1 <= 20'b11000100010000000100; 292 : VAR1 <= 20'b11000100101010010001; 293 : VAR1 <= 20'b11000101000101101000; 294 : VAR1 <= 20'b11000101100010001000; 295 : VAR1 <= 20'b11000101111111110001; 296 : VAR1 <= 20'b11000110011110100011; 297 : VAR1 <= 20'b11000110111110011100; 298 : VAR1 <= 20'b11000111011111011101; 299 : VAR1 <= 20'b11001000000001100100; 300 : VAR1 <= 20'b11001000100100110001; 301 : VAR1 <= 20'b11001001001001000011; 302 : VAR1 <= 20'b11001001101110011010; 303 : VAR1 <= 20'b11001010010100110100; 304 : VAR1 <= 20'b11001010111100010001; 305 : VAR1 <= 20'b11001011100100110001; 306 : VAR1 <= 20'b11001100001110010010; 307 : VAR1 <= 20'b11001100111000110011; 308 : VAR1 <= 20'b11001101100100010100; 309 : VAR1 <= 20'b11001110010000110100; 310 : VAR1 <= 20'b11001110111110010011; 311 : VAR1 <= 20'b11001111101100101110; 312 : VAR1 <= 20'b11010000011100000101; 313 : VAR1 <= 20'b11010001001100011000; 314 : VAR1 <= 20'b11010001111101100110; 315 : VAR1 <= 20'b11010010101111101101; 316 : VAR1 <= 20'b11010011100010101100; 317 : VAR1 <= 20'b11010100010110100011; 318 : VAR1 <= 20'b11010101001011010000; 319 : VAR1 <= 20'b11010110000000110011; 320 : VAR1 <= 20'b11010110110111001001; 321 : VAR1 <= 20'b11010111101110010100; 322 : VAR1 <= 20'b11011000100110010001; 323 : VAR1 <= 20'b11011001011110111110; 324 : VAR1 <= 20'b11011010011000011100; 325 : VAR1 <= 20'b11011011010010101001; 326 : VAR1 <= 20'b11011100001101100011; 327 : VAR1 <= 20'b11011101001001001011; 328 : VAR1 <= 20'b11011110000101011101; 329 : VAR1 <= 20'b11011111000010011010; 330 : VAR1 <= 20'b11100000000000000000; 331 : VAR1 <= 20'b11100000111110001110; 332 : VAR1 <= 20'b11100001111101000011; 333 : VAR1 <= 20'b11100010111100011110; 334 : VAR1 <= 20'b11100011111100011100; 335 : VAR1 <= 20'b11100100111100111110; 336 : VAR1 <= 20'b11100101111110000001; 337 : VAR1 <= 20'b11100110111111100101; 338 : VAR1 <= 20'b11101000000001101000; 339 : VAR1 <= 20'b11101001000100001000; 340 : VAR1 <= 20'b11101010000111000110; 341 : VAR1 <= 20'b11101011001010011111; 342 : VAR1 <= 20'b11101100001110010010; 343 : VAR1 <= 20'b11101101010010011101; 344 : VAR1 <= 20'b11101110010111000000; 345 : VAR1 <= 20'b11101111011011111001; 346 : VAR1 <= 20'b11110000100001000110; 347 : VAR1 <= 20'b11110001100110100111; 348 : VAR1 <= 20'b11110010101100011010; 349 : VAR1 <= 20'b11110011110010011101; 350 : VAR1 <= 20'b11110100111000110000; 351 : VAR1 <= 20'b11110101111111010000; 352 : VAR1 <= 20'b11110111000101111101; 353 : VAR1 <= 20'b11111000001100110101; 354 : VAR1 <= 20'b11111001010011110111; 355 : VAR1 <= 20'b11111010011011000001; 356 : VAR1 <= 20'b11111011100010010010; 357 : VAR1 <= 20'b11111100101001101001; 358 : VAR1 <= 20'b11111101110001000100; 359 : VAR1 <= 20'b11111110111000100010; default: VAR1 <= 0; endcase end endmodule
lgpl-2.1
hitomi2500/wasca
fpga_firmware/wasca/synthesis/submodules/altera_avalon_st_clock_crosser.v
4,879
module MODULE1( VAR31, VAR6, VAR20, VAR11, VAR14, VAR26, VAR5, VAR8, VAR25, VAR9 ); parameter VAR17 = 1; parameter VAR12 = 8; parameter VAR4 = 2; parameter VAR27 = 2; parameter VAR16 = 1; localparam VAR33 = VAR17 * VAR12; input VAR31; input VAR6; output VAR20; input VAR11; input [VAR33-1:0] VAR14; input VAR26; input VAR5; input VAR8; output VAR25; output [VAR33-1:0] VAR9; reg [VAR33-1:0] VAR2; reg [VAR33-1:0] VAR21; reg VAR23; wire VAR28; wire VAR1; reg VAR19; wire VAR32; wire VAR30; wire VAR22; wire VAR29; assign VAR20 = ~(VAR28 ^ VAR23); assign VAR32 = VAR11 & VAR20; assign VAR22 = VAR1 ^ VAR19; assign VAR30 = VAR29 & VAR22; always @(posedge VAR31 or posedge VAR6) begin if (VAR6) begin VAR2 <= {VAR33{1'b0}}; VAR23 <= 1'b0; end else begin if (VAR32) begin VAR23 <= ~VAR23; VAR2 <= VAR14; end end end always @(posedge VAR26 or posedge VAR5) begin if (VAR5) begin VAR19 <= 1'b0; VAR21 <= {VAR33{1'b0}}; end else begin VAR21 <= VAR2; if (VAR30) begin VAR19 <= VAR1; end end end VAR10 #(.VAR13(VAR4)) VAR24 ( .clk(VAR26), .VAR18(~VAR5), .din(VAR23), .dout(VAR1) ); VAR10 #(.VAR13(VAR27)) VAR7 ( .clk(VAR31), .VAR18(~VAR6), .din(VAR19), .dout(VAR28) ); generate if (VAR16 == 1) begin VAR15 .VAR12(VAR12), .VAR17(VAR17) ) VAR3 ( .clk(VAR26), .reset(VAR5), .VAR20(VAR29), .VAR11(VAR22), .VAR14(VAR21), .VAR8(VAR8), .VAR25(VAR25), .VAR9(VAR9) ); end else begin assign VAR25 = VAR22; assign VAR29 = VAR8; assign VAR9 = VAR21; end endgenerate endmodule
gpl-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/rw_manager_pattern_fifo.v
2,756
module MODULE1 ( VAR31, VAR39, VAR32, VAR3, VAR5, VAR6); input VAR31; input [8:0] VAR39; input [4:0] VAR32; input [4:0] VAR3; input VAR5; output [8:0] VAR6; tri1 VAR31; tri0 VAR5; wire [8:0] VAR14; wire [8:0] VAR6 = VAR14[8:0]; VAR21 VAR54 ( .VAR15 (VAR3), .VAR46 (VAR31), .VAR37 (VAR39), .VAR22 (VAR5), .VAR33 (VAR32), .VAR20 (VAR14), .VAR9 (1'b0), .VAR10 (1'b0), .VAR8 (1'b0), .VAR51 (1'b0), .VAR1 (1'b1), .VAR44 (1'b1), .VAR27 (1'b1), .VAR57 (1'b1), .VAR52 (1'b1), .VAR47 (1'b1), .VAR41 (1'b1), .VAR42 ({9{1'b1}}), .VAR16 (), .VAR28 (), .VAR49 (1'b1), .VAR60 (1'b1), .VAR40 (1'b0)); VAR54.VAR7 = "VAR18", VAR54.VAR12 = "VAR4", VAR54.VAR36 = "VAR29", VAR54.VAR50 = "VAR29", VAR54.VAR26 = "VAR29", VAR54.VAR23 = "VAR11 VAR24", VAR54.VAR56 = "VAR21", VAR54.VAR34 = 32, VAR54.VAR58 = 32, VAR54.VAR43 = "VAR35", VAR54.VAR38 = "VAR18", VAR54.VAR59 = "VAR19", VAR54.VAR55 = "VAR17", VAR54.VAR53 = "VAR13", VAR54.VAR2 = 5, VAR54.VAR30 = 5, VAR54.VAR45 = 9, VAR54.VAR48 = 9, VAR54.VAR25 = 1; endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/icgtp/gf180mcu_fd_sc_mcu9t5v0__icgtp_2.behavioral.pp.v
2,782
module MODULE1( VAR15, VAR20, VAR12, VAR26, VAR13, VAR7 ); input VAR12, VAR20, VAR15; inout VAR13, VAR7; output VAR26; reg VAR3; VAR14 VAR21(.VAR15(VAR15),.VAR20(VAR20),.VAR12(VAR12),.VAR26(VAR26),.VAR13(VAR13),.VAR7(VAR7),.VAR3(VAR3)); VAR14 VAR2(.VAR15(VAR15),.VAR20(VAR20),.VAR12(VAR12),.VAR26(VAR26),.VAR13(VAR13),.VAR7(VAR7),.VAR3(VAR3)); not VAR23(VAR16,VAR20); not VAR9(VAR19,VAR15); and VAR1(VAR22,VAR19,VAR16); not VAR8(VAR18,VAR20); and VAR4(VAR25,VAR15,VAR18); not VAR17(VAR11,VAR15); and VAR6(VAR10,VAR11,VAR20); and VAR24(VAR5,VAR15,VAR20);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a222oi/sky130_fd_sc_hd__a222oi_1.v
2,542
module MODULE1 ( VAR6 , VAR5 , VAR11 , VAR9 , VAR4 , VAR8 , VAR1 , VAR10, VAR3, VAR7 , VAR2 ); output VAR6 ; input VAR5 ; input VAR11 ; input VAR9 ; input VAR4 ; input VAR8 ; input VAR1 ; input VAR10; input VAR3; input VAR7 ; input VAR2 ; VAR12 VAR13 ( .VAR6(VAR6), .VAR5(VAR5), .VAR11(VAR11), .VAR9(VAR9), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1), .VAR10(VAR10), .VAR3(VAR3), .VAR7(VAR7), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR6 , VAR5, VAR11, VAR9, VAR4, VAR8, VAR1 ); output VAR6 ; input VAR5; input VAR11; input VAR9; input VAR4; input VAR8; input VAR1; supply1 VAR10; supply0 VAR3; supply1 VAR7 ; supply0 VAR2 ; VAR12 VAR13 ( .VAR6(VAR6), .VAR5(VAR5), .VAR11(VAR11), .VAR9(VAR9), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand3/sky130_fd_sc_hvl__nand3.pp.blackbox.v
1,297
module MODULE1 ( VAR7 , VAR8 , VAR3 , VAR1 , VAR2, VAR6, VAR5 , VAR4 ); output VAR7 ; input VAR8 ; input VAR3 ; input VAR1 ; input VAR2; input VAR6; input VAR5 ; input VAR4 ; endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/Zero_InfMult_Unit.v
1,459
module MODULE1 ( input wire clk, input wire rst, input wire VAR4, input wire [VAR15-2:0] VAR7, input wire [VAR15-2:0] VAR17, output wire VAR13 ); wire VAR18, VAR3; wire [VAR15-2:0] VAR11; wire VAR8; VAR2 #(.VAR9(VAR15-1)) VAR10 ( .VAR7(VAR7), .VAR17(VAR11), .VAR1(VAR18) ); VAR2 #(.VAR9(VAR15-1)) VAR14 ( .VAR7(VAR11), .VAR17(VAR17), .VAR1(VAR3) ); VAR6 #(.VAR15(1)) VAR16 ( .clk(clk), .rst(rst), .VAR4(VAR4), .VAR12(VAR8), .VAR5(VAR13) ); assign VAR8 = VAR18 || VAR3; assign VAR11 = {(VAR15-1){1'd0}}; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/lsbuf/sky130_fd_sc_lp__lsbuf.functional.pp.v
1,862
module MODULE1 ( VAR9 , VAR8 , VAR7, VAR10 , VAR4 , VAR1, VAR12 , VAR13 ); output VAR9 ; input VAR8 ; input VAR7; input VAR10 ; input VAR4 ; input VAR1; input VAR12 ; input VAR13 ; wire VAR6; wire VAR3 ; VAR14 VAR2 (VAR6, VAR8, VAR10, VAR4 ); buf VAR5 (VAR3 , VAR6 ); VAR14 VAR11 (VAR9 , VAR3, VAR7, VAR4); endmodule
apache-2.0
phasza/axi_spi_if
axi_slv.v
12,112
module MODULE1 #( parameter VAR51 = 28 ) ( input VAR54, input VAR27, input VAR30, output VAR47, input [VAR51-1:0] VAR4, input VAR2, input VAR50, output VAR55, input [31:0] VAR16, input [3:0] VAR19, output VAR38, input VAR28, output [1:0] VAR23, input VAR1, output VAR21, input [VAR51-1:0] VAR14, input [2:0] VAR33, output VAR26, input VAR49, output [31:0] VAR18, output [1:0] VAR32, input VAR42, output [1:0] VAR57, output VAR6, input VAR12, output [35:0] VAR15, output VAR31, input VAR11, input [1:0] VAR9, output VAR43, input VAR41, output [1:0] VAR29, output VAR22, input VAR25, input [33:0] VAR36, output VAR10 ); parameter [1:0] VAR20 = 2'd0, VAR17 = 2'd1, VAR5 = 2'd2, VAR13 = 2'd3; reg VAR24; reg VAR56; VAR7 VAR24 = 1; VAR7 VAR56 = 0; reg [1:0] VAR53; always @ (posedge VAR54) begin case (VAR53) VAR20 : begin VAR24 <= 1; VAR56 <= 0; end VAR17 : begin VAR24 <= 0; VAR56 <= 1; end VAR5 : begin VAR24 <= 0; VAR56 <= 0; end default : begin VAR24 <= 0; VAR56 <= 0; end endcase; end always @ (posedge VAR54 or negedge VAR27) begin if (!VAR27) VAR53 <= VAR20; end else begin case (VAR53) VAR20 : begin if (VAR30 & (!VAR42)) VAR53 <= VAR17; end else if (VAR30 & VAR42) VAR53 <= VAR5; end else VAR53 <= VAR20; end VAR17 : begin VAR53 <= VAR20; end VAR5 : begin if (VAR30 & (!VAR42)) VAR53 <= VAR17; end else VAR53 <= VAR5; end endcase end end assign VAR47 = VAR24; assign VAR6 = VAR56; assign VAR57 = VAR4[1:0]; reg VAR35; reg VAR40; VAR7 VAR35 = 1; VAR7 VAR40 = 0; reg [1:0] VAR37; always @ (posedge VAR54) begin case (VAR37) VAR20 : begin VAR35 <= 1; VAR40 <= 0; end VAR17 : begin VAR35 <= 0; VAR40 <= 1; end VAR5 : begin VAR35 <= 0; VAR40 <= 0; end default : begin VAR35 <= 0; VAR40 <= 0; end endcase; end always @ (posedge VAR54 or negedge VAR27) begin if (!VAR27) VAR37 <= VAR20; end else begin case (VAR37) VAR20 : begin if (VAR50 & (!VAR12)) VAR37 <= VAR17; end else if (VAR50 & VAR12) VAR37 <= VAR5; end else VAR37 <= VAR20; end VAR17 : begin VAR37 <= VAR20; end VAR5 : begin if (VAR50 & (!VAR12)) VAR37 <= VAR17; end else VAR37 <= VAR5; end endcase end end assign VAR55 = VAR35; assign VAR31 = VAR40; assign VAR15 = {VAR16, VAR19}; reg VAR39; reg VAR34; VAR7 VAR39 = 0; VAR7 VAR34 = 0; reg [1:0] VAR3; always @ (posedge VAR54) begin case (VAR3) VAR20 : begin VAR39 <= 0; VAR34 <= 0; end VAR13 : begin VAR39 <= 1; VAR34 <= 1; end VAR5 : begin VAR39 <= 0; VAR34 <= 0; end default : begin VAR39 <= 0; VAR34 <= 0; end endcase; end always @ (posedge VAR54 or negedge VAR27) begin if (!VAR27) VAR3 <= VAR20; end else begin case (VAR3) VAR20 : begin if (VAR28 & (!VAR11)) VAR3 <= VAR13; end else VAR3 <= VAR20; end VAR13 : begin VAR3 <= VAR5; end VAR5 : begin VAR3 <= VAR20; end endcase; end end assign VAR38 = VAR39; assign VAR43 = VAR34; assign VAR23 = VAR9; reg VAR52; reg VAR48; VAR7 VAR52 = 1; VAR7 VAR48 = 0; reg [1:0] VAR44; always @ (posedge VAR54) begin case (VAR44) VAR20 : begin VAR52 <= 1; VAR48 <= 0; end VAR17 : begin VAR52 <= 0; VAR48 <= 1; end VAR5 : begin VAR52 <= 0; VAR48 <= 0; end default : begin VAR52 <= 0; VAR48 <= 0; end endcase; end always @ (posedge VAR54 or negedge VAR27) begin if (!VAR27) VAR44 <= VAR20; end else begin case (VAR44) VAR20 : begin if (VAR1 & (!VAR41)) VAR44 <= VAR17; end else if (VAR1 & VAR41) VAR44 <= VAR5; end else VAR44 <= VAR20; end VAR17 : begin VAR44 <= VAR20; end VAR5 : begin if (VAR1 & (!VAR41)) VAR44 <= VAR17; end else VAR44 <= VAR5; end endcase end end assign VAR21 = VAR52; assign VAR22 = VAR48; assign VAR29 = VAR14[1:0]; reg VAR8; reg VAR45; VAR7 VAR8 = 0; VAR7 VAR45 = 0; reg [1:0] VAR46; always @ (posedge VAR54) begin case (VAR46) VAR20 : begin VAR8 <= 0; VAR45 <= 0; end VAR13 : begin VAR8 <= 1; VAR45 <= 1; end VAR5 : begin VAR8 <= 0; VAR45 <= 0; end default : begin VAR8 <= 0; VAR45 <= 0; end endcase; end always @ (posedge VAR54 or negedge VAR27) begin if (!VAR27) VAR46 <= VAR20; end else begin case (VAR46) VAR20 : begin if (VAR49 & (!VAR25)) VAR46 <= VAR13; end else VAR46 <= VAR20; end VAR13 : begin VAR46 <= VAR5; end VAR5 : begin VAR46 <= VAR20; end endcase; end end assign VAR26 = VAR8; assign VAR10 = VAR45; assign VAR18 = VAR36[33:2]; assign VAR32 = VAR36[1:0]; endmodule
gpl-3.0
markusC64/1541ultimate2
fpga/nios_dut/nios_dut/synthesis/submodules/fifo_with_byteenables.v
5,519
module MODULE1 ( clk, VAR44, VAR41, VAR25, VAR28, write, VAR34, VAR33, VAR37, VAR22, VAR23, VAR6 ); parameter VAR3 = 32; parameter VAR32 = 128; parameter VAR35 = 7; parameter VAR9 = 1; input clk; input VAR44; input VAR41; input [VAR3-1:0] VAR25; input [(VAR3/8)-1:0] VAR28; input write; input VAR34; output wire [VAR3-1:0] VAR33; input VAR37; output wire [VAR35:0] VAR22; output wire VAR23; output wire VAR6; reg [VAR35-1:0] VAR38; reg [VAR35-1:0] VAR47; reg [VAR35:0] VAR45; wire VAR17; wire VAR14; always @ (posedge clk or posedge VAR44) begin if (VAR44) begin VAR38 <= 0; end else begin if (VAR41) begin VAR38 <= 0; end else if (VAR34 == 1) begin VAR38 <= VAR38 + 1'b1; end end end always @ (posedge clk or posedge VAR44) begin if (VAR44) begin VAR47 <= 0; end else begin if (VAR41) begin VAR47 <= 0; end else if (VAR37 == 1) begin VAR47 <= VAR47 + 1'b1; end end end VAR1 VAR10 ( .VAR18 (clk), .VAR7 (write), .VAR46 (VAR28), .VAR21 (VAR25), .VAR30 (VAR38), .VAR40 (VAR33), .VAR39 (VAR47) ); always @ (posedge clk or posedge VAR44) begin if (VAR44) begin VAR45 <= 0; end else begin if (VAR41) begin VAR45 <= 0; end else begin case ({VAR34, VAR37}) 2'b01: VAR45 <= VAR45 - 1'b1; 2'b10: VAR45 <= VAR45 + 1'b1; default: VAR45 <= VAR45; endcase end end end assign VAR14 = (VAR47 == VAR38) & (VAR45 == 0); assign VAR17 = (VAR38 == VAR47) & (VAR45 != 0); assign VAR22 = VAR45; assign VAR6 = VAR14; assign VAR23 = VAR17; endmodule
gpl-3.0
walkthetalk/fsref
ip/fscpu/src/fscpu.v
30,934
module MODULE1 #( parameter integer VAR64 = 12, parameter integer VAR208 = 12, parameter integer VAR249 = 32, parameter integer VAR68 = 32 )( input wire clk, input wire VAR165, input wire VAR6, input wire VAR184, input wire [VAR68-1:0] VAR314, output wire [VAR208:0] VAR76, input wire VAR266, input wire VAR101, input wire [VAR68-1:0] VAR187, output wire [VAR208:0] VAR325, input wire VAR283 , input wire [ 31:0] VAR84 , input wire [159:0] VAR209, output wire VAR41, output wire [ 31:0] VAR13, input wire VAR23 , input wire VAR199 , input wire [VAR208-1:0] VAR261 , input wire VAR1, input wire [VAR208-1:0] VAR52 , input wire VAR293, input wire [VAR208-1:0] VAR30 , input wire VAR151 , input wire [VAR208-1:0] VAR178 , input wire VAR156 , input wire [VAR208-1:0] VAR102 , input wire VAR188 , input wire [VAR208-1:0] VAR113 , input wire VAR273 , input wire VAR278 , input wire [VAR208-1:0] VAR60 , input wire VAR270, input wire [VAR208-1:0] VAR51 , input wire VAR69, input wire [VAR208-1:0] VAR110 , input wire VAR112 , input wire [VAR208-1:0] VAR176 , input wire VAR164 , input wire [VAR208-1:0] VAR114 , input wire VAR44 , input wire [VAR208-1:0] VAR18 , output wire VAR243 , input wire VAR315 , input wire VAR263 , input wire VAR213 , input wire VAR179 , input wire [VAR249-1:0] VAR218, input wire VAR135 , input wire signed [VAR68-1:0] VAR183, output wire VAR195 , output wire VAR137 , output wire [VAR249-1:0] VAR142 , output wire signed [VAR68-1:0] VAR237 , output wire VAR181 , output wire VAR324, output wire signed [VAR68-1:0] VAR120, output wire VAR86 , input wire VAR265 , input wire VAR244 , input wire VAR198 , input wire VAR304 , input wire [VAR249-1:0] VAR269, input wire VAR20 , input wire signed [VAR68-1:0] VAR255, output wire VAR277 , output wire VAR92 , output wire [VAR249-1:0] VAR279 , output wire signed [VAR68-1:0] VAR11 , output wire VAR310 , output wire VAR192, output wire signed [VAR68-1:0] VAR37, output wire VAR240 , input wire VAR111 , input wire VAR276 , input wire VAR170 , input wire VAR236 , input wire [VAR249-1:0] VAR98, input wire VAR9 , input wire signed [VAR68-1:0] VAR275, output wire VAR241 , output wire VAR190 , output wire [VAR249-1:0] VAR161 , output wire signed [VAR68-1:0] VAR32 , output wire VAR96 , output wire VAR301, output wire signed [VAR68-1:0] VAR93, output wire VAR95 , input wire VAR166 , input wire VAR193 , input wire VAR33 , input wire VAR67 , input wire [VAR249-1:0] VAR121, input wire VAR262 , input wire signed [VAR68-1:0] VAR34, output wire VAR319 , output wire VAR191 , output wire [VAR249-1:0] VAR58 , output wire signed [VAR68-1:0] VAR133 , output wire VAR258 , output wire VAR143, output wire signed [VAR68-1:0] VAR28, output wire VAR8 , input wire VAR287 , input wire VAR163 , input wire VAR175 , input wire VAR117 , input wire [VAR249-1:0] VAR150, input wire VAR271 , input wire signed [VAR68-1:0] VAR219, output wire VAR107 , output wire VAR108 , output wire [VAR249-1:0] VAR38 , output wire signed [VAR68-1:0] VAR309 , output wire VAR66 , output wire VAR303, output wire signed [VAR68-1:0] VAR109, output wire VAR297 , input wire VAR136 , input wire VAR321 , input wire VAR226 , input wire VAR224 , input wire [VAR249-1:0] VAR131, input wire VAR211 , input wire signed [VAR68-1:0] VAR268, output wire VAR180 , output wire VAR257 , output wire [VAR249-1:0] VAR174 , output wire signed [VAR68-1:0] VAR31 , output wire VAR299 , output wire VAR292, output wire signed [VAR68-1:0] VAR16, output wire VAR128, output wire VAR79 ); localparam integer VAR12 = 0; localparam integer VAR144 = 16; localparam integer VAR59 = 16; localparam integer VAR238 = 32; localparam integer VAR223 = 0; localparam integer VAR81 = 1; localparam integer VAR46 = 2; localparam integer VAR286 = 3; localparam integer VAR83 = 4; localparam integer VAR225 = 5; localparam integer VAR27 = 8; localparam integer VAR264 = 28; localparam integer VAR118 = 29; localparam integer VAR197 = 30; localparam integer VAR245 = 31; wire [31:0] VAR202; assign VAR202 = VAR209[ 31: 0]; wire [31:0] VAR318; assign VAR318 = VAR209[ 63:32]; wire [31:0] VAR185; assign VAR185 = VAR209[ 95:64]; wire [31:0] VAR290; assign VAR290 = VAR209[127:96]; wire [31:0] VAR200; assign VAR200 = VAR209[159:128]; reg [31:0] VAR103; wire [31:0] VAR73; assign VAR73[7:6] = 0; assign VAR73[31:9] = 0; reg [31:0] VAR203; reg [1:0] VAR39; reg [VAR144-1:0] VAR206; reg VAR313[VAR50(VAR4):VAR50(VAR171)]; reg VAR148 [VAR50(VAR4):VAR50(VAR171)]; reg VAR253 [VAR50(VAR4):VAR50(VAR171)]; reg VAR227 [VAR50(VAR4):VAR50(VAR171)]; reg VAR302 [VAR50(VAR4):VAR50(VAR171)]; reg VAR155 [VAR50(VAR4):VAR50(VAR171)]; reg [VAR50(VAR4):VAR50(VAR171)] VAR75; reg [31:0] VAR57 [VAR50(VAR4):VAR50(VAR171)]; reg signed [31:0] VAR173 [VAR50(VAR4):VAR50(VAR171)]; reg [15:0] VAR205 [VAR50(VAR4):VAR50(VAR171)]; reg [15:0] VAR204 [VAR50(VAR4):VAR50(VAR171)]; reg [31:0] VAR82[VAR50(VAR4):VAR50(VAR171)]; reg VAR147[VAR50(VAR4):VAR50(VAR171)]; generate genvar VAR71; for (VAR71 = VAR223; VAR71 <= VAR225; VAR71=VAR71+1) begin : VAR252 always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR313[VAR71] <= 0; VAR148 [VAR71] <= 0; VAR253 [VAR71] <= 0; VAR227 [VAR71] <= 0; VAR302 [VAR71] <= 0; VAR155 [VAR71] <= 0; VAR75[VAR71] <= 0; VAR57 [VAR71] <= 0; VAR173 [VAR71] <= 0; VAR205 [VAR71] <= 0; VAR204 [VAR71] <= 0; VAR82[VAR71] <= 0; VAR147[VAR71] <= 0; end else if (VAR283 && (VAR84 == VAR71)) begin VAR313[VAR71] <= VAR202[0]; VAR148 [VAR71] <= VAR202[1]; VAR253 [VAR71] <= VAR202[2]; VAR227 [VAR71] <= VAR202[3]; VAR302 [VAR71] <= VAR202[4]; VAR155 [VAR71] <= VAR202[5]; VAR75[VAR71] <= VAR202[6]; VAR57 [VAR71] <= VAR318; VAR173 [VAR71] <= VAR185; VAR205 [VAR71] <= VAR290[31:16]; VAR204 [VAR71] <= VAR290[15: 0]; VAR82[VAR71] <= VAR200[31:0]; VAR147[VAR71] <= (VAR200[31:0] == 0); end else begin if (VAR82[VAR71] != 0) VAR82[VAR71] <= VAR82[VAR71] - 1; if (VAR82[VAR71] == 1) VAR147[VAR71] <= 1; end end end endgenerate wire VAR91; assign VAR91 = ((VAR73 & VAR103) == VAR103 && VAR103 != 0); localparam integer VAR22 = 0; localparam integer VAR157 = 1; wire[31:0] VAR127; assign VAR127[31:2] = 0; reg [31:0] VAR220; always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR220 <= 0; end else if (VAR283) begin case (VAR84) VAR245: VAR220 <= 0; default: VAR220 <= 0; endcase end else if (VAR91) VAR220 <= 0; end always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR103 <= 0; end else if (VAR283) begin case (VAR84) VAR197: VAR103 <= VAR220; VAR245: VAR103 <= 0; endcase end else if (VAR91) VAR103 <= 0; end reg[VAR144-1:0] VAR130; reg[VAR144-1:0] VAR61; reg[VAR238-1:0] VAR280; reg[VAR238-1:0] VAR146; reg[VAR144+VAR59-1:0] VAR248; always @ (posedge clk) begin if (VAR165 == 0) begin VAR130 <= 0; VAR61 <= 0; VAR280 <= 0; VAR146 <= 0; VAR248 <= 0; end else if (VAR283 && VAR84 == VAR50(VAR230)) begin VAR130 <= VAR202[VAR144-1:0]; VAR61 <= VAR202[VAR144 + 15 : 16]; VAR280 <= VAR318; VAR146 <= VAR185; VAR248 <= VAR290; end end always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR39 <= 0; VAR203 <= 0; VAR206 <= 0; end else if (VAR283) begin case (VAR84) VAR118: begin VAR39 <= VAR202; VAR203 <= VAR318; VAR206 <= VAR185[VAR144-1:0]; end endcase end end reg VAR42; assign VAR41 = VAR42; always @ (posedge clk) begin if (VAR165 == 1'b0) VAR42 <= 0; end else if (VAR283) VAR42 <= 0; else if (VAR91 || VAR127 != 0) VAR42 <= 1; end reg [31:0] VAR19; reg VAR323; assign VAR13 = VAR19; always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR19 <= 0; VAR323 <= 0; end else if (VAR283) begin VAR19 <= 0; VAR323 <= 0; end else if (VAR127 != 0) begin VAR19 <= VAR127; VAR323 <= 1'b1; end end reg VAR45[VAR50(VAR320):VAR50(VAR317)]; reg [VAR68-1:0] VAR267[VAR50(VAR320):VAR50(VAR317)]; reg VAR149[VAR50(VAR320):VAR50(VAR317)]; generate for (VAR71 = VAR46; VAR71 <= VAR286; VAR71=VAR71+1) begin : VAR97 always @ (posedge clk) begin if (VAR165 == 1'b0) begin VAR45[VAR71] <= 0; VAR267 [VAR71] <= 0; VAR149 [VAR71] <= 0; end else if (VAR283 && (VAR84 == VAR264) && (VAR202 == VAR71)) begin VAR45[VAR71] <= 1'b1; VAR149 [VAR71] <= VAR318[0]; VAR267 [VAR71] <= VAR185[VAR68-1:0]; end else begin VAR45[VAR71] <= 0; end end end endgenerate wire [VAR208-1:0] VAR122; wire[VAR68-1:0] VAR132 ; wire[VAR208-1:0] VAR182; wire[VAR68-1:0] VAR212 ; VAR25 # ( .VAR129(VAR68), .VAR168(VAR208) ) VAR229 ( .clk(clk), .VAR254(VAR6 ), .VAR55 (VAR184), .VAR177 (VAR314 ), .VAR99 (VAR76 ), .VAR48(VAR122), .VAR139 (VAR132 ), .VAR154(VAR182), .VAR308 (VAR212 ) ); wire [VAR64-1:0] VAR194; wire[VAR68-1:0] VAR305 ; wire[VAR64-1:0] VAR54; wire[VAR68-1:0] VAR14 ; VAR25 # ( .VAR129(VAR68), .VAR168(VAR64) ) VAR326 ( .clk(clk), .VAR254(VAR266 ), .VAR55 (VAR101), .VAR177 (VAR187 ), .VAR99 (VAR325 ), .VAR48(VAR194), .VAR139 (VAR305 ), .VAR154(VAR54), .VAR308 (VAR14 ) ); VAR2 # ( .VAR208(VAR208), .VAR64(VAR64), .VAR68(VAR68), .VAR249 (VAR249 ), .VAR316(1) ) VAR140 ( .clk (clk ), .VAR165 (VAR103[VAR50(VAR171)] && VAR147[VAR50(VAR171)] && !VAR323), .VAR90 (VAR73[VAR50(VAR171)]), .VAR160 (VAR127[VAR22]), .VAR284(VAR203), .VAR259(VAR39), .VAR313(VAR313[VAR50(VAR171)]), .VAR148 (VAR148 [VAR50(VAR171)]), .VAR253 (VAR253 [VAR50(VAR171)]), .VAR57 (VAR57 [VAR50(VAR171)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR171)][VAR68-1:0]), .VAR205 (VAR205 [VAR50(VAR171)][VAR208-1 :0]), .VAR204 (VAR204 [VAR50(VAR171)][VAR208-1 :0]), .VAR167(VAR23 ), .VAR311(VAR199), .VAR77 (VAR261 ), .VAR104 (VAR243 ), .VAR105 (VAR315 ), .VAR215 (VAR263 ), .VAR217 (VAR213 ), .VAR247 (VAR179 ), .VAR158 (VAR183 ), .VAR36 (VAR195 ), .VAR63 (VAR137 ), .VAR172 (VAR142 ), .VAR74 (VAR237 ), .VAR272 (VAR181 ), .VAR169(VAR324), .VAR10(VAR120), .VAR201(VAR122), .VAR88(VAR132 ) ); VAR2 # ( .VAR208(VAR208), .VAR64(VAR64), .VAR68(VAR68), .VAR249 (VAR249 ), .VAR316(0) ) VAR210 ( .clk (clk ), .VAR165 (VAR103[VAR50(VAR138)] && VAR147[VAR50(VAR138)] && !VAR323), .VAR90 (VAR73[VAR50(VAR138)]), .VAR160 (VAR127[VAR157]), .VAR284(VAR203), .VAR259(VAR39), .VAR313(VAR313[VAR50(VAR138)]), .VAR148 (VAR148 [VAR50(VAR138)]), .VAR253 (VAR253 [VAR50(VAR138)]), .VAR57 (VAR57 [VAR50(VAR138)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR138)][VAR68-1:0]), .VAR205 (VAR205 [VAR50(VAR138)][VAR208-1 :0]), .VAR204 (VAR204 [VAR50(VAR138)][VAR208-1 :0]), .VAR167(VAR23 ), .VAR311(VAR151 ), .VAR77 (VAR178 ), .VAR104 (VAR86 ), .VAR105 (VAR265 ), .VAR215 (VAR244 ), .VAR217 (VAR198 ), .VAR247 (VAR304 ), .VAR158 (VAR255 ), .VAR36 (VAR277 ), .VAR63 (VAR92 ), .VAR172 (VAR279 ), .VAR74 (VAR11 ), .VAR272 (VAR310 ), .VAR169(VAR192), .VAR10(VAR37), .VAR201(VAR182), .VAR88(VAR212 ) ); wire VAR242; assign VAR242 = (~VAR103[VAR50(VAR171)] || VAR73[VAR50(VAR171)]) && (~VAR103[VAR50(VAR138)] || VAR73[VAR50(VAR138)]); wire VAR78; assign VAR78 = (VAR103[VAR50(VAR317)] && (~VAR302[VAR50(VAR317)] || VAR242) && !VAR323); wire VAR94; assign VAR94 = (VAR179 | VAR304 | VAR236 | VAR67); wire VAR43[1:0]; wire signed [VAR68-1:0] VAR281[1:0]; wire VAR80[1:0]; wire VAR126[1:0]; VAR85 # ( .VAR208(VAR208), .VAR64(VAR64), .VAR68(VAR68), .VAR316(1) ) VAR152 ( .clk (clk ), .VAR165 (VAR78), .VAR296(VAR75[VAR50(VAR317)]), .VAR227 (VAR227 [VAR50(VAR317)]), .VAR253 (VAR253[VAR50(VAR317)]), .VAR205 (VAR205[VAR50(VAR317)][VAR64-1:0]), .VAR204 (VAR204[VAR50(VAR317)][VAR64-1:0]), .VAR167 (VAR23), .VAR145 (VAR199), .VAR29 (VAR151), .VAR282(VAR1), .VAR53 (VAR52 ), .VAR134(VAR156 ), .VAR106 (VAR102 ), .VAR300(VAR293), .VAR162 (VAR30 ), .VAR3(VAR188 ), .VAR233 (VAR113 ), .VAR247 (VAR236), .VAR141 (VAR94), .VAR201(VAR194), .VAR88(VAR305), .VAR274 (VAR43[0]), .VAR24 (VAR281 [0]), .VAR221 (VAR80 [0]), .VAR214(VAR126[0]) ); VAR116 # ( .VAR68(VAR68) ) VAR125 ( .clk (clk ), .VAR165 (VAR78), .VAR253 (VAR155[VAR50(VAR317)]), .VAR167(VAR45[VAR50(VAR317)]), .VAR228 (VAR267 [VAR50(VAR317)]), .VAR5 (VAR149 [VAR50(VAR317)]), .VAR247 (VAR236), .VAR141 (VAR94), .VAR274 (VAR43[1]), .VAR24 (VAR281 [1]), .VAR221 (VAR80 [1]), .VAR214(VAR126[1]) ); wire VAR153; wire signed [VAR68-1:0] VAR87; wire VAR250; wire VAR231; VAR72 # ( .VAR68(VAR68) ) VAR207 ( .clk (clk), .VAR165 (VAR78), .VAR119(VAR43[0]), .VAR239 (VAR281 [0]), .VAR307 (VAR80 [0]), .VAR186(VAR126[0]), .VAR124(VAR43[1]), .VAR312 (VAR281 [1]), .VAR196 (VAR80 [1]), .VAR298(VAR126[1]), .VAR274(VAR153), .VAR24 (VAR87), .VAR221 (VAR250), .VAR214(VAR231) ); VAR56 # ( .VAR68(VAR68), .VAR249 (VAR249 ) ) VAR7 ( .clk (clk ), .VAR165 (VAR78), .VAR90 (VAR73[VAR50(VAR317)]), .VAR148 (VAR148 [VAR50(VAR317)]), .VAR253 (VAR253 [VAR50(VAR317)] | VAR155 [VAR50(VAR317)]), .VAR57 (VAR57 [VAR50(VAR317)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR317)][VAR68-1:0]), .VAR104 (VAR240 ), .VAR105 (VAR111 ), .VAR215 (VAR276 ), .VAR217 (VAR170 ), .VAR247 (VAR236 ), .VAR40 (VAR9 ), .VAR158 (VAR275 ), .VAR36 (VAR241 ), .VAR63 (VAR190 ), .VAR172 (VAR161 ), .VAR74 (VAR32 ), .VAR272 (VAR96 ), .VAR169(VAR301), .VAR10(VAR93), .VAR141(VAR94), .VAR167 (VAR153), .VAR228 (VAR87), .VAR5 (VAR250), .VAR251(VAR231) ); wire VAR232; assign VAR232 = (VAR103[VAR50(VAR320)] && (~VAR302[VAR50(VAR320)] || VAR242) && !VAR323); wire VAR235; assign VAR235 = (VAR179 | VAR304 | VAR236 | VAR67); wire VAR295[1:0]; wire signed [VAR68-1:0] VAR123[1:0]; wire VAR189[1:0]; wire VAR21[1:0]; VAR85 # ( .VAR208(VAR208), .VAR64(VAR64), .VAR68(VAR68), .VAR316(0) ) VAR285 ( .clk (clk ), .VAR165 (VAR232), .VAR296(VAR75[VAR50(VAR320)]), .VAR227 (VAR227 [VAR50(VAR320)]), .VAR253 (VAR253[VAR50(VAR320)]), .VAR205 (VAR205[VAR50(VAR320)][VAR64-1:0]), .VAR204 (VAR204[VAR50(VAR320)][VAR64-1:0]), .VAR167 (VAR273), .VAR145 (VAR278), .VAR29 (VAR112), .VAR282(VAR270), .VAR53 (VAR51 ), .VAR134(VAR164 ), .VAR106 (VAR114 ), .VAR300(VAR69), .VAR162 (VAR110 ), .VAR3(VAR44 ), .VAR233 (VAR18 ), .VAR247 (VAR67), .VAR141 (VAR235), .VAR201(VAR54), .VAR88(VAR14), .VAR274 (VAR295[0]), .VAR24 (VAR123 [0]), .VAR221 (VAR189 [0]), .VAR214(VAR21[0]) ); VAR116 # ( .VAR68(VAR68) ) VAR65 ( .clk (clk ), .VAR165 (VAR232), .VAR253 (VAR155[VAR50(VAR320)]), .VAR167(VAR45[VAR50(VAR320)]), .VAR228 (VAR267 [VAR50(VAR320)]), .VAR5 (VAR149 [VAR50(VAR320)]), .VAR247 (VAR67), .VAR141 (VAR235), .VAR274 (VAR295[1]), .VAR24 (VAR123 [1]), .VAR221 (VAR189 [1]), .VAR214(VAR21[1]) ); wire VAR70; wire signed [VAR68-1:0] VAR100; wire VAR288; wire VAR35; VAR72 # ( .VAR68(VAR68) ) VAR62 ( .clk (clk), .VAR165 (VAR232), .VAR119(VAR295[0]), .VAR239 (VAR123 [0]), .VAR307 (VAR189 [0]), .VAR186(VAR21[0]), .VAR124(VAR295[1]), .VAR312 (VAR123 [1]), .VAR196 (VAR189 [1]), .VAR298(VAR21[1]), .VAR274(VAR70), .VAR24 (VAR100), .VAR221 (VAR288), .VAR214(VAR35) ); VAR56 # ( .VAR68(VAR68), .VAR249 (VAR249 ) ) VAR49 ( .clk (clk ), .VAR165 (VAR232), .VAR90 (VAR73[VAR50(VAR320)]), .VAR148 (VAR148 [VAR50(VAR320)]), .VAR253 (VAR253 [VAR50(VAR320)] | VAR155 [VAR50(VAR320)]), .VAR57 (VAR57 [VAR50(VAR320)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR320)][VAR68-1:0]), .VAR104 (VAR95 ), .VAR105 (VAR166 ), .VAR215 (VAR193 ), .VAR217 (VAR33 ), .VAR247 (VAR67 ), .VAR40 (VAR262 ), .VAR158 (VAR34 ), .VAR36 (VAR319 ), .VAR63 (VAR191 ), .VAR172 (VAR58 ), .VAR74 (VAR133 ), .VAR272 (VAR258 ), .VAR169(VAR143), .VAR10(VAR28), .VAR141(VAR235), .VAR167 (VAR70), .VAR228 (VAR100), .VAR5 (VAR288), .VAR251(VAR35) ); VAR234 # ( .VAR68(VAR68), .VAR249 (VAR249 ) ) VAR306 ( .clk (clk ), .VAR165 (VAR103[VAR50(VAR256)]), .VAR90 (VAR73[VAR50(VAR256)]), .VAR148 (VAR148 [VAR50(VAR256)]), .VAR57 (VAR57 [VAR50(VAR256)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR256)][VAR68-1:0]), .VAR104 (VAR8 ), .VAR105 (VAR287 ), .VAR215 (VAR163 ), .VAR217 (VAR175 ), .VAR247 (VAR117 ), .VAR158 (VAR219 ), .VAR36 (VAR107 ), .VAR63 (VAR108 ), .VAR172 (VAR38 ), .VAR74 (VAR309 ), .VAR272 (VAR66 ), .VAR169(VAR303), .VAR10(VAR109) ); VAR234 # ( .VAR68(VAR68), .VAR249 (VAR249 ) ) VAR291 ( .clk (clk ), .VAR165 (VAR103[VAR50(VAR4)]), .VAR90 (VAR73[VAR50(VAR4)]), .VAR148 (VAR148 [VAR50(VAR4)]), .VAR57 (VAR57 [VAR50(VAR4)][VAR249-1 :0]), .VAR173 (VAR173 [VAR50(VAR4)][VAR68-1:0]), .VAR104 (VAR297 ), .VAR105 (VAR136 ), .VAR215 (VAR321 ), .VAR217 (VAR226 ), .VAR247 (VAR224 ), .VAR158 (VAR268 ), .VAR36 (VAR180 ), .VAR63 (VAR257 ), .VAR172 (VAR174 ), .VAR74 (VAR31 ), .VAR272 (VAR299 ), .VAR169(VAR292), .VAR10(VAR16) ); VAR26 # ( .VAR47(VAR12), .VAR17(VAR144), .VAR115(VAR59), .VAR216(VAR238) ) VAR322 ( .clk (clk ), .VAR165 (VAR103[VAR50(VAR230)]), .VAR90 (VAR73[VAR50(VAR230)]), .VAR294 (VAR206), .VAR222 (VAR130), .VAR159 (VAR61), .VAR289 (VAR280), .VAR260 (VAR146), .VAR15 (VAR248), .VAR246 (VAR128), .VAR89 (VAR79) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor2/sky130_fd_sc_hs__nor2.blackbox.v
1,197
module MODULE1 ( VAR3, VAR5, VAR1 ); output VAR3; input VAR5; input VAR1; supply1 VAR2; supply0 VAR4; endmodule
apache-2.0
davidlee80/miaow
src/verilog/rtl/lsu/lsu_transit_table.v
1,660
module MODULE1( VAR15, VAR11, VAR18, VAR9, VAR7, VAR20, VAR10, VAR22, VAR21, VAR14, VAR16, VAR4, VAR5, clk, rst ); input [6:0] VAR15; input [5:0] VAR11; input [11:0] VAR18; input [63:0] VAR9; input VAR7; input [3:0] VAR20; input [3:0] VAR10; input [31:0] VAR22; output [63:0] VAR21; output VAR14; output [11:0] VAR16; output [3:0] VAR4; output [31:0] VAR5; input clk; input rst; wire enable; assign enable = |{VAR20,VAR10}; VAR1 #(12) VAR3( .VAR17(VAR15[6:1]), .VAR24(VAR16), .VAR23(enable), .VAR6(VAR11), .VAR12(VAR18), .clk(clk), .rst(rst) ); VAR1 #(64) VAR2( .VAR17(VAR15[6:1]), .VAR24(VAR21), .VAR23(enable), .VAR6(VAR11), .VAR12(VAR9), .clk(clk), .rst(rst) ); VAR1 #(1) VAR8( .VAR17(VAR15[6:1]), .VAR24(VAR14), .VAR23(enable), .VAR6(VAR11), .VAR12(VAR7), .clk(clk), .rst(rst) ); VAR1 #(32) VAR19( .VAR17(VAR15[6:1]), .VAR24(VAR5), .VAR23(enable), .VAR6(VAR11), .VAR12(VAR22), .clk(clk), .rst(rst) ); VAR1 #(4) VAR13( .VAR17(VAR15[6:1]), .VAR24(VAR4), .VAR23(enable), .VAR6(VAR11), .VAR12(VAR20), .clk(clk), .rst(rst) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand4b/sky130_fd_sc_lp__nand4b_lp.v
2,319
module MODULE1 ( VAR7 , VAR1 , VAR4 , VAR11 , VAR10 , VAR9, VAR5, VAR8 , VAR2 ); output VAR7 ; input VAR1 ; input VAR4 ; input VAR11 ; input VAR10 ; input VAR9; input VAR5; input VAR8 ; input VAR2 ; VAR3 VAR6 ( .VAR7(VAR7), .VAR1(VAR1), .VAR4(VAR4), .VAR11(VAR11), .VAR10(VAR10), .VAR9(VAR9), .VAR5(VAR5), .VAR8(VAR8), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR7 , VAR1, VAR4 , VAR11 , VAR10 ); output VAR7 ; input VAR1; input VAR4 ; input VAR11 ; input VAR10 ; supply1 VAR9; supply0 VAR5; supply1 VAR8 ; supply0 VAR2 ; VAR3 VAR6 ( .VAR7(VAR7), .VAR1(VAR1), .VAR4(VAR4), .VAR11(VAR11), .VAR10(VAR10) ); endmodule
apache-2.0
kyzhai/NUNY
src/hardware/two_new2_bb.v
5,008
module MODULE1 ( address, VAR2, VAR1); input [9:0] address; input VAR2; output [11:0] VAR1; tri1 VAR2; endmodule
gpl-2.0
cynngah/virtualsynthesizer
vga_adapter.v
13,730
module MODULE1( VAR41, VAR65, VAR73, VAR50, VAR3, VAR38, VAR37, VAR56, VAR52, VAR28, VAR48, VAR30, VAR26, VAR57); parameter VAR54 = 1; parameter VAR9 = "VAR6"; parameter VAR20 = "320x240"; parameter VAR34 = "VAR45.VAR27"; input VAR41; input VAR65; input [((VAR9 == "VAR1") ? (0) : (VAR54*3-1)):0] VAR73; input [((VAR20 == "320x240") ? (8) : (7)):0] VAR50; input [((VAR20 == "320x240") ? (7) : (6)):0] VAR3; input VAR38; output [9:0] VAR37; output [9:0] VAR56; output [9:0] VAR52; output VAR28; output VAR48; output VAR30; output VAR26; output VAR57; wire VAR47; wire VAR10; wire VAR43; wire [((VAR9 == "VAR1") ? (0) : (VAR54*3-1)):0] VAR39; wire [((VAR20 == "320x240") ? (16) : (14)):0] VAR63; wire [((VAR20 == "320x240") ? (16) : (14)):0] VAR21; wire VAR29; wire VAR4, VAR70; assign VAR4 = 1'b1; assign VAR70 = 1'b0; VAR11 VAR35( .VAR50(VAR50), .VAR3(VAR3), .VAR31(VAR63) ); assign VAR47 = (({1'b0, VAR50} >= 0) & ({1'b0, VAR50} < 160) & ({1'b0, VAR3} >= 0) & ({1'b0, VAR3} < 120)) & (VAR20 == "160x120"); assign VAR10 = (({1'b0, VAR50} >= 0) & ({1'b0, VAR50} < 320) & ({1'b0, VAR3} >= 0) & ({1'b0, VAR3} < 240)) & (VAR20 == "320x240"); assign VAR43 = (VAR38) & (VAR47 | VAR10); VAR55 VAR36 ( .VAR18 (VAR43), .VAR14 (VAR70), .VAR32 (VAR65), .VAR5 (VAR29), .VAR66 (VAR4), .VAR49 (VAR4), .VAR44 (VAR63), .VAR19 (VAR21), .VAR13 (VAR73), .VAR25 (VAR39) ); VAR36.VAR61 = ((VAR9 == "VAR6") ? (VAR54*3) : 1), VAR36.VAR71 = ((VAR9 == "VAR6") ? (VAR54*3) : 1), VAR36.VAR72 = "VAR59 VAR53", VAR36.VAR12 = "VAR7", VAR36.VAR15 = ((VAR20 == "320x240") ? (17) : (15)), VAR36.VAR24 = ((VAR20 == "320x240") ? (76800) : (19200)), VAR36.VAR33 = ((VAR20 == "320x240") ? (17) : (15)), VAR36.VAR46 = ((VAR20 == "320x240") ? (76800) : (19200)), VAR36.VAR17 = "VAR64", VAR36.VAR62 = "VAR64", VAR36.VAR42 = "VAR69", VAR36.VAR16 = "VAR69", VAR36.VAR22 = "VAR69", VAR36.VAR67 = "VAR6", VAR36.VAR40 = VAR34; VAR58 VAR51(VAR65, VAR29); VAR23 VAR60( .VAR2(VAR29), .VAR41(VAR41), .VAR68(VAR39), .VAR8(VAR21), .VAR37(VAR37), .VAR56(VAR56), .VAR52(VAR52), .VAR28(VAR28), .VAR48(VAR48), .VAR30(VAR30), .VAR26(VAR26), .VAR57(VAR57) ); endmodule
mit
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/phy/mig_7series_v2_0_ddr_phy_oclkdelay_cal.v
52,403
module MODULE1 # ( parameter VAR69 = 100, parameter VAR100 = 2500, parameter VAR60 = 4, parameter VAR106 = "VAR33", parameter VAR160 = 8, parameter VAR125 = 3, parameter VAR138 = 8, parameter VAR11 = 64, parameter VAR53 = "VAR55", parameter VAR48 = "VAR129" ) ( input clk, input rst, input VAR154, input VAR97, input [5:0] VAR168, input VAR178, input [2*VAR60*VAR11-1:0] VAR73, input VAR10, input [6*VAR138-1:0] VAR173, output reg VAR145, output reg VAR107, output reg VAR118, output reg VAR141, output reg VAR54, output reg VAR165, output VAR110, output [VAR125:0] VAR81, output reg VAR155, output reg VAR4, output VAR101, output [255:0] VAR35, output [16*VAR160-1:0] VAR43 ); localparam VAR96 = 0; localparam VAR130 = 15; localparam VAR148 = "VAR14"; localparam [4:0] VAR67 = 5'h00; localparam [4:0] VAR77 = 5'h01; localparam [4:0] VAR47 = 5'h02; localparam [4:0] VAR177 = 5'h03; localparam [4:0] VAR140 = 5'h04; localparam [4:0] VAR29 = 5'h05; localparam [4:0] VAR151 = 5'h06; localparam [4:0] VAR6 = 5'h07; localparam [4:0] VAR26 = 5'h08; localparam [4:0] VAR32 = 5'h09; localparam [4:0] VAR128 = 5'h0A; localparam [4:0] VAR22 = 5'h0B; localparam [4:0] VAR153 = 5'h0C; localparam [4:0] VAR20 = 5'h0D; localparam [4:0] VAR78 = 5'h0E; localparam [4:0] VAR119 = 5'h0F; localparam [4:0] VAR170 = 5'h10; localparam [4:0] VAR1 = 5'h11; localparam [4:0] VAR61 = 5'h12; localparam [4:0] VAR76 = 5'h13; localparam [4:0] VAR108 = 5'h14; integer VAR40; reg VAR23; reg [3:0] VAR39; reg VAR117; reg VAR158; reg VAR37; reg VAR144; reg VAR63; reg [5:0] VAR87; reg VAR135; wire [VAR11-1:0] VAR142; wire [VAR11-1:0] VAR102; wire [VAR11-1:0] VAR103; wire [VAR11-1:0] VAR114; wire [VAR11-1:0] VAR25; wire [VAR11-1:0] VAR93; wire [VAR11-1:0] VAR121; wire [VAR11-1:0] VAR51; reg [VAR125:0] VAR111; reg [VAR125:0] VAR18; reg [VAR160-1:0] VAR64; reg [VAR160-1:0] VAR41; reg [VAR160-1:0] VAR38; reg [VAR160-1:0] VAR133; reg [VAR160-1:0] VAR163; reg [VAR160-1:0] VAR116; reg [VAR160-1:0] VAR183; reg [VAR160-1:0] VAR27; reg [VAR160-1:0] VAR126; reg [VAR160-1:0] VAR85; reg [VAR160-1:0] VAR84; reg [VAR160-1:0] VAR159; reg [VAR160-1:0] VAR98; reg [VAR160-1:0] VAR150; reg [VAR160-1:0] VAR149; reg [VAR160-1:0] VAR179; reg VAR156; reg VAR99; reg VAR161; reg VAR49; reg VAR162; reg [VAR160-1:0] VAR123; reg VAR122; reg [VAR160-1:0] VAR80; reg VAR62; reg [VAR160-1:0] VAR57; reg VAR3; reg [VAR160-1:0] VAR50; reg VAR104; reg [VAR160-1:0] VAR36; reg VAR105; reg [VAR160-1:0] VAR45; reg VAR74; reg [VAR160-1:0] VAR167; reg VAR171; reg [VAR160-1:0] VAR24; reg VAR12; reg VAR134; reg VAR180; reg VAR66; reg [3:0] VAR120; reg VAR132; reg [3:0] VAR172; reg VAR136; reg VAR21; reg [3:0] VAR152; reg VAR70; reg VAR137; reg [5:0] VAR71; reg [5:0] VAR15; reg VAR31; reg [5:0] VAR176; reg [1:0] VAR34; reg [1:0] VAR28; reg [5:0] VAR30; reg VAR2; reg VAR91; reg [4:0] VAR186; reg [4:0] VAR143; reg [5:0] VAR92; reg VAR13; reg [5:0] VAR131; reg [5:0] VAR94; reg VAR9; reg VAR7; reg VAR182; reg VAR16; reg VAR146; reg [5:0] VAR115; reg [5:0] VAR56; reg [5:0] VAR82; reg VAR19; reg VAR113; reg [5:0] VAR65; reg [5:0] VAR83; reg [5:0] VAR164; reg [5:0] VAR46; reg [5:0] VAR127; reg [5:0] VAR174; reg [5:0] VAR72; reg VAR95; reg VAR175; reg VAR68; reg VAR181 ; reg [5:0] VAR44[0:VAR138-1]; reg VAR139; reg VAR5; reg VAR90; reg VAR157; reg VAR166; reg VAR59; reg VAR75; reg VAR147; wire [5:0] VAR88[0:VAR138-1]; genvar VAR184; generate for (VAR184=0; VAR184 < VAR138; VAR184 = VAR184 + 1) begin: VAR109 assign VAR35[6*VAR184+:6] = VAR44[VAR184][5:0]; end endgenerate assign VAR35[57:54] = VAR111; assign VAR35[58] = VAR16; assign VAR35[59] = VAR146; assign VAR35[65:60] = VAR115; assign VAR35[71:66] = VAR56; assign VAR35[76:72] = VAR143; assign VAR35[77] = VAR180; assign VAR35[78] = VAR134; assign VAR35[84:79] = VAR71; assign VAR35[88:85] = VAR120; assign VAR35[89] = VAR132; assign VAR35[97:90] = VAR126; assign VAR35[105:98] = VAR85; assign VAR35[113:106] = VAR84; assign VAR35[121:114] = VAR159; assign VAR35[129:122] = VAR98; assign VAR35[137:130] = VAR150; assign VAR35[145:138] = VAR149; assign VAR35[153:146] = VAR179; assign VAR35[154] = VAR156; assign VAR35[162:155] = VAR64; assign VAR35[170:163] = VAR41; assign VAR35[178:171] = VAR38; assign VAR35[186:179] = VAR133; assign VAR35[194:187] = VAR163; assign VAR35[202:195] = VAR116; assign VAR35[210:203] = VAR183; assign VAR35[218:211] = VAR27; assign VAR35[219+:6] = VAR176; assign VAR35[225] = VAR19; assign VAR35[226] = VAR113; assign VAR35[232:227] = VAR65; assign VAR35[238:233] = VAR83; assign VAR35[244:239] = VAR82; assign VAR35[250:245] = 'd0; assign VAR35[251] = VAR136; assign VAR35[252] = VAR5; assign VAR35[253] = VAR90; assign VAR43[VAR160*1 -1:0] = VAR126; assign VAR43[VAR160*2 -1:VAR160*1] = VAR85; assign VAR43[VAR160*3 -1:VAR160*2] = VAR84; assign VAR43[VAR160*4 -1:VAR160*3] = VAR159; assign VAR43[VAR160*5 -1:VAR160*4] = VAR98; assign VAR43[VAR160*6 -1:VAR160*5] = VAR150; assign VAR43[VAR160*7 -1:VAR160*6] = VAR149; assign VAR43[VAR160*8 -1:VAR160*7] = VAR179; assign VAR43[VAR160*9 -1:VAR160*8] = VAR64; assign VAR43[VAR160*10 -1:VAR160*9] = VAR41; assign VAR43[VAR160*11 -1:VAR160*10] = VAR38; assign VAR43[VAR160*12 -1:VAR160*11] = VAR133; assign VAR43[VAR160*13 -1:VAR160*12] = VAR163; assign VAR43[VAR160*14 -1:VAR160*13] = VAR116; assign VAR43[VAR160*15 -1:VAR160*14] = VAR183; assign VAR43[VAR160*16 -1:VAR160*15] = VAR27; assign VAR110 = ((VAR53 == "VAR52") || (VAR106!="VAR33")) ? 1'b1 : VAR63; assign VAR81 = VAR111; assign VAR101 = (VAR48 == "VAR129") ? VAR181 : 1'b1; always @(posedge clk) always @(posedge clk) begin if (rst || VAR135) end else if (VAR154 && (VAR39 > 'd0)) end always @(posedge clk) begin if (rst) end else if ((VAR39 == 'd1) && (VAR87 != 'd0)) else end always @(posedge clk) begin if (rst) begin end else if (VAR135) begin end else begin end end always @(posedge clk) begin if (rst) end else if (VAR135 && (VAR87 > 6'd0)) end always @(posedge clk) begin if (rst) begin end else if ((VAR96 == 6'd0) || ((VAR87 == 6'd1) && (VAR39 == 'd1))) begin end end always @(posedge clk) begin end generate if (VAR60 == 4) begin: VAR8 assign VAR142 = VAR73[VAR11-1:0]; assign VAR102 = VAR73[2*VAR11-1:VAR11]; assign VAR103 = VAR73[3*VAR11-1:2*VAR11]; assign VAR114 = VAR73[4*VAR11-1:3*VAR11]; assign VAR25 = VAR73[5*VAR11-1:4*VAR11]; assign VAR93 = VAR73[6*VAR11-1:5*VAR11]; assign VAR121 = VAR73[7*VAR11-1:6*VAR11]; assign VAR51 = VAR73[8*VAR11-1:7*VAR11]; end else if (VAR60 == 2) begin: VAR79 assign VAR142 = VAR73[VAR11-1:0]; assign VAR102 = VAR73[2*VAR11-1:VAR11]; assign VAR103 = VAR73[3*VAR11-1:2*VAR11]; assign VAR114 = VAR73[4*VAR11-1:3*VAR11]; end endgenerate always @(posedge clk) begin end generate genvar VAR185; for (VAR185 = 0; VAR185 < VAR160; VAR185 = VAR185 + 1) begin: VAR169 always @(posedge clk) begin if (VAR178) begin end end end endgenerate always @(posedge clk) if (((VAR157) && VAR156) | VAR162) begin end generate genvar VAR112; if (VAR60 == 4) begin: VAR42 always @(posedge clk) begin if (VAR156) begin end end for (VAR112 = 0; VAR112 < VAR160; VAR112 = VAR112 + 1) begin: VAR58 always @(posedge clk) begin if (VAR64[VAR112] == VAR126[VAR112]) end else if (VAR41[VAR112] == VAR85[VAR112]) end else if (VAR38[VAR112] == VAR84[VAR112]) end else if (VAR133[VAR112] == VAR159[VAR112]) end else if (VAR163[VAR112] == VAR98[VAR112]) end else if (VAR116[VAR112] == VAR150[VAR112]) end else if (VAR183[VAR112] == VAR149[VAR112]) else if (VAR27[VAR112] == VAR179[VAR112]) else end end always @(posedge clk) begin VAR62 && VAR171 && VAR3 && VAR12 && VAR104); end always @(posedge clk) end else if (VAR60 == 2) begin: VAR86 always @(posedge clk) begin if (VAR156) begin end end for (VAR112 = 0; VAR112 < VAR160; VAR112 = VAR112 + 1) begin: VAR58 always @(posedge clk) begin if (VAR64[VAR112] == VAR126[VAR112]) end else if (VAR41[VAR112] == VAR85[VAR112]) end else if (VAR38[VAR112] == VAR84[VAR112]) end else if (VAR133[VAR112] == VAR159[VAR112]) else end end always @(posedge clk) begin VAR62); end always @(posedge clk) end endgenerate always @(posedge clk)begin if (rst | (VAR180 & ~VAR134 & (VAR186 == VAR77)) | (VAR186 == VAR6)) end else if ((!VAR157) & VAR180 & VAR134 & (VAR186 == VAR77) & (VAR120 < 'd8) & ~VAR5) end always @(posedge clk) begin if (rst | (VAR120 != 'd8)) end else if (VAR120 == 'd8) end always @(posedge clk)begin if (rst | (VAR180 & ~VAR134 & (VAR186 == VAR77)) | (VAR186 == VAR6)) end else if ((!VAR157) & VAR180 & VAR134 & (VAR186 == VAR77) & (VAR172 < 'd8) & VAR90) end always @(posedge clk) begin if (rst | (VAR172 != 'd8)) end else if (VAR172 == 'd8) end always @(posedge clk) if ((VAR186 == VAR177) || (VAR186 == VAR140) || (VAR186 == VAR151) || (VAR186 == VAR32) || (VAR186 == VAR61) || (VAR186 == VAR22) || (VAR186 == VAR78) || (VAR186 == VAR1) || (VAR186 == VAR76)) else always @(posedge clk) if (!VAR21) begin end else begin if (VAR152 != VAR130 - 1) begin end else begin end end always @(posedge clk) begin if (rst) begin for (VAR40=0; VAR40 < VAR138; VAR40 = VAR40 + 1) begin: VAR17 end end else if (VAR91 && ~VAR2) end always @(posedge clk) begin if (rst || (VAR186 == VAR170) || (VAR186 == VAR6) || (VAR186 == VAR108)) end else if (VAR10) end always @(posedge clk) begin if (rst || (VAR186 == VAR119)) begin end else begin if (VAR186 == VAR108) end else if (VAR186 == VAR29) end else if (VAR186 == VAR26) end end always @(posedge clk) begin if ((rst)|| (VAR186 == VAR119) || (VAR186 == VAR26) ) begin end else begin if (VAR186 == VAR29) end end always @(posedge clk) begin if ((rst)|| (VAR186 == VAR119)) begin end else begin if (VAR186 == VAR26) end else if (VAR186 == VAR29) end end always @(posedge clk) begin if ((rst)|| (VAR186 == VAR119)) begin end else begin if (VAR186 == VAR29) end else if (VAR186 == VAR26) end end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) begin end else if ((VAR186 == VAR151) || (VAR186 == VAR22)) begin end end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) end else if (|VAR82) begin if (VAR113 && VAR19) end else end else if (VAR182) end else if (~VAR182 && VAR7) end else if (VAR113 && VAR19) end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) end else if (|VAR82) begin if (VAR113 && VAR19) end else end else if (VAR182 && VAR7) else if (VAR182 && ~VAR7) else if (~VAR182 && VAR7) else if (VAR113 && VAR19) end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) end else if (|VAR82) begin if (VAR113 && VAR19) end else end else if (~VAR182 && VAR7) else end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) end else if (((|VAR82) && (VAR113 && VAR19)) || (VAR113 && VAR19)) else end always @(posedge clk) begin if (rst || (VAR186 == VAR119) || (VAR186 == VAR108)) end else if (VAR186 == VAR6) VAR174 + VAR72; end genvar VAR89; generate for (VAR89=0; VAR89 < VAR138; VAR89 = VAR89 + 1) begin: VAR124 assign VAR88[VAR89] = VAR173[6*VAR89+:6]; end endgenerate always @(posedge clk) begin if (rst) begin end else begin case (VAR186) VAR67: begin if (VAR97 && ~VAR137) begin end end VAR170: begin if (VAR180) end VAR77: begin if (VAR180 && !VAR157) begin if ((VAR147 && ~VAR9) || VAR71 == 'd0) begin end else if (~VAR134) begin if (~VAR9) begin if (|VAR172 && ~VAR19) begin end else begin end end if (~VAR132 && ~VAR9) begin end else if (VAR9) begin if (VAR136) begin end else begin end end else if (VAR132) begin end else end else end else if (VAR157) end else if ((VAR147 && VAR9) || (VAR59)) begin end end VAR47: begin end VAR177: begin if (VAR70) begin if (VAR9) begin if (VAR75) begin end end else begin if (VAR2) end end end VAR140: begin if (VAR70) begin if (VAR9) end else end end VAR29: begin if (VAR94 == 1) begin end else if (VAR94 > 'd0) begin end else end VAR61: begin if (VAR70) begin if (VAR94 > 'd0) end else end end VAR76: begin if (VAR70) begin end end VAR151: begin if (VAR70) begin if ((VAR176 != 6'd63) || (VAR176 != 6'd0)) end else begin end end end VAR128: begin end VAR22: begin if (VAR70) begin if (VAR95) begin if (VAR176 > 'd0) begin end else begin end end else if (VAR31 && (VAR176 > 'd0)) begin end else if (~VAR9 && (VAR176 < 6'd63)) begin end else if (VAR9 && (VAR176 > 6'd0)) begin end else begin end end end VAR153: begin if (VAR34 > 2'b00) begin VAR34 <= VAR34 - 1; end else if (VAR176 == 6'd62) begin end else begin end end VAR20: begin if (VAR30 > 6'd0) begin end else if (VAR28 > 2'b00) begin VAR28 <= VAR28 - 1; end else if (VAR176 == 6'd1) end else begin end end VAR78: begin if (VAR70) begin if (VAR30 > 6'd0) begin end else if (VAR95) begin end else if (VAR139 && VAR31) begin if (VAR59) end else begin end end end end VAR6: begin if (VAR13) begin end else end VAR26: begin if (VAR131 > 'd0) if (VAR131 == 1) end else end VAR32: begin if (VAR70) begin if (VAR131 > 'd0) end else begin end end end VAR1: begin if (VAR70) begin end end VAR119: begin if (VAR111 == VAR138-1) if (VAR10) begin if (VAR111 == VAR138-1) end else begin end end end VAR108: begin end endcase end end endmodule
bsd-2-clause
horia141/bachelor-thesis
prj/applications/BigSDRAM/BigSDRAMFPGA.v
2,177
module MODULE1(VAR22,reset,VAR14,VAR24,VAR28,VAR2,VAR23,VAR17,VAR5,VAR19,VAR3,VAR7,VAR39,VAR11,VAR38); input wire VAR22; input wire reset; output wire [7:0] VAR14; output wire VAR24; output wire VAR28; output wire VAR2; output wire VAR23; output wire VAR17; output wire VAR5; output wire VAR19; output wire [1:0] VAR3; output wire [12:0] VAR7; output wire [1:0] VAR39; inout wire [15:0] VAR11; inout wire [1:0] VAR38; wire VAR26; wire VAR34; wire VAR20; wire VAR12; wire VAR31; wire VAR6; wire VAR1; wire VAR35; wire VAR10; wire VAR4; assign VAR24 = VAR1; assign VAR28 = VAR10; VAR21 #(.VAR32(0)) VAR29 (.VAR22(VAR22), .reset(reset), .VAR15(VAR26), .VAR18(VAR34), .VAR13(VAR20), .VAR27(VAR12), .VAR36(VAR31)); VAR21 #(.VAR32(0)) VAR8 (.VAR22(VAR31), .reset(reset & VAR26), .VAR15(VAR6), .VAR18(VAR1), .VAR9(VAR35), .VAR13(VAR10), .VAR27(VAR4)); VAR33 VAR25 (.VAR18(VAR34), .VAR13(VAR20), .VAR27(VAR12), .reset(reset & VAR26 & VAR6), .VAR14(VAR14), .VAR30(VAR1), .VAR16(VAR35), .VAR37(VAR4), .VAR2(VAR2), .VAR23(VAR23), .VAR17(VAR17), .VAR5(VAR5), .VAR19(VAR19), .VAR3(VAR3), .VAR7(VAR7), .VAR39(VAR39), .VAR11(VAR11), .VAR38(VAR38)); endmodule
mit
terriblefire/tf530
rtl/tf530ram/tf530_ram.v
4,680
module MODULE1( input VAR47, input VAR42, input VAR50, input VAR1, input [8:2] VAR27, input [23:12] VAR52, inout [7:0] VAR37, input [1:0] VAR46, input VAR53, input VAR33, output VAR26, input VAR43, input VAR10, input VAR49, input VAR55, output VAR23, output VAR16, output VAR13, output VAR17, output VAR29, output [3:0] VAR32, output VAR20 ); reg VAR8 = 1'b1; reg VAR25 = 1'b1; reg VAR9 = 1'b1; wire VAR45 = (~VAR25 | VAR49); reg VAR54 = 'b0; reg VAR39 = 'b0; reg [7:0] VAR3 = 'h00; reg [7:0] VAR4 = 'h40; wire VAR5; wire [7:0] VAR12; wire VAR21 = (VAR52[23:15] != {8'hDA, 1'b0}) | VAR49 | VAR43; wire VAR31 = (VAR52[23:15] != {8'hDA, 1'b1}); wire VAR2= (VAR52[23:15] != {8'hDE, 1'b0}); wire VAR56 = (VAR2 & VAR31) | VAR49 | VAR43; wire VAR15 = (VAR56 | ~VAR10); VAR48 VAR7( .VAR47 ( VAR47 ), .VAR42 ( VAR42 ), .VAR19 ( VAR56 ), .VAR38 ( VAR49 ), .VAR36 ( VAR10 ), .VAR14 ( VAR52[18] ), .VAR52 ( {1,b0, VAR52[13:12]}), .VAR53( VAR53 ), .VAR26 ( VAR5 ), .VAR30 ( VAR37 ), .VAR22 ( VAR12 ) ); wire VAR41 = ({VAR52[23:16]} != {8'hE8}) | VAR43 | VAR49 | VAR39 | VAR54; wire VAR44 = (VAR41 | ~VAR10); wire VAR51 = (VAR41 | VAR10); wire VAR11 = ({VAR52[23:21]} != {VAR4[7:5]}) | VAR43 | VAR49 | ~VAR54; wire [6:0] VAR24 = {VAR27[7:2],VAR1}; always @(posedge VAR47) begin VAR8 <= VAR43; VAR25 <= VAR49; VAR9 <= VAR17 | ~VAR9; if (VAR42 == 1'b0) begin VAR54 <= 1'b0; VAR39 <= 1'b0; VAR9 <= 1'b1; end else begin if (VAR51 === 1'b0) begin case (VAR24) 'h24: begin VAR4[7:4] <= VAR37[7:4]; VAR54 <= 1'b1; end 'h25: VAR4[3:0] <= VAR37[7:4]; 'h26: VAR39 <= 1'b1; endcase end VAR3 <= 8'hff; if (VAR44 == 1'b0) begin case (VAR24) 'h00: VAR3[7:4] <= 4'he; 'h01: VAR3[7:4] <= 4'h6; 'h02: VAR3[7:4] <= 4'h7; 'h03: VAR3[7:4] <= 4'h7; 'h04: VAR3[7:4] <= 4'h7; 'h08: VAR3[7:4] <= 4'he; 'h09: VAR3[7:4] <= 4'hc; 'h0a: VAR3[7:4] <= 4'h2; 'h0b: VAR3[7:4] <= 4'h7; 'h10: VAR3[7:4] <= 4'hc; 'h12: VAR3[7:4] <= 4'hc; 'h13: VAR3[7:4] <= 4'h6; endcase end else if (VAR15 == 1'b0) begin VAR3 <= VAR12; end end end wire VAR6 = VAR1 | VAR50; wire VAR28 = (~VAR46[1] & VAR46[0] & ~VAR50) | VAR1; wire VAR34 = (VAR46[1] & ~VAR46[0] & ~VAR1 & ~VAR50) | (~VAR46[1] & VAR46[0] & ~VAR1) |(VAR1 & VAR50); wire VAR35 = (~VAR46[1] & VAR46[0] & ~VAR1 ) | (~VAR46[1] & VAR46[0] & ~VAR50 ) | (VAR46[1] & ~VAR1 & ~VAR50 ) | (VAR46[1] & ~VAR46[0] & ~VAR1 ); assign VAR20 = VAR11; assign VAR32 = {VAR6 | VAR11, VAR28 | VAR11, VAR34 | VAR11 , VAR35 | VAR11}; assign VAR17 = VAR11 & VAR56; assign VAR13 = VAR9; assign VAR23 = 1'b1; assign VAR16 = 1'b0; assign VAR26 = VAR5 ? 1'b0 : 1'VAR18; assign VAR37 = VAR44 & VAR15 ? 8'VAR40 : VAR3; endmodule
gpl-2.0
ipburbank/Raster-Laser-Projector
src/Raster_Laser_Projector/synthesis/submodules/altera_up_YCrCb_to_RGB_converter.v
12,279
module MODULE1 ( clk, VAR43, reset, VAR41, VAR37, VAR3, VAR7, VAR13, VAR1, VAR48, VAR38, VAR47, VAR51, VAR2, VAR52, VAR30, VAR18 ); input clk; input VAR43; input reset; input [ 7: 0] VAR41; input [ 7: 0] VAR37; input [ 7: 0] VAR3; input VAR7; input VAR13; input VAR1; input VAR48; output reg [ 7: 0] VAR38; output reg [ 7: 0] VAR47; output reg [ 7: 0] VAR51; output reg VAR2; output reg VAR52; output reg VAR30; output reg VAR18; wire [35: 0] VAR5; wire [35: 0] VAR42; wire [35: 0] VAR6; wire [35: 0] VAR10; wire [35: 0] VAR50; wire [10: 0] VAR12; wire [10: 0] VAR27; wire [10: 0] VAR9; reg [10: 0] VAR53; reg [10: 0] VAR14; reg [10: 0] VAR17; reg [10: 0] VAR23; reg [10: 0] VAR19; reg [10: 0] VAR32; reg [10: 0] VAR16; reg [10: 0] VAR56; reg [ 1: 0] VAR39; reg [ 1: 0] VAR11; reg [ 1: 0] VAR22; reg [ 1: 0] VAR35; always @ (posedge clk) begin if (reset) begin VAR38 <= 8'h00; VAR47 <= 8'h00; VAR51 <= 8'h00; end else if (VAR43) begin if (VAR12[10] == 1'b1) VAR38 <= 8'h00; end else if ((VAR12[9] | VAR12[8]) == 1'b1) VAR38 <= 8'hFF; end else VAR38 <= VAR12[7:0]; if (VAR27[10] == 1'b1) VAR47 <= 8'h00; else if ((VAR27[9] | VAR27[8]) == 1'b1) VAR47 <= 8'hFF; else VAR47 <= VAR27[7:0]; if (VAR9[10] == 1'b1) VAR51 <= 8'h00; else if ((VAR9[9] | VAR9[8]) == 1'b1) VAR51 <= 8'hFF; else VAR51 <= VAR9[7:0]; end end always @ (posedge clk) begin if (VAR43) begin VAR2 <= VAR39[1]; VAR52 <= VAR11[1]; VAR30 <= VAR22[1]; VAR18 <= VAR35[1]; end end always @ (posedge clk) begin if (reset) begin VAR53 <= 11'h000; VAR14 <= 11'h000; VAR17 <= 11'h000; end else if (VAR43) begin VAR53 <= ({3'b000, VAR41} - 11'd16); VAR14 <= ({3'b000, VAR37} - 11'd128); VAR17 <= ({3'b000, VAR3} - 11'd128); end end always @ (posedge clk) begin if (reset) begin VAR23 <= 11'h000; VAR19 <= 11'h000; VAR32 <= 11'h000; VAR16 <= 11'h000; VAR56 <= 11'h000; end else if (VAR43) begin VAR23 <= VAR5[25:15]; VAR19 <= VAR42[25:15]; VAR32 <= VAR6[25:15]; VAR16 <= VAR10[25:15]; VAR56 <= VAR50[25:15]; end end always @(posedge clk) begin if (reset) begin VAR39 <= 2'h0; VAR11 <= 2'h0; VAR22 <= 2'h0; VAR35 <= 2'h0; end else if (VAR43) begin VAR39[1] <= VAR39[0]; VAR11[1] <= VAR11[0]; VAR22[1] <= VAR22[0]; VAR35[1] <= VAR35[0]; VAR39[0] <= VAR7; VAR11[0] <= VAR13; VAR22[0] <= VAR1; VAR35[0] <= VAR48; end end assign VAR12 = VAR23 + VAR32; assign VAR27 = VAR23 - VAR19 - VAR56; assign VAR9 = VAR23 + VAR16; VAR33 VAR34 ( .VAR44 ({{7{VAR53[10]}}, VAR53}), .VAR36 (18'h094FD), .VAR54 (1'b0), .VAR43 (1'b1), .VAR31 (1'b0), .VAR25 (VAR5), .sum (1'b0) ); VAR34.VAR21 = 18, VAR34.VAR29 = 18, VAR34.VAR45 = 36, VAR34.VAR24 = 1, VAR34.VAR15 = "VAR28", VAR34.VAR46 = "VAR58", VAR34.VAR57 = "VAR40=VAR20,VAR55=5"; VAR33 VAR4 ( .VAR44 ({{7{VAR14[10]}}, VAR14}), .VAR36 (18'h06810), .VAR54 (1'b0), .VAR43 (1'b1), .VAR31 (1'b0), .VAR25 (VAR42), .sum (1'b0) ); VAR4.VAR21 = 18, VAR4.VAR29 = 18, VAR4.VAR45 = 36, VAR4.VAR24 = 1, VAR4.VAR15 = "VAR28", VAR4.VAR46 = "VAR58", VAR4.VAR57 = "VAR40=VAR20,VAR55=5"; VAR33 VAR49 ( .VAR44 ({{7{VAR14[10]}}, VAR14}), .VAR36 (18'h0CC49), .VAR54 (1'b0), .VAR43 (1'b1), .VAR31 (1'b0), .VAR25 (VAR6), .sum (1'b0) ); VAR49.VAR21 = 18, VAR49.VAR29 = 18, VAR49.VAR45 = 36, VAR49.VAR24 = 1, VAR49.VAR15 = "VAR28", VAR49.VAR46 = "VAR58", VAR49.VAR57 = "VAR40=VAR20,VAR55=5"; VAR33 VAR8 ( .VAR44 ({{7{VAR17[10]}}, VAR17}), .VAR36 (18'h1022D), .VAR54 (1'b0), .VAR43 (1'b1), .VAR31 (1'b0), .VAR25 (VAR10), .sum (1'b0) ); VAR8.VAR21 = 18, VAR8.VAR29 = 18, VAR8.VAR45 = 36, VAR8.VAR24 = 1, VAR8.VAR15 = "VAR28", VAR8.VAR46 = "VAR58", VAR8.VAR57 = "VAR40=VAR20,VAR55=5"; VAR33 VAR26 ( .VAR44 ({{7{VAR17[10]}}, VAR17}), .VAR36 (18'h0322D), .VAR54 (1'b0), .VAR43 (1'b1), .VAR31 (1'b0), .VAR25 (VAR50), .sum (1'b0) ); VAR26.VAR21 = 18, VAR26.VAR29 = 18, VAR26.VAR45 = 36, VAR26.VAR24 = 1, VAR26.VAR15 = "VAR28", VAR26.VAR46 = "VAR58", VAR26.VAR57 = "VAR40=VAR20,VAR55=5"; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sedfxbp/sky130_fd_sc_hdll__sedfxbp.pp.blackbox.v
1,463
module MODULE1 ( VAR8 , VAR10 , VAR11 , VAR7 , VAR3 , VAR5 , VAR2 , VAR4, VAR9, VAR6 , VAR1 ); output VAR8 ; output VAR10 ; input VAR11 ; input VAR7 ; input VAR3 ; input VAR5 ; input VAR2 ; input VAR4; input VAR9; input VAR6 ; input VAR1 ; endmodule
apache-2.0
mamijaz/RISC-V
src/riscv_procesor/RISCV_PROCESSOR.v
23,710
module MODULE1 #( parameter VAR160 = 32 , parameter VAR144 = 32 , parameter VAR86 = 5 , parameter VAR97 = 5 , parameter VAR39 = 3 , parameter VAR146 = 2 , parameter VAR20 = 26 , parameter VAR50 = 512 , parameter VAR175 = 32 ) ( input VAR85 , input VAR122 , output VAR100 , output [VAR20-1 : 0] VAR98 , input VAR82 , output VAR52 , input [VAR50 - 1 : 0] VAR164 , input VAR151 , output VAR188 , output [VAR160 - 2 - 1 : 0] VAR110 , output [VAR175 - 1 : 0] VAR147 , output VAR31 , input VAR26 , input VAR103 , output VAR44 , output [VAR160 - 2 - 1 : 0] VAR114 , output VAR138 , input VAR33 , input [VAR175 - 1 : 0] VAR171 , output [VAR160 - 1 : 0] VAR68 , output [VAR144 - 1 : 0] VAR28 , output [VAR97 - 1 : 0] VAR45 , output [VAR144 - 1 : 0] VAR154 , output [VAR144 - 1 : 0] VAR131 , output [VAR144 - 1 : 0] VAR25 , output [VAR144 - 1 : 0] VAR168 , output [VAR144 - 1 : 0] VAR95 , output [VAR86 - 1 : 0] VAR47 , output [VAR39 - 1 : 0] VAR17 , output [VAR146 - 1 : 0] VAR2 , output [VAR144 - 1 : 0] VAR99 , output VAR3 ); wire [VAR160 - 1 : 0] VAR56 ; wire VAR9 ; wire VAR76 ; wire VAR125 ; wire VAR42 ; wire VAR4 ; wire VAR173 ; wire VAR64 ; wire VAR13 ; wire VAR113 ; wire VAR120 ; wire VAR153 ; wire [VAR144 - 1 : 0] VAR11 ; wire VAR75 ; wire [VAR160 - 1 : 0] VAR185 ; wire VAR6 ; wire [VAR160 - 1 : 0] VAR149 ; wire VAR123 ; wire [VAR160 - 1 : 0] VAR5 ; wire VAR71 ; wire [VAR144 - 1 : 0] VAR169 ; wire [VAR97 - 1 : 0] VAR35 ; wire [VAR86 -1 : 0] VAR107 ; wire [VAR86 -1 : 0] VAR135 ; wire [VAR144 - 1 : 0] VAR181 ; wire [VAR144 - 1 : 0] VAR165 ; wire [VAR160 - 1 : 0] VAR116 ; wire [VAR86 -1 : 0] VAR172 ; wire [VAR39 - 1 : 0] VAR176 ; wire [VAR146 - 1 : 0] VAR177 ; wire VAR63 ; wire VAR61 ; wire VAR27 ; wire VAR81 ; wire [VAR144 - 1 : 0] VAR58 ; wire [VAR144 - 1 : 0] VAR19 ; wire VAR67 ; wire [VAR86 -1 : 0] VAR133 ; wire VAR161 ; wire [VAR39 - 1 : 0] VAR141 ; wire [VAR144 - 1 : 0] VAR145 ; wire [VAR146 - 1 : 0] VAR150 ; wire [VAR144 - 1 : 0] VAR186 ; wire VAR126 ; wire VAR7 ; wire [VAR144 - 1 : 0] VAR83 ; wire [VAR86 -1 : 0] VAR155 ; wire VAR189 ; wire [VAR39 - 1 : 0] VAR66 ; wire [VAR144 - 1 : 0] VAR72 ; wire VAR156 ; wire [VAR86 -1 : 0] VAR183 ; wire VAR38 ; wire [VAR39 - 1 : 0] VAR10 ; wire [VAR144 - 1 : 0] VAR106 ; wire VAR128 ; wire [VAR86 -1 : 0] VAR37 ; wire VAR129 ; wire [VAR39 - 1 : 0] VAR148 ; wire [VAR146 - 1 : 0] VAR70 ; wire [VAR144 - 1 : 0] VAR187 ; wire VAR34 ; wire [VAR144 - 1 : 0] VAR46 ; VAR51 VAR162( .VAR85(VAR85), .VAR43(VAR173), .VAR45(VAR35), .VAR191(VAR67), .VAR131(VAR116), .VAR154(VAR58), .VAR12(VAR169), .VAR92(VAR5), .VAR68(VAR56), .VAR124(VAR9), .VAR79(VAR76) ); VAR102 VAR21( .VAR54(VAR75), .VAR78(VAR7), .VAR3(VAR76), .VAR14(VAR107), .VAR74(VAR135), .VAR121(VAR141), .VAR69(VAR133), .VAR36(VAR66), .VAR170(VAR155), .VAR136(VAR10), .VAR84(VAR183), .VAR32(VAR125), .VAR184(VAR42), .VAR139(VAR4), .VAR43(VAR173), .VAR41(VAR64), .VAR16(VAR13), .VAR89(VAR113), .VAR30(VAR120), .VAR77(VAR153) ); VAR65 VAR179( .VAR85(VAR85), .VAR41(VAR64), .VAR68(VAR56), .VAR124(VAR9), .VAR28(VAR11), .VAR54(VAR75), .VAR122(VAR122), .VAR100(VAR100), .VAR98(VAR98), .VAR52(VAR52), .VAR82(VAR82), .VAR164(VAR164) ); VAR117 VAR174( .VAR85(VAR85), .VAR16(VAR13), .VAR32(VAR125), .VAR182(VAR56), .VAR192(VAR9), .VAR48(VAR185), .VAR132(VAR6) ); VAR117 VAR1( .VAR85(VAR85), .VAR16(VAR13), .VAR32(VAR125), .VAR182(VAR185), .VAR192(VAR6), .VAR48(VAR149), .VAR132(VAR123) ); VAR117 VAR178( .VAR85(VAR85), .VAR16(VAR13), .VAR32(VAR125), .VAR182(VAR149), .VAR192(VAR123), .VAR48(VAR5), .VAR132(VAR71) ); VAR80 VAR22( .VAR85(VAR85), .VAR89(VAR113), .VAR184(VAR42), .VAR134(VAR37), .VAR49(VAR46), .VAR96(VAR129), .VAR28(VAR11), .VAR182(VAR5), .VAR124(VAR71), .VAR48(VAR116), .VAR24(VAR107), .VAR23(VAR135), .VAR90(VAR172), .VAR154(VAR181), .VAR25(VAR165), .VAR87(VAR169), .VAR45(VAR35), .VAR59(VAR27), .VAR142(VAR81), .VAR17(VAR176), .VAR2(VAR177), .VAR143(VAR63), .VAR18(VAR61) ); VAR180 VAR166( .VAR85(VAR85), .VAR30(VAR120), .VAR14(VAR107), .VAR111(VAR181), .VAR74(VAR135), .VAR91(VAR165), .VAR69(VAR133), .VAR112(VAR161), .VAR121(VAR141), .VAR55(VAR186), .VAR170(VAR155), .VAR109(VAR189), .VAR36(VAR66), .VAR130(VAR72), .VAR84(VAR183), .VAR108(VAR38), .VAR136(VAR10), .VAR137(VAR106), .VAR158(VAR37), .VAR163(VAR129), .VAR73(VAR46), .VAR154(VAR58), .VAR25(VAR19) ); VAR119 VAR127( .VAR85(VAR85), .VAR30(VAR120), .VAR139(VAR4), .VAR182(VAR116), .VAR134(VAR172), .VAR154(VAR58), .VAR25(VAR19), .VAR168(VAR169), .VAR45(VAR35), .VAR59(VAR27), .VAR142(VAR81), .VAR118(VAR176), .VAR115(VAR177), .VAR94(VAR63), .VAR96(VAR61), .VAR90(VAR133), .VAR95(VAR186), .VAR191(VAR67), .VAR53(VAR141), .VAR104(VAR150), .VAR57(VAR145), .VAR60(VAR126), .VAR18(VAR161) ); VAR8 VAR159( .VAR85(VAR85), .VAR101(VAR186), .VAR17(VAR141), .VAR167(VAR186), .VAR105(VAR145), .VAR2(VAR150), .VAR78(VAR7), .VAR93(VAR83), .VAR151(VAR151), .VAR188(VAR188), .VAR110(VAR110), .VAR147(VAR147), .VAR31(VAR31), .VAR26(VAR26), .VAR103(VAR103), .VAR44(VAR44), .VAR114(VAR114), .VAR138(VAR138), .VAR33(VAR33), .VAR171(VAR171) ); VAR152 VAR29( .VAR85(VAR85), .VAR77(VAR153), .VAR134(VAR133), .VAR140(VAR186), .VAR118(VAR141), .VAR94(VAR126), .VAR96(VAR161), .VAR90(VAR155), .VAR15(VAR72), .VAR53(VAR66), .VAR60(VAR156), .VAR18(VAR189) ); VAR152 VAR193( .VAR85(VAR85), .VAR77(VAR153), .VAR134(VAR155), .VAR140(VAR72), .VAR118(VAR66), .VAR94(VAR156), .VAR96(VAR189), .VAR90(VAR183), .VAR15(VAR106), .VAR53(VAR10), .VAR60(VAR128), .VAR18(VAR38) ); VAR152 VAR40( .VAR85(VAR85), .VAR77(VAR153), .VAR134(VAR183), .VAR140(VAR106), .VAR118(VAR10), .VAR94(VAR128), .VAR96(VAR38), .VAR90(VAR37), .VAR15(VAR187), .VAR53(VAR148), .VAR60(VAR34), .VAR18(VAR129) ); VAR190 VAR88( .VAR140(VAR187), .VAR62(VAR83), .VAR94(VAR34), .VAR157(VAR46) ); assign VAR68 = VAR56 ; assign VAR28 = VAR11 ; assign VAR45 = VAR35 ; assign VAR154 = VAR58 ; assign VAR131 = VAR116 ; assign VAR25 = VAR19 ; assign VAR168 = VAR169 ; assign VAR95 = VAR186 ; assign VAR47 = VAR172 ; assign VAR17 = VAR176 ; assign VAR2 = VAR177 ; assign VAR99 = VAR46 ; assign VAR3 = VAR76 ; endmodule
bsd-2-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/sdffrnq/gf180mcu_fd_sc_mcu7t5v0__sdffrnq_1.behavioral.pp.v
18,378
module MODULE1( VAR283, VAR8, VAR225, VAR175, VAR230, VAR227, VAR257, VAR177 ); input VAR175, VAR225, VAR230, VAR283, VAR8; inout VAR257, VAR177; output VAR227; reg VAR240; VAR117 VAR279(.VAR283(VAR283),.VAR8(VAR8),.VAR225(VAR225),.VAR175(VAR175),.VAR230(VAR230),.VAR227(VAR227),.VAR257(VAR257),.VAR177(VAR177),.VAR240(VAR240)); VAR117 VAR263(.VAR283(VAR283),.VAR8(VAR8),.VAR225(VAR225),.VAR175(VAR175),.VAR230(VAR230),.VAR227(VAR227),.VAR257(VAR257),.VAR177(VAR177),.VAR240(VAR240)); not VAR190(VAR284,VAR225); and VAR29(VAR99,VAR230,VAR284); not VAR79(VAR234,VAR283); and VAR40(VAR154,VAR234,VAR99); not VAR256(VAR274,VAR8); and VAR104(VAR299,VAR274,VAR154); not VAR95(VAR245,VAR225); and VAR127(VAR91,VAR230,VAR245); not VAR34(VAR160,VAR283); and VAR12(VAR210,VAR160,VAR91); and VAR17(VAR275,VAR8,VAR210); not VAR250(VAR298,VAR225); and VAR185(VAR28,VAR230,VAR298); and VAR156(VAR33,VAR283,VAR28); not VAR178(VAR304,VAR8); and VAR235(VAR241,VAR304,VAR33); not VAR56(VAR146,VAR225); and VAR165(VAR114,VAR230,VAR146); and VAR133(VAR238,VAR283,VAR114); and VAR204(VAR282,VAR8,VAR238); and VAR138(VAR233,VAR230,VAR225); not VAR82(VAR267,VAR283); and VAR49(VAR136,VAR267,VAR233); not VAR32(VAR92,VAR8); and VAR135(VAR174,VAR92,VAR136); and VAR252(VAR217,VAR230,VAR225); not VAR125(VAR168,VAR283); and VAR130(VAR297,VAR168,VAR217); and VAR52(VAR39,VAR8,VAR297); and VAR65(VAR269,VAR230,VAR225); and VAR187(VAR272,VAR283,VAR269); not VAR2(VAR226,VAR8); and VAR118(VAR103,VAR226,VAR272); and VAR286(VAR9,VAR230,VAR225); and VAR108(VAR200,VAR283,VAR9); and VAR207(VAR203,VAR8,VAR200); not VAR164(VAR24,VAR283); and VAR199(VAR119,VAR24,VAR230); not VAR101(VAR232,VAR8); and VAR189(VAR184,VAR232,VAR119); not VAR277(VAR105,VAR283); and VAR30(VAR46,VAR105,VAR230); and VAR83(VAR159,VAR8,VAR46); not VAR142(VAR153,VAR225); and VAR289(VAR45,VAR283,VAR153); and VAR3(VAR213,VAR8,VAR45); not VAR23(VAR106,VAR283); and VAR128(VAR260,VAR106,VAR225); not VAR19(VAR155,VAR8); and VAR58(VAR148,VAR155,VAR260); not VAR294(VAR141,VAR283); and VAR5(VAR271,VAR141,VAR225); and VAR246(VAR72,VAR8,VAR271); and VAR265(VAR94,VAR283,VAR225); and VAR173(VAR166,VAR8,VAR94); not VAR120(VAR248,VAR175); not VAR14(VAR291,VAR225); and VAR296(VAR171,VAR291,VAR248); not VAR63(VAR167,VAR283); and VAR86(VAR224,VAR167,VAR171); not VAR26(VAR67,VAR8); and VAR115(VAR50,VAR67,VAR224); not VAR96(VAR239,VAR175); not VAR31(VAR302,VAR225); and VAR53(VAR143,VAR302,VAR239); not VAR4(VAR301,VAR283); and VAR202(VAR285,VAR301,VAR143); and VAR228(VAR192,VAR8,VAR285); not VAR244(VAR303,VAR175); not VAR287(VAR44,VAR225); and VAR162(VAR43,VAR44,VAR303); and VAR129(VAR139,VAR283,VAR43); not VAR11(VAR215,VAR8); and VAR151(VAR57,VAR215,VAR139); not VAR87(VAR38,VAR175); not VAR193(VAR273,VAR225); and VAR292(VAR93,VAR273,VAR38); and VAR147(VAR231,VAR283,VAR93); and VAR61(VAR219,VAR8,VAR231); not VAR183(VAR66,VAR175); and VAR194(VAR191,VAR225,VAR66); not VAR69(VAR55,VAR283); and VAR121(VAR116,VAR55,VAR191); not VAR88(VAR80,VAR8); and VAR220(VAR181,VAR80,VAR116); not VAR13(VAR62,VAR175); and VAR122(VAR16,VAR225,VAR62); not VAR59(VAR35,VAR283); and VAR7(VAR134,VAR35,VAR16); and VAR258(VAR60,VAR8,VAR134); not VAR212(VAR51,VAR175); and VAR270(VAR208,VAR225,VAR51); and VAR76(VAR251,VAR283,VAR208); not VAR90(VAR180,VAR8); and VAR243(VAR280,VAR180,VAR251); not VAR206(VAR254,VAR175); and VAR293(VAR42,VAR225,VAR254); and VAR84(VAR214,VAR283,VAR42); and VAR222(VAR195,VAR8,VAR214); not VAR20(VAR97,VAR225); and VAR188(VAR47,VAR97,VAR175); not VAR140(VAR205,VAR283); and VAR197(VAR145,VAR205,VAR47); not VAR268(VAR152,VAR8); and VAR249(VAR74,VAR152,VAR145); not VAR163(VAR85,VAR225); and VAR36(VAR54,VAR85,VAR175); not VAR169(VAR75,VAR283); and VAR98(VAR176,VAR75,VAR54); and VAR71(VAR126,VAR8,VAR176); not VAR170(VAR73,VAR225); and VAR112(VAR300,VAR73,VAR175); and VAR221(VAR253,VAR283,VAR300); not VAR182(VAR137,VAR8); and VAR288(VAR196,VAR137,VAR253); not VAR22(VAR111,VAR225); and VAR64(VAR255,VAR111,VAR175); and VAR264(VAR124,VAR283,VAR255); and VAR41(VAR266,VAR8,VAR124); and VAR236(VAR262,VAR225,VAR175); not VAR21(VAR150,VAR283); and VAR157(VAR211,VAR150,VAR262); not VAR295(VAR102,VAR8); and VAR158(VAR198,VAR102,VAR211); and VAR223(VAR113,VAR225,VAR175); not VAR37(VAR110,VAR283); and VAR10(VAR209,VAR110,VAR113); and VAR27(VAR276,VAR8,VAR209); and VAR1(VAR247,VAR225,VAR175); and VAR179(VAR149,VAR283,VAR247); not VAR242(VAR107,VAR8); and VAR18(VAR290,VAR107,VAR149); and VAR186(VAR259,VAR225,VAR175); and VAR70(VAR81,VAR283,VAR259); and VAR132(VAR25,VAR8,VAR81); not VAR237(VAR78,VAR225); and VAR131(VAR144,VAR230,VAR78); and VAR281(VAR161,VAR8,VAR144); and VAR201(VAR123,VAR230,VAR225); not VAR89(VAR77,VAR8); and VAR15(VAR261,VAR77,VAR123); not VAR278(VAR68,VAR225); and VAR109(VAR229,VAR230,VAR68); and VAR216(VAR6,VAR283,VAR229); and VAR100(VAR48,VAR230,VAR225); and VAR172(VAR218,VAR283,VAR48);
apache-2.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/fpgaTop_sp605.v
1,097
module MODULE1( input wire VAR19, input wire VAR18, input wire VAR2, input wire VAR6, input wire VAR16, output wire [7:0] VAR14, output wire [7:0] VAR10, input wire [7:0] VAR13, input wire [7:0] VAR1, output wire [2:0] VAR3, input wire VAR9, output wire VAR11 ); VAR15 VAR20( .VAR19 (VAR19), .VAR18 (VAR18), .VAR2 (VAR2), .VAR6 (VAR6), .VAR12 (VAR16), .VAR5 (VAR13), .VAR7 (VAR1), .VAR8 (VAR14), .VAR21 (VAR10), .VAR3 (VAR3), .VAR4 (VAR9), .VAR17 (VAR11) ); endmodule
lgpl-3.0
rurume/openrisc_vision_hardware
ISE/uart_debug_if.v
5,946
module MODULE1 ( VAR5, VAR2, VAR8, VAR9, VAR11, VAR14, VAR15, VAR13, VAR12, VAR1, VAR4, VAR7, VAR6 ) ; input [VAR3-1:0] VAR2; output [31:0] VAR5; input [3:0] VAR8; input [3:0] VAR9; input [1:0] VAR11; input [4:0] VAR14; input [7:0] VAR15; input [7:0] VAR13; input [7:0] VAR12; input [VAR10-1:0] VAR1; input [VAR10-1:0] VAR4; input [2:0] VAR7; input [3:0] VAR6; wire [VAR3-1:0] VAR2; reg [31:0] VAR5; always @(VAR11 or VAR8 or VAR9 or VAR15 or VAR12 or VAR14 or VAR13 or VAR1 or VAR6 or VAR4 or VAR7 or VAR2) case (VAR2) 5'b01000: VAR5 = {VAR13,VAR15,VAR9,VAR8,VAR12}; 5'b01100: VAR5 = {8'b0, VAR11,VAR14, VAR1, VAR6, VAR4, VAR7}; default: VAR5 = 0; endcase endmodule
gpl-2.0