repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux4/sky130_fd_sc_ls__mux4.pp.blackbox.v
1,376
module MODULE1 ( VAR2 , VAR11 , VAR8 , VAR1 , VAR10 , VAR5 , VAR9 , VAR3, VAR7, VAR4 , VAR6 ); output VAR2 ; input VAR11 ; input VAR8 ; input VAR1 ; input VAR10 ; input VAR5 ; input VAR9 ; input VAR3; input VAR7; input VAR4 ; input VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a32o/sky130_fd_sc_lp__a32o_lp.v
2,477
module MODULE2 ( VAR11 , VAR6 , VAR7 , VAR5 , VAR1 , VAR2 , VAR10, VAR4, VAR12 , VAR3 ); output VAR11 ; input VAR6 ; input VAR7 ; input VAR5 ; input VAR1 ; input VAR2 ; input VAR10; input VAR4; input VAR12 ; input VAR3 ; VAR9 VAR8 ( .VAR11(VAR11), .VAR6(VAR6), .VAR7(VAR7), .VAR5(VAR5), .VAR1(VAR1), .VAR2(VAR2), .VAR10(VAR10), .VAR4(VAR4), .VAR12(VAR12), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR11 , VAR6, VAR7, VAR5, VAR1, VAR2 ); output VAR11 ; input VAR6; input VAR7; input VAR5; input VAR1; input VAR2; supply1 VAR10; supply0 VAR4; supply1 VAR12 ; supply0 VAR3 ; VAR9 VAR8 ( .VAR11(VAR11), .VAR6(VAR6), .VAR7(VAR7), .VAR5(VAR5), .VAR1(VAR1), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/muxb16to1/sky130_fd_sc_hdll__muxb16to1_4.v
2,240
module MODULE1 ( VAR5 , VAR2 , VAR7 , VAR3, VAR6, VAR9 , VAR4 ); output VAR5 ; input [15:0] VAR2 ; input [15:0] VAR7 ; input VAR3; input VAR6; input VAR9 ; input VAR4 ; VAR8 VAR1 ( .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3), .VAR6(VAR6), .VAR9(VAR9), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR5, VAR2, VAR7 ); output VAR5; input [15:0] VAR2; input [15:0] VAR7; supply1 VAR3; supply0 VAR6; supply1 VAR9 ; supply0 VAR4 ; VAR8 VAR1 ( .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7) ); endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_Top_0/pr_region_default_Top_0_bb.v
3,368
module MODULE1 ( input wire VAR7, output wire [5:0] VAR12, output wire [31:0] VAR31, output wire [31:0] VAR26, output wire [7:0] VAR15, output wire [2:0] VAR4, output wire [1:0] VAR33, output wire VAR44, output wire [3:0] VAR48, output wire [2:0] VAR16, output wire [3:0] VAR41, output wire VAR3, input wire VAR19, output wire [5:0] VAR25, output wire [31:0] VAR40, output wire [31:0] VAR28, output wire [7:0] VAR6, output wire [2:0] VAR47, output wire [1:0] VAR35, output wire VAR18, output wire [3:0] VAR27, output wire [2:0] VAR5, output wire [3:0] VAR45, output wire VAR10, input wire VAR21, output wire [511:0] VAR13, output wire [63:0] VAR23, output wire VAR34, output wire VAR11, input wire VAR22, input wire [5:0] VAR14, input wire [31:0] VAR42, input wire [511:0] VAR37, input wire [1:0] VAR46, input wire VAR30, input wire VAR32, output wire VAR39, input wire [5:0] VAR43, input wire [31:0] VAR9, input wire [1:0] VAR20, input wire VAR29, output wire VAR38, input wire [6:0] VAR24, output wire [31:0] VAR17, input wire VAR8, input wire VAR2, input wire VAR1, input wire [31:0] VAR36, input wire reset ); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnrsnq/gf180mcu_fd_sc_mcu7t5v0__dffnrsnq_2.behavioral.v
8,998
module MODULE1( VAR80, VAR19, VAR3, VAR65, VAR15 ); input VAR80, VAR19, VAR65, VAR3; output VAR15; reg VAR4; VAR42 VAR75(.VAR80(VAR80),.VAR19(VAR19),.VAR3(VAR3),.VAR65(VAR65),.VAR15(VAR15),.VAR4(VAR4)); VAR42 VAR35(.VAR80(VAR80),.VAR19(VAR19),.VAR3(VAR3),.VAR65(VAR65),.VAR15(VAR15),.VAR4(VAR4)); not VAR24(VAR71,VAR19); and VAR31(VAR52,VAR65,VAR71); and VAR16(VAR5,VAR3,VAR52); and VAR6(VAR14,VAR65,VAR19); and VAR74(VAR22,VAR3,VAR14); and VAR37(VAR78,VAR3,VAR65); buf VAR89(VAR53,VAR3); not VAR28(VAR38,VAR80); not VAR51(VAR84,VAR19); and VAR45(VAR86,VAR84,VAR38); and VAR63(VAR12,VAR3,VAR86); not VAR39(VAR49,VAR80); and VAR27(VAR26,VAR19,VAR49); and VAR87(VAR18,VAR3,VAR26); not VAR33(VAR10,VAR19); and VAR36(VAR25,VAR10,VAR80); and VAR59(VAR13,VAR3,VAR25); and VAR32(VAR57,VAR19,VAR80); and VAR79(VAR46,VAR3,VAR57); not VAR1(VAR85,VAR80); not VAR70(VAR34,VAR19); and VAR23(VAR2,VAR34,VAR85); not VAR21(VAR41,VAR80); and VAR73(VAR67,VAR19,VAR41); not VAR43(VAR76,VAR19); and VAR20(VAR54,VAR76,VAR80); and VAR47(VAR56,VAR19,VAR80); buf VAR68(VAR30,VAR65); not VAR9(VAR40,VAR80); not VAR29(VAR66,VAR19); and VAR55(VAR62,VAR66,VAR40); and VAR81(VAR83,VAR65,VAR62); not VAR88(VAR58,VAR80); and VAR77(VAR69,VAR19,VAR58); and VAR61(VAR48,VAR65,VAR69); not VAR17(VAR50,VAR19); and VAR64(VAR7,VAR50,VAR80); and VAR8(VAR11,VAR65,VAR7); and VAR82(VAR44,VAR19,VAR80); and VAR60(VAR72,VAR65,VAR44);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/bufbuf/sky130_fd_sc_hd__bufbuf.blackbox.v
1,224
module MODULE1 ( VAR6, VAR3 ); output VAR6; input VAR3; supply1 VAR1; supply0 VAR4; supply1 VAR5 ; supply0 VAR2 ; endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/8-way_2-tree/src/riffa/rxr_engine_classic.v
25,328
module MODULE1 parameter VAR73 = 128, parameter VAR85=10) ( input VAR28, input VAR99, input VAR37, output VAR71, input [VAR73-1:0] VAR27, input VAR58, input VAR132, input [VAR126-1:0] VAR18, input VAR141, input [VAR126-1:0] VAR59, input [VAR157-1:0] VAR24, output [VAR73-1:0] VAR144, output VAR149, output [(VAR73/32)-1:0] VAR113, output VAR33, output [VAR111(VAR73/32)-1:0] VAR92, output VAR122, output [VAR111(VAR73/32)-1:0] VAR17, output [VAR158-1:0] VAR127, output [VAR163-1:0] VAR95, output [VAR138-1:0] VAR118, output [VAR35-1:0] VAR76, output [VAR103-1:0] VAR41, output [VAR67-1:0] VAR116, output [VAR26-1:0] VAR69, output [VAR157-1:0] VAR162, output [VAR146-1:0] VAR80, output [VAR13-1:0] VAR165, output VAR61, input [(VAR85+1)*VAR73-1:0] VAR154, input [VAR85:0] VAR50, input [(VAR85+1)*VAR126-1:0] VAR62, input [VAR85:0] VAR5, input [VAR85:0] VAR84 ); localparam VAR151 = (VAR158+VAR163); localparam VAR68 = 1; localparam VAR87 = 1; localparam VAR64 = 1; localparam VAR16 = VAR64 + VAR87 + VAR68; localparam VAR150 = VAR64 + (VAR39/VAR73) + VAR68; localparam VAR112 = VAR150; localparam VAR164 = (VAR60/VAR73) + VAR68; localparam VAR31 = (VAR39/VAR73) + VAR68; localparam VAR6 = (VAR74/VAR73) + VAR68; localparam VAR140 = (VAR143/VAR73) + VAR68; localparam VAR53 = VAR73*VAR68 + (VAR60%VAR73); localparam VAR30 = VAR73*VAR68 + (VAR39%VAR73); localparam VAR160 = VAR73*VAR68 + VAR73*(VAR31 - VAR6) + localparam VAR133 = VAR73*VAR68 + (VAR74%VAR73); localparam VAR137 = VAR73*VAR68 + (VAR143%VAR73); localparam VAR134 = VAR111(VAR73/32); localparam VAR108 = 32; localparam VAR88 = (VAR10 + VAR108)/32; localparam VAR128 = (64/VAR73); wire [63:0] VAR72; wire [63:0] VAR153; wire [VAR104-1:0] VAR70; wire [VAR120-1:0] VAR129; wire VAR78; wire VAR23; wire VAR96; wire [31:0] VAR40[(VAR130 / 32)-1:0]; wire [63:0] VAR117; wire VAR55; wire VAR106; wire [2:0] VAR3; wire [2:0] VAR56; wire [(VAR73/32)-1:0] VAR102; wire VAR9; wire VAR9; wire [VAR134-1:0] VAR14; wire [(VAR73/32)-1:0] VAR131; wire [3:0] VAR105; wire VAR2; wire VAR2; wire [VAR111(VAR88)-1:0] VAR110; wire VAR148; wire VAR20; wire [VAR73-1:0] VAR152; wire [VAR26-1:0] VAR66; wire [63:0] VAR32; wire VAR29; wire VAR136; wire VAR57; wire [VAR134-1:0] VAR114; wire [(VAR73/32)-1:0] VAR8; wire VAR11; wire [VAR134-1:0] VAR38; wire [VAR85:0] VAR139; reg VAR100,VAR100; reg VAR42; assign VAR71 = ~VAR42; assign VAR96 = ~VAR154[VAR160]; assign VAR20 = VAR55; assign VAR72 = {VAR40[~VAR20],VAR40[VAR20]}; assign VAR40[0] = VAR117[31:0]; assign VAR40[1] = VAR117[63:32]; assign VAR3 = {VAR55,~VAR55,~VAR55}; assign VAR56 = {1'b0,1'b1,VAR55}; assign VAR148 = ((VAR55 & VAR23) | (~VAR55 & ~VAR78)) & (VAR142 == "VAR121"); assign VAR110 = (VAR3 + {2'd0,VAR148}); assign VAR14 = VAR56 + VAR148 + VAR129; assign VAR136 = 1; assign VAR144 = VAR154[(VAR16)*VAR73 +: VAR73]; assign VAR149 = VAR29; assign VAR122 = VAR57; assign VAR17 = VAR114; assign VAR33 = VAR11; assign VAR92 = VAR38; assign VAR162 = 0; assign VAR165 = VAR32[VAR155]; assign VAR118 = VAR32[VAR63]; assign VAR76 = {VAR32[VAR54], VAR32[VAR159]}; assign VAR116 = VAR46({VAR32[VAR4],VAR32[VAR7]}); assign VAR69 = VAR66; assign VAR80 = VAR32[VAR101]; assign VAR41 = VAR32[VAR83]; assign VAR127 = VAR32[VAR19]; assign VAR95 = VAR32[VAR135]; assign VAR61 = VAR32[VAR49]; assign VAR9 = VAR50[VAR68]; assign VAR9 = VAR50[VAR68+1]; assign VAR2 = VAR105 != 0; generate if(VAR73 == 32) begin assign VAR105[3] = 0; assign VAR105[2] = VAR139[VAR68 + 3] & VAR153[VAR119] & ~VAR100; assign VAR105[1] = VAR139[VAR68 + 2] & VAR153[VAR119] & ~VAR153[VAR43]; assign VAR105[0] = VAR139[VAR68 + 2] & ~VAR153[VAR119]; end else if(VAR73 == 64) begin assign VAR105[3] = 0; assign VAR105[2] = VAR139[VAR68 + 2] & VAR153[VAR119] & ~VAR100; if(VAR142 == "VAR121") begin assign VAR105[1] = VAR139[VAR68 + 1] & VAR153[VAR119] & ~VAR153[VAR43] & VAR154[VAR53 + 2]; end else begin assign VAR105[1] = VAR139[VAR68 + 1] & VAR153[VAR119] & ~VAR153[VAR43]; end assign VAR105[0] = VAR139[VAR68 + 1] & ~VAR153[VAR119]; end else if (VAR73 == 128) begin assign VAR105[3] = 0; assign VAR105[2] = VAR139[VAR68 + 1] & VAR153[VAR119] & ~VAR100; if(VAR142 == "VAR121") begin assign VAR105[1] = VAR139[VAR68] & VAR154[VAR133 + VAR119] & ~VAR154[VAR133 + VAR43] & VAR154[VAR53 + 2]; end else begin assign VAR105[1] = VAR139[VAR68] & VAR154[VAR133 + VAR119] & ~VAR154[VAR133 + VAR43]; end assign VAR105[0] = VAR139[VAR68] & ~VAR154[VAR133 + VAR119]; end else begin assign VAR105[3] = 0; assign VAR105[2] = 0; assign VAR105[1] = 0; assign VAR105[0] = VAR139[VAR68]; end endgenerate always @(*) begin VAR100 = VAR100; if(VAR2) begin VAR100 = 1'b1; end else if (VAR9) begin VAR100 = 1'b0; end end always @(posedge VAR28) begin if(VAR42) begin VAR100 <= 1'b0; end else begin VAR100 <= VAR100; end end always @(posedge VAR28) begin VAR42 <= VAR99 | VAR37; end assign VAR131 = {VAR73/32{1'b1}} << ({VAR134{VAR2}}& VAR110[VAR134-1:0]); VAR107 .VAR15 (VAR73/32) ) VAR156 ( .VAR147 (VAR102), .VAR94 (VAR9), .VAR65 (VAR14[VAR134-1:0]) ); generate if(VAR87 == 0) begin assign VAR113 = {VAR102 & VAR131} & {VAR73/32{~VAR100 | ~VAR153[VAR119]}}; end else begin register .VAR36 (VAR73/32), .VAR52 (0) ) VAR12 ( .VAR75 (VAR8), .VAR22 (~VAR100 | ~VAR153[VAR119]), .VAR89 (VAR102 & VAR131), .VAR25 (1), .VAR28 (VAR28)); VAR91 .VAR161 (VAR87-1), .VAR36 (VAR73/32), .VAR21 (0) ) VAR81 ( .VAR124 (), .VAR75 (VAR113), .VAR115 (), .VAR89 (VAR8), .VAR48 (1), .VAR34 (1'b1), .VAR22 (VAR42), .VAR28 (VAR28)); end endgenerate register .VAR36 (32), .VAR52 (0) ) VAR1 ( .VAR75 (VAR153[31:0]), .VAR89 (VAR154[VAR133 +: 32]), .VAR25 (VAR139[VAR6]), .VAR22 (VAR42), .VAR28 (VAR28)); register .VAR52 (0) ) VAR82 ( .VAR75 (VAR153[63:32]), .VAR89 (VAR154[VAR137 +: 32]), .VAR25 (VAR139[VAR140]), .VAR22 (VAR42), .VAR28 (VAR28)); register .VAR52 (0) ) VAR86 ( .VAR75 (VAR117[31:0]), .VAR89 (VAR154[VAR53 +: 32]), .VAR25 (VAR139[VAR164]), .VAR22 (0), .VAR28 (VAR28)); register .VAR52 (0) ) VAR97 ( .VAR75 (VAR117[63:32]), .VAR89 (VAR154[VAR30 +: 32]), .VAR25 (VAR139[VAR31]), .VAR22 (VAR96 & VAR139[VAR31]), .VAR28 (VAR28)); register .VAR52 (0) ) VAR93 ( .VAR75 ({VAR106,VAR55}), .VAR89 (VAR154[VAR123 + VAR73*VAR68 +: 2]), .VAR25 (VAR139[VAR43/VAR73 + VAR68]), .VAR22 (0), .VAR28 (VAR28)); register .VAR52 (0) ) VAR98 ( .VAR75 (VAR70), .VAR89 (VAR154[(VAR45) +: VAR104]), .VAR25 (VAR139[VAR45/VAR73]), .VAR22 (0), .VAR28 (VAR28)); register .VAR52 (0) ) VAR125 ( .VAR75 (VAR129), .VAR89 (VAR154[(VAR51 + VAR73*VAR68) +: VAR120]), .VAR25 (VAR139[VAR51/VAR73 + VAR68]), .VAR22 (0), .VAR28 (VAR28)); register .VAR52 (0) ) VAR145 ( .VAR75 (VAR78), .VAR22 (0), .VAR89 (VAR154[(VAR60%VAR73) + 2 + VAR73*VAR68]), .VAR25 (VAR139[(VAR60/VAR73) + VAR68]), .VAR28 (VAR28)); register .VAR52 (0) ) VAR77 ( .VAR75 (VAR23), .VAR89 (VAR154[(VAR39%VAR73) + 2 + VAR73*VAR68]), .VAR25 (VAR139[(VAR39/VAR73) + VAR68]), .VAR22 (0), .VAR28 (VAR28)); register .VAR52 (0) ) VAR44 ( .VAR75 (VAR2), .VAR89 (VAR2), .VAR25 (1), .VAR22 (0), .VAR28 (VAR28)); VAR91 .VAR36 (VAR10 + 2*(1 + VAR134)), .VAR21 (0) ) VAR109 ( .VAR124 (), .VAR75 ({VAR32,VAR66,VAR11,VAR38,VAR57,VAR114}), .VAR115 (VAR29), .VAR89 ({VAR153, VAR72, VAR2,VAR110[VAR134-1:0],VAR9,VAR14[VAR134-1:0]}), .VAR48 (VAR100 & VAR84[VAR16-VAR87]), .VAR34 (1'b1), .VAR22 (VAR42), .VAR28 (VAR28)); VAR79 .VAR36 (1'b1), .VAR52 (0) ) VAR47 ( .VAR75 (VAR139), .VAR89 (VAR132 & VAR58 & (VAR154[VAR7] == VAR90)), .VAR22 (0), .VAR28 (VAR28)); endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/analog/bw_clk/rtl/bw_clk_gl.v
7,662
module MODULE1(VAR40 ,VAR56 ,VAR43 , VAR58 ,VAR61 ,VAR29 ,VAR69 , VAR86 ,VAR82 ,VAR5 ,VAR16 , VAR48 ,VAR45 ,VAR24 ,VAR47 ,VAR70 , VAR52 ); output [7:0] VAR40 ; output [7:0] VAR58 ; output [7:0] VAR61 ; output [7:0] VAR29 ; output [7:0] VAR69 ; output [7:0] VAR86 ; output [7:0] VAR82 ; output [7:0] VAR5 ; output [7:0] VAR16 ; output [7:0] VAR48 ; output [7:0] VAR70 ; output [7:0] VAR52 ; output VAR43 ; input VAR56 ; input VAR45 ; input VAR24 ; input VAR47 ; wire [2:1] VAR28 ; wire [2:1] VAR21 ; wire [3:0] VAR19 ; wire [2:1] VAR65 ; wire [2:1] VAR80 ; wire [2:1] VAR51 ; wire [2:1] VAR71 ; wire [2:1] VAR78 ; wire [2:1] VAR15 ; wire [2:1] VAR72 ; wire [2:1] VAR2 ; wire [3:0] VAR36 ; wire [2:1] VAR55 ; wire [2:1] VAR8 ; wire [3:0] VAR17 ; wire [2:1] VAR77 ; wire [2:1] VAR3 ; wire [2:1] VAR25 ; wire [2:1] VAR59 ; wire [2:1] VAR54 ; wire [2:1] VAR41 ; wire [2:1] VAR66 ; wire [2:1] VAR68 ; wire [2:1] VAR84 ; wire [2:1] VAR12 ; wire [2:1] VAR57 ; wire [2:1] VAR83 ; wire VAR31 ; wire VAR30 ; wire VAR85 ; wire VAR50 ; VAR7 VAR63 ( .VAR79 (VAR85 ), .VAR49 (VAR56 ) ); VAR9 VAR81 ( .VAR42 (VAR66[1] ), .VAR20 (VAR57[1] ), .VAR13 (VAR2[1] ), .VAR33 (VAR83[1] ), .VAR18 (VAR65[1] ), .VAR44 (VAR25[1] ) ); VAR27 VAR26 ( .VAR62 ({VAR8 } ), .VAR10 ({VAR51 } ), .VAR1 ({VAR80 } ), .VAR67 ({VAR72 } ), .VAR60 ({VAR59 } ), .VAR53 ({VAR68 } ), .VAR45 ({VAR40 } ), .VAR47 ({VAR48 } ), .VAR24 ({VAR52 } ), .VAR37 (VAR17[0] ), .VAR32 (VAR19[0] ), .VAR38 (VAR36[0] ) ); VAR9 VAR4 ( .VAR42 (VAR41[2] ), .VAR20 (VAR28[2] ), .VAR13 (VAR78[2] ), .VAR33 (VAR12[2] ), .VAR18 (VAR71[2] ), .VAR44 (VAR77[2] ) ); VAR9 VAR87 ( .VAR42 (VAR15[1] ), .VAR20 (VAR55[1] ), .VAR13 (VAR84[1] ), .VAR33 (VAR3[1] ), .VAR18 (VAR54[1] ), .VAR44 (VAR21[1] ) ); VAR9 VAR34 ( .VAR42 (VAR66[2] ), .VAR20 (VAR57[2] ), .VAR13 (VAR2[2] ), .VAR33 (VAR83[2] ), .VAR18 (VAR65[2] ), .VAR44 (VAR25[2] ) ); VAR23 VAR46 ( .VAR42 (VAR31 ), .VAR20 (VAR50 ), .VAR13 (VAR30 ), .VAR33 (VAR45 ), .VAR18 (VAR24 ), .VAR44 (VAR47 ) ); VAR27 VAR6 ( .VAR62 ({VAR21 } ), .VAR10 ({VAR84 } ), .VAR1 ({VAR55 } ), .VAR67 ({VAR54 } ), .VAR60 ({VAR15 } ), .VAR53 ({VAR3 } ), .VAR45 ({VAR70 } ), .VAR47 ({VAR58 } ), .VAR24 ({VAR61 } ), .VAR37 (VAR17[3] ), .VAR32 (VAR19[3] ), .VAR38 (VAR36[3] ) ); VAR9 VAR75 ( .VAR42 (VAR59[1] ), .VAR20 (VAR80[1] ), .VAR13 (VAR51[1] ), .VAR33 (VAR68[1] ), .VAR18 (VAR72[1] ), .VAR44 (VAR8[1] ) ); VAR9 VAR64 ( .VAR42 (VAR15[2] ), .VAR20 (VAR55[2] ), .VAR13 (VAR84[2] ), .VAR33 (VAR3[2] ), .VAR18 (VAR54[2] ), .VAR44 (VAR21[2] ) ); VAR14 VAR35 ( .VAR56 (VAR85 ), .VAR43 (VAR43 ) ); VAR27 VAR22 ( .VAR62 ({VAR77 } ), .VAR10 ({VAR78 } ), .VAR1 ({VAR28 } ), .VAR67 ({VAR71 } ), .VAR60 ({VAR41 } ), .VAR53 ({VAR12 } ), .VAR45 ({VAR82 } ), .VAR47 ({VAR5 } ), .VAR24 ({VAR16 } ), .VAR37 (VAR17[1] ), .VAR32 (VAR19[1] ), .VAR38 (VAR36[1] ) ); VAR9 VAR74 ( .VAR42 (VAR41[1] ), .VAR20 (VAR28[1] ), .VAR13 (VAR78[1] ), .VAR33 (VAR12[1] ), .VAR18 (VAR71[1] ), .VAR44 (VAR77[1] ) ); VAR73 VAR39 ( .VAR19 ({VAR19 } ), .VAR17 ({VAR17 } ), .VAR36 ({VAR36 } ), .VAR50 (VAR50 ), .VAR31 (VAR31 ), .VAR30 (VAR30 ) ); VAR27 VAR76 ( .VAR62 ({VAR25 } ), .VAR10 ({VAR2 } ), .VAR1 ({VAR57 } ), .VAR67 ({VAR65 } ), .VAR60 ({VAR66 } ), .VAR53 ({VAR83 } ), .VAR45 ({VAR29 } ), .VAR47 ({VAR69 } ), .VAR24 ({VAR86 } ), .VAR37 (VAR17[2] ), .VAR32 (VAR19[2] ), .VAR38 (VAR36[2] ) ); VAR9 VAR11 ( .VAR42 (VAR59[2] ), .VAR20 (VAR80[2] ), .VAR13 (VAR51[2] ), .VAR33 (VAR68[2] ), .VAR18 (VAR72[2] ), .VAR44 (VAR8[2] ) ); endmodule
gpl-2.0
Canaan-Creative/MM
verilog/superkdf9/components/uart_core/rxcver_fifo.v
6,110
module MODULE1 (VAR22, VAR41, VAR1, VAR3, VAR43, VAR18, VAR11, VAR42, VAR16, VAR6, VAR29); input [10:0] VAR22; input VAR41; input VAR1; input VAR3; input VAR43; output [7:0] VAR18; output [2:0] VAR11; output VAR42; output VAR16; output VAR6; output VAR29; wire[7:0] VAR5; parameter VAR21 = VAR31; generate if (VAR21 == "VAR33" || VAR21 == "VAR9") begin VAR32 #(.VAR36(8), .VAR26(8), .VAR15(16), .VAR28(16), .VAR24(16), .VAR25(0), .VAR39(1), .VAR4(0), .VAR35("VAR10"), .VAR40(VAR31), .VAR38("VAR32"), .VAR30("VAR20")) VAR14 ( .VAR22(VAR22[10:3]), .VAR34(VAR41), .VAR37(VAR41), .VAR1 (VAR1), .VAR3 (VAR3), .VAR43 (VAR43), .VAR12(VAR43), .VAR18 (VAR5), .VAR42 (VAR42), .VAR16 (VAR16), .VAR6 (VAR6), .VAR29 (VAR29)); end else begin VAR7 #( .VAR17(8), .VAR2(16), .VAR24(16), .VAR25(0), .VAR39(1), .VAR4(0), .VAR35("VAR10"), .VAR40(VAR31), .VAR38("VAR7"), .VAR30("VAR20")) VAR19 (.VAR22(VAR22[10:3]), .VAR41(VAR41), .VAR1(VAR1), .VAR3(VAR3), .VAR43(VAR43), .VAR18(VAR5), .VAR42(VAR42), .VAR16(VAR16), .VAR6(VAR6), .VAR29(VAR29)); end endgenerate reg [2:0] VAR44 [15:0]; reg [4:0] VAR23 = 0; reg [4:0] VAR27 = 0; reg [4:0] VAR13 = 0; reg VAR8; always @(posedge VAR41 or posedge VAR43) begin if (VAR43) begin VAR23 <= 0; VAR27 <= 0; VAR8 <= 0; VAR13 <= 0; VAR44[0] <= 0; VAR44[1] <= 0; VAR44[2] <= 0; VAR44[3] <= 0; VAR44[4] <= 0; VAR44[5] <= 0; VAR44[6] <= 0; VAR44[7] <= 0; VAR44[8] <= 0; VAR44[9] <= 0; VAR44[10] <= 0; VAR44[11] <= 0; VAR44[12] <= 0; VAR44[13] <= 0; VAR44[14] <= 0; VAR44[15] <= 0; end else begin if (VAR1 == 1 && VAR3 !=1 && VAR16 !=1) begin VAR44[VAR23%16] <= VAR22[2:0]; VAR23 <= VAR23 + 1; end else if (VAR1 != 1 && VAR3 ==1 && VAR42 !=1) begin VAR8 <= 1'b1; VAR13 <= VAR27; VAR27 <= VAR27 +1; end else if (VAR1 == 1 && VAR3 ==1) begin VAR13 <= VAR27; VAR8 <= 1'b1; VAR44[VAR23%16] <= VAR22[2:0]; VAR27 <= VAR27 + 1; VAR23 <= VAR23 + 1; end if (VAR8) begin VAR44[VAR13%16] <= 0; VAR8 <= 1'b0; end end end assign VAR18 = VAR5; assign VAR11 = VAR44[VAR13%16]; endmodule
unlicense
deepakcu/maestro
fpga/DE4_Ethernet_0/src/altgx_reconfig.v
20,865
module MODULE1 ( address, VAR14, VAR2, VAR7, VAR23, VAR20, VAR13, VAR15, VAR12, VAR42, VAR37, VAR11, VAR32) ; input [15:0] address; output VAR14; input [15:0] VAR2; output [15:0] VAR7; input VAR23; output VAR20; output VAR13; output VAR15; input VAR12; input [8:0] VAR42; input VAR37; input VAR11; input VAR32; tri0 [15:0] VAR2; tri0 VAR37; tri0 VAR11; tri0 VAR32; reg [31:0] VAR34; reg [15:0] VAR49; reg [15:0] VAR50; wire [2:0] VAR18; reg [2:0] VAR25; wire [2:0] VAR22; reg [2:0] VAR3; reg [31:0] VAR31; wire VAR43; wire VAR33; wire VAR45; wire VAR26; wire VAR40; wire [5:0] VAR24; wire [7:0] VAR6; wire VAR27; wire VAR1; wire VAR4; wire VAR8; wire VAR47; wire VAR44; wire VAR30; wire VAR9; wire VAR10; wire VAR21; wire VAR35; wire VAR5; wire VAR19; wire VAR48; wire VAR41; wire VAR39; wire VAR28; wire VAR46; wire VAR17; wire VAR38; wire VAR16; wire VAR36; wire VAR51; wire VAR29;
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/mux4/gf180mcu_fd_sc_mcu9t5v0__mux4_4.functional.pp.v
1,410
module MODULE1( VAR10, VAR16, VAR2, VAR15, VAR9, VAR13, VAR5, VAR7, VAR20 ); input VAR5, VAR13, VAR10, VAR2, VAR16, VAR9; inout VAR7, VAR20; output VAR15; wire VAR3; not VAR4( VAR3, VAR16 ); wire VAR12; not VAR6( VAR12, VAR9 ); wire VAR1; and VAR18( VAR1, VAR3, VAR12, VAR5 ); wire VAR11; and VAR17( VAR11, VAR12, VAR13, VAR16 ); wire VAR19; and VAR21( VAR19, VAR3, VAR10, VAR9 ); wire VAR8; and VAR14( VAR8, VAR2, VAR16, VAR9 ); or VAR22( VAR15, VAR1, VAR11, VAR19, VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
models/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.blackbox.v
1,247
module MODULE1 ( VAR1 , VAR2 , VAR3 ); output VAR1 ; input VAR2 ; input VAR3; endmodule
apache-2.0
jefg89/proyecto_final_prototipado
ProyectoFinal/HDLNeuralNetwork/RegistroCargaInterfaz.v
4,000
module MODULE1 #(parameter VAR44 = 32) (VAR39,VAR10,VAR37,VAR22,VAR7,VAR36, VAR19,VAR43,VAR25,VAR31,VAR34,VAR42, VAR11,VAR5,VAR26,VAR45,VAR27,VAR24,VAR32,VAR23,VAR6,VAR18,VAR12,VAR2,VAR1, VAR20,VAR33,VAR21,VAR41); genvar VAR35; input VAR39,VAR7,VAR10,VAR37; input signed [VAR44-1:0] VAR22; input [8:0] VAR36; wire [21:0] VAR9 ; output VAR41; wire VAR29; wire [VAR44-1:0] VAR38; output signed [VAR44-1:0] VAR19,VAR43,VAR25,VAR31,VAR34,VAR42, VAR11,VAR5,VAR26,VAR45,VAR27,VAR24,VAR32,VAR23,VAR6,VAR18,VAR12,VAR2,VAR1, VAR20,VAR33,VAR21; wire signed [VAR44-1:0] VAR16 [21:0]; VAR13 VAR8 ( .VAR36(VAR36), .VAR7(VAR7), .VAR29(VAR29), .VAR9(VAR9) ); generate for (VAR35=0; VAR35<21; VAR35=VAR35+1) begin: VAR3 VAR15 #(.VAR44(VAR44)) VAR14 ( .VAR39(VAR39), .reset(VAR10), .VAR28(VAR9[VAR35]), .VAR17(VAR22), .VAR30(VAR16[VAR35]) ); end endgenerate VAR15 #(.VAR44(VAR44)) VAR4 ( .VAR39(VAR39), .reset(VAR37), .VAR28(VAR9[21]), .VAR17(VAR22), .VAR30(VAR16[21]) ); VAR15 #(.VAR44(VAR44)) VAR40 ( .VAR39(VAR39), .reset(VAR37), .VAR28(VAR29), .VAR17(VAR22), .VAR30(VAR38) ); assign VAR41 = VAR38[00] | VAR38[01] | VAR38[02] | VAR38[03] | VAR38[04] | VAR38[05] | VAR38[06] | VAR38[07] | VAR38[08] | VAR38[09] | VAR38[10] | VAR38[11] | VAR38[12] | VAR38[13] | VAR38[14] | VAR38[15] | VAR38[16] | VAR38[17] | VAR38[18] | VAR38[19] | VAR38[20] | VAR38[21] | VAR38[22] | VAR38[23] | VAR38[24] | VAR38[25] | VAR38[26] | VAR38[27] | VAR38[28] | VAR38[29] | VAR38[30] | VAR38[31]; assign VAR19 = VAR16[0]; assign VAR43 = VAR16[1]; assign VAR25 = VAR16[2]; assign VAR31 = VAR16[3]; assign VAR34 = VAR16[4]; assign VAR42 = VAR16[5]; assign VAR11 = VAR16[6]; assign VAR5 = VAR16[7]; assign VAR26 = VAR16[8]; assign VAR45 = VAR16[9]; assign VAR27 = VAR16[10]; assign VAR24 = VAR16[11]; assign VAR32 = VAR16[12]; assign VAR23 = VAR16[13]; assign VAR6 = VAR16[14]; assign VAR18 = VAR16[15]; assign VAR12 = VAR16[16]; assign VAR2 = VAR16[17]; assign VAR1 = VAR16[18]; assign VAR20 = VAR16[19]; assign VAR33 = VAR16[20]; assign VAR21 = VAR16[21]; endmodule
gpl-2.0
dhytxz/PolyPC
hardware/ip_repo/hapara_lmb_dma_dup/src/hapara_lmb_dma_dup.v
10,380
module MODULE1 #( parameter integer VAR11 = 32, parameter integer VAR42 = 4 ) ( input [VAR11 - 1 : 0] VAR9, input [VAR11 - 1 : 0] VAR97, output [VAR11 - 1 : 0] VAR1, input [VAR11 / 8 - 1 : 0] VAR84, input VAR7, input VAR38, input VAR96, input [VAR11 - 1 : 0] VAR21, input [VAR11 - 1 : 0] VAR111, output [VAR11 - 1 : 0] VAR64, input [VAR11 / 8 - 1 : 0] VAR114, input VAR63, input VAR74, input VAR48, input [VAR11 - 1 : 0] VAR117, input [VAR11 - 1 : 0] VAR58, output [VAR11 - 1 : 0] VAR29, input [VAR11 / 8 - 1 : 0] VAR32, input VAR56, input VAR99, input VAR28, input [VAR11 - 1 : 0] VAR15, input [VAR11 - 1 : 0] VAR37, output [VAR11 - 1 : 0] VAR4, input [VAR11 / 8 - 1 : 0] VAR109, input VAR17, input VAR51, input VAR103, input [VAR11 - 1 : 0] VAR75, input [VAR11 - 1 : 0] VAR107, output [VAR11 - 1 : 0] VAR47, input [VAR11 / 8 - 1 : 0] VAR14, input VAR77, input VAR108, input VAR76, input [VAR11 - 1 : 0] VAR88, input [VAR11 - 1 : 0] VAR121, output [VAR11 - 1 : 0] VAR13, input [VAR11 / 8 - 1 : 0] VAR19, input VAR104, input VAR78, input VAR16, input [VAR11 - 1 : 0] VAR81, input [VAR11 - 1 : 0] VAR66, output [VAR11 - 1 : 0] VAR106, input [VAR11 / 8 - 1 : 0] VAR36, input VAR30, input VAR35, input VAR82, input [VAR11 - 1 : 0] VAR122, input [VAR11 - 1 : 0] VAR40, output [VAR11 - 1 : 0] VAR49, input [VAR11 / 8 - 1 : 0] VAR27, input VAR43, input VAR2, input VAR123, input [VAR11 - 1 : 0] VAR91, input [VAR11 - 1 : 0] VAR71, output [VAR11 - 1 : 0] VAR79, input [VAR11 / 8 - 1 : 0] VAR83, input VAR112, input VAR100, input VAR70, output [VAR11 - 1 : 0] VAR113, output [VAR11 - 1 : 0] VAR3, input [VAR11 - 1 : 0] VAR45, output [VAR11 / 8 - 1 : 0] VAR86, output VAR26, output VAR20, output VAR94, output [VAR11 - 1 : 0] VAR89, output [VAR11 - 1 : 0] VAR115, input [VAR11 - 1 : 0] VAR23, output [VAR11 / 8 - 1 : 0] VAR72, output VAR18, output VAR41, output VAR50, output [VAR11 - 1 : 0] VAR60, output [VAR11 - 1 : 0] VAR12, input [VAR11 - 1 : 0] VAR105, output [VAR11 / 8 - 1 : 0] VAR98, output VAR102, output VAR25, output VAR61, output [VAR11 - 1 : 0] VAR120, output [VAR11 - 1 : 0] VAR90, input [VAR11 - 1 : 0] VAR44, output [VAR11 / 8 - 1 : 0] VAR110, output VAR24, output VAR65, output VAR22, output [VAR11 - 1 : 0] VAR68, output [VAR11 - 1 : 0] VAR87, input [VAR11 - 1 : 0] VAR67, output [VAR11 / 8 - 1 : 0] VAR80, output VAR69, output VAR92, output VAR54, output [VAR11 - 1 : 0] VAR39, output [VAR11 - 1 : 0] VAR53, input [VAR11 - 1 : 0] VAR62, output [VAR11 / 8 - 1 : 0] VAR59, output VAR101, output VAR10, output VAR116, output [VAR11 - 1 : 0] VAR118, output [VAR11 - 1 : 0] VAR5, input [VAR11 - 1 : 0] VAR93, output [VAR11 / 8 - 1 : 0] VAR52, output VAR119, output VAR73, output VAR57, output [VAR11 - 1 : 0] VAR46, output [VAR11 - 1 : 0] VAR31, input [VAR11 - 1 : 0] VAR85, output [VAR11 / 8 - 1 : 0] VAR34, output VAR55, output VAR95, output VAR8 ); wire VAR6; localparam VAR33 = VAR11 / 8; assign VAR6 = VAR96 && (VAR84 == {VAR33{1'b1}}); assign VAR1 = {VAR11{1'b0}}; generate if (VAR42 > 0) begin assign VAR26 = VAR63; assign VAR20 = VAR74; assign VAR64 = VAR45; assign VAR113 = (VAR6 == 1'b1)?VAR9:VAR21; assign VAR3 = (VAR6 == 1'b1)?VAR97:VAR111; assign VAR86 = (VAR6 == 1'b1)?VAR84:VAR114; assign VAR94 = (VAR6 == 1'b1)?VAR96:VAR48; end endgenerate generate if (VAR42 > 1) begin assign VAR18 = VAR56; assign VAR41 = VAR99; assign VAR29 = VAR23; assign VAR89 = (VAR6 == 1'b1)?VAR9:VAR117; assign VAR115 = (VAR6 == 1'b1)?VAR97:VAR58; assign VAR72 = (VAR6 == 1'b1)?VAR84:VAR32; assign VAR50 = (VAR6 == 1'b1)?VAR96:VAR28; end endgenerate generate if (VAR42 > 2) begin assign VAR102 = VAR17; assign VAR25 = VAR51; assign VAR4 = VAR105; assign VAR60 = (VAR6 == 1'b1)?VAR9:VAR15; assign VAR12 = (VAR6 == 1'b1)?VAR97:VAR37; assign VAR98 = (VAR6 == 1'b1)?VAR84:VAR109; assign VAR61 = (VAR6 == 1'b1)?VAR96:VAR103; end endgenerate generate if (VAR42 > 3) begin assign VAR24 = VAR77; assign VAR65 = VAR108; assign VAR47 = VAR44; assign VAR120 = (VAR6 == 1'b1)?VAR9:VAR75; assign VAR90 = (VAR6 == 1'b1)?VAR97:VAR107; assign VAR110 = (VAR6 == 1'b1)?VAR84:VAR14; assign VAR22 = (VAR6 == 1'b1)?VAR96:VAR76; end endgenerate generate if (VAR42 > 4) begin assign VAR69 = VAR104; assign VAR92 = VAR78; assign VAR13 = VAR67; assign VAR68 = (VAR6 == 1'b1)?VAR9:VAR88; assign VAR87 = (VAR6 == 1'b1)?VAR97:VAR121; assign VAR80 = (VAR6 == 1'b1)?VAR84:VAR19; assign VAR54 = (VAR6 == 1'b1)?VAR96:VAR16; end endgenerate generate if (VAR42 > 5) begin assign VAR101 = VAR30; assign VAR10 = VAR35; assign VAR106 = VAR62; assign VAR39 = (VAR6 == 1'b1)?VAR9:VAR81; assign VAR53 = (VAR6 == 1'b1)?VAR97:VAR66; assign VAR59 = (VAR6 == 1'b1)?VAR84:VAR36; assign VAR116 = (VAR6 == 1'b1)?VAR96:VAR82; end endgenerate generate if (VAR42 > 6) begin assign VAR119 = VAR43; assign VAR73 = VAR2; assign VAR49 = VAR93; assign VAR118 = (VAR6 == 1'b1)?VAR9:VAR122; assign VAR5 = (VAR6 == 1'b1)?VAR97:VAR40; assign VAR52 = (VAR6 == 1'b1)?VAR84:VAR27; assign VAR57 = (VAR6 == 1'b1)?VAR96:VAR123; end endgenerate generate if (VAR42 > 7) begin assign VAR55 = VAR112; assign VAR95 = VAR100; assign VAR79 = VAR85; assign VAR46 = (VAR6 == 1'b1)?VAR9:VAR91; assign VAR31 = (VAR6 == 1'b1)?VAR97:VAR71; assign VAR34 = (VAR6 == 1'b1)?VAR84:VAR83; assign VAR8 = (VAR6 == 1'b1)?VAR96:VAR70; end endgenerate endmodule
gpl-2.0
FAST-Switch/fast
projects/openflow-switch/hw-src/nmp_cb08.v
32,605
module MODULE1( VAR6, VAR62, VAR393, VAR403, VAR22, VAR197, VAR178, VAR24, VAR147, VAR364, VAR161, VAR2, VAR295, VAR239 ); input VAR6; input VAR62; input VAR393; output [7:0] VAR403; output [7:0] VAR22; input [3:0] VAR197; output [3:0] VAR178; input [3:0] VAR24; output [3:0] VAR147; output VAR364; inout [3:0] VAR161; input [7:0] VAR2; output [7:0] VAR295; input VAR239; reg [7:0]VAR213; reg [7:0]VAR7; assign VAR403=VAR213; assign VAR22=VAR7; wire VAR272; wire VAR23; wire VAR337; wire VAR398; wire VAR160; wire VAR236; wire VAR170; wire VAR149; reg [15:0] VAR158; reg VAR32; VAR143 VAR143( .VAR243 (VAR6),.VAR272 (VAR272),.VAR160 (VAR160),.VAR149 (VAR149) ); wire VAR115; wire [133:0] VAR217; wire VAR47; wire VAR245; wire VAR263; wire [7:0] VAR273; wire VAR166; wire VAR334; wire [31:0] VAR261; wire [31:0] VAR119; wire VAR345; wire [7:0] VAR180; wire VAR396; wire VAR98; wire [31:0] VAR268; wire [31:0] VAR139; wire VAR111; wire [7:0] VAR146; wire VAR14; wire VAR101; wire [31:0] VAR100; wire [31:0] VAR282; wire VAR193; wire [7:0] VAR52; wire VAR253; wire VAR406; wire [31:0] VAR131; wire [31:0] VAR395; wire VAR38; wire [7:0] VAR336; wire VAR72; wire VAR298; wire [31:0] VAR92; wire [31:0] VAR229; wire VAR303; wire [7:0] VAR380; wire VAR185; wire VAR30; wire [31:0] VAR365; wire [31:0] VAR285; wire VAR11; wire [7:0] VAR58; wire VAR262; wire VAR311; wire [31:0] VAR370; wire [31:0] VAR171; wire VAR381; wire [7:0] VAR308; wire VAR75; wire VAR281; wire [31:0] VAR385; wire [31:0] VAR212; wire VAR378; wire [7:0] VAR371; wire VAR121; wire VAR103; wire [31:0] VAR28; wire [31:0] VAR129; wire VAR344; wire [7:0] VAR326; wire VAR169; wire VAR95; wire [31:0] VAR43; wire [31:0] VAR230; wire VAR205; wire VAR70; wire [133:0] VAR388; wire VAR173; wire VAR77; wire VAR346; VAR208 VAR208( .clk(VAR272), .VAR410(VAR339), .VAR163(VAR113[5:0]), .VAR397(VAR5), .VAR288(VAR374), .VAR318(VAR182), .VAR401(VAR355), .VAR135(VAR65), .VAR332(VAR314), .VAR384(VAR231), .VAR96(VAR328), .VAR277(VAR125), .VAR409(VAR81), .VAR357(VAR340), .VAR83(VAR194), .VAR260(VAR67), .VAR19(VAR270), .VAR305(VAR99), .VAR74(VAR342), .VAR368(VAR306), .VAR353(VAR244), .VAR359(VAR157), .VAR162(VAR132), .VAR358(VAR363), .VAR280(VAR196), .VAR233(VAR372), .VAR246(VAR204), .VAR399(VAR366), .VAR13(VAR354)); wire VAR204; wire VAR363; wire VAR196; wire [31:0] VAR372; wire VAR366; wire [31:0] VAR354; wire [64:0] VAR165; wire VAR289; wire [31:0] VAR294; VAR60 VAR60( .clk (VAR272), .reset (VAR339), .VAR165 (VAR165), .VAR289 (VAR289), .VAR294 (VAR294), .VAR204 (VAR204), .VAR363 (VAR363), .VAR196 (VAR196), .VAR372 (VAR372), .VAR366 (VAR366), .VAR354 (VAR354)); wire [133:0] VAR374; wire VAR5; wire VAR355; wire VAR182; wire VAR65; wire [133:0] VAR231; wire VAR314; wire VAR125; wire VAR328; wire VAR81; wire [133:0] VAR194; wire VAR340; wire VAR270; wire VAR67; wire VAR99; wire [133:0] VAR306; wire VAR342; wire VAR157; wire VAR244; wire VAR132; VAR265 VAR265( .clk (VAR272), .VAR398 (VAR272), .VAR23 (VAR272), .VAR337 (VAR272), .VAR411 (VAR62), .VAR79 (VAR62), .VAR170 (VAR272), .VAR160 (VAR160), .reset (VAR339), .VAR219 (VAR24), .VAR300 (VAR147), .VAR297 (VAR197), .VAR315 (VAR178), .VAR70 (VAR314), .VAR388 (VAR231), .VAR173 (VAR81), .VAR77 (VAR328), .VAR346 (VAR125), .VAR207 (VAR5), .VAR186 (VAR374), .VAR400 (VAR65), .VAR390 (VAR182), .VAR110 (VAR355), .VAR273 (VAR273), .VAR166 (VAR166), .VAR334 (VAR334), .VAR261 (VAR261), .VAR119 (VAR119), .VAR345 (VAR345), .VAR180 (VAR180), .VAR396 (VAR396), .VAR98 (VAR98), .VAR268 (VAR268), .VAR139 (VAR139), .VAR111 (VAR111), .VAR146 (VAR146), .VAR14 (VAR14), .VAR101 (VAR101), .VAR100 (VAR100), .VAR282 (VAR282), .VAR193 (VAR193), .VAR52 (VAR52), .VAR253 (VAR253), .VAR406 (VAR406), .VAR131 (VAR131), .VAR395 (VAR395), .VAR38 (VAR38), .VAR336 (VAR336), .VAR72 (VAR72), .VAR298 (VAR298), .VAR92 (VAR92), .VAR229 (VAR229), .VAR303 (VAR303), .VAR380 (VAR380), .VAR185 (VAR185), .VAR30 (VAR30), .VAR365 (VAR365), .VAR285 (VAR285), .VAR11 (VAR11), .VAR58 (VAR58), .VAR262 (VAR262), .VAR311 (VAR311), .VAR370 (VAR370), .VAR171 (VAR171), .VAR381 (VAR381), .VAR308 (VAR308), .VAR75 (VAR75), .VAR281 (VAR281), .VAR385 (VAR385), .VAR212 (VAR212), .VAR378 (VAR378), .VAR371 (VAR371), .VAR121 (VAR121), .VAR103 (VAR103), .VAR28 (VAR28), .VAR129 (VAR129), .VAR344 (VAR344), .VAR326 (VAR326), .VAR169 (VAR169), .VAR95 (VAR95), .VAR43 (VAR43), .VAR230 (VAR230), .VAR205 (VAR205), .VAR107 (VAR107), .VAR255 (VAR255), .VAR202 (VAR202), .VAR269 (VAR269), .VAR112 (VAR112), .VAR114 (VAR114), .VAR199 (VAR199), .VAR293 (VAR293), .VAR360 (VAR360), .VAR71 (VAR71), .VAR133 (VAR133), .VAR140 (VAR140), .VAR97 (VAR97), .VAR3 (VAR3), .VAR333 (VAR333), .VAR224 (VAR224), .VAR41 (VAR41), .VAR247 (VAR247), .VAR361 (VAR361), .VAR141 (VAR141), .VAR249 (VAR249), .VAR200 (VAR200), .VAR94 (VAR94), .VAR48 (VAR48), .VAR128 (VAR128), .VAR264 (VAR264), .VAR218 (VAR218), .VAR45 (VAR45), .VAR51 (VAR51), .VAR327 (VAR327), .VAR64 (VAR64), .VAR257 (VAR257), .VAR105 (VAR105), .VAR93 (VAR93), .VAR321 (VAR321), .VAR271 (VAR271), .VAR234 (VAR234), .VAR362 (VAR362), .VAR301 (VAR301), .VAR191 (VAR191), .VAR109 (VAR109), .VAR37 (VAR37), .VAR91 (VAR91), .VAR21 (VAR21), .VAR402 (VAR402), .VAR138 (VAR138), .VAR57 (VAR57), .VAR1 (VAR1), .VAR203 (VAR203), .VAR104 (VAR104), .VAR137 (VAR137), .VAR341 (VAR341), .VAR216 (VAR216)); wire VAR120; wire [133:0] VAR159; wire VAR33; wire VAR347; wire VAR302; wire VAR89; wire [63:0] VAR87; wire VAR240; wire VAR369; wire [133:0] VAR322; wire VAR413; wire VAR192; wire [41:0] VAR238; wire VAR53; wire [31:0] VAR18; VAR338 VAR338( .clk (VAR272), .VAR408 (VAR223), .reset (VAR339), .VAR61 (VAR113[5:0]), .VAR18 (VAR18), .VAR238 (VAR238), .VAR53 (VAR53), .VAR252 (VAR113[14]), .VAR151 (VAR134), .VAR267 (VAR284), .VAR373 (VAR309), .VAR287 (VAR175), .VAR312 (VAR116), .VAR150 (VAR34), .VAR36 (VAR313), .VAR155 (VAR274), .VAR87 (VAR87), .VAR89 (VAR89), .VAR159 (VAR159), .VAR120 (VAR120), .VAR33 (VAR33), .VAR54 (VAR302), .VAR27 (VAR347), .VAR240 (VAR240), .VAR369 (VAR369), .VAR322 (VAR322), .VAR413 (VAR413), .VAR192 (VAR192), .VAR286 (VAR331), .VAR78 (VAR292), .VAR55 (VAR329), .VAR404 (VAR325), .VAR412 (VAR183), .VAR241 (VAR241), .VAR251 (VAR251), .VAR145 (VAR145), .VAR242 (VAR242), .VAR391 (VAR391), .VAR206 (VAR206), .VAR46 (VAR46), .VAR299 (VAR299), .VAR12 (VAR12), .VAR394 (VAR394) ); wire VAR339; wire [3:0] VAR63; wire VAR223; wire [133:0] VAR284; wire VAR134; wire [10:0] VAR309; wire [133:0] VAR116; wire VAR175; wire VAR34; wire VAR274; wire VAR313; VAR164 VAR164( .VAR330 (VAR393), .VAR339 (VAR339), .VAR118 (VAR118), .VAR323 (VAR223), .VAR272 (VAR272), .VAR387 (VAR239), .VAR85 (VAR2), .VAR63 (VAR63), .VAR310 (VAR295), .VAR383 (VAR113[11:6]), .VAR201 (VAR201), .VAR116 (VAR116), .VAR175 (VAR175), .VAR313 (VAR313), .VAR274 (VAR274), .VAR34 (VAR34), .VAR284 (VAR284), .VAR134 (VAR134), .VAR309 (VAR309), .VAR209 (VAR89), .VAR106 (VAR87), .VAR375 (VAR375),.VAR148 (VAR148),.VAR136 (VAR136),.VAR80 (VAR80), .VAR259 (VAR120), .VAR90 (VAR159), .VAR8 (VAR33), .VAR350 (VAR302), .VAR59 (VAR347), .VAR352 (VAR352), .VAR188 (VAR188), .VAR39 (VAR39), .VAR379 (VAR379), .VAR351 (VAR351), .VAR226 (VAR226), .VAR42 (VAR42), .VAR348 (VAR283) ); wire VAR42; wire VAR283; wire VAR307; wire [133:0] VAR377; wire VAR215; wire VAR367; wire VAR179; wire [133:0] VAR331; wire VAR292; wire VAR329; wire VAR325; wire VAR183; VAR254 VAR254( .clk (VAR272), .reset (VAR339), .VAR235 (VAR113[5:0]), .VAR304 (VAR113[15]), .VAR214 (32'hFFFFFFFF), .VAR405 (VAR413), .VAR291 (VAR322), .VAR172 (VAR369), .VAR35 (VAR240), .VAR228 (VAR192), .VAR292 (VAR292), .VAR331 (VAR331), .VAR183 (VAR183), .VAR325 (VAR325), .VAR329 (VAR329), .VAR307 (VAR342), .VAR377 (VAR306), .VAR367 (VAR244), .VAR179 (VAR157), .VAR215 (VAR132), .VAR266 (VAR340), .VAR153 (VAR194), .VAR25 (VAR67), .VAR382 (VAR270), .VAR258 (VAR99) ); wire VAR118; wire VAR126; wire [127:0] VAR195; wire [20:0] VAR108; wire [127:0] VAR86; wire VAR168; wire VAR250; wire [92:0] VAR375;wire VAR148;wire [92:0] VAR136;wire VAR80; wire [15:0] VAR113; wire [31:0] VAR214; wire VAR107; wire VAR255; wire VAR202; wire VAR269; wire VAR112; wire VAR114; wire VAR199; wire VAR293; wire VAR360; wire VAR71; wire VAR133; wire VAR140; wire VAR97; wire VAR3; wire VAR333; wire VAR224; wire VAR41; wire VAR247; wire VAR361; wire VAR141; wire VAR249; wire VAR200; wire VAR94; wire VAR48; wire VAR128; wire VAR264; wire VAR218; wire VAR45; wire VAR51; wire VAR327; wire [31:0] VAR241;wire [31:0] VAR251;wire [31:0] VAR145;wire VAR242; wire VAR391; wire VAR206; wire VAR46; wire VAR299; wire VAR12; wire VAR394; wire VAR64; wire VAR257; wire VAR105; wire VAR93; wire VAR321; wire VAR271; wire VAR234; wire VAR362; wire VAR301; wire VAR191; wire VAR109; wire VAR37; wire VAR91; wire VAR21; wire VAR402; wire VAR138; wire VAR57; wire VAR1; wire VAR203; wire VAR104; wire VAR137; wire VAR341; wire VAR216; wire VAR352; wire VAR188; wire VAR39; wire VAR379; wire VAR351; wire VAR226; wire [31:0] VAR50; wire [31:0] VAR201; assign VAR50[31:8] ={4'h3,4'h0,4'h2,4'h3,4'h1,4'h2};VAR84 VAR84( .VAR223 (VAR223), .reset (VAR339), .VAR272 (VAR272), .VAR136 (VAR136), .VAR80 (VAR80), .VAR320 (VAR272),.VAR375 (VAR375), .VAR148 (VAR148), .VAR118 (VAR118), .VAR113 (VAR113), .VAR214 (VAR214), .VAR88 (VAR238), .VAR177 (VAR53), .VAR15 (VAR18), .VAR50 (VAR50), .VAR201 (VAR201), .VAR165 (VAR165), .VAR289 (VAR289), .VAR294 (VAR294), .VAR102 (VAR364),.VAR386 (VAR161),.VAR149 (VAR149), .VAR237 (), .VAR176 (), .VAR124 (), .VAR144 (), .VAR49 (), .VAR222 (), .VAR68 (), .VAR276 (), .VAR107 (VAR107), .VAR255 (VAR255), .VAR202 (VAR202), .VAR269 (VAR269), .VAR112 (VAR112), .VAR114 (VAR114), .VAR199 (VAR199), .VAR293 (VAR293), .VAR360 (VAR360), .VAR71 (VAR71), .VAR133 (VAR133), .VAR140 (VAR140), .VAR97 (VAR97), .VAR3 (VAR3), .VAR333 (VAR333), .VAR224 (VAR224), .VAR41 (VAR41), .VAR247 (VAR247), .VAR361 (VAR361), .VAR141 (VAR141), .VAR249 (VAR249), .VAR200 (VAR200), .VAR94 (VAR94), .VAR48 (VAR48), .VAR128 (VAR128), .VAR264 (VAR264), .VAR218 (VAR218), .VAR45 (VAR45), .VAR51 (VAR51), .VAR327 (VAR327), .VAR241 (VAR241),.VAR251 (VAR251),.VAR145 (VAR145),.VAR242 (VAR242), .VAR391 (VAR391), .VAR206 (VAR206), .VAR46 (VAR46), .VAR299 (VAR299), .VAR12 (VAR12), .VAR394 (VAR394), .VAR64 (VAR64), .VAR257 (VAR257), .VAR105 (VAR105), .VAR93 (VAR93), .VAR321 (VAR321), .VAR271 (VAR271), .VAR234 (VAR234), .VAR362 (VAR362), .VAR301 (VAR301), .VAR191 (VAR191), .VAR109 (VAR109), .VAR37 (VAR37), .VAR91 (VAR91), .VAR21 (VAR21), .VAR402 (VAR402), .VAR138 (VAR138), .VAR57 (VAR57), .VAR1 (VAR1), .VAR203 (VAR203), .VAR104 (VAR104), .VAR137 (VAR137), .VAR341 (VAR341), .VAR216 (VAR216), .VAR352 (VAR352), .VAR188 (VAR188), .VAR39 (VAR39), .VAR379 (VAR379), .VAR351 (VAR351), .VAR226 (VAR226), .VAR42 (VAR42), .VAR283 (VAR283), .VAR398 (VAR272), .VAR221 (VAR273), .VAR349 (VAR166), .VAR290 (VAR334), .VAR227 (VAR261), .VAR17 (VAR119), .VAR29 (VAR345), .VAR335 (VAR180), .VAR211 (VAR396), .VAR44 (VAR98), .VAR220 (VAR268), .VAR184 (VAR139), .VAR189 (VAR111), .VAR152 (VAR146), .VAR167 (VAR14), .VAR127 (VAR101), .VAR174 (VAR100), .VAR154 (VAR282), .VAR225 (VAR193), .VAR198 (VAR52), .VAR82 (VAR253), .VAR187 (VAR406), .VAR319 (VAR131), .VAR130 (VAR395), .VAR407 (VAR38), .VAR117 (VAR336), .VAR248 (VAR72), .VAR181 (VAR298), .VAR156 (VAR92), .VAR324 (VAR229), .VAR278 (VAR303), .VAR26 (VAR380), .VAR376 (VAR185), .VAR56 (VAR30), .VAR76 (VAR365), .VAR9 (VAR285), .VAR392 (VAR11), .VAR210 (VAR58), .VAR10 (VAR262), .VAR316 (VAR311), .VAR123 (VAR370), .VAR40 (VAR171), .VAR73 (VAR381), .VAR317 (VAR308), .VAR275 (VAR75), .VAR343 (VAR281), .VAR4 (VAR385), .VAR356 (VAR212), .VAR122 (VAR378), .VAR69 (VAR371), .VAR279 (VAR121), .VAR16 (VAR103), .VAR389 (VAR28), .VAR31 (VAR129), .VAR232 (VAR344), .VAR66 (VAR326), .VAR296 (VAR169), .VAR256 (VAR95), .VAR20 (VAR43), .VAR142 (VAR230), .VAR190 (VAR205) ); always@(posedge VAR272 or negedge VAR393) begin if(!VAR393)begin VAR158<=0; VAR32<=1'b0; VAR213<=8'b11111111; VAR7<=8'b11111111; end else begin if(VAR158==65535)begin VAR32<=1'b1; VAR213<=8'b00000000; VAR7<=8'b00000000; end else begin VAR158<=VAR158+1'b1; VAR32<=1'b0; end end end endmodule
apache-2.0
archlabo/Frix
fpga/nexys4_ddr/rtl/system.v
37,722
module MODULE1 ( output wire VAR48, input wire VAR310, input wire VAR253, input wire VAR219, input wire VAR207, input wire VAR267, output wire VAR227, inout wire [15:0] VAR325, inout wire [1:0] VAR211, inout wire [1:0] VAR303, output wire [12:0] VAR174, output wire [2:0] VAR84, output wire VAR45, output wire VAR343, output wire VAR187, output wire [0:0] VAR228, output wire [0:0] VAR41, output wire [0:0] VAR38, output wire [0:0] VAR89, output wire [1:0] VAR251, output wire [0:0] VAR64, output wire VAR159, output wire VAR326, output wire VAR62, output wire VAR237, output wire VAR342, output wire VAR305, output wire [7:0] VAR163, output wire [7:0] VAR348, output wire [7:0] VAR130, inout wire VAR321, inout wire VAR31, inout wire [3:0] VAR269, inout wire VAR198, output wire VAR10, output wire VAR192, output wire VAR344, output wire VAR139, output wire VAR140 ); wire [7:0] VAR7; wire VAR27; wire VAR294; wire [7:0] VAR55; wire VAR298; wire [7:0] VAR317; wire VAR282; wire VAR77; wire [31:0] VAR69; wire [27:0] VAR323; wire VAR96; wire VAR245; wire [31:0] VAR260; wire VAR212; wire [3:0] VAR177; wire VAR74; wire [31:0] VAR229; wire [31:0] VAR100; wire VAR13; wire VAR172; wire [31:0] VAR283; wire VAR161; wire VAR279; wire [2:0] VAR20; wire [31:0] VAR273; wire [31:0] VAR255; wire VAR114; wire VAR263; wire [31:0] VAR49; wire VAR189; wire [3:0] VAR314; wire VAR224; wire [31:0] VAR250; wire [15:0] VAR231; wire VAR235; wire VAR37; wire [31:0] VAR149; wire VAR137; wire [3:0] VAR146; wire [3:0] VAR221; wire VAR300; wire [7:0] VAR233; wire VAR56; wire [7:0] VAR201; wire [3:0] VAR79; wire VAR249; wire [7:0] VAR291; wire VAR193; wire [7:0] VAR47; wire [3:0] VAR34; wire VAR319; wire [7:0] VAR210; wire VAR274; wire [7:0] VAR102; wire [2:0] VAR337; wire VAR256; wire [7:0] VAR171; wire VAR2; wire [7:0] VAR67; wire [3:0] VAR160; wire VAR218; wire [7:0] VAR101; wire VAR87; wire [7:0] VAR83; wire [1:0] VAR196; wire VAR334; wire [7:0] VAR82; wire VAR190; wire [7:0] VAR12; wire VAR154; wire VAR284; wire [7:0] VAR73; wire VAR106; wire [7:0] VAR312; wire VAR51; wire VAR99; wire [7:0] VAR53; wire VAR239; wire [7:0] VAR134; wire VAR266; wire VAR184; wire [7:0] VAR331; wire VAR170; wire [7:0] VAR70; wire VAR168; wire VAR241; wire [31:0] VAR213; wire VAR262; wire [31:0] VAR307; wire [3:0] VAR15; wire VAR328; wire [2:0] VAR236; wire [31:0] VAR65; wire [29:0] VAR186; wire VAR108; wire VAR179; wire [31:0] VAR125; wire VAR14; wire [3:0] VAR36; wire VAR167; wire [2:0] VAR117; wire [31:0] VAR200; wire [31:0] VAR136; wire VAR209; wire VAR111; wire [31:0] VAR155; wire VAR61; wire [3:0] VAR97; wire [16:0] VAR157; wire VAR35; wire [7:0] VAR257; wire VAR272; wire [7:0] VAR8; wire [8:0] VAR25; wire VAR59; wire [31:0] VAR199; wire VAR165; wire [31:0] VAR232; wire [24:0] VAR254; wire [3:0] VAR18; wire [31:0] VAR76; wire [31:0] VAR40; wire VAR308; wire VAR141; wire [31:0] VAR166; wire VAR116; wire [31:0] VAR191; wire VAR151; wire [31:0] VAR156; wire VAR327; wire VAR68; wire [2:0] VAR17; wire [3:0] VAR113; wire [31:0] VAR145; wire VAR66; wire [31:0] VAR339; wire VAR26; wire [31:0] VAR86; wire VAR297; wire VAR115; wire [31:0] VAR120; wire VAR285; wire VAR162; wire VAR9; wire VAR258; wire VAR243; wire VAR217; wire VAR142; wire VAR42; wire [15:0] VAR214; wire VAR63; assign VAR159 = VAR63; wire [1:0] VAR150; wire VAR313; wire [31:0] VAR107; wire VAR3; wire [31:0] VAR93; wire [26:0] VAR180; wire [127:0] VAR275; wire [127:0] VAR121; wire VAR215; wire VAR299; VAR118 VAR118 ( .clk (VAR48), .rst (VAR63), .VAR335 (VAR255), .VAR316 (VAR273), .VAR90 (VAR314), .VAR340 (VAR20), .VAR188 (VAR114), .VAR23 (VAR263), .VAR240 (VAR279), .VAR58 (VAR189), .VAR345 (VAR49), .VAR22 (VAR298), .VAR29 (VAR317), .VAR103 (VAR282), .VAR223 (VAR231), .VAR32 (VAR146), .VAR296 (VAR37), .VAR202 (VAR137), .VAR208 (VAR149), .VAR60 (VAR235), .VAR109 (VAR250), .VAR181 (VAR224) ); VAR91 VAR91 ( .clk (VAR48), .rst (VAR310), .address (VAR323), .VAR268 (VAR177), .read (VAR245), .VAR85 (VAR260), .VAR182 (VAR77), .write (VAR96), .VAR185 (VAR69) ); VAR98 VAR98 ( .clk (VAR48), .VAR204 (VAR186), .VAR286 (VAR36), .VAR92 (VAR179), .VAR110 (VAR125), .VAR33 (VAR108), .VAR72 (VAR65), .VAR143 (VAR328), .VAR293 (VAR14), .VAR270 (VAR236), .rst (VAR310), .VAR24 (VAR136), .VAR265 (VAR97), .VAR230 (VAR111), .VAR222 (VAR155), .VAR81 (VAR209), .VAR105 (VAR200), .VAR78 (VAR167), .VAR246 (VAR61), .VAR122 (VAR117), .VAR254 (VAR166), .VAR18 (VAR113), .VAR192 (VAR116), .VAR76 (VAR191), .VAR344 (VAR151), .VAR40 (VAR156), .VAR308 (VAR327), .VAR141 (VAR68), .VAR135 (VAR17) ); VAR292 VAR292 ( .VAR152 (VAR221), .VAR147 (VAR56), .VAR123 (VAR201), .VAR52 (VAR300), .VAR164 (VAR233), .VAR44 (VAR79), .VAR176 (VAR193), .VAR242 (VAR47), .VAR277 (VAR249), .VAR244 (VAR291), .VAR183 (VAR34), .VAR194 (VAR274), .VAR1 (VAR102), .VAR6 (VAR319), .VAR50 (VAR210), .VAR204 (VAR157), .VAR92 (VAR35), .VAR110 (VAR257), .VAR33 (VAR272), .VAR72 (VAR8), .VAR48 (VAR48), .VAR330 (VAR253), .rst (VAR219), .VAR326 (VAR326), .VAR62 (VAR62), .VAR237 (VAR237), .VAR342 (VAR342), .VAR305 (VAR305), .VAR163 (VAR163), .VAR348 (VAR348), .VAR130 (VAR130) ); VAR289 #(.VAR128(10)) VAR289( .clk (VAR48), .rst (VAR310), .VAR153 (VAR254), .VAR11 (VAR18), .VAR54 (VAR40), .VAR30 (VAR344), .VAR261 (VAR192), .VAR338 (VAR76), .VAR206 (VAR141), .VAR259 (VAR308), .VAR43 (VAR180), .VAR247 (VAR275), .VAR104 (VAR140), .VAR94 (VAR139), .VAR46 (VAR121), .VAR80 (VAR215), .VAR347 (VAR299) ); VAR144 VAR173 ( .VAR309 (VAR207), .VAR306 (VAR267), .VAR126 (VAR48), .VAR295 (VAR227), .VAR203 (VAR180), .VAR238 (VAR275), .VAR16 (VAR140), .VAR288 (VAR139), .VAR322 (VAR121), .VAR129 (VAR215), .VAR131 (VAR299), .VAR325 (VAR325), .VAR211 (VAR211), .VAR303 (VAR303), .VAR174 (VAR174), .VAR84 (VAR84), .VAR45 (VAR45), .VAR343 (VAR343), .VAR187 (VAR187), .VAR228 (VAR228), .VAR41 (VAR41), .VAR38 (VAR38), .VAR89 (VAR89), .VAR251 (VAR251), .VAR64 (VAR64) ); VAR287 VAR287 ( .clk (VAR48), .VAR158 (VAR154), .VAR127 (VAR106), .VAR318 (VAR312), .VAR5 (VAR284), .VAR21 (VAR73), .rst (VAR310), .irq (VAR217) ); VAR248 VAR248 ( .clk (VAR48), .VAR158 (VAR196), .VAR127 (VAR190), .VAR318 (VAR12), .VAR5 (VAR334), .VAR21 (VAR82), .rst (VAR310), .irq (VAR243) ); assign VAR214 = {1'b0, VAR42, 1'b0, VAR258, 3'b0, VAR217, 6'b0, VAR9, VAR243}; VAR346 VAR346 ( .clk (VAR48), .VAR226 (VAR51), .VAR311 (VAR239), .VAR301 (VAR134), .VAR112 (VAR99), .VAR276 (VAR53), .VAR39 (VAR266), .VAR220 (VAR170), .VAR290 (VAR70), .VAR132 (VAR184), .VAR71 (VAR331), .rst (VAR310), .VAR29 (VAR317), .VAR103 (VAR282), .VAR22 (VAR298), .VAR332 (VAR214) ); hdd hdd ( .clk (VAR48), .VAR158 (VAR168), .VAR124 (VAR15), .VAR127 (VAR262), .VAR318 (VAR307), .VAR5 (VAR241), .VAR21 (VAR213), .VAR281 (VAR25), .VAR278 (VAR59), .VAR264 (VAR199), .VAR315 (VAR165), .VAR95 (VAR232), .rst (VAR310), .irq (VAR42), .VAR252 (VAR100), .VAR324 (VAR74), .VAR280 (VAR172), .VAR234 (VAR161), .VAR320 (VAR283), .VAR329 (VAR13), .VAR216 (VAR229), .VAR294 (VAR294), .VAR55 (VAR55), .VAR27 (VAR27), .VAR7 (VAR7) ); VAR336 VAR336( .VAR48 (VAR48), .rst (VAR310), .VAR63 (VAR63), .address (VAR323[4:3]), .write (VAR96 && VAR323[15:4] == 12'h886), .VAR185 (VAR69) ); VAR304 VAR304 ( .clk (VAR48), .VAR158 (VAR337), .VAR127 (VAR2), .VAR318 (VAR67), .VAR5 (VAR256), .VAR21 (VAR171), .VAR197 (VAR160), .VAR195 (VAR87), .VAR341 (VAR83), .VAR75 (VAR218), .VAR271 (VAR101), .rst (VAR310), .VAR119 (VAR258), .VAR321 (VAR321), .VAR31 (VAR31), .VAR57 (VAR9) ); VAR88 VAR88 ( .clk (VAR48), .VAR333 (VAR150), .VAR148 (VAR313), .VAR205 (VAR107), .VAR302 (VAR3), .VAR28 (VAR93), .VAR240 (VAR297), .VAR23 (VAR66), .VAR345 (VAR339), .VAR58 (VAR115), .VAR188 (VAR26), .VAR316 (VAR86), .VAR335 (VAR145), .rst (VAR310), .VAR198 (VAR198), .VAR269 (VAR269), .VAR10 (VAR10) ); assign VAR25 = VAR145[10:2]; assign VAR59 = VAR66 && (VAR145[31:11] == 21'h000000); assign VAR165 = VAR26 && (VAR145[31:11] == 21'h000000); assign VAR232 = VAR86; reg VAR4; always @(posedge VAR48) VAR4 <= VAR59; assign VAR339 = (VAR4) ? VAR199 : VAR120; assign VAR115 = VAR4 || VAR285; assign VAR297 = VAR162; VAR225 VAR178 ( .VAR48 (VAR48), .rst (VAR310), .VAR63 (VAR63), .VAR166 (VAR166), .VAR151 (VAR151), .VAR156 (VAR156), .VAR116 (VAR116), .VAR191 (VAR191), .VAR68 (VAR68), .VAR113 (VAR113), .VAR17 (VAR17), .VAR327 (VAR327), .VAR145 (VAR145), .VAR26 (VAR26), .VAR86 (VAR86), .VAR66 (VAR66), .VAR339 (VAR120), .VAR115 (VAR285), .VAR297 (VAR162), .VAR254 (VAR254), .VAR344 (VAR344), .VAR40 (VAR40), .VAR192 (VAR192), .VAR76 (VAR76), .VAR141 (VAR141), .VAR18 (VAR18), .VAR308 (VAR308), .VAR19 (VAR19) ); VAR175 VAR133 ( .VAR48 (VAR48), .rst (VAR310), .VAR63 (VAR63), .VAR100 (VAR100), .VAR13 (VAR13), .VAR229 (VAR229), .VAR172 (VAR172), .VAR283 (VAR283), .VAR161 (VAR161), .VAR74 (VAR74), .VAR323 (VAR323), .VAR96 (VAR96), .VAR69 (VAR69), .VAR245 (VAR245), .VAR260 (VAR260), .VAR77 (VAR77), .VAR177 (VAR177), .VAR150 (VAR150), .VAR3 (VAR3), .VAR93 (VAR93), .VAR313 (VAR313), .VAR107 (VAR107) ); VAR138 VAR138 ( .VAR48 (VAR48), .rst (VAR310), .VAR136 (VAR136), .VAR209 (VAR209), .VAR200 (VAR200), .VAR111 (VAR111), .VAR155 (VAR155), .VAR61 (VAR61), .VAR97 (VAR97), .VAR117 (VAR117), .VAR167 (VAR167), .VAR157 (VAR157), .VAR272 (VAR272), .VAR8 (VAR8), .VAR35 (VAR35), .VAR257 (VAR257) ); assign VAR186 = VAR255[31:2]; assign VAR108 = VAR114; assign VAR179 = VAR263; assign VAR65 = VAR273; assign VAR36 = VAR314; assign VAR236 = VAR20; assign VAR49 = VAR125; assign VAR189 = VAR14; assign VAR279 = VAR328; VAR169 VAR169( .VAR48 (VAR48), .rst (VAR310), .VAR231 (VAR231), .VAR224 (VAR224), .VAR146 (VAR146), .VAR37 (VAR37), .VAR149 (VAR149), .VAR137 (VAR137), .VAR235 (VAR235), .VAR250 (VAR250), .VAR221 (VAR221), .VAR300 (VAR300), .VAR233 (VAR233), .VAR56 (VAR56), .VAR201 (VAR201), .VAR79 (VAR79), .VAR249 (VAR249), .VAR291 (VAR291), .VAR193 (VAR193), .VAR47 (VAR47), .VAR34 (VAR34), .VAR319 (VAR319), .VAR210 (VAR210), .VAR274 (VAR274), .VAR102 (VAR102), .VAR337 (VAR337), .VAR256 (VAR256), .VAR171 (VAR171), .VAR2 (VAR2), .VAR67 (VAR67), .VAR160 (VAR160), .VAR218 (VAR218), .VAR101 (VAR101), .VAR87 (VAR87), .VAR83 (VAR83), .VAR196 (VAR196), .VAR334 (VAR334), .VAR82 (VAR82), .VAR190 (VAR190), .VAR12 (VAR12), .VAR154 (VAR154), .VAR284 (VAR284), .VAR73 (VAR73), .VAR106 (VAR106), .VAR312 (VAR312), .VAR51 (VAR51), .VAR99 (VAR99), .VAR53 (VAR53), .VAR239 (VAR239), .VAR134 (VAR134), .VAR266 (VAR266), .VAR184 (VAR184), .VAR331 (VAR331), .VAR170 (VAR170), .VAR70 (VAR70), .VAR168 (VAR168), .VAR241 (VAR241), .VAR213 (VAR213), .VAR262 (VAR262), .VAR307 (VAR307), .VAR15 (VAR15), .VAR27 (VAR27), .VAR7 (VAR7), .VAR294 (VAR294), .VAR55 (VAR55) ); endmodule
bsd-2-clause
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v
3,066
module MODULE1 #( parameter VAR22(VAR28 ) , parameter VAR22(VAR6 ) , parameter VAR42 = 0 , parameter VAR19 = VAR21(VAR6) , parameter VAR33 = 1 , parameter VAR36 = 0 ) ( input VAR23 , input VAR1 , input VAR37 , input [VAR19-1:0] VAR12 , input [VAR28-1:0] VAR41 , input VAR2 , input [VAR19-1:0] VAR29 , output logic [VAR28-1:0] VAR27 , input VAR15 , input [VAR19-1:0] VAR3 , output logic [VAR28-1:0] VAR40 ); wire VAR5 = VAR1; begin : VAR30 if (VAR36) begin: VAR9 logic [VAR28-1:0] VAR14, VAR39; VAR18 #( .VAR28(VAR28) , .VAR6(VAR6) , .VAR42(0) ) VAR38 (.VAR34 (VAR23) ,.VAR4(VAR1) ,.VAR37 (VAR37 & VAR37) ,.VAR12 (VAR12) ,.VAR41 (VAR41) ,.VAR2 (VAR2 & ~VAR2) ,.VAR29(VAR29) ,.VAR27(VAR14) ,.VAR15 (VAR15 & ~VAR15) ,.VAR3(VAR3) ,.VAR40(VAR39) ); VAR32 @(posedge VAR23) begin VAR27 <= VAR14; VAR40 <= VAR39; end end else begin: VAR7 VAR24 #(.VAR28(VAR28), .VAR6(VAR6), .VAR42(VAR42)) VAR31 (.*); end end VAR32 @(posedge VAR23) if (VAR37) begin assert (VAR12 < VAR6) end else ("VAR11 address %VAR25 VAR17 %VAR8 VAR35 VAR16 %VAR25\VAR10", VAR12, VAR6); assert (~(VAR29 == VAR12 && VAR37 && VAR2 && !VAR42)) else ("%VAR8: VAR20 0 VAR26 VAR17 read and write VAR13 address"); assert (~(VAR3 == VAR12 && VAR37 && VAR15 && !VAR42)) else ("%VAR8: VAR20 1 VAR26 VAR17 read and write VAR13 address"); end begin
bsd-3-clause
sigilance/tera-computer
src/tera.v
2,618
module MODULE1; reg VAR4 = 1'b0; reg VAR28 = 1'b1; wire VAR31, VAR22; assign VAR31 = VAR4; assign VAR22 = VAR28; reg VAR35 = 1'b0; wire [7:0] VAR32, VAR37; wire VAR36; VAR30 VAR21 (VAR32, VAR37, VAR36, VAR31, VAR22); wire [7:0] VAR15, VAR8, VAR19, VAR20, VAR1; wire VAR9; VAR17 VAR24 (VAR15, VAR32); VAR34 VAR38 (VAR8, VAR20, VAR9, VAR22, VAR31); wire [7:0] VAR6; wire [3:0] VAR39; wire VAR23; VAR26 VAR14 (VAR20, VAR1, VAR15, VAR6, VAR39, VAR23, VAR31, VAR22); wire VAR33, VAR29, VAR11, VAR5; VAR18 VAR40 (VAR36, VAR9, VAR33, VAR29, VAR11, VAR23, VAR39, VAR15, VAR5, VAR31); VAR16 alu (VAR37, VAR5, VAR15, VAR20, VAR1, VAR31); wire [7:0] VAR10, VAR27; VAR2 VAR12 (VAR10, VAR8, VAR37, VAR33); VAR25 VAR3 (VAR27, VAR10, VAR8, VAR11); VAR7 VAR13 (VAR6, VAR27, VAR32, VAR29);
mit
aj-michael/Digital-Systems
MultiplicationUnit/DataUnit.v
1,077
module MODULE1(VAR20, VAR21, VAR7, VAR2, VAR1, reset, VAR4); input VAR4, reset; input [3:0] VAR21, VAR7; input [2:0] VAR2, VAR1; output [7:0] VAR20; wire [3:0] VAR5; VAR3 VAR18(VAR7,VAR5,1'b0,1'b0,VAR2[2],VAR1[2],reset,VAR4); VAR11 VAR14(VAR21[3],1'b0,VAR21[2],1'b0,VAR21[1],1'b0,VAR21[0],1'b0,1'b0,VAR5[0],VAR22,VAR19,VAR17,VAR16); wire [3:0] VAR13; reg VAR6; VAR3 VAR12(VAR13,VAR20[7:4],1'b0,VAR6,VAR2[1],VAR1[1],reset,VAR4); VAR3 VAR9(4'd0,VAR20[3:0],1'b0,VAR20[4],VAR2[0],VAR1[0],reset,VAR4); wire VAR10; VAR8 VAR15({VAR22,VAR19,VAR17,VAR16},VAR20[7:4],1'b0,VAR10,VAR13); always@(posedge VAR4 or negedge reset) if(reset==0) VAR6<=0; else VAR6<=VAR10; endmodule
mit
peteasa/parallella-fpga
AdaptevaLib/ip_repo/axi_traffic_controller_1.0/hdl/axi_traffic_controller_v1_0.v
3,046
module MODULE1 # ( parameter VAR25 = "VAR17", parameter VAR18 = "VAR45", parameter VAR36 = "VAR11", parameter VAR35 = "VAR64", parameter VAR56 = 32'hAA000000, parameter VAR10 = 32'h40000000, parameter integer VAR23 = 32, parameter integer VAR50 = 32, parameter integer VAR57 = 4 ) ( input wire VAR9, output wire VAR66, output wire VAR46, input wire VAR38, input wire VAR44, output wire [VAR23-1 : 0] VAR31, output wire [2 : 0] VAR19, output wire VAR39, input wire VAR54, output wire [VAR50-1 : 0] VAR27, output wire [VAR50/8-1 : 0] VAR60, output wire VAR13, input wire VAR28, input wire [1 : 0] VAR59, input wire VAR51, output wire VAR48, output wire [VAR23-1 : 0] VAR21, output wire [2 : 0] VAR63, output wire VAR58, input wire VAR3, input wire [VAR50-1 : 0] VAR33, input wire [1 : 0] VAR16, input wire VAR24, output wire VAR5 ); VAR30 # ( .VAR25(VAR25), .VAR18(VAR18), .VAR36(VAR36), .VAR35(VAR35), .VAR37(VAR56), .VAR52(VAR10), .VAR23(VAR23), .VAR50(VAR50), .VAR34(VAR57) ) VAR20 ( .VAR1(VAR9), .VAR41(VAR66), .VAR53(VAR46), .VAR2(VAR38), .VAR7(VAR44), .VAR4(VAR31), .VAR29(VAR19), .VAR12(VAR39), .VAR47(VAR54), .VAR6(VAR27), .VAR15(VAR60), .VAR40(VAR13), .VAR14(VAR28), .VAR49(VAR59), .VAR32(VAR51), .VAR22(VAR48), .VAR26(VAR21), .VAR43(VAR63), .VAR61(VAR58), .VAR55(VAR3), .VAR42(VAR33), .VAR8(VAR16), .VAR62(VAR24), .VAR65(VAR5) ); endmodule
lgpl-3.0
mosass/HexapodRobot
VIVADO/hexapod/hexapod.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0_stub.v
3,501
module MODULE1(VAR38, VAR6, VAR22, VAR17, VAR26, VAR21, VAR29, VAR5, VAR37, VAR18, VAR34, VAR31, VAR28, VAR40, VAR12, VAR13, VAR2, VAR8, VAR20, VAR35, VAR39, VAR27, VAR36, VAR32, VAR14, VAR33, VAR4, VAR1, VAR11, VAR7, VAR24, VAR10, VAR3, VAR25, VAR9, VAR19, VAR30, VAR23, VAR15, VAR16) ; input VAR38; input VAR6; input [31:0]VAR22; input [2:0]VAR17; input [0:0]VAR26; output [0:0]VAR21; input [31:0]VAR29; input [3:0]VAR5; input [0:0]VAR37; output [0:0]VAR18; output [1:0]VAR34; output [0:0]VAR31; input [0:0]VAR28; input [31:0]VAR40; input [2:0]VAR12; input [0:0]VAR13; output [0:0]VAR2; output [31:0]VAR8; output [1:0]VAR20; output [0:0]VAR35; input [0:0]VAR39; output [95:0]VAR27; output [8:0]VAR36; output [2:0]VAR32; input [2:0]VAR14; output [95:0]VAR33; output [11:0]VAR4; output [2:0]VAR1; input [2:0]VAR11; input [5:0]VAR7; input [2:0]VAR24; output [2:0]VAR10; output [95:0]VAR3; output [8:0]VAR25; output [2:0]VAR9; input [2:0]VAR19; input [95:0]VAR30; input [5:0]VAR23; input [2:0]VAR15; output [2:0]VAR16; endmodule
mit
alexforencich/verilog-ethernet
rtl/axis_xgmii_tx_64.v
22,392
module MODULE1 # ( parameter VAR3 = 64, parameter VAR1 = (VAR3/8), parameter VAR9 = (VAR3/8), parameter VAR27 = 1, parameter VAR16 = 1, parameter VAR31 = 64, parameter VAR8 = 4'h6, parameter VAR23 = 16'h6666, parameter VAR28 = 0, parameter VAR24 = 96, parameter VAR26 = VAR28, parameter VAR4 = 16, parameter VAR7 = (VAR26 ? VAR4 : 0) + 1 ) ( input wire clk, input wire rst, input wire [VAR3-1:0] VAR14, input wire [VAR1-1:0] VAR15, input wire VAR29, output wire VAR11, input wire VAR5, input wire [VAR7-1:0] VAR25, output wire [VAR3-1:0] VAR6, output wire [VAR9-1:0] VAR13, input wire [VAR24-1:0] VAR19, output wire [VAR24-1:0] VAR12, output wire [VAR4-1:0] VAR22, output wire VAR30, input wire [7:0] VAR2, output wire [1:0] VAR17, output wire VAR20 ); localparam VAR10 = VAR21(VAR1); localparam VAR18 = VAR21(VAR31-4-VAR9+1);
mit
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/Tiger4NSC/src/SCFIFO_64x64_withCount.v
2,693
module MODULE1 ( input VAR5 , input VAR8 , input [63:0] VAR13 , input VAR9 , output VAR10 , output [63:0] VAR2 , input VAR1 , output VAR14 , output [5:0] VAR7 ); VAR12 VAR16 ( .clk (VAR5 ), .VAR11 (VAR8 ), .din (VAR13 ), .VAR4 (VAR9 ), .VAR17 (VAR10 ), .dout (VAR2 ), .VAR15 (VAR1 ), .VAR6 (VAR14 ), .VAR3 (VAR7 ) ); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi221/gf180mcu_fd_sc_mcu7t5v0__aoi221_1.functional.v
2,099
module MODULE1( VAR23, VAR25, VAR9, VAR20, VAR6, VAR2 ); input VAR2, VAR6, VAR25, VAR23, VAR20; output VAR9; wire VAR16; not VAR18( VAR16, VAR2 ); wire VAR21; not VAR15( VAR21, VAR25 ); wire VAR7; not VAR4( VAR7, VAR20 ); wire VAR14; and VAR8( VAR14, VAR16, VAR21, VAR7 ); wire VAR5; not VAR22( VAR5, VAR23 ); wire VAR12; and VAR13( VAR12, VAR16, VAR5, VAR7 ); wire VAR1; not VAR10( VAR1, VAR6 ); wire VAR17; and VAR3( VAR17, VAR1, VAR21, VAR7 ); wire VAR11; and VAR24( VAR11, VAR1, VAR5, VAR7 ); or VAR19( VAR9, VAR14, VAR12, VAR17, VAR11 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o311a/sky130_fd_sc_hs__o311a.behavioral.v
1,947
module MODULE1 ( VAR1 , VAR12 , VAR3 , VAR5 , VAR2 , VAR8 , VAR15, VAR13 ); output VAR1 ; input VAR12 ; input VAR3 ; input VAR5 ; input VAR2 ; input VAR8 ; input VAR15; input VAR13; wire VAR2 VAR6 ; wire VAR9 ; wire VAR4; or VAR10 (VAR6 , VAR3, VAR12, VAR5 ); and VAR7 (VAR9 , VAR6, VAR2, VAR8 ); VAR11 VAR16 (VAR4, VAR9, VAR15, VAR13); buf VAR14 (VAR1 , VAR4 ); endmodule
apache-2.0
jotego/jt51
hdl/filter/jt51_fir4.v
2,143
module MODULE1 ( input clk, input rst, input VAR10, input signed [VAR15-1:0] VAR14, input signed [VAR15-1:0] VAR3, output signed [VAR5-1:0] VAR9, output signed [VAR5-1:0] VAR12, output VAR1 ); parameter VAR7=9; parameter VAR16=21; parameter VAR11=5; parameter VAR2=1; reg signed [VAR7-1:0] VAR8; wire [VAR11-1:0] VAR13; VAR6 #( .VAR15 (VAR15), .VAR5(VAR5), .VAR7 (VAR7), .VAR16 (VAR16), .VAR11 (VAR11), .VAR2 (VAR2) ) VAR4 ( .clk (clk ), .rst (rst ), .VAR10 (VAR10 ), .VAR14 (VAR14 ), .VAR3 (VAR3 ), .VAR9 (VAR9 ), .VAR12 (VAR12 ), .VAR1(VAR1), .VAR13 (VAR13 ), .VAR8 (VAR8 ) ); always @(*) case( VAR13 ) 5'd0: VAR8 = 9'd18; 5'd1: VAR8 = 9'd24; 5'd2: VAR8 = 9'd40; 5'd3: VAR8 = 9'd66; 5'd4: VAR8 = 9'd99; 5'd5: VAR8 = 9'd134; 5'd6: VAR8 = 9'd171; 5'd7: VAR8 = 9'd205; 5'd8: VAR8 = 9'd231; 5'd9: VAR8 = 9'd249; 5'd10: VAR8 = 9'd255; default: VAR8 = 9'd0; endcase endmodule
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_1/hdl/verilog/feedforward_dadd_64ns_64ns_64_5_full_dsp.v
1,936
module MODULE1 VAR26 = 0, VAR11 = 5, VAR17 = 64, VAR18 = 64, VAR21 = 64 )( input wire clk, input wire reset, input wire VAR8, input wire [VAR17-1:0] VAR9, input wire [VAR18-1:0] VAR3, output wire [VAR21-1:0] dout ); wire VAR24; wire VAR19; wire VAR2; wire [63:0] VAR16; wire VAR20; wire [63:0] VAR13; wire VAR5; wire [63:0] VAR1; reg [VAR17-1:0] VAR12; reg [VAR18-1:0] VAR27; VAR22 VAR23 ( .VAR24 ( VAR24 ), .VAR19 ( VAR19 ), .VAR14 ( VAR2 ), .VAR7 ( VAR16 ), .VAR10 ( VAR20 ), .VAR25 ( VAR13 ), .VAR6 ( VAR5 ), .VAR15 ( VAR1 ) ); assign VAR24 = clk; assign VAR19 = VAR8; assign VAR2 = 1'b1; assign VAR16 = VAR12==='VAR4 ? 'b0 : VAR12; assign VAR20 = 1'b1; assign VAR13 = VAR27==='VAR4 ? 'b0 : VAR27; assign dout = VAR1; always @(posedge clk) begin if (VAR8) begin VAR12 <= VAR9; VAR27 <= VAR3; end end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor2/gf180mcu_fd_sc_mcu9t5v0__nor2_2.functional.v
1,040
module MODULE1( VAR2, VAR3, VAR7 ); input VAR7, VAR2; output VAR3; wire VAR8; not VAR6( VAR8, VAR7 ); wire VAR1; not VAR4( VAR1, VAR2 ); and VAR5( VAR3, VAR8, VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a31o/sky130_fd_sc_hdll__a31o_4.v
2,353
module MODULE2 ( VAR7 , VAR5 , VAR11 , VAR1 , VAR3 , VAR2, VAR6, VAR4 , VAR8 ); output VAR7 ; input VAR5 ; input VAR11 ; input VAR1 ; input VAR3 ; input VAR2; input VAR6; input VAR4 ; input VAR8 ; VAR10 VAR9 ( .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR1(VAR1), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR7 , VAR5, VAR11, VAR1, VAR3 ); output VAR7 ; input VAR5; input VAR11; input VAR1; input VAR3; supply1 VAR2; supply0 VAR6; supply1 VAR4 ; supply0 VAR8 ; VAR10 VAR9 ( .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
INTI-CMNB/Lattuino_IP_Core
devices/tm16b.v
4,555
module MODULE1 parameter VAR1=24, parameter VAR10=1 ) ( input VAR8, input VAR21, input [0:0] VAR5, output [7:0] VAR20, input [7:0] VAR3, input VAR9, input VAR15, output VAR23, output reg VAR11, input VAR18 ); localparam integer VAR14=VAR17(VAR1); reg [15:0] VAR2=0; reg [15:0] VAR4=0; wire VAR6; wire VAR16; reg [VAR14-1:0] VAR13; always @(posedge VAR8) begin : VAR7 if (VAR21) VAR13 <= 0; end else begin VAR13 <= VAR13+1; if (VAR13==VAR1-1) VAR13 <= 0; end end assign VAR16=VAR13==VAR1-1; always @(posedge VAR8) begin : VAR12 if (VAR21 || VAR6 || (VAR15 && VAR9)) VAR2 <= 0; end else if (VAR16) VAR2 <= VAR2+1; end assign VAR6=VAR2==VAR4-1 && VAR16; always @(posedge VAR8) begin : VAR19 if (VAR21) VAR11 <= 0; end else if (VAR6 && VAR10) VAR11 <= 1; else if (VAR18) VAR11 <= 0; end assign VAR20=0; assign VAR23=VAR15; always @(posedge VAR8) begin : VAR22 if (VAR21) VAR4 <= 0; end else if (VAR15 && VAR9) begin if (VAR5) VAR4[15:8] <= VAR3; end else VAR4[7:0] <= VAR3; end end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nor2/sky130_fd_sc_hvl__nor2.pp.blackbox.v
1,264
module MODULE1 ( VAR2 , VAR4 , VAR7 , VAR6, VAR5, VAR3 , VAR1 ); output VAR2 ; input VAR4 ; input VAR7 ; input VAR6; input VAR5; input VAR3 ; input VAR1 ; endmodule
apache-2.0
nyaxt/dmix
uart.v
3,304
module MODULE1( input wire clk, input wire VAR20, output wire VAR24, output wire [7:0] VAR12, output wire VAR15, input wire [7:0] VAR9, input wire VAR1, output wire VAR6); wire VAR8; wire VAR18; reg [10:0] VAR26; VAR14 VAR26 = 11'd567; VAR5 always @(posedge clk) begin if (VAR26 == 0) begin VAR26 <= VAR27; end else begin VAR26 <= VAR26 - 1; end end assign VAR8 = (VAR26 == 4'd0); reg [3:0] VAR7; VAR14 VAR7 = 4'd14; VAR5 always @(posedge clk) begin if (VAR8) VAR7 <= VAR7 + 1; end reg VAR19; always @(posedge clk) begin VAR19 <= VAR8 && (VAR7 == 4'd0); end assign VAR18 = VAR19; reg [1:0] VAR23; VAR14 VAR23 = 4'd3; VAR5 always @(posedge clk) VAR23 <= {VAR23[0], VAR20}; wire VAR21 = VAR23[1:0] == 2'b01; wire VAR3 = VAR23[1:0] == 2'b10; reg [4:0] VAR4; reg [4:0] VAR25; VAR14 VAR4 = 4'd3; VAR14 VAR4 = 4'd10; VAR5 wire VAR10 = (VAR4 == 5'd17) || VAR3 || VAR21; always @(posedge clk) begin if (VAR10) begin VAR4 <= 0; VAR25 <= 0; end else begin if (VAR8) begin VAR4 <= VAR4 + 1; if (VAR23[0] == 1'b1) VAR25 <= VAR25 + 1; end end end wire VAR2 = VAR25 > 8; reg [7:0] VAR22; always @(posedge clk) begin if (VAR10) VAR22 <= {VAR2, VAR22[7:1]}; end assign VAR12 = VAR22; reg [3:0] VAR13; VAR14 VAR13 = 4'd12; VAR5 always @(posedge clk) begin if (VAR10) begin if (VAR13 > 4'd8) begin if (VAR3) begin VAR13 <= 0; end end else begin VAR13 <= VAR13 + 1; end end end reg VAR16; always @(posedge clk) begin VAR16 <= VAR10 && VAR13 == 4'd8; end assign VAR15 = VAR16; reg [3:0] VAR11; VAR14 VAR11 = 4'd13; VAR5 always @(posedge clk) begin if (VAR1) begin VAR11 <= 0; end else if (VAR18) begin VAR11 <= VAR11 + 1; end end assign VAR6 = VAR11 > 4'd9; reg [10:0] VAR17; always @(posedge clk) begin if (VAR1) begin VAR17 <= {1'b1, VAR9, 1'b0, 1'b1}; end else if (VAR18) begin VAR17 <= {1'b1, VAR17[9:1]}; end end assign VAR24 = VAR17[0]; endmodule
mit
asicguy/gplgpu
hdl/de_temp/dex_smdisp.v
3,683
module MODULE1 ( input VAR5, input VAR4, input VAR1, input VAR3, input VAR7, input VAR16, input VAR10, input VAR17, output reg VAR20, output reg VAR2, output reg VAR15, output reg VAR18, output reg VAR6, output reg [1:0] VAR8 ); parameter VAR14=2'b00, VAR12=2'b01, VAR11=2'b10; reg [1:0] VAR19; always @(posedge VAR5 or negedge VAR4) begin if(!VAR4)VAR8 <= 2'b0; end else VAR8 <= VAR19; end reg VAR9; reg VAR13; always @(posedge VAR5) VAR20 <= VAR13; always @(posedge VAR5) VAR2 <= VAR9; always @* begin VAR15=1'b0; VAR18=1'b0; VAR6=1'b1; VAR13=1'b0; VAR9=1'b0; case(VAR8) VAR14: if(!VAR3 || VAR7)VAR19=VAR14; end else begin VAR6=1'b0; VAR15=1'b1; VAR19=VAR12; if(VAR16) VAR13=1'b1; if(VAR10) VAR9=1'b1; end VAR12: VAR19=VAR11; VAR11: begin if((VAR17) || !VAR1) begin VAR19=VAR14; VAR18=1'b1; end else VAR19=VAR11; end default: begin VAR19=VAR14; VAR18=1'b0; VAR6=1'b1; VAR15=1'b0; end endcase end endmodule
gpl-3.0
rfotino/consolite-hardware
src/random.v
1,509
module MODULE1 ( input clk, input VAR3, input [VAR2-1:0] VAR1, output reg [VAR4-1:0] rnd );
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlymetal6s4s/sky130_fd_sc_hs__dlymetal6s4s.pp.symbol.v
1,325
module MODULE1 ( input VAR1 , output VAR2 , input VAR3, input VAR4 ); endmodule
apache-2.0
masc-ucsc/cmpe220fall16
rtl/dcache_pipe.v
55,921
module MODULE4 ( VAR11, sel, VAR26 ); parameter VAR30 = 0; parameter VAR99 = 1; input [VAR99-1:0] VAR11; input sel; output [VAR99-1:0] VAR26; assign VAR26 = (sel)?VAR30:VAR11; endmodule module MODULE2 ( clk, reset, VAR288, VAR8, VAR34, VAR42, VAR253, VAR133, VAR41, VAR45, VAR142, VAR252 ); parameter VAR280 = 8; parameter VAR131 = 8; parameter VAR63 = VAR122(VAR131); input clk; input reset; input VAR288; input [VAR280-1:0] VAR8; input VAR34; output VAR42; input VAR253; output [VAR280-1:0] VAR133; output VAR41; input VAR45; output VAR142; output VAR252; logic [VAR280-1:0] VAR75; logic VAR258; logic VAR262; logic VAR244; logic [VAR63-1:0] VAR257; logic [VAR280-1:0] VAR66; logic VAR32; logic VAR238; logic [VAR63-1:0] VAR36; always @(posedge reset) begin VAR36 <= 0; end always @(posedge clk) begin if (reset) begin VAR36 <= VAR36 + 1; end end logic [VAR63 :0] VAR35; logic [VAR63-1:0] VAR287; logic [VAR63-1:0] VAR130; always @(posedge clk or posedge reset) begin if (reset) begin VAR35 <= 0; VAR287 <= 0; VAR130 <= 0; end else begin if (VAR288 && !VAR253 && VAR39<VAR131) begin VAR39 <= VAR39 + 1; VAR130 <= VAR130 + 1; end else if (VAR253 && !VAR288 && VAR39!=0) begin VAR39 <= VAR39 - 1; VAR287 <= VAR287 + 1; end end end VAR179 begin if (reset) begin VAR75 = 0; VAR244 = 1; VAR257 = VAR36; end else begin if (VAR288 && !VAR253) begin VAR244 = 1; VAR257 = VAR130; end else begin VAR244 = 0; VAR257 = VAR287; end VAR258 = VAR34; VAR75 = VAR8; VAR45 = VAR262; VAR238 = 0; VAR41 = VAR32 && (VAR39 != 0); VAR42 = (VAR39 == VAR131); end end VAR179 begin VAR252 = (VAR39 == VAR131); VAR142 = (VAR39 == 0); end VAR239 VAR7 ( .clk (clk), .reset (reset), .VAR258 (VAR258), .VAR262 (VAR262), .VAR244 (VAR244), .VAR257 (VAR257), .VAR75 (VAR75), .VAR32 (VAR32), .VAR238 (VAR238), .VAR66 (VAR66) ); endmodule typedef struct packed { logic [VAR76-1:0] VAR55; logic [2:0] state; logic [1:0] VAR226; } VAR194; typedef struct packed { logic VAR261; logic [2:0] state; } VAR9; module MODULE1( input clk, input reset, input enable, input write, input VAR28, output VAR278, input VAR194 VAR132, input [VAR276-1:0] VAR138, output VAR159, input VAR158, output VAR9 VAR10 ); logic VAR21; logic VAR198; logic VAR201; logic VAR103; logic VAR136; logic VAR92; logic VAR65; logic VAR174; logic VAR196; logic VAR48; logic VAR211; logic VAR151; logic VAR58; logic VAR59; logic VAR90; logic VAR145; VAR194 VAR57; VAR194 VAR169; VAR194 VAR156; VAR194 VAR271; VAR194 VAR216; VAR194 VAR16; VAR194 VAR129; VAR194 VAR206; VAR239 VAR97 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR21), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR196), .VAR238 (VAR158), .VAR66 (VAR57) ); VAR239 VAR77 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR198), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR48), .VAR238 (VAR158), .VAR66 (VAR169) ); VAR239 VAR54 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR201), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR211), .VAR238 (VAR158), .VAR66 (VAR156) ); VAR239 VAR144 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR103), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR151), .VAR238 (VAR158), .VAR66 (VAR271) ); VAR239 VAR149 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR136), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR58), .VAR238 (VAR158), .VAR66 (VAR216) ); VAR239 VAR107 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR92), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR59), .VAR238 (VAR158), .VAR66 (VAR16) ); VAR239 VAR37 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR65), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR90), .VAR238 (VAR158), .VAR66 (VAR129) ); VAR239 VAR17 ( .clk (clk&enable), .reset (reset), .VAR258 (VAR28), .VAR262 (VAR174), .VAR244 (write), .VAR257 (VAR138), .VAR75 (VAR132), .VAR32 (VAR145), .VAR238 (VAR158), .VAR66 (VAR206) ); logic [7:0] VAR176; VAR179 begin VAR278 = VAR21&VAR198&VAR201&VAR103&VAR136&VAR92&VAR65&VAR174; VAR159 = VAR196&VAR48&VAR211&VAR151&VAR58&VAR59&VAR90&VAR145; VAR176 = {(VAR57.VAR55 == VAR132.VAR55), (VAR169.VAR55 == VAR132.VAR55), (VAR156.VAR55 == VAR132.VAR55), (VAR271.VAR55 == VAR132.VAR55), (VAR216.VAR55 == VAR132.VAR55), (VAR16.VAR55 == VAR132.VAR55), (VAR129.VAR55 == VAR132.VAR55), (VAR206.VAR55 == VAR132.VAR55)}; end logic [2:0] VAR219; VAR179 begin if (VAR159) begin case (VAR176) 8'b10000000: VAR219 = VAR57.state; 8'b01000000: VAR219 = VAR169.state; 8'b00100000: VAR219 = VAR156.state; 8'b00010000: VAR219 = VAR271.state; 8'b00001000: VAR219 = VAR216.state; 8'b00000100: VAR219 = VAR16.state; 8'b00000010: VAR219 = VAR129.state; 8'b00000001: VAR219 = VAR206.state; default : VAR219 = 0; endcase end end VAR179 begin VAR10.state = VAR219; end endmodule module MODULE3( input clk ,input reset ,input VAR31 ,output VAR114 ,input VAR170 VAR241 ,output VAR246 ,input VAR197 ,output VAR85 VAR207 ,input VAR79 ,output VAR147 ,input VAR256 VAR204 ,output VAR84 ,input VAR110 ,output VAR232 VAR80 ,output VAR178 VAR296 ,input VAR235 ,output VAR243 ,input VAR164 VAR94 ,input VAR264 ,output VAR73 ,input VAR164 VAR240 ,input VAR273 ,output VAR86 ,input VAR38 VAR195 ,output VAR89 ,input VAR212 ,output VAR24 VAR23 ,output VAR255 ,input VAR143 ,output VAR87 VAR137 ,input VAR282 ,output VAR119 ,input VAR237 VAR269 ,output VAR215 ,input VAR213 ,output VAR18 VAR148 ,output VAR209 ,input VAR81 ,output VAR210 VAR115 ,input VAR13 ,output VAR270 ,input VAR93 VAR135 ); VAR170 VAR192; logic VAR27; logic VAR20; logic VAR285; logic VAR121; assign VAR27 = VAR31; assign VAR114 = VAR121; VAR29 #(.VAR116(VAR100(VAR170))) VAR14 ( .clk (clk), .reset (reset), .din (VAR241), .VAR172 (VAR27), .VAR188 (VAR121), .VAR168 (VAR192), .VAR218 (VAR20), .VAR165 (VAR285) ); VAR85 VAR163; logic VAR52; logic VAR53; logic VAR231; logic VAR171; assign VAR246 = VAR52; assign VAR53 = VAR197; VAR29 #(.VAR116(VAR100(VAR85))) VAR22 ( .clk (clk), .reset (reset), .din (VAR163), .VAR172 (VAR231), .VAR188 (VAR171), .VAR168 (VAR207), .VAR218 (VAR52), .VAR165 (VAR53) ); VAR256 VAR61; logic VAR44; logic VAR254; logic VAR67; logic VAR161; assign VAR44 = VAR79; assign VAR147 = VAR161; VAR29 #(.VAR116(VAR100(VAR256))) VAR175 ( .clk (clk), .reset (reset), .din (VAR204), .VAR172 (VAR44), .VAR188 (VAR161), .VAR168 (VAR61), .VAR218 (VAR254), .VAR165 (VAR67) ); VAR232 VAR127; logic VAR185; logic VAR291; logic VAR69; logic VAR290; assign VAR84 = VAR291; assign VAR69 = VAR110; VAR29 #(.VAR116(VAR100(VAR232))) VAR203 ( .clk (clk), .reset (reset), .din (VAR127), .VAR172 (VAR185), .VAR188 (VAR290), .VAR168 (VAR80), .VAR218 (VAR291), .VAR165 (VAR69) ); VAR164 VAR68; logic VAR140; logic VAR83; logic VAR224; logic VAR220; assign VAR140 = VAR235; assign VAR243 = VAR220; VAR29 #(.VAR116(VAR100(VAR164))) VAR199 ( .clk (clk), .reset (reset), .din (VAR94), .VAR172 (VAR140), .VAR188 (VAR220), .VAR168 (VAR68), .VAR218 (VAR83), .VAR165 (VAR224) ); VAR164 VAR295; logic VAR3; logic VAR74; logic VAR150; logic VAR56; assign VAR3 = VAR264; assign VAR73 = VAR56; VAR29 #(.VAR116(VAR100(VAR164))) VAR200 ( .clk (clk), .reset (reset), .din (VAR240), .VAR172 (VAR3), .VAR188 (VAR56), .VAR168 (VAR295), .VAR218 (VAR74), .VAR165 (VAR150) ); VAR38 VAR205; logic VAR167; logic VAR184; logic VAR182; logic VAR6; assign VAR167 = VAR273; assign VAR86 = VAR6; VAR29 #(.VAR116(VAR100(VAR38))) VAR190 ( .clk (clk), .reset (reset), .din (VAR195), .VAR172 (VAR167), .VAR188 (VAR6), .VAR168 (VAR205), .VAR218 (VAR184), .VAR165 (VAR182) ); VAR24 VAR51; logic VAR153; logic VAR229; logic VAR33; logic VAR225; assign VAR89 = VAR229; assign VAR33 = VAR212; VAR29 #(.VAR116(VAR100(VAR24))) VAR228 ( .clk (clk), .reset (reset), .din (VAR51), .VAR172 (VAR153), .VAR188 (VAR225), .VAR168 (VAR23), .VAR218 (VAR229), .VAR165 (VAR33) ); VAR87 VAR268; logic VAR49; logic VAR96; logic VAR82; logic VAR120; assign VAR255 = VAR96; assign VAR82 = VAR143; VAR29 #(.VAR116(VAR100(VAR87))) VAR60 ( .clk (clk), .reset (reset), .din (VAR268), .VAR172 (VAR49), .VAR188 (VAR120), .VAR168 (VAR137), .VAR218 (VAR96), .VAR165 (VAR82) ); VAR237 VAR260; logic VAR208; logic VAR173; logic VAR157; logic VAR236; assign VAR208 = VAR282; assign VAR119 = VAR236; VAR29 #(.VAR116(VAR100(VAR237))) VAR181 ( .clk (clk), .reset (reset), .din (VAR269), .VAR172 (VAR208), .VAR188 (VAR236), .VAR168 (VAR260), .VAR218 (VAR173), .VAR165 (VAR157) ); VAR18 VAR281; logic VAR284; logic VAR47; logic VAR221; logic VAR277; assign VAR215 = VAR47; assign VAR221 = VAR213; VAR29 #(.VAR116(VAR100(VAR18))) VAR102 ( .clk (clk), .reset (reset), .din (VAR281), .VAR172 (VAR284), .VAR188 (VAR277), .VAR168 (VAR148), .VAR218 (VAR47), .VAR165 (VAR221) ); VAR210 VAR124; logic VAR152; logic VAR106; logic VAR15; logic VAR189; assign VAR209 = VAR106; assign VAR15 = VAR81; VAR29 #(.VAR116(VAR100(VAR210))) VAR180 ( .clk (clk), .reset (reset), .din (VAR124), .VAR172 (VAR152), .VAR188 (VAR189), .VAR168 (VAR115), .VAR218 (VAR106), .VAR165 (VAR15) ); VAR93 VAR50; logic VAR101; logic VAR146; logic VAR104; logic VAR272; assign VAR101 = VAR13; assign VAR270 = VAR272; VAR29 #(.VAR116(VAR100(VAR93))) VAR91 ( .clk (clk), .reset (reset), .din (VAR135), .VAR172 (VAR101), .VAR188 (VAR272), .VAR168 (VAR50), .VAR218 (VAR146), .VAR165 (VAR104) ); VAR179 begin VAR163.VAR275 = 0; VAR163.VAR105 = 0; VAR163.VAR132 = VAR269.VAR263; VAR281.VAR279 = VAR260.VAR279; VAR281.VAR183 = 1; end VAR179 begin VAR231 = VAR173; VAR157 = VAR171; end VAR179 begin if (VAR20) begin VAR268.VAR71 = 5'b00000; VAR268.VAR250 = VAR227; VAR268.VAR88 = VAR241.VAR88; VAR268.VAR40 = VAR241.VAR40; end if (VAR83) begin VAR268.VAR223 = VAR94.VAR223; end end VAR179 begin if (VAR83) begin VAR51.VAR71 = 5'b00000; VAR51.VAR186 = 0; VAR51.VAR266 = VAR68.VAR266; end end logic [6:0] VAR289; assign VAR289 = VAR61.VAR289; VAR179 begin if (VAR254) begin VAR124.VAR71 = 0; VAR124.VAR279 = 0; VAR124.VAR263 = VAR204.VAR132; VAR124.VAR230 = VAR202; case (VAR289) default: VAR124.VAR191 = 64'h0; endcase end if (VAR74) begin VAR124.VAR223 = VAR240.VAR223; end end VAR179 begin VAR127.VAR105 = 0; VAR127.VAR275 = 0; VAR185 = (VAR74)&&(VAR254); end VAR179 begin VAR49 = VAR20 && VAR83; VAR153 = VAR20 && VAR83; VAR152 = VAR254 && VAR74; end VAR179 begin VAR67 = !(VAR254 && VAR74) || VAR189; VAR150 = !(VAR254 && VAR74) || VAR189; VAR285 = !(VAR20 && VAR83) || (VAR120) || (VAR225); VAR224 = !(VAR20 && VAR83) || (VAR120) || (VAR225); end logic [7:0] VAR2; logic [7:0] VAR98; logic [7:0] VAR265; logic [7:0] VAR113; logic [7:0] VAR95; logic [7:0] VAR248; logic VAR72; logic VAR70; logic [12:0] VAR138; assign VAR138 = VAR241.VAR40+VAR241.VAR233; VAR194 VAR139; logic VAR294; logic VAR177; VAR9 VAR10; logic VAR286; logic VAR62; logic VAR112; logic VAR234; MODULE1 MODULE1 ( .clk (clk), .reset (reset), .enable (VAR112), .write (VAR234), .VAR28 (VAR294), .VAR278 (VAR177), .VAR132 (VAR139), .VAR138 (VAR138[10:6]), .VAR159 (VAR286), .VAR158 (VAR62), .VAR10 (VAR10) ); VAR9 VAR126; logic VAR155; logic VAR187; VAR29 #(.VAR116(VAR100(VAR9))) VAR217 ( .clk (clk), .reset (reset), .din (VAR10), .VAR172 (VAR72&VAR286), .VAR188 (VAR62), .VAR168 (VAR126), .VAR218 (VAR187), .VAR165 (VAR155) ); VAR170 VAR267; logic VAR123; logic VAR242; logic VAR160; VAR29 #(VAR100(VAR170)) VAR134 ( .clk (clk), .reset (reset), .din (VAR192), .VAR172 (VAR72), .VAR188 (VAR160) , .VAR168 (VAR267), .VAR218 (VAR123), .VAR165 (VAR242) ); VAR164 VAR162; logic VAR293; logic VAR5; logic VAR154; VAR29 #(VAR100(VAR164)) VAR12 ( .clk (clk), .reset (reset), .din (VAR68), .VAR172 (VAR72), .VAR188 (VAR154) , .VAR168 (VAR162), .VAR218 (VAR293), .VAR165 (VAR5) ); typedef struct packed { VAR283 VAR275; VAR259 VAR233; } VAR292; VAR87 VAR251; VAR24 VAR118; logic VAR111; logic VAR64; logic [VAR1-1:0] VAR247; logic VAR214; logic [VAR1-1:0] VAR249; VAR292 VAR46; logic VAR78; logic VAR193; VAR292 VAR43; logic VAR108; logic VAR222; logic VAR19; VAR179 begin if (VAR265 == VAR25 && VAR111) begin if (!VAR126.VAR261) begin case (VAR267.VAR245) default : VAR251.VAR250 = 0; endcase VAR251.VAR71 = VAR247; VAR251.VAR88 = VAR267.VAR88; VAR251.VAR40 = VAR267.VAR40; VAR251.VAR223 = VAR162.VAR223; VAR46.VAR275 = VAR267.VAR275; VAR46.VAR233 = VAR267.VAR233; VAR118.VAR71 = VAR247; VAR118.VAR186 = 0; VAR118.VAR266 = VAR162.VAR266; end end end VAR239 VAR166 ( .clk (clk), .reset (reset), .VAR244 (VAR214), .VAR257 (VAR249), .VAR258 (VAR78), .VAR262 (VAR193), .VAR75 (VAR46), .VAR32 (VAR108), .VAR238 (VAR222), .VAR66 (VAR43) ); logic [VAR125-1:0] VAR117; logic [VAR1-1:0] VAR109[VAR125-1:0]; always @(posedge clk) begin if (VAR265 == VAR25 && VAR111) begin if (!VAR19) begin VAR117[VAR247] <= 1; end end end VAR179 begin if (!VAR117[0]) begin VAR247 = 0; end else begin VAR247 = VAR109[0]; end end VAR179 begin if (!VAR117[1]) begin VAR109[0] = 1; end else begin VAR109[0] = VAR109[1]; end end VAR179 begin if (!VAR117[2]) begin VAR109[1] = 2; end else begin VAR109[1] = VAR109[2]; end end VAR179 begin if (!VAR117[3]) begin VAR109[2] = 3; end else begin VAR109[2] = VAR109[3]; end end VAR179 begin if (!VAR117[4]) begin VAR109[3] = 4; end else begin VAR109[3] = VAR109[4]; end end VAR179 begin if (!VAR117[5]) begin VAR109[4] = 5; end else begin VAR109[4] = VAR109[5]; end end VAR179 begin if (!VAR117[6]) begin VAR109[5] = 6; end else begin VAR109[5] = VAR109[6]; end end VAR179 begin if (!VAR117[7]) begin VAR109[6] = 7; end else begin VAR109[6] = VAR109[7]; end end VAR179 begin if (!VAR117[8]) begin VAR109[7] = 8; end else begin VAR109[7] = VAR109[8]; end end VAR179 begin if (!VAR117[9]) begin VAR109[8] = 9; end else begin VAR109[8] = VAR109[9]; end end VAR179 begin if (!VAR117[10]) begin VAR109[9] = 10; end else begin VAR109[9] = VAR109[10]; end end VAR179 begin if (!VAR117[11]) begin VAR109[10] = 11; end else begin VAR109[10] = VAR109[11]; end end VAR179 begin if (!VAR117[12]) begin VAR109[11] = 12; end else begin VAR109[11] = VAR109[12]; end end VAR179 begin if (!VAR117[13]) begin VAR109[12] = 13; end else begin VAR109[12] = VAR109[13]; end end VAR179 begin if (!VAR117[14]) begin VAR109[13] = 14; end else begin VAR109[13] = VAR109[14]; end end VAR179 begin if (!VAR117[15]) begin VAR109[14] = 15; end else begin VAR109[14] = VAR109[15]; end end VAR179 begin if (!VAR117[16]) begin VAR109[15] = 16; end else begin VAR109[15] = VAR109[16]; end end VAR179 begin if (!VAR117[17]) begin VAR109[16] = 17; end else begin VAR109[16] = VAR109[17]; end end VAR179 begin if (!VAR117[18]) begin VAR109[17] = 18; end else begin VAR109[17] = VAR109[18]; end end VAR179 begin if (!VAR117[19]) begin VAR109[18] = 19; end else begin VAR109[18] = VAR109[19]; end end VAR179 begin if (!VAR117[20]) begin VAR109[19] = 20; end else begin VAR109[19] = VAR109[20]; end end VAR179 begin if (!VAR117[21]) begin VAR109[20] = 21; end else begin VAR109[20] = VAR109[21]; end end VAR179 begin if (!VAR117[22]) begin VAR109[21] = 22; end else begin VAR109[21] = VAR109[22]; end end VAR179 begin if (!VAR117[23]) begin VAR109[22] = 23; end else begin VAR109[22] = VAR109[23]; end end VAR179 begin if (!VAR117[24]) begin VAR109[23] = 24; end else begin VAR109[23] = VAR109[24]; end end VAR179 begin if (!VAR117[25]) begin VAR109[24] = 25; end else begin VAR109[24] = VAR109[25]; end end VAR179 begin if (!VAR117[26]) begin VAR109[25] = 26; end else begin VAR109[25] = VAR109[26]; end end VAR179 begin if (!VAR117[27]) begin VAR109[26] = 27; end else begin VAR109[26] = VAR109[27]; end end VAR179 begin if (!VAR117[28]) begin VAR109[27] = 28; end else begin VAR109[27] = VAR109[28]; end end VAR179 begin if (!VAR117[29]) begin VAR109[28] = 29; end else begin VAR109[28] = VAR109[29]; end end VAR179 begin if (!VAR117[30]) begin VAR109[29] = 30; end else begin VAR109[29] = VAR109[30]; end end VAR179 begin if (!VAR117[31]) begin VAR109[30] = 31; end else begin VAR109[30] = VAR109[31]; end end assign VAR19 = (~VAR117 == 0); always @(posedge clk) begin if (reset) begin VAR2 <= VAR128; VAR265 <= VAR128; VAR95 <= VAR128; end else begin VAR2 <= VAR98; VAR265 <= VAR113; VAR95 <= VAR248; end end VAR179 begin case (VAR2) VAR98 = VAR274; end VAR98 = VAR274; end default: begin VAR98 = VAR128; end endcase end VAR179 begin case (VAR265) if (VAR111) begin if (VAR126.VAR261) begin VAR113 = VAR141; end else begin VAR113 = VAR25; end end end VAR113 = VAR128; end default: begin VAR98 = VAR128; end endcase end VAR179 begin case (VAR2) end VAR285 = (!VAR72) || (VAR70); VAR224 = (!VAR72) || (VAR70); VAR155 = (!VAR111) || (VAR64) || (VAR19); VAR242 = (!VAR111) || (VAR64) || (VAR19); VAR5 = (!VAR111) || (VAR64) || (VAR19); end end end endcase end VAR179 begin case (VAR2) end VAR72 = (VAR20) && (VAR83); VAR70 = (VAR62) || (VAR160) || (VAR154); VAR111 = (VAR187) && (VAR123) && (VAR293); VAR64 = (VAR120) || (VAR225); VAR112 = 1; VAR294 = VAR72; VAR234 = 0; end end end endcase end VAR179 begin case (VAR2) end end end end endcase end VAR179 begin case (VAR265) end end end end endcase end VAR179 begin case (VAR265) end end end end endcase end VAR179 begin case (VAR265) end VAR214 = 1; VAR78 = VAR111; VAR249 = VAR247; end end end endcase end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlrbp/sky130_fd_sc_ls__dlrbp.behavioral.pp.v
2,490
module MODULE1 ( VAR14 , VAR21 , VAR3, VAR15 , VAR9 , VAR16 , VAR1 , VAR24 , VAR17 ); output VAR14 ; output VAR21 ; input VAR3; input VAR15 ; input VAR9 ; input VAR16 ; input VAR1 ; input VAR24 ; input VAR17 ; wire VAR12 ; reg VAR10 ; wire VAR11 ; wire VAR13 ; wire VAR18 ; wire VAR20; wire VAR22 ; wire VAR4 ; wire VAR23 ; wire VAR2 ; not VAR8 (VAR12 , VAR20 ); VAR5 VAR19 (VAR22 , VAR11, VAR13, VAR12, VAR10, VAR16, VAR1); assign VAR4 = ( VAR16 === 1'b1 ); assign VAR23 = ( VAR4 && ( VAR20 === 1'b1 ) ); assign VAR2 = ( VAR4 && ( VAR3 === 1'b1 ) ); buf VAR7 (VAR14 , VAR22 ); not VAR6 (VAR21 , VAR22 ); endmodule
apache-2.0
pollow/Single_Cycle_CPU
ipcore_dir/RAM_A.v
4,001
module MODULE1( VAR15, VAR43, clk, VAR13, VAR57 ); input [10 : 0] VAR15; input [31 : 0] VAR43; input clk; input VAR13; output [31 : 0] VAR57; VAR58 #( .VAR48(11), .VAR16("0"), .VAR14(2048), .VAR47("VAR39"), .VAR12(1), .VAR45(1), .VAR19(0), .VAR3(0), .VAR50(0), .VAR8(0), .VAR30(0), .VAR21(0), .VAR35(0), .VAR54(0), .VAR33(0), .VAR4(0), .VAR10(0), .VAR22(0), .VAR18(1), .VAR56(0), .VAR52(1), .VAR49("VAR38"), .VAR26(1), .VAR34(1), .VAR46(0), .VAR7(0), .VAR36(0), .VAR40(0), .VAR51(0), .VAR28(0), .VAR31(1), .VAR2(32) ) VAR6 ( .VAR9(VAR15), .VAR23(VAR43), .VAR17(clk), .VAR32(VAR13), .VAR37(VAR57), .VAR29(), .VAR25(), .VAR42(), .VAR44(), .VAR1(), .VAR55(), .VAR24(), .VAR20(), .VAR11(), .VAR5(), .VAR53(), .VAR27(), .VAR41() ); endmodule
gpl-3.0
trun/fpgaboy
src/io/video/vga_controller.v
1,681
module MODULE1(VAR4, reset, VAR8, VAR10, VAR3, VAR5); input VAR4; input reset; output VAR8; output VAR10; output [9:0] VAR3; output [9:0] VAR5; reg VAR8, VAR10; reg [9:0] VAR3, VAR5; wire [9:0] VAR2, VAR1; parameter VAR9 = 525; parameter VAR12 = 800; parameter VAR17 = 640; parameter VAR16 = 480; parameter VAR11 = 16; parameter VAR14 = 96; parameter VAR7 = 48; parameter VAR13 = 11; parameter VAR15 = 2; parameter VAR6 = 32; always @(posedge VAR4) begin if(reset) begin VAR3 <= 10'b0; VAR5 <= 10'b0; VAR8 <= 1; VAR10 <= 1; end else begin VAR3 <= VAR2; VAR5 <= VAR1; VAR8 <= ~((VAR2 >= VAR17 + VAR11) & (VAR2 < VAR17 + VAR11 + VAR14)); VAR10 <= ~((VAR1 >= VAR16 + VAR13) & (VAR1 < VAR16 + VAR13 + VAR15)); end end assign VAR2 = (VAR3 >= VAR12 - 1) ? 1'b0 : VAR3 + 1'b1; assign VAR1 = (VAR3 >= VAR12 - 1) ? ((VAR5 >= VAR9 - 1) ? 1'b0 : VAR5 + 1'b1) : VAR5; endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/led_controller/led_controller.srcs/sources_1/bd/led_controller_design/ip/led_controller_design_rst_ps7_0_100M_0/led_controller_design_rst_ps7_0_100M_0_stub.v
1,918
module MODULE1(VAR4, VAR9, VAR10, VAR2, VAR5, VAR6, VAR7, VAR1, VAR3, VAR8) ; input VAR4; input VAR9; input VAR10; input VAR2; input VAR5; output VAR6; output [0:0]VAR7; output [0:0]VAR1; output [0:0]VAR3; output [0:0]VAR8; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfbbn/sky130_fd_sc_lp__sdfbbn.functional.pp.v
2,700
module MODULE1 ( VAR25 , VAR10 , VAR1 , VAR12 , VAR13 , VAR11 , VAR6 , VAR17, VAR9 , VAR15 , VAR26 , VAR14 ); output VAR25 ; output VAR10 ; input VAR1 ; input VAR12 ; input VAR13 ; input VAR11 ; input VAR6 ; input VAR17; input VAR9 ; input VAR15 ; input VAR26 ; input VAR14 ; wire VAR2 ; wire VAR5 ; wire VAR8 ; wire VAR20 ; wire VAR24; not VAR3 (VAR2 , VAR17 ); not VAR18 (VAR5 , VAR6 ); not VAR21 (VAR8 , VAR11 ); VAR4 VAR22 (VAR24, VAR1, VAR12, VAR13 ); VAR16 VAR19 VAR23 (VAR20 , VAR5, VAR2, VAR8, VAR24, , VAR9, VAR15); buf VAR7 (VAR25 , VAR20 ); not VAR27 (VAR10 , VAR20 ); endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/loop_limiter_siso.v
3,497
module MODULE1 ( VAR19, VAR2, VAR4, VAR3, VAR6, VAR14, VAR9, VAR5 ); parameter VAR8 = 16; parameter VAR13 = 2; parameter VAR7 = 1; parameter VAR16 = 1; input VAR19; input VAR2; input VAR4; output VAR3; output VAR6; input VAR14; input VAR9; input VAR5; parameter VAR15 = 2'b00; parameter VAR21 = 2'b01; parameter VAR11 = 2'b10; reg [1:0] VAR20, VAR1; reg [VAR8-1:0] VAR18; wire VAR17, VAR10; assign VAR17 = ~(VAR14) & VAR4; assign VAR10 = ~(VAR5) & VAR9; always@(*) begin case (VAR20) VAR15: if (VAR17 & ~VAR10) VAR1 <= VAR21; end else VAR1 <= VAR15; VAR21: if ((VAR18 == VAR16) & (VAR17 & ~VAR10)) VAR1 <= VAR11; else if ((VAR18 == VAR7) & (~VAR17 & VAR10)) VAR1 <= VAR15; else VAR1 <= VAR21; VAR11: if (~VAR17 & VAR10) VAR1 <= VAR21; else VAR1 <= VAR11; default: VAR1 <= 2'VAR12; endcase end always@(posedge VAR19 or negedge VAR2) begin if (~VAR2) VAR20 <= VAR15; end else VAR20 <= VAR1; end always@(posedge VAR19 or negedge VAR2) begin if (~VAR2) VAR18 <= {VAR8{1'b0}}; end else begin if ((VAR17 & ~VAR10) & (VAR20 != VAR11)) VAR18 <= VAR18 + 1'b1; end else if ((~VAR17 & VAR10) & (VAR20 != VAR15)) VAR18 <= VAR18 - 2'b01; end end assign VAR6 = (VAR4 & (VAR20 == VAR11)); assign VAR3 = ((VAR20 == VAR11) | VAR14); endmodule
mit
zhelnio/mil1553-spi
src/board/DE0/AlteraMemory.v
9,385
module MODULE1 ( VAR10, VAR15, VAR41, VAR39, VAR59, VAR55, VAR56); input VAR10; input [15:0] VAR15; input [7:0] VAR41; input VAR39; input [7:0] VAR59; input VAR55; output [15:0] VAR56; tri1 VAR10; tri1 VAR39; tri0 VAR55; wire [15:0] VAR44; wire [15:0] VAR56 = VAR44[15:0]; VAR17 VAR22 ( .VAR7 (VAR59), .VAR51 (VAR10), .VAR40 (VAR15), .VAR20 (VAR39), .VAR32 (VAR55), .VAR43 (VAR41), .VAR13 (VAR44), .VAR1 (1'b0), .VAR16 (1'b0), .VAR29 (1'b0), .VAR42 (1'b0), .VAR11 (1'b1), .VAR34 (1'b1), .VAR57 (1'b1), .VAR58 (1'b1), .VAR49 (1'b1), .VAR61 (1'b1), .VAR19 (1'b1), .VAR33 ({16{1'b1}}), .VAR24 (), .VAR52 (), .VAR5 (1'b1), .VAR23 (1'b0)); VAR22.VAR35 = "VAR38", VAR22.VAR30 = "VAR12", VAR22.VAR2 = "VAR36", VAR22.VAR4 = "VAR36", VAR22.VAR47 = "VAR36", VAR22.VAR3 = "VAR21 VAR6", VAR22.VAR50 = "VAR17", VAR22.VAR18 = 256, VAR22.VAR48 = 256, VAR22.VAR31 = "VAR26", VAR22.VAR14 = "VAR38", VAR22.VAR8 = "VAR12", VAR22.VAR46 = "VAR54", VAR22.VAR27 = "VAR12", VAR22.VAR9 = "VAR53", VAR22.VAR60 = 8, VAR22.VAR45 = 8, VAR22.VAR37 = 16, VAR22.VAR25 = 16, VAR22.VAR28 = 1; endmodule
mit
miamiasheep/nctu-dlab-99
hw/binary_multiplier.v
2,154
module MODULE1( input VAR19, reset, VAR23, input [7:0] VAR8, VAR17, output [15:0] VAR12, output VAR6 ); wire VAR11, VAR22, VAR13, VAR4, VAR9, VAR1, VAR15; MODULE2 MODULE1(VAR19, reset, VAR23, VAR9, VAR1, VAR15, VAR6, VAR11, VAR22, VAR13, VAR4); VAR7 VAR5(VAR19, reset, VAR8, VAR17, VAR11, VAR22, VAR13, VAR4, VAR12, VAR9, VAR1, VAR15); endmodule module MODULE2( input VAR19, reset, VAR23, VAR9, VAR1, VAR15, output reg VAR6, VAR11, VAR22, VAR13, VAR4 ); parameter VAR14 = 2'b00; parameter VAR25 = 2'b01; parameter VAR26 = 2'b10; reg [1:0] state, VAR20; always @(posedge VAR19, posedge reset) if (reset) state <= VAR14; else state <= VAR20; always @ begin if (VAR11) begin VAR9 = ~|{VAR8, VAR17}; end else begin VAR9 = VAR24 == 0 || VAR10 == 0; end end assign VAR12 = {VAR21, VAR18} >> VAR16; assign VAR24 = VAR18 << (VAR3 - VAR16 - 1); assign VAR1 = VAR16 == 4'b0; assign VAR15 = VAR18[0]; endmodule
gpl-3.0
scalable-networks/ext
uhd/fpga/usrp2/models/math_real.v
15,174
module MODULE1 ; localparam VAR43 = 2.7182818284; localparam VAR30 = 0.3678794411; localparam VAR54 = 3.1415926536; localparam VAR12 = 6.2831853071; localparam VAR25 = 0.3183098861; localparam VAR17 = 1.5707963267; localparam VAR26 = 1.0471975511; localparam VAR8 = 0.7853981633; localparam VAR69 = 4.7123889803; localparam VAR27 = 0.6931471805; localparam VAR3 = 2.3025850929; localparam VAR16 = 1.4426950408; localparam VAR10 = 0.4342944819; localparam VAR45 = 1.4142135623; localparam VAR76= 0.7071067811; localparam VAR5 = 1.7724538509; localparam VAR7 = 0.0174532925; localparam VAR33 = 57.2957795130; localparam VAR56 = 19; localparam VAR42 = 8; function real VAR38 ; input real VAR61 ; begin VAR38 = VAR61 < 0.0 ? 1.0 : 0.0 ; end endfunction function real VAR19 ; input real VAR61 ; begin VAR19 = VAR61 - VAR32(VAR61,1.0) ; end endfunction function real VAR66 ; input real VAR61 ; real VAR59 ; begin VAR59 = VAR32(VAR61,1.0) ; if( VAR59 != 0.0 && VAR61 > 0.0 ) VAR59 = VAR61+1.0 ; end else VAR59 = VAR61 ; VAR66 = VAR19(VAR59) ; end endfunction function real VAR72 ; input real VAR61 ; real VAR59 ; begin VAR59 = VAR32(VAR61,1.0) ; if( VAR59 != 0.0 && VAR61 < 0.0 ) VAR59 = VAR61 - 1.0 ; end else VAR59 = VAR61 ; VAR72 = VAR19(VAR59) ; end endfunction function real VAR58 ; input real VAR61 ; real VAR59 ; begin VAR59 = VAR61 > 0.0 ? VAR61 + 0.5 : VAR61 - 0.5 ; VAR58 = VAR19(VAR59) ; end endfunction function real VAR32 ; input real VAR61 ; input real VAR47 ; real VAR59 ; begin VAR59 = VAR61 ; if( VAR59 > VAR47 ) begin while( VAR59 > VAR47 ) begin VAR59 = VAR59 - VAR47 ; end end else begin while( VAR59 < -VAR47 ) begin VAR59 = VAR59 + VAR47 ; end end VAR32 = VAR59 ; end endfunction function real VAR18 ; input real VAR61 ; input real VAR1 ; begin VAR18 = VAR61 > VAR1 ? VAR61 : VAR1 ; end endfunction function real VAR9 ; input real VAR61 ; input real VAR1 ; begin VAR9 = VAR61 > VAR1 ? VAR1 : VAR61 ; end endfunction function real VAR44 ; input real VAR75 ; input real var ; real VAR55, VAR20, VAR46, VAR35, VAR53 ; begin VAR53 = 1.0 ; while( VAR53 >= 1.0 ) begin VAR55 = /4294967296.0 + 0.5 ; VAR20 = /4294967296.0 + 0.5 ; VAR46 = 2*VAR55-1.0 ; VAR35 = 2*VAR20-1.0 ; VAR53 = (VAR46*VAR46 + VAR35*VAR35) ; end VAR44 = VAR75 + VAR64((-2.0*VAR50(VAR53))/VAR53) * VAR46 * VAR64(var) ; end endfunction function real VAR64 ; input real VAR61 ; real VAR59 ; begin VAR64 = (VAR61 == 0.0) ? 0.0 : VAR48(VAR61,0.5) ; end endfunction function real VAR37 ; input real VAR61 ; real VAR59 ; begin VAR37 = (VAR61 == 0.0) ? 0.0 : VAR48(VAR61,1.0/3.0) ; end endfunction function real VAR13 ; input real VAR61 ; begin VAR13 = (VAR61 > 0.0) ? VAR61 : -VAR61 ; end endfunction function real VAR52 ; input real VAR74 ; input integer VAR61 ; integer VAR41 ; real VAR59 ; begin VAR59 = 1.0 ; VAR41 = VAR13(VAR61) ; repeat(VAR41) begin VAR59 = VAR74*VAR59 ; end VAR52 = VAR61 < 0 ? (1.0/VAR59) : VAR59 ; end endfunction function real VAR48 ; input real VAR74 ; input real VAR61 ; begin VAR48 = VAR22(VAR61*VAR50(VAR74)) ; end endfunction function real VAR22 ; input real VAR61 ; real VAR59 ; integer VAR67 ; real VAR6 ; real VAR49 ; begin VAR6 = 1.0 ; VAR49 = 1.0 ; VAR59 = 1.0 ; for( VAR67 = 1 ; VAR67 < VAR56 ; VAR67 = VAR67 + 1 ) begin VAR49 = VAR61*VAR49 ; VAR6 = VAR6 * VAR67 ; VAR59 = VAR59 + VAR49/VAR6 ; end VAR22 = VAR59 ; end endfunction function real VAR50 ; input real VAR61 ; integer VAR67 ; real VAR23 ; real VAR68 ; real VAR59 ; real VAR11 ; begin VAR59 = 0.0 ; VAR23 = 0.0 ; VAR11 = VAR61 ; while( VAR11 > VAR43 ) begin VAR23 = VAR23 + 1.0 ; VAR11 = VAR11 / VAR43 ; end VAR68 = (VAR11-1.0)/(VAR11+1.0) ; for( VAR67 = 0 ; VAR67 < VAR56 ; VAR67 = VAR67 + 1 ) begin VAR59 = VAR59 + VAR52(VAR68,2*VAR67+1)/(2.0*VAR67+1.0) ; end VAR50 = VAR23+2.0*VAR59 ; end endfunction function real VAR40 ; input real VAR61 ; begin VAR40 = VAR50(VAR61) ; end endfunction function real VAR4 ; input real VAR61 ; begin VAR4 = VAR50(VAR61)/VAR27 ; end endfunction function real VAR39 ; input real VAR61 ; begin VAR39 = VAR50(VAR61)/VAR3 ; end endfunction function real VAR70 ; input real VAR61 ; input real VAR74 ; begin VAR70 = VAR50(VAR61)/VAR50(VAR74) ; end endfunction function real VAR29 ; input real VAR61 ; real VAR59 ; begin VAR59 = VAR61 ; while( VAR13(VAR59) > VAR54 ) begin VAR59 = VAR59 > VAR54 ? (VAR59 - VAR12) : (VAR59 + VAR12) ; end VAR29 = VAR59 ; end endfunction function real VAR73 ; input real VAR61 ; integer VAR67 ; integer VAR38 ; real VAR11 ; real VAR59 ; real VAR36 ; real VAR77 ; begin VAR11 = VAR29(VAR61) ; VAR36 = 1.0 ; VAR77 = 1.0 ; VAR59 = 1.0 ; for( VAR67 = 1 ; VAR67 < VAR42 ; VAR67 = VAR67 + 1 ) begin VAR38 = -2*(VAR67 % 2)+1 ; VAR36 = VAR36*VAR11*VAR11 ; VAR77 = VAR77 * (2.0*VAR67) * (2.0*VAR67-1.0) ; VAR59 = VAR59 + VAR38*(VAR36/VAR77) ; end VAR73 = VAR59 ; end endfunction function real VAR14 ; input real VAR61 ; begin VAR14 = VAR73(VAR61 - VAR17) ; end endfunction function real VAR51 ; input real VAR61 ; begin VAR51 = VAR14(VAR61) / VAR73(VAR61) ; end endfunction function real VAR71 ; input real VAR61 ; begin VAR71 = 2.0*VAR21(VAR61/(1.0+VAR64(1.0-VAR61*VAR61))) ; end endfunction function real VAR60 ; input real VAR61 ; begin VAR60 = VAR17-VAR71(VAR61) ; end endfunction function real VAR21 ; input real VAR61 ; real VAR59 ; real VAR1 ; real VAR11 ; real VAR28 ; integer VAR67 ; integer VAR57 ; begin VAR59 = 1.0 ; VAR28 = 1.0 ; VAR57 = 1 ; VAR11 = VAR13(VAR61) ; while( VAR11 > 1.0 ) begin VAR57 = VAR57*2 ; VAR11 = VAR11/(1.0+VAR64(1.0+VAR11*VAR11)) ; end VAR1 = 1.0 ; for( VAR67 = 1 ; VAR67 < 2*VAR42 ; VAR67 = VAR67 + 1 ) begin VAR1 = VAR1*((VAR11*VAR11)/(1+VAR11*VAR11)) ; VAR28 = VAR28 * (2.0*VAR67)/(2.0*VAR67+1.0) ; VAR59 = VAR59 + VAR28*VAR1 ; end VAR59 = VAR59 * (VAR11/(1+VAR11*VAR11)) ; VAR59 = VAR59 * VAR57 ; VAR21 = (VAR61 > 0.0) ? VAR59 : -VAR59 ; end endfunction function real VAR2 ; input real VAR61 ; input real VAR1 ; real VAR59 ; begin VAR59 = 0.0 ; if( VAR61 < 0.0 ) VAR59 = VAR54 - VAR21(-VAR13(VAR1)/VAR61) ; end else if( VAR61 > 0.0 ) VAR59 = VAR21(VAR13(VAR1)/VAR61) ; else if( VAR61 == 0.0 ) VAR59 = VAR17 ; VAR2 = (VAR1 < 0.0) ? -VAR59 : VAR59 ; end endfunction function real VAR63 ; input real VAR61 ; begin VAR63 = (VAR22(VAR61) - VAR22(-VAR61))/2.0 ; end endfunction function real VAR15 ; input real VAR61 ; begin VAR15 = (VAR22(VAR61) + VAR22(-VAR61))/2.0 ; end endfunction function real VAR24 ; input real VAR61 ; real VAR65 ; begin VAR65 = VAR22(2.0*VAR61) ; VAR24 = (VAR65+1.0)/(VAR65-1.0) ; end endfunction function real VAR34 ; input real VAR61 ; begin VAR34 = VAR50(VAR61+VAR64(VAR61*VAR61+1.0)) ; end endfunction function real VAR62 ; input real VAR61 ; begin VAR62 = VAR40(VAR61+VAR64(VAR61*VAR61-1.0)) ; end endfunction function real VAR31 ; input real VAR61 ; begin VAR31 = 0.5*VAR40((1.0+VAR61)/(1.0-VAR61)) ; end endfunction endmodule
gpl-2.0
SI-RISCV/e200_opensource
rtl/e203/core/e203_cpu.v
28,747
module MODULE1 #( parameter VAR171 = 1 )( output [VAR179-1:0] VAR154, output VAR147 , output VAR183, output VAR83, output VAR76, output VAR2, output VAR33 , output VAR93 , output VAR46, output VAR142, output VAR137, output VAR116, input [VAR179-1:0] VAR164, output [VAR179-1:0] VAR38, output VAR71, output [3-1:0] VAR84, output VAR67, output VAR224, output VAR80 , output VAR146 , output VAR79, output [32-1:0] VAR144 , input [32-1:0] VAR242 , input [VAR179-1:0] VAR175 , input [32-1:0] VAR12, input VAR69, input VAR231, input VAR72, input VAR248, input VAR202, input [VAR148-1:0] VAR205, input VAR221, input VAR185, input VAR139, input VAR40, output VAR230, input [VAR229-1:0] VAR36, input VAR97, input [VAR159-1:0] VAR199, input [VAR159/8-1:0] VAR107, output VAR103, input VAR99, output VAR233 , output [VAR159-1:0] VAR140, output VAR87, input [VAR127-1:0] VAR132, input VAR48, input [VAR159-1:0] VAR25, input [VAR159/8-1:0] VAR196, output VAR16, input VAR58, output VAR41 , output [VAR159-1:0] VAR189, input [VAR4-1:0] VAR75, input VAR236, output VAR28, input VAR34, output [VAR4-1:0] VAR27, output VAR114, output [VAR159-1:0] VAR5, output [VAR159/8-1:0] VAR135, output VAR91, output VAR101, output [1:0] VAR184, input VAR21, output VAR138, input VAR212 , input VAR62 , input [VAR159-1:0] VAR190, input [VAR4-1:0] VAR188, input VAR169, output VAR61, input VAR134, output [VAR4-1:0] VAR11, output VAR86, output [VAR159-1:0] VAR128, output [VAR159/8-1:0] VAR35, output VAR131, output VAR158, output [1:0] VAR78, input VAR209, output VAR100, input VAR177 , input VAR226 , input [VAR159-1:0] VAR129, input [VAR4-1:0] VAR157, input VAR68, output VAR145, input VAR77, output [VAR4-1:0] VAR8, output VAR187, output [VAR159-1:0] VAR88, output [VAR159/8-1:0] VAR125, output VAR45, output VAR19, output [1:0] VAR241, input VAR206, output VAR64, input VAR213 , input VAR32 , input [VAR159-1:0] VAR126, input VAR238, output VAR193, input VAR240, output [VAR4-1:0] VAR119, output VAR73, output [VAR159-1:0] VAR210, output [VAR159/8-1:0] VAR110, output VAR109, output VAR24, output [1:0] VAR222, input VAR102, output VAR161, input VAR56 , input VAR204 , input [VAR159-1:0] VAR18, output VAR143, input VAR43, output [VAR4-1:0] VAR176, output VAR133, output [VAR159-1:0] VAR195, output [VAR159/8-1:0] VAR65, output VAR7, output VAR85, output [1:0] VAR53, output [1:0] VAR170, output [1:0] VAR17, input VAR120, output VAR247, input VAR1 , input VAR60, input [VAR159-1:0] VAR15, output VAR82, output VAR136, output [VAR234-1:0] VAR122, output [VAR20-1:0] VAR121, output [VAR51-1:0] VAR55, input [VAR51-1:0] VAR160, output VAR111, output VAR50, output VAR198, output [VAR52-1:0] VAR178, output [VAR163-1:0] VAR173, output [VAR141-1:0] VAR96, input [VAR141-1:0] VAR39, output VAR235, input VAR227, input clk, input VAR108 ); wire VAR174; wire VAR63; wire VAR26; wire VAR149; wire VAR105; wire VAR203; wire VAR207; wire VAR9; wire VAR245; wire VAR194; wire VAR49; wire VAR37; wire VAR200; wire VAR115; wire VAR106; wire VAR29; wire VAR239; VAR181 #(.VAR171(VAR171)) VAR117 ( .clk (VAR239 ), .VAR108 (VAR108 ), .VAR227 (VAR227), .VAR207 (VAR207), .VAR46 (VAR46), .VAR142 (VAR142), .VAR29 (VAR29) ); VAR30 VAR89( .clk (clk ), .VAR108 (VAR29 ), .VAR227 (VAR227 ), .VAR239 (VAR239 ), .VAR174 (VAR174), .VAR9 (VAR9 ), .VAR245 (VAR245 ), .VAR194 (VAR194 ), .VAR49 (VAR49 ), .VAR37 (VAR37 ), .VAR200 (VAR200), .VAR186 (VAR186 ), .VAR115 (VAR115 ), .VAR106 (VAR106), .VAR219 (VAR219 ), .VAR26(VAR26), .VAR149(VAR149), .VAR105(VAR105), .VAR203(VAR203), .VAR137 (VAR137 ) ); wire VAR112; wire VAR208; wire VAR42; VAR220 #(.VAR171(VAR171)) VAR98( .clk (VAR239 ), .VAR108 (VAR29 ), .VAR221 (VAR221), .VAR224 (VAR224), .VAR185 (VAR185), .VAR139 (VAR139), .VAR40 (VAR40), .VAR112 (VAR112), .VAR208 (VAR208), .VAR42 (VAR42) ); wire VAR162; wire VAR214; wire [VAR229-1:0] VAR223; wire VAR191; wire VAR216; wire VAR168; wire [VAR211-1:0] VAR47; wire VAR10; wire VAR118; wire [VAR229-1:0] VAR59; wire VAR246; wire [VAR159-1:0] VAR123; wire [VAR159/8-1:0] VAR90; wire VAR225; wire VAR244; wire [1:0] VAR217; wire VAR124; wire VAR182; wire VAR155 ; wire [VAR159-1:0] VAR228; wire VAR215; wire [VAR127-1:0] VAR70; wire VAR13; wire [VAR159-1:0] VAR92; wire [VAR159/8-1:0] VAR130; wire VAR237; wire VAR180; wire [1:0] VAR23; wire VAR57; wire VAR54; wire VAR74 ; wire [VAR159-1:0] VAR201; wire VAR165; wire [31:0] VAR166; wire VAR218; wire [31:0] VAR66; wire [31:0] VAR81; VAR104 VAR3( .VAR167 (VAR167), .VAR165 (VAR165), .VAR166 (VAR166 ), .VAR218 (VAR218 ), .VAR66 (VAR66), .VAR81 (VAR81), .clk (VAR245 ), .VAR108 (VAR207 ) ); VAR95 VAR153( .VAR154 (VAR154), .VAR165 (VAR165), .VAR166 (VAR166 ), .VAR218 (VAR218 ), .VAR66 (VAR66), .VAR81 (VAR81), .VAR174 (VAR174), .VAR116 (VAR116), .VAR164 (VAR164), .VAR31 (VAR26), .VAR150 (VAR149), .VAR192 (VAR105), .VAR44 (VAR203), .VAR137 (VAR137), .VAR205 (VAR205), .VAR224 (VAR224), .VAR197 (VAR152'b0), .VAR112 (VAR112), .VAR208 (VAR208), .VAR42 (VAR42), .VAR243 (VAR156'b0), .VAR38 (VAR38 ), .VAR71 (VAR71 ), .VAR84 (VAR84 ), .VAR67 (VAR67 ), .VAR80 (VAR80 ), .VAR146 (VAR146 ), .VAR79 (VAR79), .VAR144 (VAR144 ), .VAR242 (VAR242 ), .VAR175 (VAR175 ), .VAR12 (VAR12 ), .VAR69 (VAR69 ), .VAR231 (VAR231 ), .VAR72 (VAR72 ), .VAR248 (VAR248), .VAR202 (VAR202), .VAR232 (VAR232 ), .VAR162 (VAR162), .VAR214 (VAR214), .VAR223 (VAR223 ), .VAR191 (VAR191), .VAR216 (VAR216), .VAR168 (VAR168 ), .VAR47 (VAR47), .VAR10 (VAR10), .VAR118 (VAR118), .VAR59 (VAR59 ), .VAR246 (VAR246 ), .VAR123 (VAR123), .VAR90 (VAR90), .VAR225 (VAR225 ), .VAR244 (VAR244 ), .VAR217 (VAR217 ), .VAR124 (VAR124), .VAR182 (VAR182), .VAR155 (VAR155 ), .VAR22(1'b0), .VAR228 (VAR228), .VAR6 (VAR6), .VAR215 (VAR215), .VAR70 (VAR70 ), .VAR13 (VAR13 ), .VAR92 (VAR92), .VAR130 (VAR130), .VAR237 (VAR237 ), .VAR180 (VAR180 ), .VAR23 (VAR23 ), .VAR57 (VAR57), .VAR54 (VAR54), .VAR74 (VAR74 ), .VAR14(1'b0), .VAR201 (VAR201), .VAR236 (VAR236), .VAR75 (VAR75 ), .VAR28 (VAR28), .VAR34 (VAR34), .VAR27 (VAR27 ), .VAR114 (VAR114 ), .VAR5 (VAR5), .VAR135 (VAR135), .VAR91 (VAR91 ), .VAR101 (VAR101 ), .VAR184 (VAR184 ), .VAR21 (VAR21), .VAR138 (VAR138), .VAR212 (VAR212 ), .VAR62 (VAR62), .VAR190 (VAR190), .VAR68 (VAR68), .VAR157 (VAR157 ), .VAR145 (VAR145), .VAR77 (VAR77), .VAR8 (VAR8 ), .VAR187 (VAR187 ), .VAR88 (VAR88), .VAR125 (VAR125), .VAR45 (VAR45 ), .VAR19 (VAR19 ), .VAR241 (VAR241 ), .VAR206 (VAR206), .VAR64 (VAR64), .VAR213 (VAR213 ), .VAR32 (VAR32), .VAR126 (VAR126), .VAR169 (VAR169), .VAR188 (VAR188 ), .VAR61 (VAR61), .VAR134 (VAR134), .VAR11 (VAR11 ), .VAR86 (VAR86 ), .VAR128 (VAR128), .VAR35 (VAR35), .VAR131 (VAR131 ), .VAR158 (VAR158 ), .VAR78 (VAR78 ), .VAR209 (VAR209), .VAR100 (VAR100), .VAR177 (VAR177 ), .VAR226 (VAR226), .VAR129 (VAR129), .VAR151 (VAR151 ), .VAR193 (VAR193), .VAR240 (VAR240), .VAR119 (VAR119 ), .VAR73 (VAR73 ), .VAR210 (VAR210), .VAR110 (VAR110), .VAR109 (VAR109 ), .VAR24 (VAR24 ), .VAR222 (VAR222 ), .VAR102 (VAR102), .VAR161 (VAR161), .VAR56 (VAR56 ), .VAR204 (VAR204), .VAR18 (VAR18), .VAR143 (VAR143), .VAR43 (VAR43), .VAR176 (VAR176 ), .VAR133 (VAR133 ), .VAR195 (VAR195), .VAR65 (VAR65), .VAR7 (VAR7 ), .VAR85 (VAR85 ), .VAR53 (VAR53 ), .VAR170 (VAR170 ), .VAR17 (VAR17 ), .VAR120 (VAR120), .VAR247 (VAR247), .VAR1 (VAR1 ), .VAR60(VAR60 ), .VAR15 (VAR15), .VAR239 (VAR239 ), .VAR9 (VAR9 ), .VAR245 (VAR245 ), .VAR194 (VAR194 ), .VAR49 (VAR49 ), .VAR227 (VAR227), .VAR108 (VAR207 ) ); .VAR63 (VAR63), .VAR200 (VAR200), .VAR162 (VAR162), .VAR214 (VAR214), .VAR223 (VAR223 ), .VAR113 (1'b1 ), .VAR94 ({VAR211{1'b0}}), .VAR172 ({VAR211/8{1'b0}}), .VAR191 (VAR191), .VAR216 (VAR216), .VAR168 (VAR168 ), .VAR47 (VAR47), .VAR232 (VAR232 ), .VAR10 (VAR10), .VAR118 (VAR118), .VAR59 (VAR59 ), .VAR246 (VAR246 ), .VAR123 (VAR123), .VAR90 (VAR90), .VAR124 (VAR124), .VAR182 (VAR182), .VAR155 (VAR155 ), .VAR228 (VAR228), .VAR82 (VAR82 ), .VAR136 (VAR136 ), .VAR122 (VAR122), .VAR121 (VAR121 ), .VAR55 (VAR55 ), .VAR160 (VAR160), .VAR111 (VAR111 ), .VAR230 (VAR230), .VAR36 (VAR36 ), .VAR97 (VAR97 ), .VAR199 (VAR199), .VAR107 (VAR107), .VAR103 (VAR103), .VAR99 (VAR99), .VAR233 (VAR233 ), .VAR140 (VAR140), .VAR227 (VAR227), .clk (VAR37), .VAR108 (VAR46) ); .VAR63 (VAR63), .VAR106 (VAR106), .VAR6 (VAR6), .VAR215 (VAR215), .VAR70 (VAR70 ), .VAR13 (VAR13 ), .VAR92 (VAR92), .VAR130 (VAR130), .VAR57 (VAR57), .VAR54 (VAR54), .VAR74 (VAR74 ), .VAR201 (VAR201), .VAR50 (VAR50 ), .VAR198 (VAR198 ), .VAR178 (VAR178), .VAR173 (VAR173 ), .VAR96 (VAR96 ), .VAR39 (VAR39), .VAR235 (VAR235 ), .VAR87 (VAR87), .VAR132 (VAR132 ), .VAR48 (VAR48 ), .VAR25 (VAR25), .VAR196 (VAR196), .VAR16 (VAR16), .VAR58 (VAR58), .VAR41 (VAR41 ), .VAR189 (VAR189), .VAR227 (VAR227), .clk (VAR115), .VAR108 (VAR142) ); assign VAR147 = VAR221; assign VAR183 = VAR143; assign VAR83 = VAR43; assign VAR76 = VAR120; assign VAR2 = VAR247; assign VAR33 = clk; assign VAR93 = VAR245; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a211oi/sky130_fd_sc_lp__a211oi.blackbox.v
1,368
module MODULE1 ( VAR2 , VAR9, VAR8, VAR4, VAR5 ); output VAR2 ; input VAR9; input VAR8; input VAR4; input VAR5; supply1 VAR7; supply0 VAR1; supply1 VAR6 ; supply0 VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlygate4sd2/sky130_fd_sc_hdll__dlygate4sd2.blackbox.v
1,296
module MODULE1 ( VAR1, VAR4 ); output VAR1; input VAR4; supply1 VAR6; supply0 VAR5; supply1 VAR2 ; supply0 VAR3 ; endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bwjx1c64a/ExUnitW.v
16,433
module MODULE1( VAR54, reset, VAR112, VAR165, VAR2, VAR271, VAR246, VAR34, VAR240, VAR288, VAR110, VAR186 ); input VAR54; input reset; output[31:0] VAR112; inout[127:0] VAR165; output VAR2; output VAR271; input VAR246; output[31:0] VAR34; inout[31:0] VAR240; output VAR288; output VAR110; input[1:0] VAR186; assign VAR112 = 32'VAR188; assign VAR165 = 128'VAR188; assign VAR2 = 0; assign VAR271 = 0; assign VAR34 = VAR241; assign VAR240 = VAR211 ? VAR274 : 32'VAR188; assign VAR288 = VAR122; assign VAR110 = VAR211; assign VAR220 = VAR186; wire[63:0] VAR51; wire[63:0] VAR239; wire[63:0] VAR261; wire[63:0] VAR235; wire[63:0] VAR209; wire[63:0] VAR222; wire[63:0] VAR248; wire[63:0] VAR76; wire[63:0] VAR93; wire[63:0] VAR15; wire[63:0] VAR297; wire[63:0] VAR14; wire[63:0] VAR120; reg[63:0] VAR75; reg[63:0] VAR3; reg[63:0] VAR132; reg[63:0] VAR233; reg[63:0] VAR180; reg[63:0] VAR17; reg[63:0] VAR5; reg[63:0] VAR171; reg[63:0] VAR85; reg[63:0] VAR47; reg[63:0] VAR182; reg[63:0] VAR270; reg[63:0] VAR106; reg VAR67; reg[7:0] VAR181; reg[7:0] VAR117; reg[63:0] VAR175; reg[127:0] VAR169; wire[127:0] VAR273; wire[1:0] VAR115; reg VAR133; reg VAR53; reg[4:0] VAR146; reg[127:0] VAR253; wire[127:0] VAR207; wire[31:0] VAR272; wire VAR197; wire VAR87; reg[1:0] VAR230; reg[31:0] VAR237; wire[31:0] VAR274; wire[31:0] VAR241; wire VAR122; wire VAR211; wire[1:0] VAR220; VAR139 VAR154( VAR54, reset, VAR175, VAR169, VAR273, VAR115, VAR133, VAR53, VAR146, VAR253, VAR207, VAR272, VAR197, VAR87, VAR230, VAR237, VAR274, VAR241, VAR122, VAR211, VAR220 ); reg[63:0] VAR126; reg[63:0] VAR19; wire[63:0] VAR221; wire[1:0] VAR224; reg VAR199; reg VAR102; reg[4:0] VAR184; reg[127:0] VAR128; wire[127:0] VAR18; wire[63:0] VAR35; wire VAR163; wire VAR142; reg[1:0] VAR83; wire[4:0] VAR59; VAR131 VAR72( VAR54, reset, VAR19, VAR221, VAR126, VAR224, VAR199, VAR102, VAR184, VAR128, VAR18, VAR35, VAR83, VAR163, VAR142, VAR59 ); reg[63:0] VAR92; reg[63:0] VAR32; wire[127:0] VAR173; wire[1:0] VAR26; reg[127:0] VAR135; wire[63:0] VAR206; wire VAR267; reg[1:0] VAR157; VAR134 VAR162(VAR54, reset, VAR92, VAR173, VAR26, VAR135, VAR206, VAR267, VAR157); reg[127:0] VAR285; reg[63:0] VAR11; reg[63:0] VAR124; reg[15:0] VAR79; reg[127:0] VAR172; wire[6:0] VAR234; wire[6:0] VAR27; wire[6:0] VAR121; wire[6:0] VAR21; wire[6:0] VAR202; wire[6:0] VAR65; wire[6:0] VAR89; wire[6:0] VAR226; wire[6:0] VAR223; wire[6:0] VAR192; wire[6:0] VAR103; wire[6:0] VAR63; wire[6:0] VAR41; wire[6:0] VAR70; wire[6:0] VAR137; wire[31:0] VAR218; wire[31:0] VAR88; wire[31:0] VAR251; wire[31:0] VAR216; wire[31:0] VAR24; wire[7:0] VAR140; wire[7:0] VAR4; wire[7:0] VAR31; wire[7:0] VAR149; wire[7:0] VAR198; wire VAR58; VAR210 VAR28( VAR54, VAR172, VAR58, VAR234, VAR27, VAR121, VAR218, VAR140, VAR21, VAR202, VAR65, VAR88, VAR4, VAR89, VAR226, VAR223, VAR251, VAR31, VAR192, VAR103, VAR63, VAR216, VAR149, VAR41, VAR70, VAR137, VAR24, VAR198); wire[63:0] VAR243; wire[63:0] VAR16; wire[63:0] VAR238; wire[63:0] VAR33; wire[63:0] VAR229; wire[63:0] VAR45; wire[63:0] VAR200; wire[63:0] VAR177; wire[63:0] VAR254; wire[63:0] VAR227; wire[63:0] VAR38; wire[63:0] VAR22; wire[63:0] VAR278; wire[63:0] VAR9; wire[63:0] VAR280; reg[6:0] VAR292; reg[6:0] VAR174; reg[6:0] VAR116; reg[6:0] VAR144; reg[6:0] VAR293; reg[63:0] VAR52; reg[63:0] VAR262; reg[63:0] VAR138; reg[63:0] VAR164; reg[63:0] VAR291; reg[63:0] VAR101; wire[63:0] VAR60; wire[63:0] VAR257; wire[63:0] VAR282; wire[63:0] VAR276; wire[63:0] VAR166; assign VAR60 = { VAR218[31]?32'hFFFFFFFF:32'h00000000, VAR218 }; assign VAR257 = { VAR88[31]?32'hFFFFFFFF:32'h00000000, VAR88 }; assign VAR282 = { VAR251[31]?32'hFFFFFFFF:32'h00000000, VAR251 }; assign VAR276 = { VAR216[31]?32'hFFFFFFFF:32'h00000000, VAR216 }; assign VAR166 = { VAR24[31]?32'hFFFFFFFF:32'h00000000, VAR24 }; VAR37 VAR1( VAR54, VAR101, VAR234, VAR27, VAR121, VAR292, VAR21, VAR202, VAR65, VAR174, VAR89, VAR226, VAR223, VAR116, VAR192, VAR103, VAR63, VAR144, VAR41, VAR70, VAR137, VAR293, VAR243, VAR16, VAR238, VAR52, VAR60, VAR33, VAR229, VAR45, VAR262, VAR257, VAR200, VAR177, VAR254, VAR138, VAR282, VAR227, VAR38, VAR22, VAR164, VAR276, VAR278, VAR9, VAR280, VAR291, VAR166, VAR248, VAR75, VAR261, VAR3, VAR14, VAR132, VAR51, VAR233, VAR239, VAR180, VAR120, VAR106, VAR76, VAR17, VAR93, VAR5, VAR15, VAR171, VAR297, VAR85, VAR235, VAR47, VAR209, VAR182, VAR222, VAR270 ); reg[63:0] VAR249; reg[63:0] VAR104; reg[15:0] VAR193; reg[127:0] VAR91; reg[6:0] VAR80; reg[6:0] VAR176; reg[6:0] VAR264; reg[6:0] VAR108; reg[6:0] VAR109; reg[6:0] VAR113; reg[6:0] VAR145; reg[6:0] VAR147; reg[6:0] VAR201; reg[6:0] VAR232; reg[6:0] VAR256; reg[6:0] VAR194; reg[6:0] VAR296; reg[6:0] VAR125; reg[6:0] VAR196; reg[31:0] VAR159; reg[31:0] VAR167; reg[31:0] VAR279; reg[31:0] VAR86; reg[31:0] VAR97; reg[7:0] VAR30; reg[7:0] VAR259; reg[7:0] VAR244; reg[7:0] VAR252; reg[7:0] VAR269; reg VAR46; reg[63:0] VAR81; reg[63:0] VAR161; reg[63:0] VAR266; reg[63:0] VAR155; reg[63:0] VAR268; reg[63:0] VAR187; reg[63:0] VAR219; reg[63:0] VAR114; reg[63:0] VAR212; reg[63:0] VAR215; reg[63:0] VAR295; reg[63:0] VAR98; reg[63:0] VAR247; reg[63:0] VAR99; reg[63:0] VAR55; reg[127:0] VAR300; reg[15:0] VAR153; reg[63:0] VAR66; reg[63:0] VAR90; reg[63:0] VAR284; wire[1:0] VAR294; reg[3:0] VAR225; reg[3:0] VAR7; reg[6:0] VAR64; reg[6:0] VAR10; reg[6:0] VAR158; wire[6:0] VAR151; reg[63:0] VAR25; reg[7:0] VAR29; reg[63:0] VAR130; reg[63:0] VAR8; reg[63:0] VAR141; wire[63:0] VAR148; reg[6:0] VAR69; reg[6:0] VAR119; reg[6:0] VAR49; wire[6:0] VAR299; reg[63:0] VAR77; reg[7:0] VAR74; reg[63:0] VAR277; reg[63:0] VAR123; reg[63:0] VAR170; wire[63:0] VAR62; reg[6:0] VAR287; reg[6:0] VAR42; reg[6:0] VAR245; wire[6:0] VAR160; reg[63:0] VAR242; reg[7:0] VAR150; reg[63:0] VAR84; reg[63:0] VAR50; reg[63:0] VAR111; wire[63:0] VAR23; reg[6:0] VAR152; reg[6:0] VAR57; reg[6:0] VAR204; wire[6:0] VAR107; reg[63:0] VAR12; reg[7:0] VAR281; reg[63:0] VAR48; reg[63:0] VAR236; reg[63:0] VAR203; wire[63:0] VAR283; reg[6:0] VAR228; reg[6:0] VAR56; reg[6:0] VAR13; wire[6:0] VAR129; reg[63:0] VAR118; reg[7:0] VAR127; reg[63:0] VAR6; reg[63:0] VAR265; reg[63:0] VAR290; wire[63:0] VAR39; wire[63:0] VAR178; wire[63:0] VAR286; wire VAR100; wire VAR250; wire[4:0] VAR68; wire[7:0] VAR195; wire[63:0] VAR191; wire[63:0] VAR36; wire[63:0] VAR208; wire[63:0] VAR105; wire[63:0] VAR263; wire[63:0] VAR183; wire[63:0] VAR275; wire[63:0] VAR73; wire[63:0] VAR95; wire[63:0] VAR43; wire[63:0] VAR289; VAR190 VAR94( VAR54, reset, VAR225, VAR66, VAR294, VAR10, VAR8, VAR158, VAR141, VAR64, VAR130, VAR151, VAR148, VAR25, VAR29, VAR119, VAR123, VAR49, VAR170, VAR69, VAR277, VAR299, VAR62, VAR77, VAR74, VAR42, VAR50, VAR245, VAR111, VAR287, VAR84, VAR160, VAR23, VAR242, VAR150, VAR57, VAR236, VAR204, VAR203, VAR152, VAR48, VAR107, VAR283, VAR12, VAR281, VAR56, VAR265, VAR13, VAR290, VAR228, VAR6, VAR129, VAR39, VAR118, VAR127, VAR178, VAR286, VAR100, VAR250, VAR68, VAR195, VAR248, VAR191, VAR261, VAR36, VAR90, VAR208, VAR51, VAR105, VAR239, VAR263, VAR120, VAR289, VAR76, VAR183, VAR93, VAR275, VAR15, VAR73, VAR297, VAR95, VAR235, VAR43 ); wire[6:0] VAR214; wire[63:0] VAR136; wire[6:0] VAR96; wire[63:0] VAR40; wire[6:0] VAR61; wire[63:0] VAR217; wire[6:0] VAR231; wire[63:0] VAR78; wire[6:0] VAR82; wire[63:0] VAR71; wire[6:0] VAR156; wire[63:0] VAR44; wire[6:0] VAR189; wire[63:0] VAR258; wire[6:0] VAR179; wire[63:0] VAR20; wire[6:0] VAR168; wire[63:0] VAR298; wire[6:0] VAR255; wire[63:0] VAR185; always @* begin VAR79=0; VAR79[0]=1; VAR79[1]=VAR222[19]; VAR79[2]=VAR222[20]; VAR79[3]=VAR222[21]; VAR79[4]=VAR222[24]; VAR79[5]=VAR248[31]; VAR79[6]=VAR248[12]; VAR117=8'h55; if(reset) VAR117=8'h00; VAR67 = 0; if(VAR26!=VAR213) VAR67 = 1; if(VAR100||VAR250) begin if(VAR224!=VAR213) VAR67 = 1; end if(VAR294==VAR205) VAR67 = 1; VAR253 = 0; VAR230 = VAR143; VAR237 = 0; VAR101 = VAR11 + 16; VAR66 = VAR92 + 8; VAR225 = 4; VAR106 = VAR289; VAR270 = VAR222; VAR182 = VAR209; VAR75 = VAR191; VAR3 = VAR36; VAR132 = VAR208; VAR233 = VAR105; VAR180 = VAR263; VAR17 = VAR183; VAR5 = VAR275; VAR171 = VAR73; VAR85 = VAR95; VAR47 = VAR43; VAR285 = VAR173; end always @ (posedge VAR54) begin VAR181 <= VAR117; VAR126 <= VAR178; VAR19 <= VAR286; VAR199 <= VAR100; VAR102 <= VAR250; VAR184 <= VAR68; if((VAR100||VAR250) && (VAR224==VAR213)) begin end else begin end if(VAR267) begin VAR175 <= VAR206; VAR169 <= 0; VAR133 <= VAR267; VAR53 <= 0; VAR146 <= 1; VAR135 <= VAR273; VAR157 <= VAR115; VAR128 <= 128'VAR260; if(VAR163 || VAR142) VAR83 <= VAR205; end else VAR83 <= VAR143; end else if(VAR163 || VAR142) begin VAR175 <= VAR35; VAR169 <= VAR18; VAR133 <= VAR163; VAR53 <= VAR142; VAR146 <= VAR59; VAR128 <= VAR273; VAR83 <= VAR115; VAR135 <= 128'VAR260; if(VAR267) VAR157 <= VAR205; end else VAR157 <= VAR143; end else begin VAR175 <= 0; VAR169 <= 0; VAR133 <= 0; VAR53 <= 0; VAR146 <= 1; end VAR92 <= VAR132; VAR32 <= VAR75; VAR11 <= VAR92; VAR124 <= VAR32; VAR172 <= VAR173; VAR249 <= VAR11; VAR104 <= VAR104; VAR193 <= VAR193; VAR91 <= VAR172; VAR80 <= VAR234; VAR176 <= VAR27; VAR264 <= VAR121; VAR108 <= VAR21; VAR109 <= VAR202; VAR113 <= VAR65; VAR145 <= VAR89; VAR147 <= VAR226; VAR201 <= VAR223; VAR232 <= VAR192; VAR256 <= VAR103; VAR194 <= VAR63; VAR296 <= VAR41; VAR125 <= VAR70; VAR196 <= VAR137; VAR159 <= VAR218; VAR167 <= VAR88; VAR279 <= VAR251; VAR86 <= VAR216; VAR97 <= VAR24; VAR30 <= VAR140; VAR259 <= VAR4; VAR244 <= VAR31; VAR252 <= VAR149; VAR269 <= VAR198; VAR46 <= VAR58; VAR81 <= VAR243; VAR161 <= VAR16; VAR266 <= VAR238; VAR155 <= VAR33; VAR268 <= VAR229; VAR187 <= VAR45; VAR219 <= VAR200; VAR114 <= VAR177; VAR212 <= VAR254; VAR215 <= VAR227; VAR295 <= VAR38; VAR98 <= VAR22; VAR247 <= VAR278; VAR99 <= VAR9; VAR55 <= VAR280; VAR300 <= VAR91; VAR153 <= VAR193; VAR90 <= VAR249; VAR284 <= VAR104; VAR64 <= VAR80; VAR10 <= VAR176; VAR158 <= VAR264; VAR130 <= VAR81; VAR8 <= VAR161; VAR141 <= VAR266; VAR25 <= { VAR159[31] ? 32'hFFFFFFFF : 32'h0, VAR159 }; VAR29 <= VAR30; VAR69 <= VAR108; VAR119 <= VAR109; VAR49 <= VAR113; VAR277 <= VAR155; VAR123 <= VAR268; VAR170 <= VAR187; VAR77 <= { VAR167[31] ? 32'hFFFFFFFF : 32'h0, VAR167 }; VAR74 <= VAR259; VAR287 <= VAR145; VAR42 <= VAR147; VAR245 <= VAR201; VAR84 <= VAR219; VAR50 <= VAR114; VAR111 <= VAR212; VAR242 <= { VAR279[31] ? 32'hFFFFFFFF : 32'h0, VAR279 }; VAR150 <= VAR244; VAR152 <= VAR232; VAR57 <= VAR256; VAR204 <= VAR194; VAR48 <= VAR215; VAR236 <= VAR295; VAR203 <= VAR98; VAR12 <= { VAR86[31] ? 32'hFFFFFFFF : 32'h0, VAR86 }; VAR281 <= VAR252; VAR228 <= VAR296; VAR56 <= VAR125; VAR13 <= VAR196; VAR6 <= VAR247; VAR265 <= VAR99; VAR290 <= VAR55; VAR118 <= { VAR97[31] ? 32'hFFFFFFFF : 32'h0, VAR97 }; VAR127 <= VAR269; VAR292 <= VAR151; VAR52 <= VAR148; VAR174 <= VAR299; VAR116 <= VAR160; VAR144 <= VAR107; VAR293 <= VAR129; VAR262 <= VAR62; VAR138 <= VAR23; VAR164 <= VAR283; VAR291 <= VAR39; end endmodule
mit
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_statisticalInformation_0.v
1,968
module MODULE1 ( address, clk, VAR2, VAR1, VAR3 ) ; output [ 31: 0] VAR3; input [ 1: 0] address; input clk; input [ 31: 0] VAR2; input VAR1; wire VAR6; wire [ 31: 0] VAR5; wire [ 31: 0] VAR4; reg [ 31: 0] VAR3; assign VAR6 = 1; assign VAR4 = {32 {(address == 0)}} & VAR5; always @(posedge clk or negedge VAR1) begin if (VAR1 == 0) VAR3 <= 0; end else if (VAR6) VAR3 <= {32'b0 | VAR4}; end assign VAR5 = VAR2; endmodule
gpl-3.0
asicguy/gplgpu
hdl/altera_project/dpram_128_32x32_be/dpram_128_32x32_be_bb.v
7,494
module MODULE1 ( VAR6, VAR7, VAR5, VAR1, VAR2, VAR3, VAR8, VAR4); input [127:0] VAR6; input VAR7; input [3:0] VAR5; input [5:0] VAR1; input [15:0] VAR2; input VAR3; input VAR8; output [31:0] VAR4; endmodule
gpl-3.0
n8thenetninja/Cloud-Car
VeriLog/QuartusProjects/ServoController/i2cslave/trunk/model/i2c_master_bit_ctrl.v
17,484
module MODULE1( clk, rst, VAR19, VAR7, VAR43, VAR32, VAR42, VAR6, VAR41, din, dout, VAR18, VAR17, VAR23, VAR16, VAR10, VAR5 ); input clk; input rst; input VAR19; input VAR43; input [15:0] VAR7; input [3:0] VAR32; output VAR42; reg VAR42; output VAR6; reg VAR6; output VAR41; reg VAR41; input din; output dout; reg dout; input VAR18; output VAR17; output VAR23; reg VAR23; input VAR16; output VAR10; output VAR5; reg VAR5; reg VAR2, VAR24; reg VAR1; reg VAR31; reg VAR36; wire VAR39; reg [15:0] VAR9; reg [16:0] VAR40; always @(posedge clk) VAR1 <= VAR23; assign VAR39 = VAR1 && !VAR2; always @(posedge clk or negedge VAR19) if(~VAR19) begin VAR9 <= 16'h0; VAR36 <= 1'b1; end else if (rst) begin VAR9 <= 16'h0; VAR36 <= 1'b1; end else if ( ~|VAR9 || !VAR43) begin VAR9 <= VAR7; VAR36 <= 1'b1; end else if (VAR39) begin VAR9 <= VAR9; VAR36 <= 1'b0; end else begin VAR9 <= VAR9 - 16'h1; VAR36 <= 1'b0; end reg VAR29, VAR20; reg VAR3; reg VAR37; always @(posedge clk or negedge VAR19) if (~VAR19) begin VAR2 <= 1'b1; VAR24 <= 1'b1; VAR29 <= 1'b1; VAR20 <= 1'b1; end else if (rst) begin VAR2 <= 1'b1; VAR24 <= 1'b1; VAR29 <= 1'b1; VAR20 <= 1'b1; end else begin VAR2 <= VAR18; VAR24 <= VAR16; VAR29 <= VAR2; VAR20 <= VAR24; end always @(posedge clk or negedge VAR19) if (~VAR19) begin VAR3 <= 1'b0; VAR37 <= 1'b0; end else if (rst) begin VAR3 <= 1'b0; VAR37 <= 1'b0; end else begin VAR3 <= ~VAR24 & VAR20 & VAR2; VAR37 <= VAR24 & ~VAR20 & VAR2; end always @(posedge clk or negedge VAR19) if(!VAR19) VAR6 <= 1'b0; else if (rst) VAR6 <= 1'b0; else VAR6 <= (VAR3 | VAR6) & ~VAR37; reg VAR35; always @(posedge clk or negedge VAR19) if (~VAR19) VAR35 <= 1'b0; else if (rst) VAR35 <= 1'b0; else if (VAR36) VAR35 <= VAR32 == VAR13; always @(posedge clk or negedge VAR19) if (~VAR19) VAR41 <= 1'b0; else if (rst) VAR41 <= 1'b0; else VAR41 <= (VAR31 & ~VAR24 & VAR5) | (|VAR40 & VAR37 & ~VAR35); always @(posedge clk) if(VAR2 & ~VAR29) dout <= VAR24; parameter [16:0] VAR12 = 17'b00000000000000000; parameter [16:0] VAR38 = 17'b00000000000000001; parameter [16:0] VAR33 = 17'b00000000000000010; parameter [16:0] VAR34 = 17'b00000000000000100; parameter [16:0] VAR45 = 17'b00000000000001000; parameter [16:0] VAR8 = 17'b00000000000010000; parameter [16:0] VAR15 = 17'b00000000000100000; parameter [16:0] VAR44 = 17'b00000000001000000; parameter [16:0] VAR22 = 17'b00000000010000000; parameter [16:0] VAR26 = 17'b00000000100000000; parameter [16:0] VAR28 = 17'b00000001000000000; parameter [16:0] VAR14 = 17'b00000010000000000; parameter [16:0] VAR4 = 17'b00000100000000000; parameter [16:0] VAR25 = 17'b00001000000000000; parameter [16:0] VAR46 = 17'b00010000000000000; parameter [16:0] VAR30 = 17'b00100000000000000; parameter [16:0] VAR21 = 17'b01000000000000000; parameter [16:0] VAR27 = 17'b10000000000000000; always @(posedge clk or negedge VAR19) if (!VAR19) begin VAR40 <= VAR12; VAR42 <= 1'b0; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end else if (rst | VAR41) begin VAR40 <= VAR12; VAR42 <= 1'b0; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end else begin VAR42 <= 1'b0; if (VAR36) case (VAR40) VAR12: begin case (VAR32) VAR11: VAR40 <= VAR38; VAR40 <= VAR15; VAR40 <= VAR46; VAR40 <= VAR28; default: VAR40 <= VAR12; endcase VAR23 <= VAR23; VAR5 <= VAR5; VAR31 <= 1'b0; end VAR38: begin VAR40 <= VAR33; VAR23 <= VAR23; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR33: begin VAR40 <= VAR34; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR34: begin VAR40 <= VAR45; VAR23 <= 1'b1; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR45: begin VAR40 <= VAR8; VAR23 <= 1'b1; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR8: begin VAR40 <= VAR12; VAR42 <= 1'b1; VAR23 <= 1'b0; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR15: begin VAR40 <= VAR44; VAR23 <= 1'b0; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR44: begin VAR40 <= VAR22; VAR23 <= 1'b1; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR22: begin VAR40 <= VAR26; VAR23 <= 1'b1; VAR5 <= 1'b0; VAR31 <= 1'b0; end VAR26: begin VAR40 <= VAR12; VAR42 <= 1'b1; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR28: begin VAR40 <= VAR14; VAR23 <= 1'b0; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR14: begin VAR40 <= VAR4; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR4: begin VAR40 <= VAR25; VAR23 <= 1'b1; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR25: begin VAR40 <= VAR12; VAR42 <= 1'b1; VAR23 <= 1'b0; VAR5 <= 1'b1; VAR31 <= 1'b0; end VAR46: begin VAR40 <= VAR30; VAR23 <= 1'b0; VAR5 <= din; VAR31 <= 1'b0; end VAR30: begin VAR40 <= VAR21; VAR23 <= 1'b1; VAR5 <= din; VAR31 <= 1'b1; end VAR21: begin VAR40 <= VAR27; VAR23 <= 1'b1; VAR5 <= din; VAR31 <= 1'b1; end VAR27: begin VAR40 <= VAR12; VAR42 <= 1'b1; VAR23 <= 1'b0; VAR5 <= din; VAR31 <= 1'b0; end endcase end assign VAR17 = 1'b0; assign VAR10 = 1'b0; endmodule
gpl-3.0
titorgalaxy/Titor
rtl/verilog/core/Barrel_Processor.v
9,497
module MODULE1 ( VAR180, VAR149, VAR20, VAR74, VAR163, VAR49, VAR121, VAR17, VAR44, VAR92, VAR164, VAR24, VAR94, VAR102, VAR115, VAR139, VAR84, VAR10, VAR39, interrupt, VAR159, VAR79, VAR50, clk, reset ); output wire [VAR169-1:0] VAR180; input wire [VAR169-1:0] VAR149; output wire [VAR169-1:0] VAR20; output wire VAR74; output wire VAR163; output wire [VAR155-1:0] VAR49; output wire [VAR169-1:0] VAR121; input wire [VAR169-1:0] VAR17; output wire [VAR169-1:0] VAR44; output wire VAR92; output wire VAR164; output wire [VAR155-1:0] VAR24; input [VAR169-1:0] VAR94; output wire [VAR169-1:0] VAR102; input [VAR169-1:0] VAR115; input VAR139; input VAR84; input [VAR155-1:0] VAR10; output VAR39; input interrupt; input [VAR169-1:0] VAR159; input [VAR169-1:0] VAR79; input VAR50; input clk; input reset; assign VAR195 = VAR79; wire [(VAR169*VAR129)-1:0] VAR19; wire [VAR129-1:0] VAR181; reg VAR87 [VAR129-1:0]; reg [(VAR169*VAR53)-1:0] VAR136; reg [VAR169-1:0] VAR101 [VAR53-1:0]; reg [VAR169-1:0] VAR186 [VAR53-1:0]; wire VAR12; genvar VAR174; generate for (VAR174=0; VAR174<VAR129; VAR174=VAR174+1) begin : VAR77 assign VAR19[((VAR174+1)*VAR169)-1 : ((VAR174+0)*VAR169)-0] = VAR38[VAR174]; assign VAR181[((VAR174+1)*1)-1 : ((VAR174+0)*1)-0] = VAR87[VAR174]; end always @ begin if((VAR79 == VAR174) && (VAR50==VAR27)) begin VAR101[VAR174] <= 0; end else begin if(VAR186[VAR174]==VAR129) begin VAR101[VAR174] <= VAR186[VAR174]; end else begin VAR101[VAR174] <= VAR186[VAR174]+1; end end end always @(*) begin VAR136[((VAR174+1)*VAR169)-1 : ((VAR174+0)*VAR169)-0] <= VAR101[VAR174]; end end endgenerate VAR179 #( .VAR189 (VAR62 ), .VAR37 (VAR9 ), .VAR55 (VAR9 ) ) VAR132( .din (VAR94 ), .dout (VAR102 ), .address (VAR115 ), .enable (VAR139 ), .VAR72 (VAR84 ), .VAR197 (VAR10 ), .VAR26 (VAR52[7] ), .VAR2 (VAR143[7] ), .VAR103 (VAR170[7] ), .VAR18 (VAR56[7] ), .VAR51 (VAR3[7] ), .VAR89 (VAR82[7] ), .VAR116 (VAR150[5] ), .VAR148 (VAR14[7] ), .VAR65 (0 | VAR122[7] ), .VAR28 (VAR61[7] ), .VAR76 (VAR184 ), .VAR131 (VAR141 ), .VAR110 (VAR153 ), .VAR30 (VAR70 ), .VAR125 (VAR105 ), .VAR66 (VAR135 ), .VAR118 (VAR126 ), .VAR35 (VAR113 ), .VAR165 (VAR104 ), .VAR187 (VAR78 ), .VAR63 (VAR161 ), .VAR108 (VAR122[4] ), .VAR137 (VAR99[3] ), .VAR171 (VAR32[2] ), .VAR64 (VAR114[2] ), .VAR19 (VAR19 ), .VAR181 (VAR181 ), .VAR136 (VAR136 ), .clk (clk ), .reset (reset ) ); assign VAR180 = VAR117; assign VAR20 = VAR154[1]; assign VAR74 = VAR87[1]; assign VAR163 = VAR123; assign VAR49 = VAR155; assign VAR48 = VAR149; VAR120 VAR16 ( .VAR34 (VAR34[2] ), .VAR25 (VAR98 ), .VAR182 (VAR191 ), .VAR122 (VAR42 ), .VAR99 (VAR147 ), .VAR32 (VAR23 ), .VAR11 (VAR93 ), .VAR188 (VAR144 ), .VAR142 (VAR194 ), .VAR175 (VAR160 ), .VAR114 (VAR33 ), .VAR183 (VAR29 ) ); VAR158 VAR69( .VAR173 (VAR1 ), .VAR22 (VAR107 ), .VAR75 (VAR112 ), .VAR54 (VAR4 ), .VAR6 (0 | VAR183[4] ), .VAR131 (VAR131[4] ), .VAR110 (VAR110[4] ), .VAR30 (VAR30[4] ), .VAR8 ( { {(VAR169-VAR172){VAR142[4]}} , VAR175[4]} ), .VAR124 (VAR188[4] ), .VAR67 (VAR11[4] ), .VAR196 (VAR57[4] ), .VAR96 (VAR47[4] ), .enable (VAR95[4] ) ); VAR166 VAR156( .VAR148 (VAR31 ), .VAR145 (VAR162[4] ), .VAR96 (VAR97[4] ), .VAR67 (VAR88[4] ), .VAR151 (VAR46[4] ) ); VAR192 VAR168( .VAR128 (VAR80 ), .VAR45 (VAR131[5] ), .VAR133 (VAR81[5] ? VAR90[5] : VAR183[5] ), .VAR151 (VAR176[5] ), .VAR86 (VAR86[5] ), .VAR150 (VAR85 ), .VAR73 (VAR91[5] ), .VAR111 (VAR167 ) ); assign VAR121 = VAR76[6]; assign VAR44 = VAR128[6]; assign VAR164 = VAR140[6]; assign VAR92 = VAR58[6] && VAR87[6]; assign VAR24 = 2; assign VAR12 = VAR182[6] && VAR59[6]; assign VAR71 = VAR12 ? VAR130[6] : VAR91[6]; assign VAR39 = VAR41[7]; VAR83 VAR152( .VAR148 (VAR138 ), .VAR145 (VAR109[7] ? VAR17 : VAR128[7] ), .VAR197 (VAR100[7] ), .VAR190 (VAR15[7] ) ); VAR5 VAR68( .VAR52 (VAR134 ), .VAR143 (VAR119 ), .VAR170 (VAR146 ), .VAR56 (VAR60 ), .VAR3 (VAR21 ), .VAR82 (VAR7 ), .VAR36( { {(VAR169-VAR127){VAR182[7]}}, VAR183[7] } ), .VAR177 (VAR131[7] ), .VAR13 (VAR90[7] ), .VAR154 (VAR154[7] ), .VAR43 (VAR43[7] ), .VAR178 (VAR178[7] ), .VAR91 (VAR40[7] ), .VAR193 (VAR193[7] ), .VAR185 (VAR185[7] ), .VAR151 (VAR106[7] ), .VAR157 (VAR122[7] ), .interrupt (interrupt ), .VAR159 (VAR159 ) ); endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/common/rtl/ucb_bus_in.v
7,241
module MODULE1 ( VAR33, VAR35, VAR38, VAR30, clk, VAR11, VAR37, VAR21 ); parameter VAR20 = 32; parameter VAR24 = 64; input VAR30; input clk; input VAR11; input [VAR20-1:0] VAR37; output VAR33; output VAR35; output [VAR24+63:0] VAR38; input VAR21; wire VAR41; wire VAR10; wire [VAR20-1:0] VAR15; wire VAR43; wire VAR13; wire [VAR20-1:0] VAR34; wire VAR42; wire VAR16; wire [VAR20-1:0] VAR31; wire VAR19; wire VAR6; wire VAR3; wire [VAR20-1:0] VAR40; wire [(VAR24+64)/VAR20-1:0] VAR27; wire [(VAR24+64)/VAR20-1:0] VAR36; wire [VAR24+63:0] VAR32; wire VAR7; VAR1 #(1) VAR8 (.din(VAR11), .VAR30(VAR30), .en(~VAR10), .clk(clk), .VAR22(VAR41)); VAR12 #(VAR20) VAR39 (.din(VAR37), .en(~VAR10), .clk(clk), .VAR22(VAR15)); VAR17 #(1) VAR28 (.din(VAR21), .clk(clk), .VAR30(VAR30), .VAR22(VAR33)); VAR17 #(1) VAR25 (.din(VAR33), .clk(clk), .VAR30(VAR30), .VAR22(VAR10)); assign VAR43 = VAR21 & ~VAR33; VAR1 #(1) VAR5 (.din(VAR41), .VAR30(VAR30), .en(VAR43), .clk(clk), .VAR22(VAR13)); VAR12 #(VAR20) VAR2 (.din(VAR15), .en(VAR43), .clk(clk), .VAR22(VAR34)); VAR17 #(1) VAR29 (.din(VAR43), .clk(clk), .VAR30(VAR30), .VAR22(VAR42)); VAR1 #(1) VAR4 (.din(VAR41), .VAR30(VAR30), .en(VAR42), .clk(clk), .VAR22(VAR16)); VAR12 #(VAR20) VAR26 (.din(VAR15), .en(VAR42), .clk(clk), .VAR22(VAR31)); assign VAR19 = ~VAR21 & VAR33; VAR17 #(1) VAR23 (.din(VAR19), .clk(clk), .VAR30(VAR30), .VAR22(VAR6)); assign VAR3 = VAR19 ? VAR13 : VAR6 ? VAR16 : VAR41; assign VAR40 = VAR19 ? VAR34 : VAR6 ? VAR31 : VAR15; assign VAR27 = {VAR3, VAR36[(VAR24+64)/VAR20-1:1]}; VAR1 #((VAR24+64)/VAR20) VAR14 (.din(VAR27), .en(~VAR21), .VAR30(VAR30), .clk(clk), .VAR22(VAR36)); assign VAR32 = {VAR40, VAR38[VAR24+63:VAR20]}; VAR12 #(VAR24+64) VAR18 (.din(VAR32), .en(~VAR21), .clk(clk), .VAR22(VAR38)); VAR1 #(1) VAR9 (.din(VAR36[0]), .VAR30(VAR30), .en(~VAR21), .clk(clk), .VAR22(VAR7)); assign VAR35 = VAR36[0] & ~VAR7; endmodule
gpl-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v
3,548
if (VAR39 == VAR40 && VAR9 == VAR33) \ begin: VAR13 \ VAR18 VAR6 \ (.VAR32 (VAR31 ) \ ,.VAR14 (VAR34) \ ,.VAR41 (~VAR19 ) \ ,.VAR20 (~VAR37) \ ,.VAR15 (~VAR17 ) \ ,.VAR30 (VAR5) \ ,.VAR8 (VAR35) \ ,.VAR1 (1'd0 ) \ ,.VAR36 (3'd3 ) \ ,.VAR3 (2'd1 ) \ ,.VAR26 (1'd0 ) \ ,.VAR7 (1'b1 ) \ ); \ end if (VAR39 == VAR40 && VAR9 == VAR33) \ begin: VAR13 \ VAR10 VAR6 \ (.VAR14 (VAR34) \ ,.VAR32 (VAR31 ) \ ,.VAR41 (~VAR19 ) \ ,.VAR20 (~VAR37) \ ,.VAR15 (~VAR17 ) \ ,.VAR30 (VAR5) \ ,.VAR8 (VAR35) \ ,.VAR1 (1'd0 ) \ ,.VAR36 (3'd3 ) \ ,.VAR3 (2'd1 ) \ ,.VAR26 (1'd0 ) \ ,.VAR7 (1'b1 ) \ ); \ end module MODULE1 #(parameter VAR38(VAR9) , parameter VAR38(VAR39) , parameter VAR27=VAR23(VAR39)) (input VAR31 , input VAR24 , input [VAR9-1:0] VAR35 , input [VAR27-1:0] VAR5 , input VAR19 , input [VAR9-1:0] VAR37 , input VAR17 , output [VAR9-1:0] VAR34 ); VAR22 ,.VAR39(VAR39) ) VAR28 (.*); VAR25 @(posedge VAR31) if (VAR19) assert (VAR5 < VAR39) else ("VAR16 address %VAR11 VAR4 %VAR29 VAR21 VAR12 %VAR11\VAR2", VAR5, VAR39); begin
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/diode/sky130_fd_sc_hvl__diode.functional.pp.v
1,204
module MODULE1 ( VAR4, VAR5 , VAR2 , VAR1 , VAR3 ); input VAR4; input VAR5 ; input VAR2 ; input VAR1 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o211a/sky130_fd_sc_hd__o211a.functional.pp.v
2,036
module MODULE1 ( VAR1 , VAR5 , VAR7 , VAR17 , VAR11 , VAR4, VAR8, VAR9 , VAR3 ); output VAR1 ; input VAR5 ; input VAR7 ; input VAR17 ; input VAR11 ; input VAR4; input VAR8; input VAR9 ; input VAR3 ; wire VAR10 ; wire VAR13 ; wire VAR15; or VAR16 (VAR10 , VAR7, VAR5 ); and VAR12 (VAR13 , VAR10, VAR17, VAR11 ); VAR14 VAR6 (VAR15, VAR13, VAR4, VAR8); buf VAR2 (VAR1 , VAR15 ); endmodule
apache-2.0
FAST-Switch/fast
projects/SDTS/hw-src/pkt_mux.v
3,911
module MODULE1( input clk, input reset, input [339:0] VAR18, input VAR1, input [339:0] VAR22, input VAR13, output reg [4:0] VAR9, output reg VAR14, output reg [63:0] VAR28, output reg VAR33, output reg VAR11, output reg [7:0] VAR23, output reg [127:0] VAR12, output reg [127:0] VAR2, output reg [71:0] VAR17 ); reg VAR6,VAR3; wire VAR10,VAR34; wire [339:0] VAR20,VAR7; reg [2:0] VAR8; parameter VAR21 = 3'd0, VAR5 = 3'd1, VAR31 = 3'd2, VAR15 = 3'd3; always @ (posedge clk or negedge reset) if(!reset) begin VAR6 <= 1'b0; VAR3 <= 1'b0; VAR9 <= 5'b0; VAR14 <= 1'b0; VAR11 <= 1'b0; VAR23 <= 8'b0; VAR12 <= 128'b0; VAR2 <= 128'b0; VAR17 <= 72'b0; VAR33 <= 1'b0; VAR28 <= 64'b0; VAR8 <= VAR21; end else begin case(VAR8) VAR21: begin VAR9 <= 5'b0; VAR14 <= 1'b0; VAR11 <= 1'b0; VAR23 <= 8'b0; VAR12 <= 128'b0; VAR2 <= 128'b0; VAR17 <= 72'b0; VAR33 <= 1'b0; VAR28 <= 64'b0; if(VAR10 == 1'b1) begin VAR8 <= VAR5; end else begin VAR6 <= 1'b1; VAR8 <= VAR31; end end VAR5: begin VAR9 <= 5'b0; VAR14 <= 1'b0; VAR11 <= 1'b0; VAR23 <= 8'b0; VAR12 <= 128'b0; VAR2 <= 128'b0; VAR17 <= 72'b0; VAR33 <= 1'b0; VAR28 <= 64'b0; if(VAR34 == 1'b1) begin VAR8 <= VAR21; end else begin VAR3 <= 1'b1; VAR8 <= VAR15; end end VAR31: begin VAR6 <= 1'b0; VAR9 <= {1'b0,VAR20[339:336]}; VAR14 <= 1'b1; VAR11 <= 1'b1; VAR23 <= VAR20[335:328]; VAR12 <= VAR20[327:200]; VAR2 <= VAR20[199:72]; VAR17 <= VAR20[71:0]; VAR33 <= 1'b1; VAR28 <= VAR20[63:0]; VAR8 <= VAR5; end VAR15: begin VAR3 <= 1'b0; VAR9 <= {1'b1,VAR7[339:336]}; VAR14 <= 1'b1; VAR11 <= 1'b1; VAR23 <= VAR7[335:328]; VAR12 <= VAR7[327:200]; VAR2 <= VAR7[199:72]; VAR17 <= VAR7[71:0]; VAR33 <= 1'b1; VAR28 <= VAR7[63:0]; VAR8 <= VAR21; end endcase end VAR19 VAR32( .VAR24(!reset), .VAR16(clk), .VAR27(VAR18), .VAR25(VAR6), .VAR30(VAR1), .VAR29(VAR10), .VAR26(VAR20) ); VAR19 VAR4( .VAR24(!reset), .VAR16(clk), .VAR27(VAR22), .VAR25(VAR3), .VAR30(VAR13), .VAR29(VAR34), .VAR26(VAR7) ); endmodule
apache-2.0
walkthetalk/fsref
ip/axis_scaler/src/include/scaler_2d.v
7,191
module MODULE1 # ( parameter integer VAR74 = 10, parameter integer VAR26 = 10, parameter integer VAR14 = 10, parameter integer VAR10 = 10, parameter integer VAR76 = 4 , parameter integer VAR75 = 2 , parameter integer VAR49 = 0 , parameter integer VAR87 = 2 ) ( input wire clk, input wire VAR65, input wire [VAR26-1 : 0] VAR60, input wire [VAR74-1 : 0] VAR95, input wire [VAR10-1 : 0] VAR24, input wire [VAR14-1 : 0] VAR86, output wire VAR78 , input wire VAR28 , output wire VAR16 , output wire VAR13 , output wire VAR64 , output wire [VAR87 : 0] VAR88, output wire [VAR87 : 0] VAR55, output wire [VAR76-1 : 0] VAR44 , output wire [VAR76-1 : 0] VAR29 , output wire [VAR75-1 : 0] VAR20 , output wire [VAR75-1 : 0] VAR11 , output wire VAR7 , output wire [VAR26-1 : 0] VAR27 , output wire [VAR26-1 : 0] VAR15 , output wire VAR36 ); wire VAR82 ; wire VAR33 ; wire VAR89 ; wire VAR83 ; wire VAR90 ; wire [VAR76 + VAR75 - 1 : 0] VAR25; wire [VAR76 + VAR75 - 1 : 0] VAR91; wire [VAR76 + VAR75 - 1 : 0] VAR39; wire VAR43 ; wire VAR42 ; wire VAR69 ; wire VAR38 ; wire VAR81 ; wire [VAR87 : 0] VAR51 ; assign VAR89 = VAR82 && (~VAR81 || VAR5); wire VAR79 ; wire VAR52 ; wire VAR47 ; wire VAR94 ; wire [VAR26 - 1 : 0] VAR54; wire [VAR26 - 1 : 0] VAR48; wire [VAR26 - 1 : 0] VAR53; wire VAR70 ; wire VAR12 ; wire VAR21 ; wire VAR5 ; wire VAR50 ; wire [VAR87 : 0] VAR31 ; assign VAR52 = VAR82 && VAR81; VAR32 # ( .VAR68 (VAR74), .VAR1 (VAR14), .VAR6 (VAR76), .VAR66 (VAR75), .VAR34 (0 ), .VAR87 (VAR87) ) VAR59 ( .clk (clk ), .VAR65 (VAR65), .VAR45 (VAR95), .VAR37 (VAR86), .VAR78 (VAR33 ), .VAR28 (VAR89 ), .VAR56 (VAR83 ), .VAR73 (VAR90 ), .VAR23(VAR25), .VAR77(VAR91), .VAR71(VAR39), .VAR84 (VAR43 ), .VAR92 (VAR42 ), .VAR57 (VAR69 ), .VAR80 (VAR38 ), .VAR16 (VAR81 ), .VAR93 (VAR51 ) ); VAR32 # ( .VAR68 (VAR26), .VAR1 (VAR10), .VAR6 (0 ), .VAR66 (0 ), .VAR34 (VAR26), .VAR87 (VAR87) ) VAR58 ( .clk (clk ), .VAR65 (VAR65), .VAR45 (VAR60), .VAR37 (VAR24), .VAR78 (VAR79 ), .VAR28 (VAR52 ), .VAR56 (VAR47 ), .VAR73 (VAR94 ), .VAR23(VAR54), .VAR77(VAR48), .VAR71(VAR53), .VAR84 (VAR70 ), .VAR92 (VAR12 ), .VAR57 (VAR21 ), .VAR80 (VAR5 ), .VAR16 (VAR50 ), .VAR93 (VAR31 ) ); wire VAR97 ; wire VAR35 ; wire VAR4 ; wire VAR41 ; wire [VAR87 : 0] VAR9; wire [VAR87 : 0] VAR67; wire [VAR76-1 : 0] VAR62 ; wire [VAR76-1 : 0] VAR72 ; wire [VAR75-1 : 0] VAR30 ; wire [VAR75-1 : 0] VAR40 ; wire VAR61 ; wire [VAR26-1 : 0] VAR17 ; wire [VAR26-1 : 0] VAR22 ; reg VAR3 ; assign VAR97 = VAR33 & VAR79 ; assign VAR35 = VAR81 & VAR50 ; assign VAR4 = VAR42 & VAR12 ; assign VAR41 = VAR21 ; assign VAR9 = VAR51 ; assign VAR67 = VAR31 ; assign VAR62 = VAR25[VAR76+VAR75-1 : VAR75] ; assign VAR72 = VAR91[VAR76+VAR75-1 : VAR75] ; assign VAR30 = VAR25[VAR75-1 : 0] ; assign VAR40 = VAR91[VAR75-1 : 0] ; assign VAR61 = VAR89 && VAR83 && (VAR62 != VAR72); assign VAR17 = VAR54 ; assign VAR22 = VAR48 ; always @ (posedge clk) begin if (VAR65 == 1'b0) VAR3 <= 0; end else if (~VAR79) VAR3 <= 1'b1; else if (VAR52) VAR3 <= VAR47; end localparam integer VAR85 = (5 + (VAR87+1) * 2 + (VAR76 + VAR75) * 2 + VAR26 * 2); VAR96 # ( .VAR85(VAR85) ) VAR8 ( .clk (clk ), .VAR65 (VAR65), .VAR63(VAR97), .VAR19 ({ VAR35 , VAR4 , VAR41 , VAR9, VAR67, VAR62 , VAR72 , VAR30 , VAR40 , VAR61 , VAR17 , VAR22 , VAR3 }), .VAR2(VAR82), .VAR46(VAR78), .VAR98 ({ VAR16 , VAR13 , VAR64 , VAR88, VAR55, VAR44 , VAR29 , VAR20 , VAR11 , VAR7 , VAR27 , VAR15 , VAR36 }), .VAR18(VAR28) ); endmodule
gpl-3.0
impedimentToProgress/ProbableCause
ddr2/cores/ethmac/eth_miim.v
15,759
module MODULE1 ( VAR50, VAR12, VAR11, VAR26, VAR19, VAR52, VAR15, VAR39, VAR62, VAR60, VAR6, VAR10, VAR23, VAR8, VAR37, VAR34, VAR2, VAR59, VAR9, VAR5, VAR64 ); input VAR50; input VAR12; input [7:0] VAR11; input [15:0] VAR19; input [4:0] VAR52; input [4:0] VAR15; input VAR26; input VAR39; input VAR62; input VAR60; input VAR6; output VAR8; output VAR10; output VAR23; output VAR37; output VAR2; output VAR59; output [15:0] VAR34; output VAR9; output VAR5; output VAR64; parameter VAR25 = 1; reg VAR59; reg VAR38; reg VAR27; reg VAR13; reg VAR4; reg VAR1; reg VAR9; reg VAR61; reg VAR36; reg VAR30; reg VAR45; reg VAR21; reg VAR54; reg VAR5; reg VAR32; reg VAR35; reg VAR53; reg VAR57; reg VAR48; wire VAR20; wire VAR31; wire VAR44; wire VAR40; wire VAR55; reg VAR56; reg VAR41; reg VAR51; reg VAR42; reg VAR16; reg [6:0] VAR46; wire [3:0] VAR7; wire VAR17; wire VAR33; wire VAR24; wire VAR28; wire VAR47; reg VAR22; reg VAR43; reg [1:0] VAR14; reg VAR64; always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) begin VAR38 <= 1'b0; VAR27 <= 1'b0; end else begin VAR38 <= ~VAR51 & VAR42; VAR27 <= VAR38; end end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) VAR64 <= 0; end else if(VAR27 & ~VAR61) VAR64 <= 1; else VAR64 <= 0; end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) begin VAR13 <= 1'b0; VAR4 <= 1'b0; VAR1 <= 1'b0; VAR45 <= 1'b0; VAR21 <= 1'b0; VAR54 <= 1'b0; VAR53 <= 1'b0; VAR57 <= 1'b0; VAR48 <= 1'b0; end else begin VAR13 <= VAR39; VAR4 <= VAR13; VAR1 <= VAR4; VAR45 <= VAR62; VAR21 <= VAR45; VAR54 <= VAR21; VAR53 <= VAR60; VAR57 <= VAR53; if(VAR17) VAR48 <= VAR57; end end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) begin VAR9 <= 1'b0; VAR61 <= 1'b0; VAR5 <= 1'b0; end else begin if(VAR27) begin VAR9 <= 1'b0; VAR5 <= 1'b0; end else begin if(VAR4 & ~VAR1) VAR9 <= 1'b1; if(VAR21 & ~VAR54) VAR5 <= 1'b1; VAR61 <= VAR9; end end end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) VAR59 <= 1'b0; end else begin if(~VAR51 & VAR42) begin VAR59 <= 1'b0; end else begin if(VAR57 & ~VAR48) VAR59 <= 1'b1; end end end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) begin VAR36 <= 1'b0; VAR30 <= 1'b0; VAR32 <= 1'b0; VAR35 <= 1'b0; VAR41 <= 1'b0; VAR51 <= 1'b0; VAR42 <= 1'b0; VAR43 <= 1'b0; VAR22 <= 1'b0; VAR14 <= 2'b00; end else begin if(VAR17) begin VAR36 <= VAR9; VAR30 <= VAR36; VAR32 <= VAR5; VAR35 <= VAR32; VAR14[0] <= VAR43; VAR14[1] <= VAR22; VAR43 <= VAR47; VAR22 <= VAR28; VAR41 <= VAR56; VAR51 <= VAR41; VAR42 <= VAR51; end end end assign VAR20 = VAR36 & ~VAR30; assign VAR31 = VAR32 & ~VAR35; assign VAR44 = VAR48 & ~VAR56 & ~VAR41 & ~VAR51; assign VAR40 = VAR20 | VAR31 | VAR44; assign VAR37 = VAR39 | VAR9 | VAR62 | VAR5 | VAR48 | VAR27 | VAR56 | VAR42 | VAR59; always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) begin VAR56 <= 1'b0; VAR16 <= 1'b0; end else begin if(VAR17) begin if(VAR40) begin if(~VAR56) VAR16 <= VAR20; VAR56 <= 1'b1; end else begin if(VAR55) begin VAR56 <= 1'b0; VAR16 <= 1'b0; end end end end end always @ (posedge VAR50 or posedge VAR12) begin if(VAR12) VAR46[6:0] <= 7'h0; end else begin if(VAR17) begin if(VAR56) begin if(VAR26 & ( VAR46 == 7'h0 )) VAR46[6:0] <= 7'h21; end else VAR46[6:0] <= VAR46[6:0] + 1; end else VAR46[6:0] <= 7'h0; end end end assign VAR55 = VAR46==63; assign VAR7[0] = VAR56 & ((VAR26 & (VAR46 == 7'h0)) | (~VAR26 & (VAR46 == 7'h20))); assign VAR7[1] = VAR56 & (VAR46 == 7'h28); assign VAR7[2] = VAR56 & VAR16 & (VAR46 == 7'h30); assign VAR7[3] = VAR56 & VAR16 & (VAR46 == 7'h38); assign VAR28 = VAR56 & ~VAR16 & VAR46 == 7'h37; assign VAR47 = VAR56 & ~VAR16 & VAR46 == 7'h3F; VAR58 VAR49(.VAR50(VAR50), .VAR12(VAR12), .VAR11(VAR11[7:0]), .VAR17(VAR17), .VAR24(VAR24), .VAR8(VAR8) ); VAR18 VAR63(.VAR50(VAR50), .VAR12(VAR12), .VAR24(VAR24), .VAR6(VAR6), .VAR15(VAR15), .VAR52(VAR52), .VAR19(VAR19), .VAR16(VAR16), .VAR7(VAR7), .VAR14(VAR14), .VAR33(VAR33), .VAR34(VAR34), .VAR2(VAR2) ); VAR29 VAR3(.VAR50(VAR50), .VAR12(VAR12), .VAR24(VAR24), .VAR56(VAR56), .VAR33(VAR33), .VAR46(VAR46), .VAR16(VAR16), .VAR26(VAR26), .VAR10(VAR10), .VAR23(VAR23) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_hs__udp_dlatch_p_pp_pg_n.symbol.v
1,438
module MODULE1 ( input VAR1 , output VAR3 , input VAR4 , input VAR5, input VAR6 , input VAR2 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/analog/bw_clk/rtl/flop_rptrs_xc5.v
33,057
module MODULE1( VAR6, VAR31, VAR80, VAR64, VAR8, VAR32, VAR45, VAR17, VAR59, VAR72, VAR70, VAR39, VAR77, VAR18, VAR46, VAR14, VAR44, VAR15, VAR50, VAR52, VAR69, VAR37 ); output [25:0] VAR59; output VAR17; output VAR45; output VAR32; output VAR8; output VAR64; output VAR80; output VAR31; output [5:0] VAR6; input VAR37; input VAR69; input [25:0] VAR52; input VAR50; input VAR15; input VAR44; input VAR14; input VAR46; input VAR18; input VAR77; input VAR39; input VAR70; input [5:0] VAR72; wire clk; wire VAR36; wire VAR82; wire VAR26; wire VAR56; wire VAR47; wire VAR61; wire VAR66; wire VAR60; wire VAR16; wire VAR55; wire VAR29; wire VAR21; VAR49 VAR2 ( .clk (clk ), .VAR51 (VAR44 )); VAR41 VAR34 ( .VAR39 (VAR39 ), .VAR25 (VAR6[5]), .VAR31 (VAR36 ), .VAR75 (clk ), .VAR23 (VAR72[5]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70)); VAR41 VAR79 ( .VAR25 (VAR6[4]), .VAR31 (VAR82 ), .VAR75 (clk ), .VAR23 (VAR72[4]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70), .VAR39 (VAR36 )); VAR41 VAR73 ( .VAR25 (VAR6[3]), .VAR31 (VAR47 ), .VAR75 (clk ), .VAR23 (VAR72[3]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70), .VAR39 (VAR82 )); VAR41 VAR58 ( .VAR25 (VAR6[2]), .VAR31 (VAR61 ), .VAR75 (clk ), .VAR23 (VAR72[2]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70), .VAR39 (VAR47 )); VAR41 VAR76 ( .VAR25 (VAR6[1]), .VAR31 (VAR66 ), .VAR75 (clk ), .VAR23 (VAR72[1]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70), .VAR39 (VAR61 )); VAR41 VAR67 ( .VAR25 (VAR6[0]), .VAR31 (VAR60 ), .VAR75 (clk ), .VAR23 (VAR72[0]), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70), .VAR39 (VAR66 )); VAR41 VAR53 ( .VAR25 (VAR59[25] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[25] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR28 ( .VAR25 (VAR59[24] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[24] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR10 ( .VAR25 (VAR59[23] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[23] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR27 ( .VAR25 (VAR59[22] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[22] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR57 ( .VAR25 (VAR59[21] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[21] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR20 ( .VAR25 (VAR59[20] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[20] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR4 ( .VAR25 (VAR59[19] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[19] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR30 ( .VAR25 (VAR59[18] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[18] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR71 ( .VAR25 (VAR59[17] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[17] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR40 ( .VAR25 (VAR59[16] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[16] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR38 ( .VAR25 (VAR59[15] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[15] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR12 ( .VAR25 (VAR59[14] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[14] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR54 ( .VAR25 (VAR59[13] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[13] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR62 ( .VAR25 (VAR59[12] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[12] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR9 ( .VAR25 (VAR59[11] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[11] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR74 ( .VAR25 (VAR59[10] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[10] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR11 ( .VAR25 (VAR59[9] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[9] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR48 ( .VAR25 (VAR59[8] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[8] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR63 ( .VAR25 (VAR59[7] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[7] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR1 ( .VAR25 (VAR59[6] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[6] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR42 ( .VAR25 (VAR59[5] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[5] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR7 ( .VAR25 (VAR59[4] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[4] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR19 ( .VAR25 (VAR59[3] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[3] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR81 ( .VAR25 (VAR59[2] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[2] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR24 ( .VAR25 (VAR59[1] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[1] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR13 ( .VAR25 (VAR59[0] ), .VAR31 (), .VAR75 (clk ), .VAR23 (VAR52[0] ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (1'b0), .VAR39 (1'b0)); VAR41 VAR5 ( .VAR25 (VAR17 ), .VAR31 (VAR21 ), .VAR23 (VAR50 ), .VAR39 (VAR29 ), .VAR75 (clk ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR41 VAR83 ( .VAR25 (VAR45 ), .VAR31 (VAR29 ), .VAR23 (VAR15 ), .VAR39 (VAR55 ), .VAR75 (clk ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR41 VAR68 ( .VAR25 (VAR64 ), .VAR31 (VAR55 ), .VAR23 (VAR18 ), .VAR39 (VAR16 ), .VAR75 (clk ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR41 VAR65 ( .VAR25 (VAR80 ), .VAR31 (VAR16 ), .VAR23 (VAR77 ), .VAR39 (VAR60 ), .VAR75 (clk ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR41 VAR3 ( .VAR25 (VAR32 ), .VAR31 (VAR26 ), .VAR23 (VAR14 ), .VAR39 (VAR21 ), .VAR43 (VAR37), .VAR75 (clk ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR41 VAR78 ( .VAR25 (VAR8 ), .VAR31 (VAR56 ), .VAR23 (VAR46 ), .VAR39 (VAR26 ), .VAR75 (clk ), .VAR43 (VAR69 ), .VAR22 (1'b1), .VAR70 (VAR70 )); VAR33 VAR35 ( .VAR31 (VAR31), .VAR39 (VAR56 ), .VAR75 (clk ), .VAR70 (1'b1)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sedfxtp/sky130_fd_sc_ms__sedfxtp.symbol.v
1,493
module MODULE1 ( input VAR1 , output VAR10 , input VAR8 , input VAR3, input VAR9, input VAR4 ); supply1 VAR2; supply0 VAR7; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
models/udp_dlatch_pr_pp_pg_n/sky130_fd_sc_ls__udp_dlatch_pr_pp_pg_n.symbol.v
1,505
module MODULE1 ( input VAR2 , output VAR3 , input VAR1 , input VAR5 , input VAR7, input VAR6 , input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_inputiso0p/sky130_fd_sc_hd__lpflow_inputiso0p.symbol.v
1,434
module MODULE1 ( input VAR7 , output VAR5 , input VAR3 ); supply1 VAR1; supply0 VAR2; supply1 VAR4 ; supply0 VAR6 ; endmodule
apache-2.0
sheridp/OK_WB_Bridge
OK_WB_Bridge.v
2,017
module MODULE1( input wire [30:0] VAR34, output wire [16:0] VAR28, input wire VAR6, input wire VAR4, input wire VAR19, input wire VAR24, input wire [7:0] VAR31, input wire VAR21, input wire [31:0] VAR18, output wire [31:0] VAR9 ); wire VAR29; wire VAR42; wire [15:0] VAR26; wire [15:0] VAR39; wire [15:0] VAR35; wire VAR12; wire VAR13; wire VAR41; wire VAR20; wire [17*2-1:0] VAR8; VAR22 # (.VAR5(2)) VAR23 (VAR28, VAR8); assign VAR9 = {15'b0, ~VAR12, VAR35}; VAR7 VAR3 ( .rst(VAR19), .VAR32(VAR6), .VAR30(VAR4), .din(VAR26), .VAR1(VAR29), .VAR10(VAR24 & ~VAR21), .dout(VAR35), .VAR14(VAR41), .VAR40(VAR12) ); VAR7 VAR27 ( .rst(VAR19), .VAR32(VAR4), .VAR30(VAR6), .din(VAR18), .VAR1(VAR24 & VAR21), .VAR10(VAR42), .dout(VAR39), .VAR14(VAR20), .VAR40(VAR13) ); VAR17 VAR16( .VAR34(VAR34), .VAR28(VAR8[0*17 +: 17]), .VAR38(8'h80), .VAR11(VAR29), .VAR15(VAR26), .VAR25(~VAR41) ); VAR37 VAR33( .VAR34(VAR34), .VAR28(VAR8[1*17 +: 17]), .VAR38(8'hA0), .VAR2(VAR42), .VAR36(VAR39), .VAR25(~VAR13) ); endmodule
lgpl-3.0
P3Stor/P3Stor
ftl/Dynamic_Controller/code/MUX8_1.v
2,686
module MODULE1( input [2:0] address, output reg VAR1, output reg VAR15, output reg VAR7, output reg VAR4, output reg VAR26, output reg VAR16, output reg VAR17, output reg VAR8, input [127:0] VAR24, input [127:0] VAR12, input [127:0] VAR18, input [127:0] VAR11, input [127:0] VAR25, input [127:0] VAR5, input [127:0] VAR9, input [127:0] VAR2, input VAR22, input VAR23, input VAR3, input VAR21, input VAR14, input VAR10, input VAR19, input VAR20, input VAR6, output reg VAR13, output reg [127:0] dout ); always@(*) begin case(address) 3'h0:begin VAR1=VAR6; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13=VAR22; dout = VAR24; end 3'h1:begin VAR1=1'b0; VAR15=VAR6; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13=VAR23; dout = VAR12; end 3'h2:begin VAR1=1'b0; VAR15=1'b0; VAR7=VAR6; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13=VAR3; dout = VAR18; end 3'h3:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=VAR6; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13=VAR21; dout = VAR11; end 3'h4:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=VAR6; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13=VAR14; dout = VAR25; end 3'h5:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=VAR6; VAR17=1'b0; VAR8=1'b0; VAR13=VAR10; dout = VAR5; end 3'h6:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=VAR6; VAR8=1'b0; VAR13=VAR19; dout = VAR9; end 3'h7:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=VAR6; VAR13=VAR20; dout = VAR2; end default:begin VAR1=1'b0; VAR15=1'b0; VAR7=1'b0; VAR4=1'b0; VAR26=1'b0; VAR16=1'b0; VAR17=1'b0; VAR8=1'b0; VAR13 =1'b1; dout =128'b0; end endcase end endmodule
gpl-2.0
secworks/salsa20
src/rtl/salsa20_core.v
40,383
module MODULE1( input wire clk, input wire VAR230, input wire VAR116, input wire VAR49, input wire [255 : 0] VAR44, input wire VAR82, input wire [63 : 0] VAR23, input wire [4 : 0] VAR246, input wire [511 : 0] VAR217, output wire ready, output wire [511 : 0] VAR31, output wire VAR125 ); parameter VAR153 = 1'b0; parameter VAR200 = 1'b1; parameter VAR109 = 4'h8; parameter VAR63 = 32'h61707865; parameter VAR26 = 32'h3120646e; parameter VAR248 = 32'h79622d36; parameter VAR54 = 32'h6b206574; parameter VAR164 = 32'h61707865; parameter VAR198 = 32'h3320646e; parameter VAR99 = 32'h79622d32; parameter VAR190 = 32'h6b206574; parameter VAR166 = 3'h0; parameter VAR102 = 3'h1; parameter VAR81 = 3'h2; parameter VAR88 = 3'h3; parameter VAR55 = 3'h4; reg [31 : 0] VAR194; reg [31 : 0] VAR2; reg [31 : 0] VAR193; reg [31 : 0] VAR138; reg [31 : 0] VAR91; reg [31 : 0] VAR235; reg [31 : 0] VAR13; reg [31 : 0] VAR167; reg [31 : 0] VAR181; reg [31 : 0] VAR94; reg [31 : 0] VAR209; reg [31 : 0] VAR33; reg [31 : 0] VAR4; reg [31 : 0] VAR57; reg [31 : 0] VAR214; reg [31 : 0] VAR145; reg VAR129; reg VAR48; reg [31 : 0] VAR196; reg [31 : 0] VAR154; reg [31 : 0] VAR241; reg [31 : 0] VAR259; reg [31 : 0] VAR204; reg [31 : 0] VAR178; reg [31 : 0] VAR232; reg [31 : 0] VAR83; reg [31 : 0] VAR261; reg [31 : 0] VAR191; reg [31 : 0] VAR79; reg [31 : 0] VAR45; reg [31 : 0] VAR251; reg [31 : 0] VAR47; reg [31 : 0] VAR87; reg [31 : 0] VAR42; reg [31 : 0] VAR52; reg [31 : 0] VAR113; reg [31 : 0] VAR101; reg [31 : 0] VAR240; reg [31 : 0] VAR103; reg [31 : 0] VAR221; reg [31 : 0] VAR71; reg [31 : 0] VAR66; reg [31 : 0] VAR39; reg [31 : 0] VAR114; reg [31 : 0] VAR254; reg [31 : 0] VAR160; reg [31 : 0] VAR243; reg [31 : 0] VAR144; reg [31 : 0] VAR121; reg [31 : 0] VAR15; reg [31 : 0] VAR21; reg [31 : 0] VAR263; reg [31 : 0] VAR212; reg [31 : 0] VAR143; reg VAR142; reg [31 : 0] VAR9; reg [31 : 0] VAR22; reg VAR151; reg [31 : 0] VAR250; reg [31 : 0] VAR128; reg VAR75; reg [31 : 0] VAR11; reg [31 : 0] VAR107; reg VAR6; reg [31 : 0] VAR117; reg [31 : 0] VAR73; reg VAR205; reg [31 : 0] VAR150; reg [31 : 0] VAR179; reg VAR24; reg [31 : 0] VAR3; reg [31 : 0] VAR132; reg VAR199; reg [31 : 0] VAR262; reg [31 : 0] VAR257; reg VAR112; reg [31 : 0] VAR106; reg [31 : 0] VAR130; reg VAR58; reg [31 : 0] VAR186; reg [31 : 0] VAR105; reg VAR267; reg [31 : 0] VAR252; reg [31 : 0] VAR78; reg VAR215; reg [31 : 0] VAR224; reg [31 : 0] VAR122; reg VAR180; reg [31 : 0] VAR100; reg [31 : 0] VAR187; reg VAR184; reg [31 : 0] VAR69; reg [31 : 0] VAR90; reg VAR185; reg [31 : 0] VAR92; reg [31 : 0] VAR35; reg VAR95; reg [31 : 0] VAR202; reg [31 : 0] VAR146; reg VAR234; reg [31 : 0] VAR30; reg [31 : 0] VAR228; reg VAR139; reg [3 : 0] VAR140; reg [3 : 0] VAR10; reg [511 : 0] VAR62; reg VAR50; reg [511 : 0] VAR56; reg [511 : 0] VAR96; reg VAR244; reg VAR233; reg VAR43; reg VAR18; reg VAR59; reg VAR123; reg VAR135; reg VAR131; reg VAR65; reg [3 : 0] VAR14; reg [3 : 0] VAR182; reg VAR27; reg VAR218; reg VAR155; reg [31 : 0] VAR203; reg [31 : 0] VAR16; reg VAR147; reg [31 : 0] VAR242; reg [31 : 0] VAR118; reg VAR210; reg VAR223; reg VAR119; reg [2 : 0] VAR229; reg [2 : 0] VAR168; reg VAR124; reg VAR74; reg VAR38; reg VAR206; reg VAR255; reg [31 : 0] VAR110; reg [31 : 0] VAR247; reg [31 : 0] VAR126; reg [31 : 0] VAR220; wire [31 : 0] VAR158; wire [31 : 0] VAR266; wire [31 : 0] VAR80; wire [31 : 0] VAR20; reg [31 : 0] VAR67; reg [31 : 0] VAR171; reg [31 : 0] VAR41; reg [31 : 0] VAR37; wire [31 : 0] VAR93; wire [31 : 0] VAR207; wire [31 : 0] VAR192; wire [31 : 0] VAR28; reg [31 : 0] VAR195; reg [31 : 0] VAR222; reg [31 : 0] VAR19; reg [31 : 0] VAR211; wire [31 : 0] VAR34; wire [31 : 0] VAR25; wire [31 : 0] VAR256; wire [31 : 0] VAR98; reg [31 : 0] VAR189; reg [31 : 0] VAR208; reg [31 : 0] VAR264; reg [31 : 0] VAR156; wire [31 : 0] VAR104; wire [31 : 0] VAR72; wire [31 : 0] VAR137; wire [31 : 0] VAR161; reg VAR197; VAR60 VAR152( .VAR64(VAR110), .VAR216(VAR247), .VAR148(VAR126), .VAR260(VAR220), .VAR169(VAR158), .VAR53(VAR266), .VAR36(VAR80), .VAR176(VAR20) ); VAR60 VAR8( .VAR64(VAR67), .VAR216(VAR171), .VAR148(VAR41), .VAR260(VAR37), .VAR169(VAR93), .VAR53(VAR207), .VAR36(VAR192), .VAR176(VAR28) ); VAR60 VAR170( .VAR64(VAR195), .VAR216(VAR222), .VAR148(VAR19), .VAR260(VAR211), .VAR169(VAR34), .VAR53(VAR25), .VAR36(VAR256), .VAR176(VAR98) ); VAR60 VAR174( .VAR64(VAR189), .VAR216(VAR208), .VAR148(VAR264), .VAR260(VAR156), .VAR169(VAR104), .VAR53(VAR72), .VAR36(VAR137), .VAR176(VAR161) ); assign VAR31 = VAR56; assign VAR125 = VAR233; assign ready = VAR197; always @ (posedge clk) begin : VAR97 if (!VAR230) begin VAR194 <= 32'h00000000; VAR193 <= 32'h00000000; VAR91 <= 32'h00000000; VAR13 <= 32'h00000000; VAR181 <= 32'h00000000; VAR209 <= 32'h00000000; VAR4 <= 32'h00000000; VAR214 <= 32'h00000000; VAR196 <= 32'h00000000; VAR241 <= 32'h00000000; VAR204 <= 32'h00000000; VAR232 <= 32'h00000000; VAR261 <= 32'h00000000; VAR79 <= 32'h00000000; VAR251 <= 32'h00000000; VAR87 <= 32'h00000000; VAR52 <= 32'h00000000; VAR101 <= 32'h00000000; VAR103 <= 32'h00000000; VAR71 <= 32'h00000000; VAR39 <= 32'h00000000; VAR254 <= 32'h00000000; VAR243 <= 32'h00000000; VAR121 <= 32'h00000000; VAR21 <= 32'h00000000; VAR212 <= 32'h00000000; VAR9 <= 32'h00000000; VAR250 <= 32'h00000000; VAR11 <= 32'h00000000; VAR117 <= 32'h00000000; VAR150 <= 32'h00000000; VAR3 <= 32'h00000000; VAR262 <= 32'h00000000; VAR106 <= 32'h00000000; VAR186 <= 32'h00000000; VAR252 <= 32'h00000000; VAR224 <= 32'h00000000; VAR100 <= 32'h00000000; VAR69 <= 32'h00000000; VAR92 <= 32'h00000000; VAR202 <= 32'h00000000; VAR30 <= 32'h00000000; VAR62 <= 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; VAR56 <= 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; VAR140 <= 4'h0; VAR233 <= 0; VAR59 <= VAR153; VAR14 <= 0; VAR203 <= 32'h00000000; VAR242 <= 32'h00000000; VAR229 <= VAR166; end else begin if (VAR74) begin VAR194 <= VAR2; VAR193 <= VAR138; VAR91 <= VAR235; VAR13 <= VAR167; VAR181 <= VAR94; VAR209 <= VAR33; VAR4 <= VAR57; VAR214 <= VAR145; VAR196 <= VAR154; VAR241 <= VAR259; VAR140 <= VAR10; VAR129 <= VAR48; end if (VAR50) begin VAR62 <= VAR217; end if (VAR142) begin VAR204 <= VAR178; VAR232 <= VAR83; VAR261 <= VAR191; VAR79 <= VAR45; VAR251 <= VAR47; VAR87 <= VAR42; VAR52 <= VAR113; VAR101 <= VAR240; VAR103 <= VAR221; VAR71 <= VAR66; VAR39 <= VAR114; VAR254 <= VAR160; VAR243 <= VAR144; VAR121 <= VAR15; VAR21 <= VAR263; VAR212 <= VAR143; end if (VAR151) begin VAR9 <= VAR22; end if (VAR75) begin VAR250 <= VAR128; end if (VAR6) begin VAR11 <= VAR107; end if (VAR205) begin VAR117 <= VAR73; end if (VAR24) begin VAR150 <= VAR179; end if (VAR199) begin VAR3 <= VAR132; end if (VAR112) begin VAR262 <= VAR257; end if (VAR58) begin VAR106 <= VAR130; end if (VAR267) begin VAR186 <= VAR105; end if (VAR215) begin VAR252 <= VAR78; end if (VAR180) begin VAR224 <= VAR122; end if (VAR184) begin VAR100 <= VAR187; end if (VAR185) begin VAR69 <= VAR90; end if (VAR95) begin VAR92 <= VAR35; end if (VAR234) begin VAR202 <= VAR146; end if (VAR139) begin VAR30 <= VAR228; end if (VAR244) begin VAR56 <= VAR96; end if (VAR18) begin VAR233 <= VAR43; end if (VAR135) begin VAR59 <= VAR123; end if (VAR27) begin VAR14 <= VAR182; end if (VAR147) begin VAR203 <= VAR16; end if (VAR210) begin VAR242 <= VAR118; end if (VAR124) begin VAR229 <= VAR168; end end end always @* begin : VAR40 reg [31 : 0] VAR127; reg [31 : 0] VAR165; reg [31 : 0] VAR258; reg [31 : 0] VAR61; reg [31 : 0] VAR239; reg [31 : 0] VAR227; reg [31 : 0] VAR12; reg [31 : 0] VAR163; reg [31 : 0] VAR5; reg [31 : 0] VAR17; reg [31 : 0] VAR201; reg [31 : 0] VAR134; reg [31 : 0] VAR226; reg [31 : 0] VAR237; reg [31 : 0] VAR157; reg [31 : 0] VAR236; reg [31 : 0] VAR108; reg [31 : 0] VAR213; reg [31 : 0] VAR120; reg [31 : 0] VAR149; reg [31 : 0] VAR115; reg [31 : 0] VAR7; reg [31 : 0] VAR231; reg [31 : 0] VAR172; reg [31 : 0] VAR175; reg [31 : 0] VAR89; reg [31 : 0] VAR238; reg [31 : 0] VAR29; reg [31 : 0] VAR249; reg [31 : 0] VAR32; reg [31 : 0] VAR265; reg [31 : 0] VAR219; reg [511 : 0] VAR86; VAR86 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; VAR96 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; VAR244 = 0; if (VAR255) begin VAR127 = VAR204 + VAR9; VAR165 = VAR232 + VAR250; VAR258 = VAR261 + VAR11; VAR61 = VAR79 + VAR117; VAR239 = VAR251 + VAR150; VAR227 = VAR87 + VAR3; VAR12 = VAR52 + VAR262; VAR163 = VAR101 + VAR106; VAR5 = VAR103 + VAR186; VAR17 = VAR71 + VAR252; VAR201 = VAR39 + VAR224; VAR134 = VAR254 + VAR100; VAR226 = VAR243 + VAR69; VAR237 = VAR121 + VAR92; VAR157 = VAR21 + VAR202; VAR236 = VAR212 + VAR30; VAR108 = {VAR127[7 : 0], VAR127[15 : 8], VAR127[23 : 16], VAR127[31 : 24]}; VAR213 = {VAR165[7 : 0], VAR165[15 : 8], VAR165[23 : 16], VAR165[31 : 24]}; VAR120 = {VAR258[7 : 0], VAR258[15 : 8], VAR258[23 : 16], VAR258[31 : 24]}; VAR149 = {VAR61[7 : 0], VAR61[15 : 8], VAR61[23 : 16], VAR61[31 : 24]}; VAR115 = {VAR239[7 : 0], VAR239[15 : 8], VAR239[23 : 16], VAR239[31 : 24]}; VAR7 = {VAR227[7 : 0], VAR227[15 : 8], VAR227[23 : 16], VAR227[31 : 24]}; VAR231 = {VAR12[7 : 0], VAR12[15 : 8], VAR12[23 : 16], VAR12[31 : 24]}; VAR172 = {VAR163[7 : 0], VAR163[15 : 8], VAR163[23 : 16], VAR163[31 : 24]}; VAR175 = {VAR5[7 : 0], VAR5[15 : 8], VAR5[23 : 16], VAR5[31 : 24]}; VAR89 = {VAR17[7 : 0], VAR17[15 : 8], VAR17[23 : 16], VAR17[31 : 24]}; VAR238 = {VAR201[7 : 0], VAR201[15 : 8], VAR201[23 : 16], VAR201[31 : 24]}; VAR29 = {VAR134[7 : 0], VAR134[15 : 8], VAR134[23 : 16], VAR134[31 : 24]}; VAR249 = {VAR226[7 : 0], VAR226[15 : 8], VAR226[23 : 16], VAR226[31 : 24]}; VAR32 = {VAR237[7 : 0], VAR237[15 : 8], VAR237[23 : 16], VAR237[31 : 24]}; VAR265 = {VAR157[7 : 0], VAR157[15 : 8], VAR157[23 : 16], VAR157[31 : 24]}; VAR219 = {VAR236[7 : 0], VAR236[15 : 8], VAR236[23 : 16], VAR236[31 : 24]}; VAR86 = {VAR108, VAR213, VAR120, VAR149, VAR115, VAR7, VAR231, VAR172, VAR175, VAR89, VAR238, VAR29, VAR249, VAR32, VAR265, VAR219}; VAR96 = VAR62 ^ VAR86; VAR244 = 1; end end always @* begin : VAR51 VAR2 = 32'h00000000; VAR138 = 32'h00000000; VAR235 = 32'h00000000; VAR167 = 32'h00000000; VAR94 = 32'h00000000; VAR33 = 32'h00000000; VAR57 = 32'h00000000; VAR145 = 32'h00000000; VAR154 = 32'h00000000; VAR259 = 32'h00000000; VAR10 = 4'h0; VAR48 = 1'b0; if (VAR74) begin VAR2 = {VAR44[231 : 224], VAR44[239 : 232], VAR44[247 : 240], VAR44[255 : 248]}; VAR138 = {VAR44[199 : 192], VAR44[207 : 200], VAR44[215 : 208], VAR44[223 : 216]}; VAR235 = {VAR44[167 : 160], VAR44[175 : 168], VAR44[183 : 176], VAR44[191 : 184]}; VAR167 = {VAR44[135 : 128], VAR44[143 : 136], VAR44[151 : 144], VAR44[159 : 152]}; VAR94 = {VAR44[103 : 96], VAR44[111 : 104], VAR44[119 : 112], VAR44[127 : 120]}; VAR33 = {VAR44[71 : 64], VAR44[79 : 72], VAR44[87 : 80], VAR44[95 : 88]}; VAR57 = {VAR44[39 : 32], VAR44[47 : 40], VAR44[55 : 48], VAR44[63 : 56]}; VAR145 = {VAR44[7 : 0], VAR44[15 : 8], VAR44[23 : 16], VAR44[31 : 24]}; VAR154 = {VAR23[39 : 32], VAR23[47 : 40], VAR23[55 : 48], VAR23[63 : 56]}; VAR259 = {VAR23[7 : 0], VAR23[15 : 8], VAR23[23 : 16], VAR23[31 : 24]}; VAR10 = VAR246[4 : 1]; VAR48 = VAR82; end end always @* begin : VAR177 reg [31 : 0] VAR77; reg [31 : 0] VAR268; reg [31 : 0] VAR68; reg [31 : 0] VAR70; reg [31 : 0] VAR133; reg [31 : 0] VAR253; reg [31 : 0] VAR188; reg [31 : 0] VAR84; reg [31 : 0] VAR183; reg [31 : 0] VAR245; reg [31 : 0] VAR162; reg [31 : 0] VAR159; reg [31 : 0] VAR141; reg [31 : 0] VAR1; reg [31 : 0] VAR111; reg [31 : 0] VAR76; VAR77 = 32'h00000000; VAR268 = 32'h00000000; VAR68 = 32'h00000000; VAR70 = 32'h00000000; VAR133 = 32'h00000000; VAR253 = 32'h00000000; VAR188 = 32'h00000000; VAR84 = 32'h00000000; VAR183 = 32'h00000000; VAR245 = 32'h00000000; VAR162 = 32'h00000000; VAR159 = 32'h00000000; VAR141 = 32'h00000000; VAR1 = 32'h00000000; VAR111 = 32'h00000000; VAR76 = 32'h00000000; VAR22 = 32'h00000000; VAR128 = 32'h00000000; VAR107 = 32'h00000000; VAR73 = 32'h00000000; VAR179 = 32'h00000000; VAR132 = 32'h00000000; VAR257 = 32'h00000000; VAR130 = 32'h00000000; VAR105 = 32'h00000000; VAR78 = 32'h00000000; VAR122 = 32'h00000000; VAR187 = 32'h00000000; VAR90 = 32'h00000000; VAR35 = 32'h00000000; VAR146 = 32'h00000000; VAR228 = 32'h00000000; VAR151 = 0; VAR75 = 0; VAR6 = 0; VAR205 = 0; VAR24 = 0; VAR199 = 0; VAR112 = 0; VAR58 = 0; VAR267 = 0; VAR215 = 0; VAR180 = 0; VAR184 = 0; VAR185 = 0; VAR95 = 0; VAR234 = 0; VAR139 = 0; VAR178 = 32'h00000000; VAR83 = 32'h00000000; VAR191 = 32'h00000000; VAR45 = 32'h00000000; VAR47 = 32'h00000000; VAR42 = 32'h00000000; VAR113 = 32'h00000000; VAR240 = 32'h00000000; VAR221 = 32'h00000000; VAR66 = 32'h00000000; VAR114 = 32'h00000000; VAR160 = 32'h00000000; VAR144 = 32'h00000000; VAR15 = 32'h00000000; VAR263 = 32'h00000000; VAR143 = 32'h00000000; VAR142 = 0; if (VAR38) begin VAR133 = VAR194; VAR253 = VAR193; VAR188 = VAR91; VAR84 = VAR13; VAR141 = VAR203; VAR1 = VAR242; VAR111 = VAR196; VAR76 = VAR241; if (VAR129) begin VAR77 = VAR164; VAR268 = VAR198; VAR68 = VAR99; VAR70 = VAR190; VAR183 = VAR181; VAR245 = VAR209; VAR162 = VAR4; VAR159 = VAR214; end else begin VAR77 = VAR63; VAR268 = VAR26; VAR68 = VAR248; VAR70 = VAR54; VAR183 = VAR194; VAR245 = VAR193; VAR162 = VAR91; VAR159 = VAR13; end VAR22 = VAR77; VAR128 = VAR268; VAR107 = VAR68; VAR73 = VAR70; VAR179 = VAR133; VAR132 = VAR253; VAR257 = VAR188; VAR130 = VAR84; VAR105 = VAR183; VAR78 = VAR245; VAR122 = VAR162; VAR187 = VAR159; VAR90 = VAR141; VAR35 = VAR1; VAR146 = VAR111; VAR228 = VAR76; VAR151 = 1; VAR75 = 1; VAR6 = 1; VAR205 = 1; VAR24 = 1; VAR199 = 1; VAR112 = 1; VAR58 = 1; VAR267 = 1; VAR215 = 1; VAR180 = 1; VAR184 = 1; VAR185 = 1; VAR95 = 1; VAR234 = 1; VAR139 = 1; VAR178 = VAR77; VAR83 = VAR268; VAR191 = VAR68; VAR45 = VAR70; VAR47 = VAR133; VAR42 = VAR253; VAR113 = VAR188; VAR240 = VAR84; VAR221 = VAR183; VAR66 = VAR245; VAR114 = VAR162; VAR160 = VAR159; VAR144 = VAR141; VAR15 = VAR1; VAR263 = VAR111; VAR143 = VAR76; VAR142 = 1; end else if (VAR206) begin case (VAR59) VAR153: begin VAR22 = VAR158; VAR179 = VAR266; VAR105 = VAR80; VAR90 = VAR20; VAR151 = 1; VAR24 = 1; VAR267 = 1; VAR185 = 1; VAR128 = VAR93; VAR132 = VAR207; VAR78 = VAR192; VAR35 = VAR28; VAR75 = 1; VAR199 = 1; VAR215 = 1; VAR95 = 1; VAR107 = VAR34; VAR257 = VAR25; VAR122 = VAR256; VAR146 = VAR98; VAR6 = 1; VAR112 = 1; VAR180 = 1; VAR234 = 1; VAR73 = VAR104; VAR130 = VAR72; VAR187 = VAR137; VAR228 = VAR161; VAR205 = 1; VAR58 = 1; VAR184 = 1; VAR139 = 1; end VAR200: begin VAR22 = VAR158; VAR132 = VAR266; VAR122 = VAR80; VAR228 = VAR20; VAR151 = 1; VAR199 = 1; VAR180 = 1; VAR139 = 1; VAR128 = VAR93; VAR257 = VAR207; VAR187 = VAR192; VAR90 = VAR28; VAR75 = 1; VAR112 = 1; VAR184 = 1; VAR185 = 1; VAR107 = VAR34; VAR130 = VAR25; VAR105 = VAR256; VAR35 = VAR98; VAR6 = 1; VAR58 = 1; VAR267 = 1; VAR95 = 1; VAR73 = VAR104; VAR179 = VAR72; VAR78 = VAR137; VAR146 = VAR161; VAR205 = 1; VAR24 = 1; VAR215 = 1; VAR234 = 1; end endcase end end always @* begin : VAR46 case (VAR59) VAR153: begin VAR110 = VAR9; VAR247 = VAR150; VAR126 = VAR186; VAR220 = VAR69; VAR67 = VAR250; VAR171 = VAR3; VAR41 = VAR252; VAR37 = VAR92; VAR195 = VAR11; VAR222 = VAR262; VAR19 = VAR224; VAR211 = VAR202; VAR189 = VAR117; VAR208 = VAR106; VAR264 = VAR100; VAR156 = VAR30; end VAR200: begin VAR110 = VAR9; VAR247 = VAR3; VAR126 = VAR224; VAR220 = VAR30; VAR67 = VAR250; VAR171 = VAR262; VAR41 = VAR100; VAR37 = VAR69; VAR195 = VAR11; VAR222 = VAR106; VAR19 = VAR186; VAR211 = VAR92; VAR189 = VAR117; VAR208 = VAR150; VAR264 = VAR252; VAR156 = VAR202; end endcase end always @* begin : VAR136 VAR123 = 0; VAR135 = 0; if (VAR65) begin VAR123 = 0; VAR135 = 1; end if (VAR131) begin VAR123 = VAR59 + 1'b1; VAR135 = 1; end end always @* begin : VAR85 VAR182 = 0; VAR27 = 0; if (VAR155) begin VAR182 = 0; VAR27 = 1; end if (VAR218) begin VAR182 = VAR14 + 1'b1; VAR27 = 1; end end always @* begin : VAR225 VAR16 = 32'h00000000; VAR118 = 32'h00000000; VAR147 = 0; VAR210 = 0; if (VAR119) begin VAR147 = 1; VAR210 = 1; end if (VAR223) begin VAR16 = VAR203 + 1; VAR147 = 1; if (VAR203 == 32'hffffffff) begin VAR118 = VAR242 + 1; VAR210 = 1; end end end always @* begin : VAR173 VAR38 = 0; VAR206 = 0; VAR74 = 0; VAR255 = 0; VAR131 = 0; VAR65 = 0; VAR218 = 0; VAR155 = 0; VAR223 = 0; VAR119 = 0; VAR50 = 0; VAR197 = 0; VAR43 = 0; VAR18 = 0; VAR168 = VAR166; VAR124 = 0; case (VAR229) VAR166: begin VAR197 = 1; if (VAR116) begin VAR50 = 1; VAR74 = 1; VAR119 = 1; VAR168 = VAR102; VAR124 = 1; end end VAR102: begin VAR38 = 1; VAR65 = 1; VAR155 = 1; VAR168 = VAR81; VAR124 = 1; end VAR81: begin VAR206 = 1; VAR131 = 1; if (VAR59 == VAR200) begin VAR218 = 1; if (VAR14 == (VAR140 - 1)) begin VAR168 = VAR88; VAR124 = 1; end end end VAR88: begin VAR255 = 1; VAR43 = 1; VAR18 = 1; VAR168 = VAR55; VAR124 = 1; end VAR55: begin VAR197 = 1; if (VAR116) begin VAR43 = 0; VAR18 = 1; VAR50 = 1; VAR74 = 1; VAR119 = 1; VAR168 = VAR102; VAR124 = 1; end else if (VAR49) begin VAR43 = 0; VAR18 = 1; VAR50 = 1; VAR223 = 1; VAR168 = VAR102; VAR124 = 1; end end endcase end endmodule
bsd-2-clause
ncos/Xilinx-Verilog
ZOLED/src/OLED/SpiCtrl.v
4,105
module MODULE1( VAR7, VAR9, VAR10, VAR4, VAR13, VAR12, VAR11 ); input VAR7; input VAR9; input VAR10; input [7:0] VAR4; output VAR13; output VAR12; output VAR11; wire VAR13, VAR12, VAR11; reg [39:0] VAR3 = "VAR15"; reg [7:0] VAR6 = 8'h00; reg [3:0] VAR16 = 4'h0; wire VAR8; reg [4:0] counter = 5'b00000; reg VAR5 = 1'b1; reg VAR2 = 1'b0; assign VAR8 = ~counter[4]; assign VAR12 = VAR8; assign VAR13 = VAR5; assign VAR11 = (VAR3 == "VAR1") ? 1'b1 : 1'b0; always @(posedge VAR7) begin if(VAR9 == 1'b1) begin VAR3 <= "VAR15"; end else begin case(VAR3) "VAR15" : begin if(VAR10 == 1'b1) begin VAR3 <= "VAR14"; end end "VAR14" : begin if(VAR16 == 4'h8 && VAR2 == 1'b0) begin VAR3 <= "VAR1"; end end "VAR1" : begin if(VAR10 == 1'b0) begin VAR3 <= "VAR15"; end end default : VAR3 <= "VAR15"; endcase end end always @(posedge VAR7) begin if(VAR3 == "VAR14") begin counter <= counter + 1'b1; end else begin counter <= 5'b00000; end end always @(posedge VAR7) begin if(VAR3 == "VAR15") begin VAR16 <= 4'h0; VAR6 <= VAR4; VAR5 <= 1'b1; end else if(VAR3 == "VAR14") begin if(VAR8 == 1'b0 && VAR2 == 1'b0) begin VAR2 <= 1'b1; VAR5 <= VAR6[7]; VAR6 <= {VAR6[6:0],1'b0}; VAR16 <= VAR16 + 1'b1; end else if(VAR8 == 1'b1) begin VAR2 <= 1'b0; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a221o/sky130_fd_sc_hs__a221o_4.v
2,317
module MODULE1 ( VAR3 , VAR8 , VAR10 , VAR5 , VAR6 , VAR1 , VAR4, VAR7 ); output VAR3 ; input VAR8 ; input VAR10 ; input VAR5 ; input VAR6 ; input VAR1 ; input VAR4; input VAR7; VAR2 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3 , VAR8, VAR10, VAR5, VAR6, VAR1 ); output VAR3 ; input VAR8; input VAR10; input VAR5; input VAR6; input VAR1; supply1 VAR4; supply0 VAR7; VAR2 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR10(VAR10), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
kyzhai/NUNY
src/hardware/ninja2_bb.v
4,986
module MODULE1 ( address, VAR2, VAR1); input [11:0] address; input VAR2; output [11:0] VAR1; tri1 VAR2; endmodule
gpl-2.0
olajep/oh
src/adi/hdl/library/axi_hdmi_tx/axi_hdmi_tx_core.v
17,898
module MODULE1 #( parameter VAR107 = 0, parameter VAR115 = 0) ( input VAR27, input VAR97, output reg VAR68, output reg VAR66, output reg VAR108, output reg [15:0] VAR28, output reg [15:0] VAR105, output reg VAR25, output reg VAR152, output reg VAR106, output reg [23:0] VAR37, output reg VAR70, output reg VAR95, output reg VAR99, output reg [35:0] VAR50, output reg VAR44, output reg [ 8:0] VAR135, output reg VAR65, output reg VAR22, input VAR141, input VAR89, input [ 8:0] VAR15, input [47:0] VAR130, input VAR129, input [ 8:0] VAR114, input VAR117, input VAR30, input [ 1:0] VAR73, input [23:0] VAR29, input [15:0] VAR139, input [15:0] VAR18, input [15:0] VAR93, input [15:0] VAR136, input [15:0] VAR55, input [15:0] VAR74, input [15:0] VAR101, input [15:0] VAR116, input [15:0] VAR76, input [15:0] VAR72, input [23:0] VAR100, input [23:0] VAR9); reg VAR31 = 'd0; reg [15:0] VAR134 = 'd0; reg [15:0] VAR91 = 'd0; reg VAR84 = 'd0; reg VAR61 = 'd0; reg VAR2 = 'd0; reg VAR5 = 'd0; reg VAR63 = 'd0; reg [ 8:0] VAR149 = 'd0; reg VAR128 = 'd0; reg VAR120 = 'd0; reg VAR113 = 'd0; reg VAR41 = 'd0; reg [ 9:0] VAR14 = 'd0; reg VAR48 = 'd0; reg VAR17 = 'd0; reg VAR96 = 'd0; reg VAR143 = 'd0; reg VAR13 = 'd0; reg VAR75 = 'd0; reg VAR54 = 'd0; reg VAR118 = 'd0; reg VAR32 = 'd0; reg VAR23 = 'd0; reg VAR124 = 'd0; reg VAR64 = 'd0; reg [47:0] VAR144 = 'd0; reg [23:0] VAR90 = 'd0; reg VAR110 = 'd0; reg VAR153 = 'd0; reg VAR21 = 'd0; reg VAR67 = 'd0; reg VAR151 = 'd0; reg [23:0] VAR150 = 'd0; reg VAR85 = 'd0; reg VAR80 = 'd0; reg VAR148 = 'd0; reg VAR131 = 'd0; reg VAR11 = 'd0; reg [23:0] VAR77 = 'd0; reg VAR19 = 'd0; reg VAR71 = 'd0; reg VAR79 = 'd0; reg VAR98 = 'd0; reg VAR46 = 'd0; reg [15:0] VAR87 = 'd0; reg VAR57 = 'd0; reg VAR20 = 'd0; reg [15:0] VAR137 = 'd0; reg [23:0] VAR60 = 'd0; reg VAR103 = 'd0; reg VAR69 = 'd0; reg VAR34 = 'd0; reg VAR133 = 'd0; reg VAR109 = 'd0; wire [15:0] VAR112; wire [15:0] VAR16; wire [15:0] VAR52; wire [15:0] VAR127; wire VAR121; wire VAR58; wire [47:0] VAR102; wire [23:0] VAR51; wire VAR7; wire [23:0] VAR119; wire VAR126; wire VAR62; wire VAR6; wire VAR88; wire VAR12; wire [23:0] VAR82; wire VAR145; wire VAR81; wire VAR92; wire VAR49; wire VAR4; wire [15:0] VAR35; wire [15:0] VAR53; function [8:0] VAR78; input [8:0] VAR3; reg [8:0] VAR104; begin VAR104[8] = VAR3[8]; VAR104[7] = VAR3[8] ^ VAR3[7]; VAR104[6] = VAR3[7] ^ VAR3[6]; VAR104[5] = VAR3[6] ^ VAR3[5]; VAR104[4] = VAR3[5] ^ VAR3[4]; VAR104[3] = VAR3[4] ^ VAR3[3]; VAR104[2] = VAR3[3] ^ VAR3[2]; VAR104[1] = VAR3[2] ^ VAR3[1]; VAR104[0] = VAR3[1] ^ VAR3[0]; VAR78 = VAR104; end endfunction always @(posedge VAR27) begin if (VAR97 == 1'b1) begin VAR22 <= 1'b0; VAR31 <= 1'b0; end else begin VAR22 <= 1'b1; VAR31 <= VAR73[1] | VAR73[0]; end end assign VAR112 = VAR18 - 1'b1; assign VAR16 = VAR101 - 1'b1; assign VAR52 = VAR18 - (VAR139 + 1'b1); assign VAR127 = VAR101 - (VAR74 + 1'b1); always @(posedge VAR27) begin if (VAR134 >= VAR112) begin VAR134 <= 0; end else begin VAR134 <= VAR134 + 1'b1; end if (VAR134 >= VAR112) begin if (VAR91 >= VAR16) begin VAR91 <= 0; end else begin VAR91 <= VAR91 + 1'b1; end end end always @(posedge VAR27) begin if (VAR97 == 1'b1) begin VAR44 <= 1'b0; VAR84 <= 1'b0; end else begin if (VAR115 == 1) begin if ((VAR134 == 1) && (VAR91 == VAR127)) begin VAR84 <= VAR31; end else begin VAR84 <= 1'b0; end end else begin if ((VAR134 == 1) && (VAR91 == VAR116)) begin VAR84 <= VAR31; end else begin VAR84 <= 1'b0; end end if (VAR84 == 1'b1) begin VAR44 <= ~VAR44; end end end assign VAR121 = VAR2 ^ VAR5; always @(posedge VAR27 or posedge VAR97) begin if (VAR97 == 1'b1) begin VAR61 <= 1'd0; VAR2 <= 1'd0; VAR5 <= 1'd0; end else begin VAR61 <= VAR129; VAR2 <= VAR61; VAR5 <= VAR2; end end always @(posedge VAR27) begin VAR63 <= VAR121; VAR149 <= VAR114; end always @(posedge VAR27) begin if (VAR115 == 1) begin VAR128 <= 1'b0; VAR120 <= 1'b0; if (VAR134 <= VAR52) begin VAR113 <= 1'b0; end else begin VAR113 <= VAR31; end if (VAR91 <= VAR127) begin VAR41 <= 1'b0; end else begin VAR41 <= VAR31; end end else begin if (VAR134 < VAR93) begin VAR128 <= VAR31; end else begin VAR128 <= 1'b0; end if (VAR91 < VAR116) begin VAR120 <= VAR31; end else begin VAR120 <= 1'b0; end if ((VAR134 < VAR55) || (VAR134 >= VAR136)) begin VAR113 <= 1'b0; end else begin VAR113 <= VAR31; end if ((VAR91 < VAR72) || (VAR91 >= VAR76)) begin VAR41 <= 1'b0; end else begin VAR41 <= VAR31; end end end assign VAR58 = VAR113 & VAR41; always @(posedge VAR27) begin if (VAR97 == 1'b1) begin VAR14 <= 10'd0; end else if (VAR84 == 1'b1) begin VAR14 <= {VAR149, 1'b0}; end else if (VAR58 == 1'b1) begin VAR14 <= VAR14 + 1'b1; end VAR135 <= VAR78(VAR14[9:1]); end always @(posedge VAR27) begin VAR48 <= VAR128; VAR17 <= VAR120; VAR96 <= VAR113; VAR143 <= VAR41; VAR13 <= VAR58; VAR75 <= VAR14[0]; VAR54 <= VAR48; VAR118 <= VAR17; VAR32 <= VAR96; VAR23 <= VAR143; VAR124 <= VAR13; VAR64 <= VAR75; VAR144 <= VAR102; end assign VAR51 = (VAR64 == 1'b1) ? VAR144[47:24] : VAR144[23:0]; assign VAR7 = (VAR51 == VAR90) ? 1'b0 : VAR124; assign VAR119 = VAR90; always @(posedge VAR27) begin if ((VAR97 == 1'b1) || (VAR63 == 1'b1)) begin VAR90 <= 'd0; end else if (VAR124 == 1'b1) begin VAR90 <= VAR90 + 1'b1; end VAR65 <= VAR7; end always @(posedge VAR27) begin VAR110 <= VAR54; VAR153 <= VAR118; VAR21 <= VAR32; VAR67 <= VAR23; VAR151 <= VAR124; case (VAR73) 2'b11: VAR150 <= VAR29; 2'b10: VAR150 <= VAR119; 2'b01: VAR150 <= VAR51; default: VAR150 <= 24'd0; endcase end always @(posedge VAR27) begin if (VAR117 == 1'b1) begin VAR85 <= VAR110; VAR80 <= VAR153; VAR148 <= VAR21; VAR131 <= VAR67; VAR11 <= VAR151; VAR77 <= VAR150; end else begin VAR85 <= VAR126; VAR80 <= VAR62; VAR148 <= VAR6; VAR131 <= VAR88; VAR11 <= VAR12; VAR77 <= VAR82; end end always @(posedge VAR27) begin VAR34 <= VAR85; VAR133 <= VAR80; VAR103 <= VAR148; VAR69 <= VAR131; VAR109 <= VAR11; if (VAR77[23:16] > VAR100[23:16]) begin VAR60[23:16] <= VAR100[23:16]; end else if (VAR77[23:16] < VAR9[23:16]) begin VAR60[23:16] <= VAR9[23:16]; end else begin VAR60[23:16] <= VAR77[23:16]; end if (VAR77[15:8] > VAR100[15:8]) begin VAR60[15:8] <= VAR100[15:8]; end else if (VAR77[15:8] < VAR9[15:8]) begin VAR60[15:8] <= VAR9[15:8]; end else begin VAR60[15:8] <= VAR77[15:8]; end if (VAR77[7:0] > VAR100[7:0]) begin VAR60[7:0] <= VAR100[7:0]; end else if (VAR77[7:0] < VAR9[7:0]) begin VAR60[7:0] <= VAR9[7:0]; end else begin VAR60[7:0] <= VAR77[7:0]; end end always @(posedge VAR27) begin VAR70 <= VAR34; VAR95 <= VAR133; VAR99 <= VAR109; VAR50[35:24] <= {VAR60[23:16], VAR60[23:20]}; VAR50[23:12] <= {VAR60[15: 8], VAR60[15:12]}; VAR50[11: 0] <= {VAR60[ 7: 0], VAR60[ 7: 4]}; VAR25 <= VAR34; VAR152 <= VAR133; VAR106 <= VAR109; VAR37 <= VAR60; VAR68 <= VAR19; VAR66 <= VAR71; VAR108 <= VAR46; VAR28 <= VAR87; VAR105 <= VAR53; if (VAR30 == 1'b1) begin VAR19 <= VAR34; VAR71 <= VAR133; VAR79 <= VAR103; VAR98 <= VAR69; VAR46 <= VAR109; VAR87 <= VAR60[15:0]; end else begin VAR19 <= VAR145; VAR71 <= VAR81; VAR79 <= VAR92; VAR98 <= VAR49; VAR46 <= VAR4; VAR87 <= VAR35; end end always @(posedge VAR27) begin VAR57 <= VAR79; VAR20 <= VAR98; if (VAR46 == 1'b0) begin VAR137[15:8] <= 8'h80; end else begin VAR137[15:8] <= VAR87[15:8]; end if (VAR46 == 1'b0) begin VAR137[7:0] <= 8'h80; end else begin VAR137[7:0] <= VAR87[7:0]; end end VAR146 #(.VAR33(48), .VAR122(9)) VAR43 ( .VAR26 (VAR141), .VAR56 (VAR89), .VAR42 (VAR15), .VAR45 (VAR130), .VAR111 (VAR27), .VAR1 (1'b1), .VAR40 (VAR14[9:1]), .VAR47 (VAR102)); VAR132 #(.VAR24(5)) VAR123 ( .clk (VAR27), .VAR83 ({VAR110, VAR153, VAR21, VAR67, VAR151}), .VAR138 (VAR150), .VAR142 ({VAR126, VAR62, VAR6, VAR88, VAR12}), .VAR147 (VAR82)); VAR38 #(.VAR24(5), .VAR107(VAR107)) VAR140 ( .clk (VAR27), .VAR8 (VAR109), .VAR86 ({VAR34, VAR133, VAR103, VAR69, VAR109}), .VAR10 (VAR60), .VAR59 ({VAR145, VAR81, VAR92, VAR49, VAR4}), .VAR125 (VAR35)); VAR94 #(.VAR33(16)) VAR36 ( .VAR27 (VAR27), .VAR113 (VAR57), .VAR41 (VAR20), .VAR39 (VAR137), .VAR150 (VAR53)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfsbp/sky130_fd_sc_ls__dfsbp.blackbox.v
1,356
module MODULE1 ( VAR9 , VAR7 , VAR3 , VAR2 , VAR1 ); output VAR9 ; output VAR7 ; input VAR3 ; input VAR2 ; input VAR1; supply1 VAR4; supply0 VAR6; supply1 VAR8 ; supply0 VAR5 ; endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/new/SHD_top.v
6,313
module MODULE1 #(parameter VAR31 = 128, VAR50 = 8, VAR38 = 8) ( input VAR20, input VAR26, output VAR16, input VAR68, output VAR25, input VAR76, input[31:0] VAR34, input[30:0] VAR9, input[VAR31 - 1:0] VAR59, input VAR56, output VAR12, output VAR45, output VAR65, input VAR44, output VAR28, output[31:0] VAR43, output[30:0] VAR62, output[VAR31 - 1:0] VAR81, output VAR8, input VAR54, input clk, input rst ); parameter VAR2 = VAR35(VAR38); assign VAR16 = VAR20; assign VAR45 = VAR20; wire VAR70; wire[VAR31 - 1:0] VAR11; wire VAR7; wire[VAR13 - 1:0] VAR66; wire VAR33, VAR73; wire VAR1; wire[VAR31 - 1:0] VAR57; VAR15 #(.VAR31(VAR31), .VAR38(VAR38)) VAR74( .clk(VAR20), .rst(rst), .VAR68(VAR68), .VAR25(VAR25), .VAR12(VAR12), .VAR56(VAR56), .VAR59(VAR59), .VAR34(VAR34), .VAR36(VAR70), .VAR3(VAR11), .VAR52(VAR7), .VAR1(VAR1), .VAR57(VAR57), .VAR66(VAR66), .VAR39(VAR73), .VAR33(VAR33) ); wire[VAR31*VAR38 - 1:0] VAR47; VAR53 #(.VAR31(VAR31), .VAR60(VAR38)) VAR80( .clk(VAR16), .rst(rst), .VAR4(VAR1), .VAR49(VAR57), .VAR29(VAR47) ); wire[VAR50 - 1:0] VAR30, VAR17; wire[VAR50*VAR31 - 1:0] VAR75; VAR32 #(.VAR14(VAR31), .VAR50(VAR50)) VAR55 ( .clk(VAR20), .rst(rst), .VAR36(VAR70), .VAR37(VAR11), .VAR82(VAR7), .VAR51(clk), .VAR72(VAR30), .VAR48(VAR17), .VAR79(VAR75) ); wire[VAR50 - 1:0] VAR24, VAR77; wire[VAR50*VAR38 - 1:0] VAR23; genvar VAR6; generate for(VAR6 = 0; VAR6 < VAR50; VAR6 = VAR6 + 1) begin VAR27 #(.VAR14(VAR31), .VAR38(VAR38)) VAR19 ( .clk(clk), .rst(rst), .VAR46(VAR75[VAR6*VAR31 +: VAR31]), .VAR21(VAR47), .VAR82(VAR17[VAR6]), .VAR36(VAR30[VAR6]), .VAR41(VAR20), .VAR24(VAR24[VAR6]), .VAR69(VAR23[VAR6*VAR38 +: VAR38]), .VAR78(VAR77[VAR6]) ); end endgenerate wire VAR39, VAR18; wire[VAR38 - 1:0] VAR5; VAR71 #(.VAR14(VAR31), .VAR50(VAR50), .VAR38(VAR38)) VAR63 ( .clk(VAR20), .rst(rst), .VAR22(VAR24), .VAR58(VAR23), .VAR40(VAR77), .VAR39(VAR39), .VAR18(VAR18), .VAR5(VAR5) ); VAR67 #(.VAR31(VAR31), .VAR64(VAR38), .VAR38(VAR38)) VAR42( .clk(VAR45), .rst(rst), .VAR61(VAR39), .VAR77(VAR18), .VAR23(VAR5), .VAR65(VAR65), .VAR44(VAR44), .VAR28(VAR28), .VAR43(VAR43), .VAR62(VAR62), .VAR81(VAR81), .VAR8(VAR8), .VAR54(VAR54), .VAR66(VAR66), .en(VAR33), .VAR10(VAR73) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3/sky130_fd_sc_hd__or3.pp.symbol.v
1,274
module MODULE1 ( input VAR7 , input VAR1 , input VAR6 , output VAR8 , input VAR3 , input VAR2, input VAR5, input VAR4 ); endmodule
apache-2.0
sehugg/8bitworkshop
presets/verilog/sprite_renderer.v
4,430
module MODULE1(clk, VAR9, VAR24, VAR30, VAR3, VAR6, VAR40, VAR34); input clk; input VAR9; input VAR24; input VAR30; output reg [3:0] VAR3; input [7:0] VAR6; output reg VAR40; output VAR34; reg [2:0] state; reg [3:0] VAR27; reg [3:0] VAR21; reg [7:0] VAR22; localparam VAR10 = 0; localparam VAR20 = 1; localparam VAR12 = 2; localparam VAR19 = 3; localparam VAR32 = 4; localparam VAR41 = 5; assign VAR34 = state != VAR10; always @(posedge clk) begin case (state) VAR10: begin VAR27 <= 0; VAR40 <= 0; if (VAR9) state <= VAR20; end VAR20: begin VAR21 <= 0; VAR40 <= 0; if (VAR24) state <= VAR12; end VAR12: begin VAR3 <= VAR27; state <= VAR19; end VAR19: begin VAR22 <= VAR6; state <= VAR32; end VAR32: begin if (VAR30) state <= VAR41; end VAR41: begin VAR40 <= VAR22[VAR21<8 ? VAR21[2:0] : ~VAR21[2:0]]; VAR21 <= VAR21 + 1; if (VAR21 == 15) begin VAR27 <= VAR27 + 1; end if (VAR27 == 15) state <= VAR10; else state <= VAR20; end end default: begin state <= VAR10; end endcase end endmodule module MODULE2(clk, VAR38, VAR26, VAR31, VAR25, VAR33); input clk; input VAR25, VAR33; output VAR38, VAR26; output [2:0] VAR31; wire VAR29; wire [8:0] VAR2; wire [8:0] VAR15; reg [7:0] VAR1; reg [7:0] VAR35; reg [7:0] VAR36; reg [7:0] VAR5; VAR7 VAR16( .clk(clk), .reset(0), .VAR38(VAR38), .VAR26(VAR26), .VAR29(VAR29), .VAR2(VAR2), .VAR15(VAR15) ); wire [3:0] VAR17; wire [7:0] VAR8; VAR39 VAR14( .VAR28(VAR17), .VAR4(VAR8)); wire VAR9 = {1'b0,VAR35} == VAR15; wire VAR30 = {1'b0,VAR1} == VAR2; wire VAR23; wire VAR34; MODULE1 MODULE1( .clk(clk), .VAR9(VAR9), .VAR24(VAR38), .VAR30(VAR30), .VAR3(VAR17), .VAR6(VAR8), .VAR40(VAR23), .VAR34(VAR34)); always @(posedge VAR25) VAR36 <= VAR15[7:0]; always @(posedge VAR33) VAR5 <= VAR15[7:0]; always @(posedge VAR26) begin VAR1 <= VAR36; VAR35 <= VAR5; end wire VAR18 = VAR29 && VAR23; wire VAR37 = VAR29 && VAR23; wire VAR13 = VAR29 && VAR34; assign VAR31 = {VAR13,VAR37,VAR18}; endmodule
gpl-3.0
pradeep9676/pradeep_9676
LZD_32bit.v
1,052
module MODULE1( in, out, valid ); input [31:0]in; output reg [4:0]out; output reg valid; wire VAR3,VAR4; wire [3:0]VAR2, VAR1; begin begin begin end begin begin
mit
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_127.v
1,538
module MODULE2 ( VAR5, VAR6 ); input [31:0] VAR5; output [31:0] VAR6; wire [31:0] VAR9, VAR1, VAR8, VAR4, VAR10, VAR14, VAR11, VAR2, VAR7; assign VAR9 = VAR5; assign VAR1 = VAR9 << 10; assign VAR8 = VAR9 + VAR1; assign VAR7 = VAR11 - VAR2; assign VAR11 = VAR14 - VAR8; assign VAR14 = VAR10 << 5; assign VAR4 = VAR9 << 5; assign VAR2 = VAR10 << 2; assign VAR10 = VAR8 - VAR4; assign VAR6 = VAR7; endmodule module MODULE1( VAR5, VAR6, clk ); input [31:0] VAR5; output [31:0] VAR6; reg [31:0] VAR6; input clk; reg [31:0] VAR3; wire [30:0] VAR13; always @(posedge clk) begin VAR3 <= VAR5; VAR6 <= VAR13; end MODULE2 MODULE1( .VAR5(VAR3), .VAR6(VAR13) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/ebufn/sky130_fd_sc_ls__ebufn.functional.pp.v
1,870
module MODULE1 ( VAR1 , VAR9 , VAR13, VAR5, VAR10, VAR12 , VAR3 ); output VAR1 ; input VAR9 ; input VAR13; input VAR5; input VAR10; input VAR12 ; input VAR3 ; wire VAR11 ; wire VAR8; VAR7 VAR2 (VAR11 , VAR9, VAR5, VAR10 ); VAR7 VAR6 (VAR8, VAR13, VAR5, VAR10 ); bufif0 VAR4 (VAR1 , VAR11, VAR8); endmodule
apache-2.0
peteasa/parallella-fpga
AdiHDLLib/library/prcfg/qpsk/FIR_Interpolation.v
19,435
module MODULE1 ( clk, VAR34, reset, VAR117, VAR90, VAR50, VAR128 ); input clk; input VAR34; input reset; input signed [15:0] VAR117; input signed [15:0] VAR90; output signed [15:0] VAR50; output signed [15:0] VAR128; parameter signed [15:0] VAR44 = 16'b1111111100110010; parameter signed [15:0] VAR114 = 16'b1111111000101100; parameter signed [15:0] VAR78 = 16'b1111100001010001; parameter signed [15:0] VAR119 = 16'b0111001100111111; parameter signed [15:0] VAR118 = 16'b1111100001010001; parameter signed [15:0] VAR54 = 16'b1111111000101100; parameter signed [15:0] VAR85 = 16'b1111111100110010; parameter signed [15:0] VAR60 = 16'b1111111101100001; parameter signed [15:0] VAR69 = 16'b1111111010000110; parameter signed [15:0] VAR2 = 16'b1111100011000010; parameter signed [15:0] VAR87 = 16'b0110110010100111; parameter signed [15:0] VAR113 = 16'b1111101111000100; parameter signed [15:0] VAR55 = 16'b1111111011011011; parameter signed [15:0] VAR49 = 16'b0000000000000000; parameter signed [15:0] VAR143 = 16'b0000000000000000; parameter signed [15:0] VAR130 = 16'b0000000000000000; parameter signed [15:0] VAR88 = 16'b0000000000000000; parameter signed [15:0] VAR1 = 16'b0101101010000011; parameter signed [15:0] VAR125 = 16'b0000000000000000; parameter signed [15:0] VAR154 = 16'b0000000000000000; parameter signed [15:0] VAR144 = 16'b0000000000000000; parameter signed [15:0] VAR14 = 16'b0000000010111111; parameter signed [15:0] VAR94 = 16'b0000000111111010; parameter signed [15:0] VAR70 = 16'b0000111110000110; parameter signed [15:0] VAR67 = 16'b0100000100110001; parameter signed [15:0] VAR52 = 16'b0000001011001001; parameter signed [15:0] VAR59 = 16'b0000000011101010; parameter signed [15:0] VAR75 = 16'b0000000000000000; parameter signed [15:0] VAR123 = 16'b0000000100101010; parameter signed [15:0] VAR43 = 16'b0000001101001011; parameter signed [15:0] VAR81 = 16'b0010011001101010; parameter signed [15:0] VAR100 = 16'b0010011001101010; parameter signed [15:0] VAR86 = 16'b0000001101001011; parameter signed [15:0] VAR8 = 16'b0000000100101010; parameter signed [15:0] VAR47 = 16'b0000000000000000; parameter signed [15:0] VAR45 = 16'b0000000011101010; parameter signed [15:0] VAR105 = 16'b0000001011001001; parameter signed [15:0] VAR7 = 16'b0100000100110001; parameter signed [15:0] VAR74 = 16'b0000111110000110; parameter signed [15:0] VAR134 = 16'b0000000111111010; parameter signed [15:0] VAR91 = 16'b0000000010111111; parameter signed [15:0] VAR20 = 16'b0000000000000000; parameter signed [15:0] VAR137 = 16'b0000000000000000; parameter signed [15:0] VAR84 = 16'b0000000000000000; parameter signed [15:0] VAR11 = 16'b0101101010000011; parameter signed [15:0] VAR58 = 16'b0000000000000000; parameter signed [15:0] VAR28 = 16'b0000000000000000; parameter signed [15:0] VAR65 = 16'b0000000000000000; parameter signed [15:0] VAR73 = 16'b0000000000000000; parameter signed [15:0] VAR21 = 16'b1111111011011011; parameter signed [15:0] VAR31 = 16'b1111101111000100; parameter signed [15:0] VAR132 = 16'b0110110010100111; parameter signed [15:0] VAR16 = 16'b1111100011000010; parameter signed [15:0] VAR71 = 16'b1111111010000110; parameter signed [15:0] VAR97 = 16'b1111111101100001; parameter signed [15:0] VAR95 = 16'b0000000000000000; reg [2:0] VAR80; wire VAR131; reg signed [15:0] VAR146 [0:5] ; reg signed [15:0] VAR148 [0:5] ; wire signed [15:0] VAR147; wire signed [15:0] VAR120; wire signed [15:0] VAR89; wire signed [31:0] VAR110; wire signed [31:0] VAR10; wire signed [15:0] VAR48; wire signed [15:0] VAR152; wire signed [15:0] VAR42; wire signed [31:0] VAR37; wire signed [31:0] VAR68; wire signed [15:0] VAR26; wire signed [15:0] VAR133; wire signed [15:0] VAR139; wire signed [31:0] VAR122; wire signed [31:0] VAR46; wire signed [15:0] VAR76; wire signed [15:0] VAR24; wire signed [15:0] VAR6; wire signed [31:0] VAR141; wire signed [31:0] VAR102; wire signed [15:0] VAR64; wire signed [15:0] VAR135; wire signed [15:0] VAR98; wire signed [31:0] VAR9; wire signed [31:0] VAR51; wire signed [15:0] VAR108; wire signed [15:0] VAR112; wire signed [15:0] VAR96; wire signed [31:0] VAR17; wire signed [31:0] VAR116; wire signed [15:0] VAR41; wire signed [15:0] VAR151; wire signed [15:0] VAR12; wire signed [31:0] VAR23; wire signed [31:0] VAR145; wire signed [15:0] VAR155; wire signed [15:0] VAR66; wire signed [15:0] VAR25; wire signed [15:0] VAR104; wire signed [16:0] VAR36; wire signed [15:0] VAR93; wire signed [15:0] VAR27; wire signed [16:0] VAR32; wire signed [15:0] VAR30; wire signed [15:0] VAR153; wire signed [15:0] VAR107; wire signed [15:0] VAR39; wire signed [16:0] VAR22; wire signed [15:0] VAR126; wire signed [15:0] VAR79; wire signed [16:0] VAR15; wire signed [15:0] VAR138; wire signed [15:0] VAR92; wire signed [15:0] VAR38; wire signed [15:0] VAR5; wire signed [16:0] VAR124; wire signed [15:0] VAR18; wire signed [15:0] VAR53; wire signed [16:0] VAR82; wire signed [15:0] VAR149; wire signed [15:0] VAR63; wire signed [15:0] VAR40; wire signed [15:0] VAR140; wire signed [16:0] VAR33; wire signed [15:0] VAR62; wire signed [15:0] VAR19; wire signed [16:0] VAR13; wire signed [15:0] VAR101; wire signed [15:0] VAR57; wire signed [15:0] VAR83; wire signed [15:0] VAR109; wire signed [16:0] VAR142; wire signed [15:0] VAR35; wire signed [15:0] VAR111; wire signed [16:0] VAR56; wire signed [15:0] VAR103; wire signed [15:0] VAR3; wire signed [15:0] VAR29; wire signed [15:0] VAR106; wire signed [16:0] VAR77; wire signed [15:0] VAR115; wire signed [15:0] VAR127; wire signed [16:0] VAR129; reg signed [15:0] VAR136; reg signed [15:0] VAR150; wire signed [15:0] VAR99; wire signed [15:0] VAR61; always @ (posedge clk or posedge reset) begin: VAR72 if (reset == 1'b1) begin VAR80 <= 3'b000; end else begin if (VAR34 == 1'b1) begin if (VAR80 == 3'b111) begin VAR80 <= 3'b000; end else begin VAR80 <= VAR80 + 1; end end end end assign VAR131 = (VAR80 == 3'b111 && VAR34 == 1'b1)? 1 : 0; always @( posedge clk or posedge reset) begin: VAR121 if (reset == 1'b1) begin VAR146[0] <= 0; VAR146[1] <= 0; VAR146[2] <= 0; VAR146[3] <= 0; VAR146[4] <= 0; VAR146[5] <= 0; VAR148[0] <= 0; VAR148[1] <= 0; VAR148[2] <= 0; VAR148[3] <= 0; VAR148[4] <= 0; VAR148[5] <= 0; end else begin if (VAR131 == 1'b1) begin VAR146[0] <= VAR117; VAR146[1] <= VAR146[0]; VAR146[2] <= VAR146[1]; VAR146[3] <= VAR146[2]; VAR146[4] <= VAR146[3]; VAR146[5] <= VAR146[4]; VAR148[0] <= VAR90; VAR148[1] <= VAR148[0]; VAR148[2] <= VAR148[1]; VAR148[3] <= VAR148[2]; VAR148[4] <= VAR148[3]; VAR148[5] <= VAR148[4]; end end end assign VAR89 = (VAR80 == 3'b000) ? VAR85 : (VAR80 == 3'b001) ? VAR49 : (VAR80 == 3'b010) ? VAR144 : (VAR80 == 3'b011) ? VAR75 : (VAR80 == 3'b100) ? VAR47 : (VAR80 == 3'b101) ? VAR20 : (VAR80 == 3'b110) ? VAR73 : VAR95; assign VAR110 = VAR146[5] * VAR89; assign VAR147 = VAR110[31:16]; assign VAR10 = VAR148[5] * VAR89; assign VAR120 = VAR10[31:16]; assign VAR42 = (VAR80 == 3'b000) ? VAR54 : (VAR80 == 3'b001) ? VAR55 : (VAR80 == 3'b010) ? VAR154 : (VAR80 == 3'b011) ? VAR59 : (VAR80 == 3'b100) ? VAR8 : (VAR80 == 3'b101) ? VAR91 : (VAR80 == 3'b110) ? VAR65 : VAR97; assign VAR37 = VAR146[4] * VAR42; assign VAR48 = VAR37[31:16]; assign VAR68 = VAR148[4] * VAR42; assign VAR152 = VAR68[31:16]; assign VAR139 = (VAR80 == 3'b000) ? VAR118 : (VAR80 == 3'b001) ? VAR113 : (VAR80 == 3'b010) ? VAR125 : (VAR80 == 3'b011) ? VAR52 : (VAR80 == 3'b100) ? VAR86 : (VAR80 == 3'b101) ? VAR134 : (VAR80 == 3'b110) ? VAR28 : VAR71; assign VAR122 = VAR146[3] * VAR139; assign VAR26 = VAR122[31:16]; assign VAR46 = VAR148[3] * VAR139; assign VAR133 = VAR46[31:16]; assign VAR6 = (VAR80 == 3'b000) ? VAR119 : (VAR80 == 3'b001) ? VAR87 : (VAR80 == 3'b010) ? VAR1 : (VAR80 == 3'b011) ? VAR67 : (VAR80 == 3'b100) ? VAR100 : (VAR80 == 3'b101) ? VAR74 : (VAR80 == 3'b110) ? VAR58 : VAR16; assign VAR141 = VAR146[2] * VAR6; assign VAR76 = VAR141[31:16]; assign VAR102 = VAR148[2] * VAR6; assign VAR24 = VAR102[31:16]; assign VAR98 = (VAR80 == 3'b000) ? VAR78 : (VAR80 == 3'b001) ? VAR2 : (VAR80 == 3'b010) ? VAR88 : (VAR80 == 3'b011) ? VAR70 : (VAR80 == 3'b100) ? VAR81 : (VAR80 == 3'b101) ? VAR7 : (VAR80 == 3'b110) ? VAR11 : VAR132; assign VAR9 = VAR146[1] * VAR98; assign VAR64 = VAR9[31:16]; assign VAR51 = VAR148[1] * VAR98; assign VAR135 = VAR51[31:16]; assign VAR96 = (VAR80 == 3'b000) ? VAR114 : (VAR80 == 3'b001) ? VAR69 : (VAR80 == 3'b010) ? VAR130 : (VAR80 == 3'b011) ? VAR94 : (VAR80 == 3'b100) ? VAR43 : (VAR80 == 3'b101) ? VAR105 : (VAR80 == 3'b110) ? VAR84 : VAR31; assign VAR17 = VAR146[0] * VAR96; assign VAR108 = VAR17[31:16]; assign VAR116 = VAR148[0] * VAR96; assign VAR112 = VAR116[31:16]; assign VAR12 = (VAR80 == 3'b000) ? VAR44 : (VAR80 == 3'b001) ? VAR60 : (VAR80 == 3'b010) ? VAR143 : (VAR80 == 3'b011) ? VAR14 : (VAR80 == 3'b100) ? VAR123 : (VAR80 == 3'b101) ? VAR45 : (VAR80 == 3'b110) ? VAR137 : VAR21; assign VAR23 = VAR117 * VAR12; assign VAR41 = VAR23[31:16]; assign VAR145 = VAR90 * VAR12; assign VAR151 = VAR145[31:16]; assign VAR25 = VAR41; assign VAR104 = VAR108; assign VAR36 = VAR25 + VAR104; assign VAR155 = VAR36[15:0]; assign VAR93 = VAR151; assign VAR27 = VAR112; assign VAR32 = VAR93 + VAR27; assign VAR66 = VAR32[15:0]; assign VAR107 = VAR155; assign VAR39 = VAR64; assign VAR22 = VAR107 + VAR39; assign VAR30 = VAR22[15:0]; assign VAR126 = VAR66; assign VAR79 = VAR135; assign VAR15 = VAR126 + VAR79; assign VAR153 = VAR15[15:0]; assign VAR38 = VAR30; assign VAR5 = VAR76; assign VAR124 = VAR38 + VAR5; assign VAR138 = VAR124[15:0]; assign VAR18 = VAR153; assign VAR53 = VAR24; assign VAR82 = VAR18 + VAR53; assign VAR92 = VAR82[15:0]; assign VAR40 = VAR138; assign VAR140 = VAR26; assign VAR33 = VAR40 + VAR140; assign VAR149 = VAR33[15:0]; assign VAR62 = VAR92; assign VAR19 = VAR133; assign VAR13 = VAR62 + VAR19; assign VAR63 = VAR13[15:0]; assign VAR83 = VAR149; assign VAR109 = VAR48; assign VAR142 = VAR83 + VAR109; assign VAR101 = VAR142[15:0]; assign VAR35 = VAR63; assign VAR111 = VAR152; assign VAR56 = VAR35 + VAR111; assign VAR57 = VAR56[15:0]; assign VAR29 = VAR101; assign VAR106 = VAR147; assign VAR77 = VAR29 + VAR106; assign VAR103 = VAR77[15:0]; assign VAR115 = VAR57; assign VAR127 = VAR120; assign VAR129 = VAR115 + VAR127; assign VAR3 = VAR129[15:0]; always @ (posedge clk or posedge reset) begin: VAR4 if (reset == 1'b1) begin VAR136 <= 0; VAR150 <= 0; end else begin if (VAR34 == 1'b1) begin VAR136 <= VAR103; VAR150 <= VAR3; end end end assign VAR99 = (VAR34 == 1'b1) ? VAR103 : VAR136; assign VAR61 = (VAR34 == 1'b1) ? VAR3 : VAR150; assign VAR50 = VAR99; assign VAR128 = VAR61; endmodule
lgpl-3.0
m-labs/milkymist
cores/ac97/rtl/ac97_transceiver.v
2,228
module MODULE1( input VAR27, input VAR13, input VAR18, input VAR22, input VAR9, output reg VAR5, output reg VAR8, output VAR15, input VAR28, output VAR20, output VAR14, output VAR26, input VAR2, input VAR19, input VAR32 ); reg VAR1; always @(negedge VAR18) VAR1 <= VAR9; reg VAR17; always @(negedge VAR18) VAR17 <= VAR8; wire VAR6; VAR16 #( .VAR24(2), .VAR31(6) ) VAR29 ( .VAR11({VAR20, VAR14}), .VAR12(VAR6), .VAR30(VAR28), .VAR21(VAR27), .VAR4({VAR17, VAR1}), .VAR7(), .VAR33(1'b1), .VAR23(~VAR18), .rst(VAR13) ); assign VAR15 = ~VAR6; wire VAR10; always @(negedge VAR22, posedge VAR18) begin if(~VAR22) VAR8 <= 1'b0; end else VAR8 <= VAR10; end wire VAR25; always @(negedge VAR22, posedge VAR18) begin if(~VAR22) VAR5 <= 1'b0; end else VAR5 <= VAR25; end wire VAR34; VAR16 #( .VAR24(2), .VAR31(6) ) VAR3 ( .VAR11({VAR10, VAR25}), .VAR12(), .VAR30(1'b1), .VAR21(VAR18), .VAR4({VAR19, VAR32}), .VAR7(VAR34), .VAR33(VAR2), .VAR23(VAR27), .rst(VAR13) ); assign VAR26 = ~VAR34; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a222oi/sky130_fd_sc_hd__a222oi.symbol.v
1,418
module MODULE1 ( input VAR4, input VAR7, input VAR9, input VAR5, input VAR11, input VAR8, output VAR2 ); supply1 VAR3; supply0 VAR10; supply1 VAR1 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a222oi/sky130_fd_sc_hdll__a222oi.behavioral.pp.v
2,437
module MODULE1 ( VAR21 , VAR16 , VAR12 , VAR1 , VAR2 , VAR8 , VAR17 , VAR18, VAR14, VAR4 , VAR20 ); output VAR21 ; input VAR16 ; input VAR12 ; input VAR1 ; input VAR2 ; input VAR8 ; input VAR17 ; input VAR18; input VAR14; input VAR4 ; input VAR20 ; wire VAR15 ; wire VAR13 ; wire VAR7 ; wire VAR3 ; wire VAR6; nand VAR5 (VAR15 , VAR12, VAR16 ); nand VAR11 (VAR13 , VAR2, VAR1 ); nand VAR10 (VAR7 , VAR17, VAR8 ); and VAR19 (VAR3 , VAR15, VAR13, VAR7); VAR9 VAR23 (VAR6, VAR3, VAR18, VAR14 ); buf VAR22 (VAR21 , VAR6 ); endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/ipshared/xilinx.com/axi_clock_converter_v2_1/e5ab369d/hdl/verilog/axi_clock_converter_v2_1_axic_sample_cycle_ratio.v
5,910
module MODULE1 # ( parameter VAR9 = 2 ) ( input wire VAR4, input wire VAR15, output wire VAR3, output wire VAR8 ); localparam VAR17 = VAR9 > 2 ? VAR9-1 : VAR9-1; reg VAR7 = 0; reg VAR13; reg VAR2; wire VAR14; wire VAR1; reg [VAR17-1:0] VAR16; reg VAR11; generate if (VAR9 == 1) begin : VAR12 assign VAR3 = 1'b1; assign VAR8 = 1'b1; end else begin : VAR5 genvar VAR10; always @(posedge VAR4) begin VAR7 <= ~VAR7; end always @(posedge VAR15) begin VAR13 <= VAR7; end always @(posedge VAR15) begin VAR2 <= ~VAR7; end assign VAR14 = VAR7 & ~VAR13; assign VAR1 = ~VAR7 & ~VAR2; always @(*) begin VAR16[VAR17-1] = VAR14 | VAR1; end for (VAR10 = VAR17-1; VAR10 > 0; VAR10 = VAR10 - 1) begin : VAR6 always @(posedge VAR15) begin VAR16[VAR10-1] <= VAR16[VAR10]; end end always @(posedge VAR15) begin VAR11 <= VAR16[0]; end assign VAR3 = VAR16[0]; assign VAR8 = VAR11; end endgenerate endmodule
gpl-3.0
tmatsuya/milkymist-ml401
cores/uart/rtl/uart_transceiver.v
3,806
module MODULE1( input VAR2, input VAR16, input VAR12, output reg VAR9, input [15:0] VAR11, output reg [7:0] VAR21, output reg VAR10, input [7:0] VAR13, input VAR19, output reg VAR7 ); reg [15:0] VAR4; wire VAR6; assign VAR6 = (VAR4 == 16'd0); always @(posedge VAR16) begin if(VAR2) VAR4 <= VAR11 - 16'b1; end else begin VAR4 <= VAR4 - 16'd1; if(VAR6) VAR4 <= VAR11 - 16'b1; end end reg VAR1; reg VAR8; always @(posedge VAR16) begin VAR1 <= VAR12; VAR8 <= VAR1; end reg VAR5; reg [3:0] VAR3; reg [3:0] VAR22; reg [7:0] VAR18; always @(posedge VAR16) begin if(VAR2) begin VAR10 <= 1'b0; VAR5 <= 1'b0; VAR3 <= 4'd0; VAR22 <= 4'd0; end else begin VAR10 <= 1'b0; if(VAR6) begin if(~VAR5) begin if(~VAR8) begin VAR5 <= 1'b1; VAR3 <= 4'd7; VAR22 <= 4'd0; end end else begin VAR3 <= VAR3 + 4'd1; if(VAR3 == 4'd0) begin VAR22 <= VAR22 + 4'd1; if(VAR22 == 4'd0) begin if(VAR8) VAR5 <= 1'b0; end else if(VAR22 == 4'd9) begin VAR5 <= 1'b0; if(VAR8) begin VAR21 <= VAR18; VAR10 <= 1'b1; end end else VAR18 <= {VAR8, VAR18[7:1]}; end end end end end reg VAR20; reg [3:0] VAR17; reg [3:0] VAR15; reg [7:0] VAR14; always @(posedge VAR16) begin if(VAR2) begin VAR7 <= 1'b0; VAR20 <= 1'b0; VAR9 <= 1'b1; end else begin VAR7 <= 1'b0; if(VAR19) begin VAR14 <= VAR13; VAR17 <= 4'd0; VAR15 <= 4'd1; VAR20 <= 1'b1; VAR9 <= 1'b0; end else if(VAR6 && VAR20) begin VAR15 <= VAR15 + 4'd1; if(VAR15 == 4'd0) begin VAR17 <= VAR17 + 4'd1; if(VAR17 == 4'd8) begin VAR9 <= 1'b1; end else if(VAR17 == 4'd9) begin VAR9 <= 1'b1; VAR20 <= 1'b0; VAR7 <= 1'b1; end else begin VAR9 <= VAR14[0]; VAR14 <= {1'b0, VAR14[7:1]}; end end end end end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41ai/sky130_fd_sc_ls__o41ai.pp.blackbox.v
1,408
module MODULE1 ( VAR8 , VAR1 , VAR7 , VAR4 , VAR3 , VAR5 , VAR9, VAR6, VAR2 , VAR10 ); output VAR8 ; input VAR1 ; input VAR7 ; input VAR4 ; input VAR3 ; input VAR5 ; input VAR9; input VAR6; input VAR2 ; input VAR10 ; endmodule
apache-2.0
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/Hex Encoder.v
1,060
module MODULE1( input [4:0] VAR2, output reg [6:0] VAR1 ); always @(VAR2) begin case(VAR2) 5'b0000: VAR1 <= ~7'b0111111; 5'b0001: VAR1 <= ~7'b0000110; 5'b0010: VAR1 <= ~7'b1011011; 5'b0011: VAR1 <= ~7'b1001111; 5'b0100: VAR1 <= ~7'b1100110; 5'b0101: VAR1 <= ~7'b1101101; 5'b0110: VAR1 <= ~7'b1111101; 5'b0111: VAR1 <= ~7'b0000111; 5'b1000: VAR1 <= ~7'b1111111; 5'b1001: VAR1 <= ~7'b1101111; 5'b1010: VAR1 <= ~7'b1110111; 5'b1011: VAR1 <= ~7'b1111100; 5'b1100: VAR1 <= ~7'b0111001; 5'b1101: VAR1 <= ~7'b1011110; 5'b1110: VAR1 <= ~7'b1111001; 5'b1111: VAR1 <= ~7'b1110001; 5'b11111: VAR1 <= ~7'b0000000; default: VAR1 <= ~7'b1000000; endcase end endmodule
gpl-2.0
flycrow/pyxdl
logicanalyzer/tracer.v
4,296
module MODULE1( input wire VAR13, input wire VAR32, input wire [63:0] VAR24, input wire [31:0] VAR15, output reg [31:0] VAR36, output reg VAR28, input wire [31:0] VAR9, input wire VAR29, input wire VAR14); reg [63:0] VAR22; reg [63:0] VAR25; reg VAR12; reg [10:0] VAR19; reg [63:0] VAR30; wire [63:0] VAR5; reg VAR2; reg [63:0] VAR34; always @(posedge VAR13) begin VAR34 <= VAR24; end always @ begin VAR28 = VAR29; VAR36 = 32'h4c4f4749; case(VAR9[7:0]) 8'h00: VAR36 = {31'b0,VAR17 == VAR4 ? 1'b1 : 1'b0}; 8'h04: VAR36 = VAR22[31:0]; 8'h08: VAR36 = VAR22[63:32]; 8'h0c: VAR36 = VAR25[31:0]; 8'h10: VAR36 = VAR25[63:32]; 8'h14: VAR36 = VAR19; 8'h40: VAR36 = VAR5[31:0]; 8'h44: VAR36 = VAR5[63:32]; endcase end always @(posedge VAR13) begin if(VAR32) begin VAR19 <= 0; VAR17 <= VAR4; VAR2 <= 1'b0; VAR22 <= 64'h0; VAR25 <= 64'h1; end else begin case(VAR17) VAR4: begin VAR2 <= 0; if(VAR29 & VAR14) begin case(VAR9[7:0]) 8'h00: if(VAR15[0]) begin VAR17 <= VAR35; VAR19 <= 11'h7ff; end 8'h04: VAR22[31:0] <= VAR15; 8'h08: VAR22[63:32] <= VAR15; 8'h0c: VAR25[31:0] <= VAR15; 8'h10: VAR25[63:32] <= VAR15; 8'h14: VAR19 <= VAR15[10:0]; endcase end end VAR35: if(VAR26) begin VAR17 <= VAR4; end else if(VAR12) begin VAR17 <= VAR21; VAR30 <= VAR34; VAR2 <= 1'b1; VAR19 <= VAR19 + 1; end VAR21: begin VAR30 <= VAR34; VAR2 <= 1'b1; VAR19 <= VAR19 + 1; if(VAR26) begin VAR17 <= VAR4; end if(VAR19 == 11'h7ff) begin VAR17 <= VAR4; VAR2 <= 1'b0; end end endcase end end VAR10 VAR20( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[7:0]), .VAR6(VAR5[7:0]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR40( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[15:8]), .VAR6(VAR5[15:8]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR31( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[23:16]), .VAR6(VAR5[23:16]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR1( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[31:24]), .VAR6(VAR5[31:24]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR7( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[39:32]), .VAR6(VAR5[39:32]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR8( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[47:40]), .VAR6(VAR5[47:40]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR3( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[55:48]), .VAR6(VAR5[55:48]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); VAR10 VAR38( .VAR16(VAR13), .VAR33(1'b0), .VAR39(VAR19), .VAR23(VAR30[63:56]), .VAR6(VAR5[63:56]), .VAR27(1'b0), .VAR37(), .VAR18(VAR2), .VAR11(1'b1) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfbbp/sky130_fd_sc_hdll__sdfbbp.blackbox.v
1,540
module MODULE1 ( VAR7 , VAR5 , VAR12 , VAR2 , VAR4 , VAR10 , VAR11 , VAR6 ); output VAR7 ; output VAR5 ; input VAR12 ; input VAR2 ; input VAR4 ; input VAR10 ; input VAR11 ; input VAR6; supply1 VAR9; supply0 VAR8; supply1 VAR3 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or4/sky130_fd_sc_lp__or4.pp.symbol.v
1,291
module MODULE1 ( input VAR2 , input VAR1 , input VAR8 , input VAR4 , output VAR5 , input VAR9 , input VAR7, input VAR6, input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/tapvpwrvgnd/sky130_fd_sc_hdll__tapvpwrvgnd.behavioral.pp.v
1,208
module MODULE1 ( VAR3, VAR4, VAR1 , VAR2 ); input VAR3; input VAR4; input VAR1 ; input VAR2 ; endmodule
apache-2.0
efabless/openlane
designs/151/src/DataSRAMs.v
1,993
module MODULE1 #( parameter VAR10 = 2, parameter VAR2 = 512 )( input clk, input VAR24, input [VAR10-1:0] addr, input [VAR2-1:0] VAR21, output [VAR2-1:0] VAR6 ); wire VAR13 = 1'b0; wire VAR8 = 1'b0; wire [6:0] VAR18 = {{7-VAR10{1'b0}}, addr}; wire [5:0] VAR12 = VAR18[5:0]; wire [127:0] VAR29 = VAR21[127:0]; wire [127:0] VAR4 = VAR21[255:128]; wire [127:0] VAR3 = VAR21[383:256]; wire [127:0] VAR19 = VAR21[511:384]; wire [127:0] VAR15, VAR27, VAR28, VAR25; assign VAR6 = {VAR25, VAR28, VAR27, VAR15}; MODULE2 MODULE2(.VAR26(VAR12),.VAR7(clk),.VAR11(~VAR24),.VAR23(VAR13),.VAR16(VAR8),.VAR17(VAR29),.VAR22(VAR15)); MODULE2 MODULE3(.VAR26(VAR12),.VAR7(clk),.VAR11(~VAR24),.VAR23(VAR13),.VAR16(VAR8),.VAR17(VAR4),.VAR22(VAR27)); MODULE2 MODULE1(.VAR26(VAR12),.VAR7(clk),.VAR11(~VAR24),.VAR23(VAR13),.VAR16(VAR8),.VAR17(VAR3),.VAR22(VAR28)); MODULE2 MODULE4(.VAR26(VAR12),.VAR7(clk),.VAR11(~VAR24),.VAR23(VAR13),.VAR16(VAR8),.VAR17(VAR19),.VAR22(VAR25)); endmodule module MODULE2 ( input [6:0] VAR26, input VAR7, input VAR11, input VAR23, input VAR16, input [127:0] VAR17, output reg [127:0] VAR22 ); reg [127:0] VAR14 [63:0]; always @(posedge VAR7) begin if (~VAR16) begin if (~VAR11) begin VAR14[VAR26] <= VAR17; end if (~VAR23) begin VAR22 <= VAR14[VAR26]; end end end endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/ethmac/eth_rxstatem.v
7,059
module MODULE1 (VAR11, VAR5, VAR4, VAR3, VAR24, VAR17, VAR12, VAR9, VAR14, VAR18, VAR22, VAR16, VAR8, VAR20, VAR23 ); parameter VAR6 = 1; input VAR11; input VAR5; input VAR4; input VAR3; input VAR24; input VAR12; input VAR17; input VAR9; input VAR14; input VAR18; output [1:0] VAR22; output VAR16; output VAR23; output VAR8; output VAR20; reg VAR19; reg VAR15; reg VAR16; reg VAR23; reg VAR8; reg VAR20; wire VAR1; wire VAR10; wire VAR21; wire VAR13; wire VAR7; wire VAR2; assign VAR1 = ~VAR4 & (VAR23 | VAR8 | VAR20 | (|VAR22)); assign VAR7 = VAR4 & ~VAR12 & (VAR16 & ~VAR17); assign VAR2 = VAR4 & VAR12 & (VAR16 & ~VAR17 | VAR8); assign VAR21 = VAR4 & (VAR20 & VAR9 & VAR14 | VAR15); assign VAR13 = VAR4 & VAR19 & (~VAR18); assign VAR10 = VAR4 & (VAR16 & VAR17 | VAR20 & ~VAR14 & VAR9 | VAR19 & VAR18); always @ (posedge VAR11 or posedge VAR5) begin if(VAR5) begin VAR16 <= 1'b0; VAR23 <= 1'b1; VAR8 <= 1'b0; VAR20 <= 1'b0; VAR19 <= 1'b0; VAR15 <= 1'b0; end else begin if(VAR7 | VAR2 | VAR10) VAR16 <= 1'b0; end else if(VAR1) VAR16 <= 1'b1; if(VAR1) VAR23 <= 1'b0; end else if(VAR10) VAR23 <= 1'b1; if(VAR2 | VAR1 | VAR10) VAR8 <= 1'b0; else if(VAR7) VAR8 <= 1'b1; if(VAR7 | VAR1 | VAR21 | VAR10) VAR20 <= 1'b0; else if(VAR2) VAR20 <= 1'b1; if(VAR1 | VAR13 | VAR10) VAR19 <= 1'b0; else if(VAR21) VAR19 <= 1'b1; if(VAR1 | VAR21 | VAR10) VAR15 <= 1'b0; else if(VAR13) VAR15 <= 1'b1; end end assign VAR22[1:0] = {VAR15, VAR19}; endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/boot_cpld/boot_cpld.v
2,807
module MODULE1 (input VAR49, output VAR10, output [2:0] VAR7, output [8:0] VAR14, input VAR47, output VAR34, output VAR21, output VAR15, input VAR53, input VAR16, input VAR32, input VAR26, input VAR28, input VAR20, output VAR25, output VAR43, input VAR54, output VAR55, output VAR38, input VAR12, input VAR6, input VAR51, output VAR33, input VAR5 ); assign VAR10 = 1'b1; assign VAR7[0] = ~VAR54; assign VAR7[1] = VAR20; assign VAR7[2] = ~VAR55; wire VAR44; wire [3:0] VAR35 = 4'd0; assign VAR38 = VAR43; assign VAR14[8:0] = { VAR49, VAR34, VAR15, VAR21, VAR53, VAR12, VAR6, VAR51, VAR5}; wire VAR11 = ~VAR5; wire VAR50, VAR1, VAR57, VAR42; assign VAR15 = VAR11 ? VAR12 : VAR50; assign VAR34 = VAR11 ? VAR6 : VAR1; assign VAR21 = VAR11 ? VAR51 : VAR57; assign VAR25 = VAR11 ? VAR53 : VAR42; VAR3 #(.VAR52(4), .VAR36(6), .VAR39(2), .VAR56(20), .VAR23(1), .VAR41(0), .VAR4(0), .VAR22(0)) VAR3(.VAR31(VAR49), .VAR27(VAR47), .VAR9(VAR50), .VAR45(VAR1), .VAR13(VAR53), .VAR24(VAR57), .VAR18(VAR44), .VAR48(VAR12), .VAR2(VAR6), .VAR37(VAR33), .VAR29(VAR51), .VAR46(VAR35), .VAR30(VAR55), .VAR8(VAR20), .VAR40(VAR54), .VAR17(VAR43), .VAR19(VAR42) ); endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/rtl/bw_clk_cl_jbi_jbus.v
1,967
module MODULE1(VAR10,VAR11 ,VAR5 ,VAR1 ,VAR13 ,VAR8 , VAR2 ,VAR9 ,VAR12 ,VAR4 ,VAR14 ,VAR3 ); output VAR10 ; output VAR11 ; output VAR5 ; output VAR1 ; input VAR13 ; input VAR8 ; input VAR2 ; input VAR9 ; input VAR12 ; input VAR4 ; input VAR14 ; input VAR3 ; VAR7 VAR6 ( .VAR1 (VAR1 ), .VAR10 (VAR10 ), .VAR11 (VAR11 ), .VAR5 (VAR5 ), .VAR13 (VAR13 ), .VAR8 (VAR8 ), .VAR2 (VAR2 ), .VAR9 (VAR9 ), .VAR12 (VAR12 ), .VAR4 (VAR4 ), .VAR14 (VAR14 ), .VAR3 (VAR3 ) ); endmodule
gpl-2.0
Arlet/vga16
line.v
5,708
module MODULE1( input clk, output reg [9:0] VAR68 = 0, output VAR63, input [9:0] VAR62, input [9:0] VAR38, input [9:0] VAR29, input [9:0] VAR39, input [15:0] VAR24, input VAR52, input VAR51, input VAR64, output reg VAR42, output reg [15:0] VAR32 ); reg [9:0] VAR3 = 0; reg [9:0] VAR14 = 0; reg [9:0] VAR16 = 0; reg [10:0] VAR59 = 0; reg [9:0] VAR7 = 0; reg [9:0] VAR58 = 0; reg [9:0] VAR4 = 0; reg [15:0] VAR65; reg VAR28 = 0; reg VAR23 = 0; parameter VAR45 = 2'd0, VAR33 = 2'd1, VAR13 = 2'd2; reg [2:0] state = VAR45; reg [2:0] VAR44 = VAR45; wire VAR22; wire VAR21 = (VAR3 == 479); wire VAR5; always @(posedge clk) if( VAR51 ) VAR28 <= 1; else VAR28 <= 0; always @(posedge clk) if( VAR51 | VAR5 ) VAR23 <= 1; else VAR23 <= 0; always @(posedge clk) if( VAR28 ) VAR3 <= 0; else if( VAR23 ) VAR3 <= VAR3 + 1; always @(posedge clk) state <= VAR44; always @* begin VAR44 = state; case( state ) VAR45: if( VAR51 ) VAR44 = VAR33; VAR33: if( VAR22 ) VAR44 = VAR13; VAR13: if( VAR5 ) if( VAR21 ) VAR44 = VAR45; end else VAR44 = VAR33; endcase end reg [9:0] VAR19 = 0; wire VAR1; wire VAR35; wire [31:0] VAR70; wire VAR10; wire [9:0] VAR17; wire [10:0] VAR67; assign VAR10 = VAR70[0]; assign VAR17 = VAR70[10:1]; assign VAR67 = VAR70[21:11]; VAR53 VAR69( .VAR26(clk), .VAR11(VAR68), .VAR12(4'b0), .VAR20(0), .VAR36(VAR70), .VAR41(VAR63), .VAR18(1'b0), .VAR8(1'b0), .VAR25(clk), .VAR2(VAR19), .VAR66(4'b0), .VAR49({VAR59 + VAR7, VAR14, 1'b1}), .VAR46(VAR1), .VAR43(VAR1), .VAR54(1'b0) ); reg VAR71 = 0; reg VAR15 = 0; reg VAR57 = 0; reg VAR47 = 0; always @(posedge clk) VAR47 <= (VAR14 == VAR16); wire VAR56 = VAR4 < VAR58 || (VAR4 == VAR58 && !VAR47); assign VAR35 = VAR57 && ~VAR59[10] && VAR56; always @(posedge clk) if( VAR23 ) VAR71 <= 1; else if( VAR15 & VAR52 ) VAR71 <= 0; always @(posedge clk) if( VAR23 ) VAR68 <= 0; else if( VAR63 & VAR71 ) VAR68 <= VAR68 + 1; always @(posedge clk) if( VAR63 ) begin VAR15 <= VAR71; VAR57 <= VAR15 && !VAR52; end reg VAR48 = 0; always @(posedge clk) if( VAR35 || VAR52 ) VAR48 <= 0; else if( VAR15 ) VAR48 <= 1; assign VAR1 = VAR57 && VAR4 <= VAR58 && VAR59[10]; always @(posedge clk) if( VAR23 ) VAR19 <= 0; else if( VAR1 ) VAR19 <= VAR19 + 1; always @(posedge clk) if( VAR35 ) begin VAR59 <= VAR59 - VAR58; VAR14 <= VAR14 + 1; end else if( VAR63 ) begin VAR7 <= VAR29 - VAR62; VAR58 <= VAR39 - VAR38; VAR4 <= VAR3 - VAR38; VAR16 <= VAR29; VAR65 <= VAR24; if( VAR10 ) begin VAR14 <= VAR17; VAR59 <= VAR67; end else begin VAR14 <= VAR62; VAR59 <= 49; end end assign VAR63 = !VAR57 || !VAR35; wire VAR37 = (VAR35 | VAR48) && VAR56; reg VAR30 = 0; always @(posedge clk) VAR30 <= VAR57; assign VAR22 = VAR30 && !VAR57; wire [9:0] VAR6 = VAR14; wire [15:0] VAR31 = VAR65; reg [9:0] VAR61 = 0; assign VAR5 = (VAR61 == 639) & ~VAR64; reg VAR50 = 0; wire [15:0] VAR60; reg [9:0] VAR34 = 0; always @(posedge clk) if( state != VAR13 ) VAR50 <= 0; end else VAR50 <= 1; always @(posedge clk) if( state != VAR13 ) VAR61 <= 0; end else if( ~VAR64 ) VAR61 <= VAR61 + 1; VAR27 VAR40( .VAR26(clk), .VAR11(VAR61), .VAR12(2'b0), .VAR20(0), .VAR36(VAR60), .VAR41( state == VAR13 && ~VAR64 ), .VAR18(1'b0), .VAR8( 1'b1 ), .VAR25(clk), .VAR2(VAR6), .VAR66(2'b0), .VAR49(VAR31), .VAR46(VAR37), .VAR43(VAR37), .VAR54(1'b0) ); always @(posedge clk) if( !VAR64 ) VAR32 <= VAR60; always @(posedge clk) if( !VAR64 ) VAR42 <= VAR50; always @(posedge clk) if( ~VAR50 ) VAR34 <= 0; else if( ~VAR64 ) VAR34 <= VAR34 + 1; endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4b/sky130_fd_sc_ms__nand4b_2.v
2,311
module MODULE2 ( VAR7 , VAR1 , VAR8 , VAR9 , VAR6 , VAR2, VAR5, VAR10 , VAR3 ); output VAR7 ; input VAR1 ; input VAR8 ; input VAR9 ; input VAR6 ; input VAR2; input VAR5; input VAR10 ; input VAR3 ; VAR4 VAR11 ( .VAR7(VAR7), .VAR1(VAR1), .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6), .VAR2(VAR2), .VAR5(VAR5), .VAR10(VAR10), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR7 , VAR1, VAR8 , VAR9 , VAR6 ); output VAR7 ; input VAR1; input VAR8 ; input VAR9 ; input VAR6 ; supply1 VAR2; supply0 VAR5; supply1 VAR10 ; supply0 VAR3 ; VAR4 VAR11 ( .VAR7(VAR7), .VAR1(VAR1), .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6) ); endmodule
apache-2.0
chris-wood/yield
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v
4,384
module MODULE1 # ( parameter VAR2 = "VAR7" ) ( input wire VAR5, input wire VAR3, output wire VAR4 ); generate if ( VAR2 == "VAR11" ) begin : VAR9 assign VAR4 = VAR5 & ~VAR3; end else begin : VAR6 wire VAR10; assign VAR10 = ~VAR3; VAR8 VAR12 ( .VAR4(VAR4), .VAR1(VAR5), .VAR13(VAR10) ); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfrtn/sky130_fd_sc_ms__sdfrtn.blackbox.v
1,440
module MODULE1 ( VAR7 , VAR2 , VAR1 , VAR5 , VAR3 , VAR9 ); output VAR7 ; input VAR2 ; input VAR1 ; input VAR5 ; input VAR3 ; input VAR9; supply1 VAR4; supply0 VAR6; supply1 VAR8 ; supply0 VAR10 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/tapvgnd/sky130_fd_sc_ms__tapvgnd.pp.symbol.v
1,258
module MODULE1 ( input VAR3 , input VAR4, input VAR2, input VAR1 ); endmodule
apache-2.0