repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/ifu/rtl/sparc_ifu_swpla.v
| 3,832 |
module MODULE1(
out,
in
);
input [31:0] in;
output out;
wire [31:0] in;
reg out;
always @ (in)
begin
if (in[31:30] == 2'b01) out = 1'b1;
end
else if (in[31:30] == 2'b00) begin
if (in[24:22] == 3'b100) out = 1'b0;
end
else out = 1'b1;
end else if (in[31:30] == 2'b10) begin
if (in[24:23] == 2'b11) out = 1'b1;
end
else if (in[24] == 1'b0) begin
if (in[22] == 1'b0) out = 1'b0;
end
else if ((in[22] == 1'b1) && (in[20:19] == 2'b00))
out = 1'b0;
else out = 1'b1;
end else begin
if (in[22:19] == 4'h4) out = 1'b1;
end
else if (in[22] == 1'b0) out = 1'b0;
else if ((in[22:19] == 4'hc) || (in[22:19] == 4'hf)) out = 1'b0;
else out = 1'b1;
end end else begin
if (in[24] | in[23] | ~in[21]) out = 1'b1;
end
else if ((~in[23]) && (in[22:19] == 4'he)) out = 1'b0;
else if (in[22:21] == 2'b01) out = 1'b0;
else out = 1'b1;
end end
VAR1 #(32) VAR2(.in (in));
endmodule
|
gpl-2.0
|
fallen/milkymist-mmu
|
cores/softusb/rtl/softusb_tx.v
| 5,397 |
module MODULE1(
input VAR41,
input VAR28,
input [7:0] VAR31,
input VAR5,
output reg VAR25,
output reg VAR33,
output reg VAR39,
output reg VAR32,
input VAR15,
input VAR16
);
reg VAR11;
reg VAR35;
reg VAR13;
always @(posedge VAR41) begin
VAR33 <= VAR11;
VAR39 <= VAR35;
VAR32 <= VAR13;
end
reg VAR22;
reg [5:0] VAR8;
always @(posedge VAR41) begin
if(VAR28) begin
VAR22 <= 1'b0;
VAR8 <= 6'd0;
end else begin
VAR22 <= 1'b0;
VAR8 <= VAR8 + 6'd1;
if((VAR15 & VAR8 == 6'd47) | (~VAR15 & VAR8 == 6'd5)) begin
VAR22 <= 1'b1;
VAR8 <= 6'd0;
end
end
end
reg VAR27;
reg VAR24;
reg VAR20;
reg VAR21;
reg [2:0] VAR40;
reg [2:0] VAR30;
reg [6:0] VAR10;
always @(posedge VAR41) begin
if(VAR27) begin
VAR20 <= 1'b1;
VAR30 <= 3'd0;
VAR21 <= 1'b1;
end else if(VAR22) begin
if(VAR24) begin
VAR20 <= 1'b0;
VAR21 <= VAR31[0];
VAR40 <= 3'd0;
if(VAR31[0])
VAR30 <= VAR30 + 3'd1;
end
else
VAR30 <= 3'd0;
VAR10 <= VAR31[7:1];
end else if(~VAR20) begin
if(VAR30 == 3'd6) begin
VAR30 <= 3'd0;
VAR21 <= 1'b0;
if(VAR40 == 3'd7)
VAR20 <= 1'b1;
end else begin
VAR21 <= VAR10[0];
if(VAR10[0])
VAR30 <= VAR30 + 3'd1;
end
else
VAR30 <= 3'd0;
VAR40 <= VAR40 + 3'd1;
if((VAR40 == 3'd6) & (~VAR10[0] | (VAR30 != 3'd5)))
VAR20 <= 1'b1;
VAR10 <= {1'b0, VAR10[6:1]};
end
end
end
end
reg VAR37;
reg VAR18;
reg VAR14;
always @(posedge VAR41) begin
if(VAR28) begin
VAR13 <= 1'b0;
VAR11 <= ~VAR15;
VAR35 <= VAR15;
end else if(VAR22) begin
if(~VAR37) begin
VAR11 <= ~VAR15;
VAR35 <= VAR15;
end else begin
case({VAR18, VAR14})
2'b00: begin
if(~VAR21) begin
VAR11 <= ~VAR11;
VAR35 <= ~VAR35;
end
end
2'b10: begin
VAR11 <= 1'b0;
VAR35 <= 1'b0;
end
2'b01: begin
VAR11 <= ~VAR15;
VAR35 <= VAR15;
end
default: begin
VAR11 <= 1'VAR17;
VAR35 <= 1'VAR17;
end
endcase
end
VAR13 <= VAR37;
end
end
parameter VAR2 = 3'd0;
parameter VAR29 = 3'd1;
parameter VAR3 = 3'd2;
parameter VAR7 = 3'd3;
parameter VAR1 = 3'd4;
parameter VAR36 = 3'd5;
parameter VAR9 = 3'd6;
parameter VAR19 = 3'd7;
reg [2:0] state;
reg [2:0] VAR34;
always @(posedge VAR41) begin
if(VAR28)
state <= VAR2;
end
else if(VAR22)
state <= VAR34;
end
reg VAR4;
always @(posedge VAR41)
VAR25 <= VAR4 & VAR22;
reg VAR38;
reg VAR6;
reg VAR12;
always @(posedge VAR41) begin
if(VAR28) begin
VAR38 <= 1'b0;
VAR6 <= 1'b1;
end else begin
VAR38 <= VAR5;
if(VAR38 & ~VAR5)
VAR6 <= 1'b0;
if(VAR12)
VAR6 <= 1'b1;
end
end
reg VAR23;
reg VAR26;
always @(posedge VAR41) begin
if(VAR28)
VAR23 <= 1'b0;
end
else begin
if(VAR16)
VAR23 <= 1'b1;
if(VAR26)
VAR23 <= 1'b0;
end
end
always @(*) begin
VAR37 = 1'b0;
VAR27 = 1'b0;
VAR24 = 1'b0;
VAR18 = 1'b0;
VAR14 = 1'b0;
VAR4 = 1'b0;
VAR12 = 1'b0;
VAR26 = 1'b0;
VAR34 = state;
case(state)
VAR2: begin
VAR37 = 1'b0;
if(VAR23)
VAR34 = VAR36;
end
else begin
if(VAR5) begin
VAR24 = 1'b1;
VAR4 = 1'b1;
VAR34 = VAR29;
end else
VAR27 = 1'b1;
end
end
VAR29: begin
VAR37 = 1'b1;
if(VAR20) begin
if(VAR6) begin
VAR24 = 1'b1;
VAR4 = 1'b1;
end else
VAR34 = VAR3;
end
end
VAR3: begin
VAR12 = 1'b1;
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR18 = 1'b1;
VAR34 = VAR7;
end
VAR7: begin
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR18 = 1'b1;
VAR34 = VAR1;
end
VAR1: begin
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR14 = 1'b1;
VAR34 = VAR2;
end
VAR36: begin
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR18 = 1'b1;
VAR34 = VAR9;
end
VAR9: begin
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR18 = 1'b1;
VAR34 = VAR19;
end
VAR19: begin
VAR26 = 1'b1;
VAR27 = 1'b1;
VAR37 = 1'b1;
VAR14 = 1'b1;
VAR34 = VAR2;
end
endcase
end
endmodule
|
lgpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/nand3/gf180mcu_fd_sc_mcu9t5v0__nand3_2.behavioral.pp.v
| 1,333 |
module MODULE1( VAR2, VAR5, VAR9, VAR6, VAR1, VAR4 );
input VAR6, VAR9, VAR5;
inout VAR1, VAR4;
output VAR2;
VAR7 VAR8(.VAR2(VAR2),.VAR5(VAR5),.VAR9(VAR9),.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4));
VAR7 VAR3(.VAR2(VAR2),.VAR5(VAR5),.VAR9(VAR9),.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
models/udp_dff_nrs/sky130_fd_sc_ls__udp_dff_nrs.symbol.v
| 1,410 |
module MODULE1 (
input VAR4 ,
output VAR1 ,
input VAR3,
input VAR5 ,
input VAR2
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o41ai/sky130_fd_sc_hs__o41ai.functional.v
| 1,951 |
module MODULE1 (
VAR13,
VAR7,
VAR4 ,
VAR1 ,
VAR5 ,
VAR8 ,
VAR9 ,
VAR15
);
input VAR13;
input VAR7;
output VAR4 ;
input VAR1 ;
input VAR5 ;
input VAR8 ;
input VAR9 ;
input VAR15 ;
wire VAR9 VAR12 ;
wire VAR3 ;
wire VAR16;
or VAR14 (VAR12 , VAR9, VAR8, VAR5, VAR1 );
nand VAR6 (VAR3 , VAR15, VAR12 );
VAR10 VAR11 (VAR16, VAR3, VAR13, VAR7);
buf VAR2 (VAR4 , VAR16 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlybuf4s50kapwr/sky130_fd_sc_lp__dlybuf4s50kapwr.behavioral.pp.v
| 1,940 |
module MODULE1 (
VAR12 ,
VAR13 ,
VAR5 ,
VAR1 ,
VAR8,
VAR7 ,
VAR2
);
output VAR12 ;
input VAR13 ;
input VAR5 ;
input VAR1 ;
input VAR8;
input VAR7 ;
input VAR2 ;
wire VAR3 ;
wire VAR9;
buf VAR10 (VAR3 , VAR13 );
VAR11 VAR6 (VAR9, VAR3, VAR8, VAR1);
buf VAR4 (VAR12 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o2111ai/sky130_fd_sc_hs__o2111ai.blackbox.v
| 1,366 |
module MODULE1 (
VAR6 ,
VAR5,
VAR1,
VAR3,
VAR2,
VAR4
);
output VAR6 ;
input VAR5;
input VAR1;
input VAR3;
input VAR2;
input VAR4;
supply1 VAR8;
supply0 VAR7;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/o21a/sky130_fd_sc_hvl__o21a.symbol.v
| 1,345 |
module MODULE1 (
input VAR7,
input VAR2,
input VAR3,
output VAR8
);
supply1 VAR5;
supply0 VAR6;
supply1 VAR4 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
GSejas/Karatsuba_FPU
|
FPGA_FLOW/Karat/source/rtl/Barrel_shifter.v
| 1,561 |
module MODULE1
(
input wire clk,
input wire rst,
input wire VAR4,
input wire [VAR14-1:0] VAR5,
input wire [VAR20-1:0] VAR19,
input wire VAR13,
input wire VAR1,
output wire [VAR20-1:0] VAR6
);
wire [VAR20-1:0] VAR17;
VAR2 #(.VAR20(VAR20),.VAR14(VAR14)) VAR2(
.clk(clk),
.rst(rst),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR19),
.VAR12(VAR13),
.VAR9(VAR1),
.VAR10(VAR17)
);
VAR8 #(.VAR7(VAR20)) VAR18(
.clk(clk),
.rst(rst),
.VAR15(VAR4),
.VAR16(VAR17),
.VAR11(VAR6)
);
endmodule
|
gpl-3.0
|
Fabeltranm/FPGA-Game-D1
|
HW/RTL/01BLUETOOTH/Version_02/02 verilog/Prueba1/recepcion.v
| 1,058 |
module MODULE1(input VAR3,
output reg VAR1,
output reg [7:0] dout,
input wire VAR2);
|
gpl-3.0
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/NVMeHostController4L/src/pcie_hcmd_sq_fifo.v
| 9,622 |
module MODULE1 # (
parameter VAR63 = 19,
parameter VAR18 = 7
)
(
input VAR52,
input VAR35,
input VAR15,
input [VAR63-1:0] VAR69,
output VAR30,
input VAR5,
input VAR48,
input VAR14,
output [VAR63-1:0] VAR1,
output VAR67
);
localparam VAR53 = 0;
localparam VAR43 = 3'b001;
localparam VAR34 = 3'b010;
localparam VAR47 = 3'b100;
reg [2:0] VAR19;
reg [2:0] VAR17;
reg [2:0] VAR31;
reg [2:0] VAR66;
reg [VAR18:0] VAR16;
reg VAR4;
reg VAR38;
reg [VAR18
:VAR53] VAR59;
reg VAR55;
reg VAR28;
reg [VAR18
:VAR53] VAR22;
reg [VAR18:0] VAR33;
reg [VAR18:0] VAR68;
reg VAR62;
reg VAR54;
reg [VAR18
:VAR53] VAR9;
reg VAR25;
reg VAR24;
reg [VAR18
:VAR53] VAR20;
wire [VAR18-1:0] VAR7;
assign VAR30 = ~((VAR16[VAR18] ^ VAR22[VAR18])
& (VAR16[VAR18-1:VAR53]
== VAR22[VAR18-1:VAR53]));
always @(posedge VAR52 or negedge VAR35)
begin
if (VAR35 == 0) begin
VAR16 <= 0;
end
else begin
if (VAR15 == 1)
VAR16 <= VAR16 + 1;
end
end
assign VAR67 = ~(VAR33[VAR18:VAR53]
== VAR20[VAR18:VAR53]);
always @(posedge VAR5 or negedge VAR48)
begin
if (VAR48 == 0) begin
VAR33 <= 0;
VAR68 <= 1;
end
else begin
if (VAR14 == 1) begin
VAR33 <= VAR68;
VAR68 <= VAR68 + 1;
end
end
end
assign VAR7 = (VAR14 == 1) ? VAR68[VAR18-1:0]
: VAR33[VAR18-1:0];
always @ (posedge VAR52 or negedge VAR35)
begin
if(VAR35 == 0)
VAR19 <= VAR43;
end
else
VAR19 <= VAR17;
end
always @(posedge VAR52 or negedge VAR35)
begin
if(VAR35 == 0)
VAR38 <= 0;
end
else
VAR38 <= VAR4;
end
always @(posedge VAR52)
begin
VAR55 <= VAR54;
VAR28 <= VAR55;
end
always @
begin
case(VAR19)
VAR43: begin
VAR4 <= 0;
end
VAR34: begin
VAR4 <= 0;
end
VAR47: begin
VAR4 <= 1;
end
default: begin
VAR4 <= 0;
end
endcase
end
always @ (posedge VAR5 or negedge VAR48)
begin
if(VAR48 == 0)
VAR31 <= VAR43;
end
else
VAR31 <= VAR66;
end
always @(posedge VAR5 or negedge VAR48)
begin
if(VAR48 == 0)
VAR54 <= 0;
end
else
VAR54 <= VAR62;
end
always @(posedge VAR5)
begin
VAR25 <= VAR38;
VAR24 <= VAR25;
end
always @
begin
case(VAR31)
VAR43: begin
VAR62 <= 1;
end
VAR34: begin
VAR62 <= 1;
end
VAR47: begin
VAR62 <= 0;
end
default: begin
VAR62 <= 0;
end
endcase
end
localparam VAR56 = "7SERIES";
localparam VAR11 = "18Kb";
localparam VAR58 = 0;
localparam VAR50 = VAR63;
localparam VAR3 = VAR63;
localparam VAR37 = "VAR10";
localparam VAR2 = 4;
localparam VAR44 = 9;
localparam VAR8 = VAR44 - VAR18;
generate
wire [VAR44-1:0] VAR64;
wire [VAR44-1:0] VAR51;
wire [VAR8-1:0] VAR42 = 0;
if(VAR8 == 0) begin : VAR12
assign VAR64 = VAR7[VAR18-1:0];
assign VAR51 = VAR16[VAR18-1:0];
end
else begin
wire [VAR8-1:0] VAR42 = 0;
assign VAR64 = {VAR42, VAR7[VAR18-1:0]};
assign VAR51 = {VAR42, VAR16[VAR18-1:0]};
end
endgenerate
VAR41 #(
.VAR6 (VAR56),
.VAR36 (VAR11),
.VAR23 (VAR58),
.VAR26 (VAR50),
.VAR61 (VAR3),
.VAR29 (VAR37)
)
VAR27(
.VAR57 (VAR1),
.VAR45 (VAR69),
.VAR46 (VAR64),
.VAR32 (VAR5),
.VAR65 (1'b1),
.VAR21 (1'b1),
.VAR49 (1'b0),
.VAR13 ({VAR2{1'b1}}),
.VAR60 (VAR51),
.VAR39 (VAR52),
.VAR40 (VAR15)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nor4/sky130_fd_sc_hs__nor4_4.v
| 2,148 |
module MODULE2 (
VAR5 ,
VAR9 ,
VAR3 ,
VAR1 ,
VAR8 ,
VAR7,
VAR6
);
output VAR5 ;
input VAR9 ;
input VAR3 ;
input VAR1 ;
input VAR8 ;
input VAR7;
input VAR6;
VAR2 VAR4 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR5,
VAR9,
VAR3,
VAR1,
VAR8
);
output VAR5;
input VAR9;
input VAR3;
input VAR1;
input VAR8;
supply1 VAR7;
supply0 VAR6;
VAR2 VAR4 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
tommythorn/yari
|
shared/rtl/target/Fmax/main.v
| 7,737 |
module MODULE1(input wire VAR58,
input wire VAR22,
input wire VAR21,
output wire VAR13,
input wire VAR71,
input wire VAR38,
input wire VAR44,
input wire VAR33,
input wire VAR53,
input wire VAR35,
input wire VAR16,
input wire VAR30,
input wire VAR45,
inout wire [ 7:0] VAR27,
input wire [ 5:0] VAR43, input wire VAR75,
output wire [ 3:0] VAR81,
inout wire [12:1] VAR37,
output wire VAR73,
output wire VAR18,
output wire VAR12,
output wire VAR1,
output wire VAR7,
output wire [22:1] VAR72,
inout wire [15:0] VAR66,
output wire VAR63,
output wire VAR41,
output wire VAR74,
input wire VAR29
);
parameter VAR83 = 48000000; parameter VAR32 = 115200;
wire VAR23, VAR25;
assign VAR23 = VAR58;
assign VAR25 = 1;
assign VAR81 = VAR82[25:22];
reg [26:0] VAR82 = 0;
always @(posedge VAR23)
if (~VAR43[0] | ~VAR25)
VAR82 <= 'd48000000;
else if (~VAR82[26])
VAR82 <= VAR82 - 1;
wire rst = ~VAR82[26];
wire [ 7:0] VAR31;
wire VAR80;
wire VAR51;
wire [ 7:0] VAR8;
wire VAR52;
wire VAR2;
assign VAR37[11] = 1'VAR28; assign VAR37[12] = VAR2;
wire VAR65 = VAR37[11];
wire VAR42;
wire [1:0] VAR19;
wire [29:0] VAR62;
wire VAR3;
wire VAR4;
wire [31:0] VAR36;
wire [3:0] VAR76;
wire [31:0] VAR60;
wire [1:0] VAR9;
wire VAR54 VAR64;
wire VAR47 VAR68;
VAR49 VAR78(
.VAR23(VAR23)
,.rst(rst)
,.VAR42(VAR42)
,.VAR19(VAR19)
,.VAR62(VAR62)
,.VAR3(VAR3)
,.VAR4(VAR4)
,.VAR36(VAR36)
,.VAR76(VAR76)
,.VAR60(VAR60)
,.VAR9(VAR9)
,.VAR24(VAR64)
,.VAR55(VAR68)
);
assign VAR73 = 0;
assign VAR63 = 0;
assign VAR7 = 0;
VAR67 VAR77
(.VAR23(VAR23)
,.rst(rst)
,.VAR42(VAR42)
,.VAR19(VAR19)
,.VAR62(VAR62)
,.VAR3(VAR3)
,.VAR4(VAR4)
,.VAR36(VAR36)
,.VAR76(VAR76)
,.VAR60(VAR60)
,.VAR9(VAR9)
,.VAR40(VAR72[22:1])
,.VAR6(VAR66)
,.VAR39(VAR20)
,.VAR69({VAR74,VAR41})
,.VAR50(VAR1)
,.VAR70(VAR12)
);
VAR10 VAR48
(.VAR23(VAR23),
.VAR34(VAR2),
.VAR79(VAR31),
.VAR17(VAR80),
.VAR59(VAR51));
VAR48.VAR5 = VAR32;
VAR61 VAR26
(.VAR23(VAR23),
.VAR46(VAR65),
.VAR57(VAR8),
.VAR56(VAR52));
VAR26.VAR5 = VAR32;
VAR14 VAR11(.clk(VAR23),
.rst(rst),
.VAR64(VAR64),
.VAR68(VAR68),
.VAR52(VAR52),
.VAR8(VAR8),
.VAR51(VAR51),
.VAR80(VAR80),
.VAR31(VAR31));
endmodule
|
gpl-2.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/ip/hdl/verilog/FIFO_image_filter_p_src_rows_V_2_loc_channel1.v
| 3,047 |
module MODULE1 (
clk,
VAR21,
VAR23,
VAR10,
VAR24);
parameter VAR18 = 32'd12;
parameter VAR9 = 32'd2;
parameter VAR22 = 32'd3;
input clk;
input [VAR18-1:0] VAR21;
input VAR23;
input [VAR9-1:0] VAR10;
output [VAR18-1:0] VAR24;
reg[VAR18-1:0] VAR3 [0:VAR22-1];
integer VAR19;
always @ (posedge clk)
begin
if (VAR23)
begin
for (VAR19=0;VAR19<VAR22-1;VAR19=VAR19+1)
VAR3[VAR19+1] <= VAR3[VAR19];
VAR3[0] <= VAR21;
end
end
assign VAR24 = VAR3[VAR10];
endmodule
module MODULE2 (
clk,
reset,
VAR14,
VAR16,
VAR8,
VAR12,
VAR27,
VAR25,
VAR5,
VAR4);
parameter VAR7 = "VAR11";
parameter VAR18 = 32'd12;
parameter VAR9 = 32'd2;
parameter VAR22 = 32'd3;
input clk;
input reset;
output VAR14;
input VAR16;
input VAR8;
output[VAR18 - 1:0] VAR12;
output VAR27;
input VAR25;
input VAR5;
input[VAR18 - 1:0] VAR4;
wire[VAR9 - 1:0] VAR17 ;
wire[VAR18 - 1:0] VAR15, VAR1;
reg[VAR9:0] VAR13 = {(VAR9+1){1'b1}};
reg VAR26 = 0, VAR20 = 1;
assign VAR14 = VAR26;
assign VAR27 = VAR20;
assign VAR15 = VAR4;
assign VAR12 = VAR1;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR13 <= ~{VAR9+1{1'b0}};
VAR26 <= 1'b0;
VAR20 <= 1'b1;
end
else begin
if (((VAR8 & VAR16) == 1 & VAR26 == 1) &&
((VAR5 & VAR25) == 0 | VAR20 == 0))
begin
VAR13 <= VAR13 -1;
if (VAR13 == 0)
VAR26 <= 1'b0;
VAR20 <= 1'b1;
end
else if (((VAR8 & VAR16) == 0 | VAR26 == 0) &&
((VAR5 & VAR25) == 1 & VAR20 == 1))
begin
VAR13 <= VAR13 +1;
VAR26 <= 1'b1;
if (VAR13 == VAR22-2)
VAR20 <= 1'b0;
end
end
end
assign VAR17 = VAR13[VAR9] == 1'b0 ? VAR13[VAR9-1:0]:{VAR9{1'b0}};
assign VAR2 = (VAR5 & VAR25) & VAR20;
MODULE1
.VAR18(VAR18),
.VAR9(VAR9),
.VAR22(VAR22))
VAR6 (
.clk(clk),
.VAR21(VAR15),
.VAR23(VAR2),
.VAR10(VAR17),
.VAR24(VAR1));
endmodule
|
gpl-3.0
|
brysonli12/CS152A-Lab4-TicTacToe
|
Game/GameState.v
| 5,083 |
module MODULE1 (
input rst, input VAR6 , input clk,
input [3:0] VAR17, input VAR8,
input [8:0] VAR16,
input [8:0] VAR3,
output wire [8:0] VAR4,
output wire [8:0] VAR12,
output wire [2:0] VAR13,
output wire [7:0] VAR10
);
reg [8:0] VAR9;
reg [8:0] VAR2;
reg [8:0] VAR1;
reg [8:0] VAR15 = 9'b000000000;
reg [2:0] VAR14 = 0;
reg [7:0] VAR7 = 0;
reg VAR5;
reg VAR11;
always @(*)
begin
if(VAR6)
begin
if(VAR5 == 0) begin
case(VAR17)
0: if(((VAR9[8] | VAR1[8]) != 1) && VAR2[8] != 1) begin
VAR15 = VAR1 | 9'b100000000;
VAR11 = ~VAR5;
end
1: if(((VAR9[7] | VAR1[7]) != 1) && (VAR2[7] != 1)) begin
VAR15 = VAR1 | 9'b010000000;
VAR11 = ~VAR5;
end
2: if(((VAR9[6] | VAR1[6]) != 1) && (VAR2[6] != 1)) begin
VAR15 = VAR1 | 9'b001000000;
VAR11 = ~VAR5;
end
3: if(((VAR9[5] | VAR1[5]) != 1) && (VAR2[5] != 1))
begin
VAR15 = VAR1 | 9'b000100000;
VAR11 = ~VAR5;
end
4: if(((VAR9[4] | VAR1[4]) != 1) && (VAR2[4] != 1))
begin
VAR15 = VAR1 | 9'b000010000;
VAR11 = ~VAR5;
end
5: if(((VAR9[3] | VAR1[3]) != 1) && (VAR2[3] != 1))
begin
VAR15 = VAR1 | 9'b000001000;
VAR11 = ~VAR5;
end
6: if(((VAR9[2] | VAR1[2]) != 1) && (VAR2[2] != 1))
begin
VAR15 = VAR1 | 9'b000000100;
VAR11 = ~VAR5;
end
7: if(((VAR9[1] | VAR1[1]) != 1) && (VAR2[1] != 1))
begin
VAR15 = VAR1 | 9'b000000010;
VAR11 = ~VAR5;
end
8: if(((VAR9[0] | VAR1[0]) != 1) && (VAR2[0] != 1))
begin
VAR15 = VAR1 | 9'b000000001;
VAR11 = ~VAR5;
end
default: ;
endcase
end
end
else if(VAR5 == 1 && VAR6 == 0 && VAR11 == 1) begin if(VAR8 == 1'b0) begin
VAR2 = VAR9 | VAR16;
VAR11 = ~VAR5;
end
else begin
VAR2 = VAR9 | VAR3;
VAR11 = ~VAR5;
end
end
end
always @ (posedge clk or posedge rst)
begin
if (rst) begin
VAR14 <= 0; VAR5 <= 1; VAR9 <= 9'b000000000;
VAR1 <= 9'b000000000;
end
else begin
VAR5 <= VAR11;
VAR9 <= VAR2;
VAR1 <= VAR15;
if (VAR6) begin case(VAR17)
0,1,2,3,4,5,6,7,8:
begin
VAR14 <= 0;
end
default: VAR14 <= 4;
endcase
if(VAR14 == 0 && (VAR1 == 9'b000000111 || VAR1 == 9'b000111000 || VAR1 == 9'b111000000 || VAR1 == 9'b100100100 || VAR1 == 9'b010010010 || VAR1 == 9'b001001001 || VAR1 == 9'b100010001 || VAR1 == 9'b001010100)) begin
VAR7 <= VAR7 + 1;
VAR14 <= 2;
end
else if((VAR1 | VAR9) == 9'b111111111)
VAR14 <= 3;
end
else begin
if(VAR14 == 0 && (VAR9 == 9'b000000111 || VAR9 == 9'b000111000 || VAR9 == 9'b111000000 || VAR9 == 9'b100100100 || VAR9 == 9'b010010010 || VAR9 == 9'b001001001 || VAR9 == 9'b100010001 || VAR9 == 9'b001010100)) begin
VAR7 <= VAR7 + 1;
VAR14 <= 1;
end
else if((VAR1 | VAR9) == 9'b111111111)
VAR14 <= 3;
end
end
end
assign VAR13 = VAR14;
assign VAR4 = VAR9;
assign VAR12 = VAR1;
assign VAR10 = VAR7;
endmodule
|
mit
|
lbl-cal/StanfordNoC
|
router/src/clib/c_fbgen.v
| 218,452 |
module MODULE1
(VAR2);
parameter VAR3 = 32;
parameter VAR1 = 0;
output [0:VAR3-1] VAR2;
wire [0:VAR3-1] VAR2;
generate
if(VAR3 == 1)
begin
assign VAR2 = 1'h1;
end
else if(VAR3 == 2)
begin
assign VAR2 = 2'h3;
end
else if(VAR3 == 3)
begin
if((VAR1 % 2) == 0) assign VAR2 = 3'h5;
end
else if((VAR1 % 2) == 1) assign VAR2 = 3'h6;
end
else if(VAR3 == 4)
begin
if((VAR1 % 2) == 0) assign VAR2 = 4'h9;
end
else if((VAR1 % 2) == 1) assign VAR2 = 4'hC;
end
else if(VAR3 == 5)
begin
if((VAR1 % 6) == 0) assign VAR2 = 5'h12;
end
else if((VAR1 % 6) == 1) assign VAR2 = 5'h14;
else if((VAR1 % 6) == 2) assign VAR2 = 5'h17;
else if((VAR1 % 6) == 3) assign VAR2 = 5'h1B;
else if((VAR1 % 6) == 4) assign VAR2 = 5'h1D;
else if((VAR1 % 6) == 5) assign VAR2 = 5'h1E;
end
else if(VAR3 == 6)
begin
if((VAR1 % 6) == 0) assign VAR2 = 6'h21;
end
else if((VAR1 % 6) == 1) assign VAR2 = 6'h2D;
else if((VAR1 % 6) == 2) assign VAR2 = 6'h30;
else if((VAR1 % 6) == 3) assign VAR2 = 6'h33;
else if((VAR1 % 6) == 4) assign VAR2 = 6'h36;
else if((VAR1 % 6) == 5) assign VAR2 = 6'h39;
end
else if(VAR3 == 7)
begin
if((VAR1 % 18) == 0) assign VAR2 = 7'h41;
end
else if((VAR1 % 18) == 1) assign VAR2 = 7'h44;
else if((VAR1 % 18) == 2) assign VAR2 = 7'h47;
else if((VAR1 % 18) == 3) assign VAR2 = 7'h48;
else if((VAR1 % 18) == 4) assign VAR2 = 7'h4E;
else if((VAR1 % 18) == 5) assign VAR2 = 7'h53;
else if((VAR1 % 18) == 6) assign VAR2 = 7'h55;
else if((VAR1 % 18) == 7) assign VAR2 = 7'h5C;
else if((VAR1 % 18) == 8) assign VAR2 = 7'h5F;
else if((VAR1 % 18) == 9) assign VAR2 = 7'h60;
else if((VAR1 % 18) == 10) assign VAR2 = 7'h65;
else if((VAR1 % 18) == 11) assign VAR2 = 7'h69;
else if((VAR1 % 18) == 12) assign VAR2 = 7'h6A;
else if((VAR1 % 18) == 13) assign VAR2 = 7'h72;
else if((VAR1 % 18) == 14) assign VAR2 = 7'h77;
else if((VAR1 % 18) == 15) assign VAR2 = 7'h78;
else if((VAR1 % 18) == 16) assign VAR2 = 7'h7B;
else if((VAR1 % 18) == 17) assign VAR2 = 7'h7E;
end
else if(VAR3 == 8)
begin
if((VAR1 % 16) == 0) assign VAR2 = 8'h8E;
end
else if((VAR1 % 16) == 1) assign VAR2 = 8'h95;
else if((VAR1 % 16) == 2) assign VAR2 = 8'h96;
else if((VAR1 % 16) == 3) assign VAR2 = 8'hA6;
else if((VAR1 % 16) == 4) assign VAR2 = 8'hAF;
else if((VAR1 % 16) == 5) assign VAR2 = 8'hB1;
else if((VAR1 % 16) == 6) assign VAR2 = 8'hB2;
else if((VAR1 % 16) == 7) assign VAR2 = 8'hB4;
else if((VAR1 % 16) == 8) assign VAR2 = 8'hB8;
else if((VAR1 % 16) == 9) assign VAR2 = 8'hC3;
else if((VAR1 % 16) == 10) assign VAR2 = 8'hC6;
else if((VAR1 % 16) == 11) assign VAR2 = 8'hD4;
else if((VAR1 % 16) == 12) assign VAR2 = 8'hE1;
else if((VAR1 % 16) == 13) assign VAR2 = 8'hE7;
else if((VAR1 % 16) == 14) assign VAR2 = 8'hF3;
else if((VAR1 % 16) == 15) assign VAR2 = 8'hFA;
end
else if(VAR3 == 9)
begin
if((VAR1 % 48) == 0) assign VAR2 = 9'h108;
end
else if((VAR1 % 48) == 1) assign VAR2 = 9'h10D;
else if((VAR1 % 48) == 2) assign VAR2 = 9'h110;
else if((VAR1 % 48) == 3) assign VAR2 = 9'h116;
else if((VAR1 % 48) == 4) assign VAR2 = 9'h119;
else if((VAR1 % 48) == 5) assign VAR2 = 9'h12C;
else if((VAR1 % 48) == 6) assign VAR2 = 9'h12F;
else if((VAR1 % 48) == 7) assign VAR2 = 9'h134;
else if((VAR1 % 48) == 8) assign VAR2 = 9'h137;
else if((VAR1 % 48) == 9) assign VAR2 = 9'h13B;
else if((VAR1 % 48) == 10) assign VAR2 = 9'h13E;
else if((VAR1 % 48) == 11) assign VAR2 = 9'h143;
else if((VAR1 % 48) == 12) assign VAR2 = 9'h14A;
else if((VAR1 % 48) == 13) assign VAR2 = 9'h151;
else if((VAR1 % 48) == 14) assign VAR2 = 9'h152;
else if((VAR1 % 48) == 15) assign VAR2 = 9'h157;
else if((VAR1 % 48) == 16) assign VAR2 = 9'h15B;
else if((VAR1 % 48) == 17) assign VAR2 = 9'h15E;
else if((VAR1 % 48) == 18) assign VAR2 = 9'h167;
else if((VAR1 % 48) == 19) assign VAR2 = 9'h168;
else if((VAR1 % 48) == 20) assign VAR2 = 9'h16D;
else if((VAR1 % 48) == 21) assign VAR2 = 9'h17A;
else if((VAR1 % 48) == 22) assign VAR2 = 9'h17C;
else if((VAR1 % 48) == 23) assign VAR2 = 9'h189;
else if((VAR1 % 48) == 24) assign VAR2 = 9'h18A;
else if((VAR1 % 48) == 25) assign VAR2 = 9'h18F;
else if((VAR1 % 48) == 26) assign VAR2 = 9'h191;
else if((VAR1 % 48) == 27) assign VAR2 = 9'h198;
else if((VAR1 % 48) == 28) assign VAR2 = 9'h19D;
else if((VAR1 % 48) == 29) assign VAR2 = 9'h1A7;
else if((VAR1 % 48) == 30) assign VAR2 = 9'h1AD;
else if((VAR1 % 48) == 31) assign VAR2 = 9'h1B0;
else if((VAR1 % 48) == 32) assign VAR2 = 9'h1B5;
else if((VAR1 % 48) == 33) assign VAR2 = 9'h1B6;
else if((VAR1 % 48) == 34) assign VAR2 = 9'h1B9;
else if((VAR1 % 48) == 35) assign VAR2 = 9'h1BF;
else if((VAR1 % 48) == 36) assign VAR2 = 9'h1C2;
else if((VAR1 % 48) == 37) assign VAR2 = 9'h1C7;
else if((VAR1 % 48) == 38) assign VAR2 = 9'h1DA;
else if((VAR1 % 48) == 39) assign VAR2 = 9'h1DC;
else if((VAR1 % 48) == 40) assign VAR2 = 9'h1E3;
else if((VAR1 % 48) == 41) assign VAR2 = 9'h1E5;
else if((VAR1 % 48) == 42) assign VAR2 = 9'h1E6;
else if((VAR1 % 48) == 43) assign VAR2 = 9'h1EA;
else if((VAR1 % 48) == 44) assign VAR2 = 9'h1EC;
else if((VAR1 % 48) == 45) assign VAR2 = 9'h1F1;
else if((VAR1 % 48) == 46) assign VAR2 = 9'h1F4;
else if((VAR1 % 48) == 47) assign VAR2 = 9'h1FD;
end
else if(VAR3 == 10)
begin
if((VAR1 % 60) == 0) assign VAR2 = 10'h204;
end
else if((VAR1 % 60) == 1) assign VAR2 = 10'h20D;
else if((VAR1 % 60) == 2) assign VAR2 = 10'h213;
else if((VAR1 % 60) == 3) assign VAR2 = 10'h216;
else if((VAR1 % 60) == 4) assign VAR2 = 10'h232;
else if((VAR1 % 60) == 5) assign VAR2 = 10'h237;
else if((VAR1 % 60) == 6) assign VAR2 = 10'h240;
else if((VAR1 % 60) == 7) assign VAR2 = 10'h245;
else if((VAR1 % 60) == 8) assign VAR2 = 10'h262;
else if((VAR1 % 60) == 9) assign VAR2 = 10'h26B;
else if((VAR1 % 60) == 10) assign VAR2 = 10'h273;
else if((VAR1 % 60) == 11) assign VAR2 = 10'h279;
else if((VAR1 % 60) == 12) assign VAR2 = 10'h27F;
else if((VAR1 % 60) == 13) assign VAR2 = 10'h286;
else if((VAR1 % 60) == 14) assign VAR2 = 10'h28C;
else if((VAR1 % 60) == 15) assign VAR2 = 10'h291;
else if((VAR1 % 60) == 16) assign VAR2 = 10'h298;
else if((VAR1 % 60) == 17) assign VAR2 = 10'h29E;
else if((VAR1 % 60) == 18) assign VAR2 = 10'h2A1;
else if((VAR1 % 60) == 19) assign VAR2 = 10'h2AB;
else if((VAR1 % 60) == 20) assign VAR2 = 10'h2B5;
else if((VAR1 % 60) == 21) assign VAR2 = 10'h2C2;
else if((VAR1 % 60) == 22) assign VAR2 = 10'h2C7;
else if((VAR1 % 60) == 23) assign VAR2 = 10'h2CB;
else if((VAR1 % 60) == 24) assign VAR2 = 10'h2D0;
else if((VAR1 % 60) == 25) assign VAR2 = 10'h2E3;
else if((VAR1 % 60) == 26) assign VAR2 = 10'h2F2;
else if((VAR1 % 60) == 27) assign VAR2 = 10'h2FB;
else if((VAR1 % 60) == 28) assign VAR2 = 10'h2FD;
else if((VAR1 % 60) == 29) assign VAR2 = 10'h309;
else if((VAR1 % 60) == 30) assign VAR2 = 10'h30A;
else if((VAR1 % 60) == 31) assign VAR2 = 10'h312;
else if((VAR1 % 60) == 32) assign VAR2 = 10'h31B;
else if((VAR1 % 60) == 33) assign VAR2 = 10'h321;
else if((VAR1 % 60) == 34) assign VAR2 = 10'h327;
else if((VAR1 % 60) == 35) assign VAR2 = 10'h32D;
else if((VAR1 % 60) == 36) assign VAR2 = 10'h33C;
else if((VAR1 % 60) == 37) assign VAR2 = 10'h33F;
else if((VAR1 % 60) == 38) assign VAR2 = 10'h344;
else if((VAR1 % 60) == 39) assign VAR2 = 10'h35A;
else if((VAR1 % 60) == 40) assign VAR2 = 10'h360;
else if((VAR1 % 60) == 41) assign VAR2 = 10'h369;
else if((VAR1 % 60) == 42) assign VAR2 = 10'h36F;
else if((VAR1 % 60) == 43) assign VAR2 = 10'h37E;
else if((VAR1 % 60) == 44) assign VAR2 = 10'h38B;
else if((VAR1 % 60) == 45) assign VAR2 = 10'h38E;
else if((VAR1 % 60) == 46) assign VAR2 = 10'h390;
else if((VAR1 % 60) == 47) assign VAR2 = 10'h39C;
else if((VAR1 % 60) == 48) assign VAR2 = 10'h3A3;
else if((VAR1 % 60) == 49) assign VAR2 = 10'h3A6;
else if((VAR1 % 60) == 50) assign VAR2 = 10'h3AA;
else if((VAR1 % 60) == 51) assign VAR2 = 10'h3AC;
else if((VAR1 % 60) == 52) assign VAR2 = 10'h3B1;
else if((VAR1 % 60) == 53) assign VAR2 = 10'h3BE;
else if((VAR1 % 60) == 54) assign VAR2 = 10'h3C6;
else if((VAR1 % 60) == 55) assign VAR2 = 10'h3C9;
else if((VAR1 % 60) == 56) assign VAR2 = 10'h3D8;
else if((VAR1 % 60) == 57) assign VAR2 = 10'h3ED;
else if((VAR1 % 60) == 58) assign VAR2 = 10'h3F9;
else if((VAR1 % 60) == 59) assign VAR2 = 10'h3FC;
end
else if(VAR3 == 11)
begin
if((VAR1 % 100) == 0) assign VAR2 = 11'h402;
end
else if((VAR1 % 100) == 1) assign VAR2 = 11'h40B;
else if((VAR1 % 100) == 2) assign VAR2 = 11'h415;
else if((VAR1 % 100) == 3) assign VAR2 = 11'h416;
else if((VAR1 % 100) == 4) assign VAR2 = 11'h423;
else if((VAR1 % 100) == 5) assign VAR2 = 11'h431;
else if((VAR1 % 100) == 6) assign VAR2 = 11'h432;
else if((VAR1 % 100) == 7) assign VAR2 = 11'h438;
else if((VAR1 % 100) == 8) assign VAR2 = 11'h43D;
else if((VAR1 % 100) == 9) assign VAR2 = 11'h446;
else if((VAR1 % 100) == 10) assign VAR2 = 11'h44A;
else if((VAR1 % 100) == 11) assign VAR2 = 11'h44F;
else if((VAR1 % 100) == 12) assign VAR2 = 11'h454;
else if((VAR1 % 100) == 13) assign VAR2 = 11'h458;
else if((VAR1 % 100) == 14) assign VAR2 = 11'h467;
else if((VAR1 % 100) == 15) assign VAR2 = 11'h468;
else if((VAR1 % 100) == 16) assign VAR2 = 11'h470;
else if((VAR1 % 100) == 17) assign VAR2 = 11'h473;
else if((VAR1 % 100) == 18) assign VAR2 = 11'h475;
else if((VAR1 % 100) == 19) assign VAR2 = 11'h47A;
else if((VAR1 % 100) == 20) assign VAR2 = 11'h486;
else if((VAR1 % 100) == 21) assign VAR2 = 11'h489;
else if((VAR1 % 100) == 22) assign VAR2 = 11'h492;
else if((VAR1 % 100) == 23) assign VAR2 = 11'h494;
else if((VAR1 % 100) == 24) assign VAR2 = 11'h49D;
else if((VAR1 % 100) == 25) assign VAR2 = 11'h49E;
else if((VAR1 % 100) == 26) assign VAR2 = 11'h4A2;
else if((VAR1 % 100) == 27) assign VAR2 = 11'h4A4;
else if((VAR1 % 100) == 28) assign VAR2 = 11'h4A8;
else if((VAR1 % 100) == 29) assign VAR2 = 11'h4AD;
else if((VAR1 % 100) == 30) assign VAR2 = 11'h4B9;
else if((VAR1 % 100) == 31) assign VAR2 = 11'h4BA;
else if((VAR1 % 100) == 32) assign VAR2 = 11'h4BF;
else if((VAR1 % 100) == 33) assign VAR2 = 11'h4C1;
else if((VAR1 % 100) == 34) assign VAR2 = 11'h4C7;
else if((VAR1 % 100) == 35) assign VAR2 = 11'h4D5;
else if((VAR1 % 100) == 36) assign VAR2 = 11'h4D6;
else if((VAR1 % 100) == 37) assign VAR2 = 11'h4DC;
else if((VAR1 % 100) == 38) assign VAR2 = 11'h4E3;
else if((VAR1 % 100) == 39) assign VAR2 = 11'h4EC;
else if((VAR1 % 100) == 40) assign VAR2 = 11'h4F2;
else if((VAR1 % 100) == 41) assign VAR2 = 11'h4FB;
else if((VAR1 % 100) == 42) assign VAR2 = 11'h500;
else if((VAR1 % 100) == 43) assign VAR2 = 11'h503;
else if((VAR1 % 100) == 44) assign VAR2 = 11'h509;
else if((VAR1 % 100) == 45) assign VAR2 = 11'h50A;
else if((VAR1 % 100) == 46) assign VAR2 = 11'h514;
else if((VAR1 % 100) == 47) assign VAR2 = 11'h524;
else if((VAR1 % 100) == 48) assign VAR2 = 11'h530;
else if((VAR1 % 100) == 49) assign VAR2 = 11'h536;
else if((VAR1 % 100) == 50) assign VAR2 = 11'h53C;
else if((VAR1 % 100) == 51) assign VAR2 = 11'h53F;
else if((VAR1 % 100) == 52) assign VAR2 = 11'h542;
else if((VAR1 % 100) == 53) assign VAR2 = 11'h548;
else if((VAR1 % 100) == 54) assign VAR2 = 11'h54E;
else if((VAR1 % 100) == 55) assign VAR2 = 11'h553;
else if((VAR1 % 100) == 56) assign VAR2 = 11'h555;
else if((VAR1 % 100) == 57) assign VAR2 = 11'h559;
else if((VAR1 % 100) == 58) assign VAR2 = 11'h55A;
else if((VAR1 % 100) == 59) assign VAR2 = 11'h56A;
else if((VAR1 % 100) == 60) assign VAR2 = 11'h56F;
else if((VAR1 % 100) == 61) assign VAR2 = 11'h574;
else if((VAR1 % 100) == 62) assign VAR2 = 11'h577;
else if((VAR1 % 100) == 63) assign VAR2 = 11'h578;
else if((VAR1 % 100) == 64) assign VAR2 = 11'h57D;
else if((VAR1 % 100) == 65) assign VAR2 = 11'h581;
else if((VAR1 % 100) == 66) assign VAR2 = 11'h584;
else if((VAR1 % 100) == 67) assign VAR2 = 11'h588;
else if((VAR1 % 100) == 68) assign VAR2 = 11'h599;
else if((VAR1 % 100) == 69) assign VAR2 = 11'h59F;
else if((VAR1 % 100) == 70) assign VAR2 = 11'h5A0;
else if((VAR1 % 100) == 71) assign VAR2 = 11'h5A5;
else if((VAR1 % 100) == 72) assign VAR2 = 11'h5AC;
else if((VAR1 % 100) == 73) assign VAR2 = 11'h5AF;
else if((VAR1 % 100) == 74) assign VAR2 = 11'h5B2;
else if((VAR1 % 100) == 75) assign VAR2 = 11'h5B7;
else if((VAR1 % 100) == 76) assign VAR2 = 11'h5BE;
else if((VAR1 % 100) == 77) assign VAR2 = 11'h5C3;
else if((VAR1 % 100) == 78) assign VAR2 = 11'h5C5;
else if((VAR1 % 100) == 79) assign VAR2 = 11'h5C9;
else if((VAR1 % 100) == 80) assign VAR2 = 11'h5CA;
else if((VAR1 % 100) == 81) assign VAR2 = 11'h5D7;
else if((VAR1 % 100) == 82) assign VAR2 = 11'h5DB;
else if((VAR1 % 100) == 83) assign VAR2 = 11'h5DE;
else if((VAR1 % 100) == 84) assign VAR2 = 11'h5E4;
else if((VAR1 % 100) == 85) assign VAR2 = 11'h5ED;
else if((VAR1 % 100) == 86) assign VAR2 = 11'h5EE;
else if((VAR1 % 100) == 87) assign VAR2 = 11'h5F3;
else if((VAR1 % 100) == 88) assign VAR2 = 11'h5F6;
else if((VAR1 % 100) == 89) assign VAR2 = 11'h605;
else if((VAR1 % 100) == 90) assign VAR2 = 11'h606;
else if((VAR1 % 100) == 91) assign VAR2 = 11'h60C;
else if((VAR1 % 100) == 92) assign VAR2 = 11'h60F;
else if((VAR1 % 100) == 93) assign VAR2 = 11'h62B;
else if((VAR1 % 100) == 94) assign VAR2 = 11'h630;
else if((VAR1 % 100) == 95) assign VAR2 = 11'h635;
else if((VAR1 % 100) == 96) assign VAR2 = 11'h639;
else if((VAR1 % 100) == 97) assign VAR2 = 11'h642;
else if((VAR1 % 100) == 98) assign VAR2 = 11'h644;
else if((VAR1 % 100) == 99) assign VAR2 = 11'h64B;
end
else if(VAR3 == 12)
begin
if((VAR1 % 100) == 0) assign VAR2 = 12'h829;
end
else if((VAR1 % 100) == 1) assign VAR2 = 12'h834;
else if((VAR1 % 100) == 2) assign VAR2 = 12'h83D;
else if((VAR1 % 100) == 3) assign VAR2 = 12'h83E;
else if((VAR1 % 100) == 4) assign VAR2 = 12'h84C;
else if((VAR1 % 100) == 5) assign VAR2 = 12'h868;
else if((VAR1 % 100) == 6) assign VAR2 = 12'h875;
else if((VAR1 % 100) == 7) assign VAR2 = 12'h883;
else if((VAR1 % 100) == 8) assign VAR2 = 12'h88F;
else if((VAR1 % 100) == 9) assign VAR2 = 12'h891;
else if((VAR1 % 100) == 10) assign VAR2 = 12'h89D;
else if((VAR1 % 100) == 11) assign VAR2 = 12'h8A7;
else if((VAR1 % 100) == 12) assign VAR2 = 12'h8AB;
else if((VAR1 % 100) == 13) assign VAR2 = 12'h8B0;
else if((VAR1 % 100) == 14) assign VAR2 = 12'h8B5;
else if((VAR1 % 100) == 15) assign VAR2 = 12'h8C2;
else if((VAR1 % 100) == 16) assign VAR2 = 12'h8D9;
else if((VAR1 % 100) == 17) assign VAR2 = 12'h8EC;
else if((VAR1 % 100) == 18) assign VAR2 = 12'h8EF;
else if((VAR1 % 100) == 19) assign VAR2 = 12'h906;
else if((VAR1 % 100) == 20) assign VAR2 = 12'h91B;
else if((VAR1 % 100) == 21) assign VAR2 = 12'h91E;
else if((VAR1 % 100) == 22) assign VAR2 = 12'h933;
else if((VAR1 % 100) == 23) assign VAR2 = 12'h939;
else if((VAR1 % 100) == 24) assign VAR2 = 12'h93F;
else if((VAR1 % 100) == 25) assign VAR2 = 12'h95C;
else if((VAR1 % 100) == 26) assign VAR2 = 12'h960;
else if((VAR1 % 100) == 27) assign VAR2 = 12'h965;
else if((VAR1 % 100) == 28) assign VAR2 = 12'h987;
else if((VAR1 % 100) == 29) assign VAR2 = 12'h98E;
else if((VAR1 % 100) == 30) assign VAR2 = 12'h990;
else if((VAR1 % 100) == 31) assign VAR2 = 12'h99C;
else if((VAR1 % 100) == 32) assign VAR2 = 12'h99F;
else if((VAR1 % 100) == 33) assign VAR2 = 12'h9A6;
else if((VAR1 % 100) == 34) assign VAR2 = 12'h9B8;
else if((VAR1 % 100) == 35) assign VAR2 = 12'h9CC;
else if((VAR1 % 100) == 36) assign VAR2 = 12'h9D1;
else if((VAR1 % 100) == 37) assign VAR2 = 12'h9D4;
else if((VAR1 % 100) == 38) assign VAR2 = 12'hA03;
else if((VAR1 % 100) == 39) assign VAR2 = 12'hA18;
else if((VAR1 % 100) == 40) assign VAR2 = 12'hA1B;
else if((VAR1 % 100) == 41) assign VAR2 = 12'hA27;
else if((VAR1 % 100) == 42) assign VAR2 = 12'hA2E;
else if((VAR1 % 100) == 43) assign VAR2 = 12'hA33;
else if((VAR1 % 100) == 44) assign VAR2 = 12'hA3A;
else if((VAR1 % 100) == 45) assign VAR2 = 12'hA53;
else if((VAR1 % 100) == 46) assign VAR2 = 12'hA56;
else if((VAR1 % 100) == 47) assign VAR2 = 12'hA69;
else if((VAR1 % 100) == 48) assign VAR2 = 12'hA87;
else if((VAR1 % 100) == 49) assign VAR2 = 12'hA8E;
else if((VAR1 % 100) == 50) assign VAR2 = 12'hAA6;
else if((VAR1 % 100) == 51) assign VAR2 = 12'hAC9;
else if((VAR1 % 100) == 52) assign VAR2 = 12'hAE2;
else if((VAR1 % 100) == 53) assign VAR2 = 12'hAEB;
else if((VAR1 % 100) == 54) assign VAR2 = 12'hAEE;
else if((VAR1 % 100) == 55) assign VAR2 = 12'hAF5;
else if((VAR1 % 100) == 56) assign VAR2 = 12'hB04;
else if((VAR1 % 100) == 57) assign VAR2 = 12'hB23;
else if((VAR1 % 100) == 58) assign VAR2 = 12'hB2A;
else if((VAR1 % 100) == 59) assign VAR2 = 12'hB2C;
else if((VAR1 % 100) == 60) assign VAR2 = 12'hB52;
else if((VAR1 % 100) == 61) assign VAR2 = 12'hB5E;
else if((VAR1 % 100) == 62) assign VAR2 = 12'hB8A;
else if((VAR1 % 100) == 63) assign VAR2 = 12'hB8C;
else if((VAR1 % 100) == 64) assign VAR2 = 12'hBA1;
else if((VAR1 % 100) == 65) assign VAR2 = 12'hBA2;
else if((VAR1 % 100) == 66) assign VAR2 = 12'hBBA;
else if((VAR1 % 100) == 67) assign VAR2 = 12'hBC4;
else if((VAR1 % 100) == 68) assign VAR2 = 12'hBD6;
else if((VAR1 % 100) == 69) assign VAR2 = 12'hBD9;
else if((VAR1 % 100) == 70) assign VAR2 = 12'hBDF;
else if((VAR1 % 100) == 71) assign VAR2 = 12'hBE0;
else if((VAR1 % 100) == 72) assign VAR2 = 12'hC2B;
else if((VAR1 % 100) == 73) assign VAR2 = 12'hC2E;
else if((VAR1 % 100) == 74) assign VAR2 = 12'hC48;
else if((VAR1 % 100) == 75) assign VAR2 = 12'hC4B;
else if((VAR1 % 100) == 76) assign VAR2 = 12'hC5C;
else if((VAR1 % 100) == 77) assign VAR2 = 12'hC77;
else if((VAR1 % 100) == 78) assign VAR2 = 12'hC8D;
else if((VAR1 % 100) == 79) assign VAR2 = 12'hC9A;
else if((VAR1 % 100) == 80) assign VAR2 = 12'hCA0;
else if((VAR1 % 100) == 81) assign VAR2 = 12'hCB2;
else if((VAR1 % 100) == 82) assign VAR2 = 12'hCBD;
else if((VAR1 % 100) == 83) assign VAR2 = 12'hCC5;
else if((VAR1 % 100) == 84) assign VAR2 = 12'hCD8;
else if((VAR1 % 100) == 85) assign VAR2 = 12'hCDE;
else if((VAR1 % 100) == 86) assign VAR2 = 12'hCE4;
else if((VAR1 % 100) == 87) assign VAR2 = 12'hCE7;
else if((VAR1 % 100) == 88) assign VAR2 = 12'hCF3;
else if((VAR1 % 100) == 89) assign VAR2 = 12'hD0D;
else if((VAR1 % 100) == 90) assign VAR2 = 12'hD15;
else if((VAR1 % 100) == 91) assign VAR2 = 12'hD19;
else if((VAR1 % 100) == 92) assign VAR2 = 12'hD34;
else if((VAR1 % 100) == 93) assign VAR2 = 12'hD45;
else if((VAR1 % 100) == 94) assign VAR2 = 12'hD68;
else if((VAR1 % 100) == 95) assign VAR2 = 12'hD70;
else if((VAR1 % 100) == 96) assign VAR2 = 12'hD7A;
else if((VAR1 % 100) == 97) assign VAR2 = 12'hD85;
else if((VAR1 % 100) == 98) assign VAR2 = 12'hD89;
else if((VAR1 % 100) == 99) assign VAR2 = 12'hD8F;
end
else if(VAR3 == 13)
begin
if((VAR1 % 100) == 0) assign VAR2 = 13'h100D;
end
else if((VAR1 % 100) == 1) assign VAR2 = 13'h1013;
else if((VAR1 % 100) == 2) assign VAR2 = 13'h101A;
else if((VAR1 % 100) == 3) assign VAR2 = 13'h1029;
else if((VAR1 % 100) == 4) assign VAR2 = 13'h1032;
else if((VAR1 % 100) == 5) assign VAR2 = 13'h1037;
else if((VAR1 % 100) == 6) assign VAR2 = 13'h1045;
else if((VAR1 % 100) == 7) assign VAR2 = 13'h1046;
else if((VAR1 % 100) == 8) assign VAR2 = 13'h104F;
else if((VAR1 % 100) == 9) assign VAR2 = 13'h1052;
else if((VAR1 % 100) == 10) assign VAR2 = 13'h1057;
else if((VAR1 % 100) == 11) assign VAR2 = 13'h105D;
else if((VAR1 % 100) == 12) assign VAR2 = 13'h105E;
else if((VAR1 % 100) == 13) assign VAR2 = 13'h1061;
else if((VAR1 % 100) == 14) assign VAR2 = 13'h1064;
else if((VAR1 % 100) == 15) assign VAR2 = 13'h1070;
else if((VAR1 % 100) == 16) assign VAR2 = 13'h1079;
else if((VAR1 % 100) == 17) assign VAR2 = 13'h1086;
else if((VAR1 % 100) == 18) assign VAR2 = 13'h108A;
else if((VAR1 % 100) == 19) assign VAR2 = 13'h1094;
else if((VAR1 % 100) == 20) assign VAR2 = 13'h1097;
else if((VAR1 % 100) == 21) assign VAR2 = 13'h109D;
else if((VAR1 % 100) == 22) assign VAR2 = 13'h10A1;
else if((VAR1 % 100) == 23) assign VAR2 = 13'h10B3;
else if((VAR1 % 100) == 24) assign VAR2 = 13'h10B5;
else if((VAR1 % 100) == 25) assign VAR2 = 13'h10BC;
else if((VAR1 % 100) == 26) assign VAR2 = 13'h10C4;
else if((VAR1 % 100) == 27) assign VAR2 = 13'h10CB;
else if((VAR1 % 100) == 28) assign VAR2 = 13'h10CE;
else if((VAR1 % 100) == 29) assign VAR2 = 13'h10DF;
else if((VAR1 % 100) == 30) assign VAR2 = 13'h10E0;
else if((VAR1 % 100) == 31) assign VAR2 = 13'h10E3;
else if((VAR1 % 100) == 32) assign VAR2 = 13'h10E6;
else if((VAR1 % 100) == 33) assign VAR2 = 13'h10EF;
else if((VAR1 % 100) == 34) assign VAR2 = 13'h10F1;
else if((VAR1 % 100) == 35) assign VAR2 = 13'h10F8;
else if((VAR1 % 100) == 36) assign VAR2 = 13'h10FD;
else if((VAR1 % 100) == 37) assign VAR2 = 13'h110C;
else if((VAR1 % 100) == 38) assign VAR2 = 13'h1112;
else if((VAR1 % 100) == 39) assign VAR2 = 13'h111B;
else if((VAR1 % 100) == 40) assign VAR2 = 13'h111E;
else if((VAR1 % 100) == 41) assign VAR2 = 13'h1121;
else if((VAR1 % 100) == 42) assign VAR2 = 13'h112D;
else if((VAR1 % 100) == 43) assign VAR2 = 13'h112E;
else if((VAR1 % 100) == 44) assign VAR2 = 13'h113C;
else if((VAR1 % 100) == 45) assign VAR2 = 13'h113F;
else if((VAR1 % 100) == 46) assign VAR2 = 13'h1144;
else if((VAR1 % 100) == 47) assign VAR2 = 13'h114B;
else if((VAR1 % 100) == 48) assign VAR2 = 13'h114D;
else if((VAR1 % 100) == 49) assign VAR2 = 13'h1159;
else if((VAR1 % 100) == 50) assign VAR2 = 13'h115F;
else if((VAR1 % 100) == 51) assign VAR2 = 13'h1166;
else if((VAR1 % 100) == 52) assign VAR2 = 13'h1177;
else if((VAR1 % 100) == 53) assign VAR2 = 13'h117B;
else if((VAR1 % 100) == 54) assign VAR2 = 13'h117D;
else if((VAR1 % 100) == 55) assign VAR2 = 13'h1182;
else if((VAR1 % 100) == 56) assign VAR2 = 13'h1193;
else if((VAR1 % 100) == 57) assign VAR2 = 13'h1195;
else if((VAR1 % 100) == 58) assign VAR2 = 13'h11A3;
else if((VAR1 % 100) == 59) assign VAR2 = 13'h11AA;
else if((VAR1 % 100) == 60) assign VAR2 = 13'h11AC;
else if((VAR1 % 100) == 61) assign VAR2 = 13'h11B7;
else if((VAR1 % 100) == 62) assign VAR2 = 13'h11B8;
else if((VAR1 % 100) == 63) assign VAR2 = 13'h11BE;
else if((VAR1 % 100) == 64) assign VAR2 = 13'h11C3;
else if((VAR1 % 100) == 65) assign VAR2 = 13'h11C6;
else if((VAR1 % 100) == 66) assign VAR2 = 13'h11CA;
else if((VAR1 % 100) == 67) assign VAR2 = 13'h11D1;
else if((VAR1 % 100) == 68) assign VAR2 = 13'h11D4;
else if((VAR1 % 100) == 69) assign VAR2 = 13'h11D8;
else if((VAR1 % 100) == 70) assign VAR2 = 13'h11DB;
else if((VAR1 % 100) == 71) assign VAR2 = 13'h11DD;
else if((VAR1 % 100) == 72) assign VAR2 = 13'h11F0;
else if((VAR1 % 100) == 73) assign VAR2 = 13'h11F6;
else if((VAR1 % 100) == 74) assign VAR2 = 13'h11FC;
else if((VAR1 % 100) == 75) assign VAR2 = 13'h1205;
else if((VAR1 % 100) == 76) assign VAR2 = 13'h1209;
else if((VAR1 % 100) == 77) assign VAR2 = 13'h120F;
else if((VAR1 % 100) == 78) assign VAR2 = 13'h1212;
else if((VAR1 % 100) == 79) assign VAR2 = 13'h1214;
else if((VAR1 % 100) == 80) assign VAR2 = 13'h121E;
else if((VAR1 % 100) == 81) assign VAR2 = 13'h1228;
else if((VAR1 % 100) == 82) assign VAR2 = 13'h122B;
else if((VAR1 % 100) == 83) assign VAR2 = 13'h1230;
else if((VAR1 % 100) == 84) assign VAR2 = 13'h1236;
else if((VAR1 % 100) == 85) assign VAR2 = 13'h123F;
else if((VAR1 % 100) == 86) assign VAR2 = 13'h1241;
else if((VAR1 % 100) == 87) assign VAR2 = 13'h124D;
else if((VAR1 % 100) == 88) assign VAR2 = 13'h124E;
else if((VAR1 % 100) == 89) assign VAR2 = 13'h125A;
else if((VAR1 % 100) == 90) assign VAR2 = 13'h125F;
else if((VAR1 % 100) == 91) assign VAR2 = 13'h1260;
else if((VAR1 % 100) == 92) assign VAR2 = 13'h1263;
else if((VAR1 % 100) == 93) assign VAR2 = 13'h1265;
else if((VAR1 % 100) == 94) assign VAR2 = 13'h1271;
else if((VAR1 % 100) == 95) assign VAR2 = 13'h1284;
else if((VAR1 % 100) == 96) assign VAR2 = 13'h128B;
else if((VAR1 % 100) == 97) assign VAR2 = 13'h128E;
else if((VAR1 % 100) == 98) assign VAR2 = 13'h1290;
else if((VAR1 % 100) == 99) assign VAR2 = 13'h1296;
end
else if(VAR3 == 14)
begin
if((VAR1 % 100) == 0) assign VAR2 = 14'h2015;
end
else if((VAR1 % 100) == 1) assign VAR2 = 14'h201C;
else if((VAR1 % 100) == 2) assign VAR2 = 14'h2029;
else if((VAR1 % 100) == 3) assign VAR2 = 14'h202F;
else if((VAR1 % 100) == 4) assign VAR2 = 14'h203D;
else if((VAR1 % 100) == 5) assign VAR2 = 14'h2054;
else if((VAR1 % 100) == 6) assign VAR2 = 14'h2057;
else if((VAR1 % 100) == 7) assign VAR2 = 14'h205D;
else if((VAR1 % 100) == 8) assign VAR2 = 14'h205E;
else if((VAR1 % 100) == 9) assign VAR2 = 14'h2067;
else if((VAR1 % 100) == 10) assign VAR2 = 14'h2075;
else if((VAR1 % 100) == 11) assign VAR2 = 14'h2079;
else if((VAR1 % 100) == 12) assign VAR2 = 14'h2086;
else if((VAR1 % 100) == 13) assign VAR2 = 14'h2089;
else if((VAR1 % 100) == 14) assign VAR2 = 14'h209D;
else if((VAR1 % 100) == 15) assign VAR2 = 14'h20A1;
else if((VAR1 % 100) == 16) assign VAR2 = 14'h20CD;
else if((VAR1 % 100) == 17) assign VAR2 = 14'h20CE;
else if((VAR1 % 100) == 18) assign VAR2 = 14'h20D3;
else if((VAR1 % 100) == 19) assign VAR2 = 14'h20D6;
else if((VAR1 % 100) == 20) assign VAR2 = 14'h20DA;
else if((VAR1 % 100) == 21) assign VAR2 = 14'h20EA;
else if((VAR1 % 100) == 22) assign VAR2 = 14'h20EC;
else if((VAR1 % 100) == 23) assign VAR2 = 14'h20F8;
else if((VAR1 % 100) == 24) assign VAR2 = 14'h2106;
else if((VAR1 % 100) == 25) assign VAR2 = 14'h212B;
else if((VAR1 % 100) == 26) assign VAR2 = 14'h2130;
else if((VAR1 % 100) == 27) assign VAR2 = 14'h213F;
else if((VAR1 % 100) == 28) assign VAR2 = 14'h2142;
else if((VAR1 % 100) == 29) assign VAR2 = 14'h214E;
else if((VAR1 % 100) == 30) assign VAR2 = 14'h2163;
else if((VAR1 % 100) == 31) assign VAR2 = 14'h2165;
else if((VAR1 % 100) == 32) assign VAR2 = 14'h2166;
else if((VAR1 % 100) == 33) assign VAR2 = 14'h2171;
else if((VAR1 % 100) == 34) assign VAR2 = 14'h2174;
else if((VAR1 % 100) == 35) assign VAR2 = 14'h2177;
else if((VAR1 % 100) == 36) assign VAR2 = 14'h2184;
else if((VAR1 % 100) == 37) assign VAR2 = 14'h2190;
else if((VAR1 % 100) == 38) assign VAR2 = 14'h219F;
else if((VAR1 % 100) == 39) assign VAR2 = 14'h21BE;
else if((VAR1 % 100) == 40) assign VAR2 = 14'h21C3;
else if((VAR1 % 100) == 41) assign VAR2 = 14'h21CA;
else if((VAR1 % 100) == 42) assign VAR2 = 14'h21D7;
else if((VAR1 % 100) == 43) assign VAR2 = 14'h21E4;
else if((VAR1 % 100) == 44) assign VAR2 = 14'h21F5;
else if((VAR1 % 100) == 45) assign VAR2 = 14'h21F6;
else if((VAR1 % 100) == 46) assign VAR2 = 14'h2205;
else if((VAR1 % 100) == 47) assign VAR2 = 14'h2221;
else if((VAR1 % 100) == 48) assign VAR2 = 14'h2239;
else if((VAR1 % 100) == 49) assign VAR2 = 14'h2269;
else if((VAR1 % 100) == 50) assign VAR2 = 14'h226A;
else if((VAR1 % 100) == 51) assign VAR2 = 14'h226F;
else if((VAR1 % 100) == 52) assign VAR2 = 14'h2271;
else if((VAR1 % 100) == 53) assign VAR2 = 14'h227D;
else if((VAR1 % 100) == 54) assign VAR2 = 14'h2295;
else if((VAR1 % 100) == 55) assign VAR2 = 14'h229C;
else if((VAR1 % 100) == 56) assign VAR2 = 14'h22AC;
else if((VAR1 % 100) == 57) assign VAR2 = 14'h22B7;
else if((VAR1 % 100) == 58) assign VAR2 = 14'h22CC;
else if((VAR1 % 100) == 59) assign VAR2 = 14'h22CF;
else if((VAR1 % 100) == 60) assign VAR2 = 14'h22D2;
else if((VAR1 % 100) == 61) assign VAR2 = 14'h22DB;
else if((VAR1 % 100) == 62) assign VAR2 = 14'h22E2;
else if((VAR1 % 100) == 63) assign VAR2 = 14'h22EB;
else if((VAR1 % 100) == 64) assign VAR2 = 14'h22F3;
else if((VAR1 % 100) == 65) assign VAR2 = 14'h22F9;
else if((VAR1 % 100) == 66) assign VAR2 = 14'h22FF;
else if((VAR1 % 100) == 67) assign VAR2 = 14'h2307;
else if((VAR1 % 100) == 68) assign VAR2 = 14'h230E;
else if((VAR1 % 100) == 69) assign VAR2 = 14'h2313;
else if((VAR1 % 100) == 70) assign VAR2 = 14'h231A;
else if((VAR1 % 100) == 71) assign VAR2 = 14'h2323;
else if((VAR1 % 100) == 72) assign VAR2 = 14'h232C;
else if((VAR1 % 100) == 73) assign VAR2 = 14'h2331;
else if((VAR1 % 100) == 74) assign VAR2 = 14'h2338;
else if((VAR1 % 100) == 75) assign VAR2 = 14'h233D;
else if((VAR1 % 100) == 76) assign VAR2 = 14'h2352;
else if((VAR1 % 100) == 77) assign VAR2 = 14'h2362;
else if((VAR1 % 100) == 78) assign VAR2 = 14'h2367;
else if((VAR1 % 100) == 79) assign VAR2 = 14'h236D;
else if((VAR1 % 100) == 80) assign VAR2 = 14'h2398;
else if((VAR1 % 100) == 81) assign VAR2 = 14'h23A7;
else if((VAR1 % 100) == 82) assign VAR2 = 14'h23BF;
else if((VAR1 % 100) == 83) assign VAR2 = 14'h23D3;
else if((VAR1 % 100) == 84) assign VAR2 = 14'h23E0;
else if((VAR1 % 100) == 85) assign VAR2 = 14'h23F2;
else if((VAR1 % 100) == 86) assign VAR2 = 14'h23F4;
else if((VAR1 % 100) == 87) assign VAR2 = 14'h23F7;
else if((VAR1 % 100) == 88) assign VAR2 = 14'h2409;
else if((VAR1 % 100) == 89) assign VAR2 = 14'h240C;
else if((VAR1 % 100) == 90) assign VAR2 = 14'h241D;
else if((VAR1 % 100) == 91) assign VAR2 = 14'h2421;
else if((VAR1 % 100) == 92) assign VAR2 = 14'h242D;
else if((VAR1 % 100) == 93) assign VAR2 = 14'h2430;
else if((VAR1 % 100) == 94) assign VAR2 = 14'h2433;
else if((VAR1 % 100) == 95) assign VAR2 = 14'h243F;
else if((VAR1 % 100) == 96) assign VAR2 = 14'h2441;
else if((VAR1 % 100) == 97) assign VAR2 = 14'h2471;
else if((VAR1 % 100) == 98) assign VAR2 = 14'h248E;
else if((VAR1 % 100) == 99) assign VAR2 = 14'h2496;
end
else if(VAR3 == 15)
begin
if((VAR1 % 100) == 0) assign VAR2 = 15'h4001;
end
else if((VAR1 % 100) == 1) assign VAR2 = 15'h4008;
else if((VAR1 % 100) == 2) assign VAR2 = 15'h400B;
else if((VAR1 % 100) == 3) assign VAR2 = 15'h4016;
else if((VAR1 % 100) == 4) assign VAR2 = 15'h401A;
else if((VAR1 % 100) == 5) assign VAR2 = 15'h402F;
else if((VAR1 % 100) == 6) assign VAR2 = 15'h403B;
else if((VAR1 % 100) == 7) assign VAR2 = 15'h4040;
else if((VAR1 % 100) == 8) assign VAR2 = 15'h4043;
else if((VAR1 % 100) == 9) assign VAR2 = 15'h4049;
else if((VAR1 % 100) == 10) assign VAR2 = 15'h4052;
else if((VAR1 % 100) == 11) assign VAR2 = 15'h4061;
else if((VAR1 % 100) == 12) assign VAR2 = 15'h4067;
else if((VAR1 % 100) == 13) assign VAR2 = 15'h406E;
else if((VAR1 % 100) == 14) assign VAR2 = 15'h4073;
else if((VAR1 % 100) == 15) assign VAR2 = 15'h407A;
else if((VAR1 % 100) == 16) assign VAR2 = 15'h4080;
else if((VAR1 % 100) == 17) assign VAR2 = 15'h408A;
else if((VAR1 % 100) == 18) assign VAR2 = 15'h4092;
else if((VAR1 % 100) == 19) assign VAR2 = 15'h40AB;
else if((VAR1 % 100) == 20) assign VAR2 = 15'h40AE;
else if((VAR1 % 100) == 21) assign VAR2 = 15'h40B0;
else if((VAR1 % 100) == 22) assign VAR2 = 15'h40B6;
else if((VAR1 % 100) == 23) assign VAR2 = 15'h40C2;
else if((VAR1 % 100) == 24) assign VAR2 = 15'h40D0;
else if((VAR1 % 100) == 25) assign VAR2 = 15'h40D3;
else if((VAR1 % 100) == 26) assign VAR2 = 15'h40DC;
else if((VAR1 % 100) == 27) assign VAR2 = 15'h40E5;
else if((VAR1 % 100) == 28) assign VAR2 = 15'h40E6;
else if((VAR1 % 100) == 29) assign VAR2 = 15'h40EF;
else if((VAR1 % 100) == 30) assign VAR2 = 15'h40FE;
else if((VAR1 % 100) == 31) assign VAR2 = 15'h4109;
else if((VAR1 % 100) == 32) assign VAR2 = 15'h411D;
else if((VAR1 % 100) == 33) assign VAR2 = 15'h4122;
else if((VAR1 % 100) == 34) assign VAR2 = 15'h413F;
else if((VAR1 % 100) == 35) assign VAR2 = 15'h4144;
else if((VAR1 % 100) == 36) assign VAR2 = 15'h4147;
else if((VAR1 % 100) == 37) assign VAR2 = 15'h414D;
else if((VAR1 % 100) == 38) assign VAR2 = 15'h4165;
else if((VAR1 % 100) == 39) assign VAR2 = 15'h416C;
else if((VAR1 % 100) == 40) assign VAR2 = 15'h418B;
else if((VAR1 % 100) == 41) assign VAR2 = 15'h418D;
else if((VAR1 % 100) == 42) assign VAR2 = 15'h4195;
else if((VAR1 % 100) == 43) assign VAR2 = 15'h4199;
else if((VAR1 % 100) == 44) assign VAR2 = 15'h41A3;
else if((VAR1 % 100) == 45) assign VAR2 = 15'h41A6;
else if((VAR1 % 100) == 46) assign VAR2 = 15'h41AF;
else if((VAR1 % 100) == 47) assign VAR2 = 15'h41B1;
else if((VAR1 % 100) == 48) assign VAR2 = 15'h41B4;
else if((VAR1 % 100) == 49) assign VAR2 = 15'h41B8;
else if((VAR1 % 100) == 50) assign VAR2 = 15'h41C5;
else if((VAR1 % 100) == 51) assign VAR2 = 15'h41CC;
else if((VAR1 % 100) == 52) assign VAR2 = 15'h41D7;
else if((VAR1 % 100) == 53) assign VAR2 = 15'h41DE;
else if((VAR1 % 100) == 54) assign VAR2 = 15'h41E2;
else if((VAR1 % 100) == 55) assign VAR2 = 15'h41E8;
else if((VAR1 % 100) == 56) assign VAR2 = 15'h420C;
else if((VAR1 % 100) == 57) assign VAR2 = 15'h4211;
else if((VAR1 % 100) == 58) assign VAR2 = 15'h4217;
else if((VAR1 % 100) == 59) assign VAR2 = 15'h4218;
else if((VAR1 % 100) == 60) assign VAR2 = 15'h421B;
else if((VAR1 % 100) == 61) assign VAR2 = 15'h4233;
else if((VAR1 % 100) == 62) assign VAR2 = 15'h4236;
else if((VAR1 % 100) == 63) assign VAR2 = 15'h423C;
else if((VAR1 % 100) == 64) assign VAR2 = 15'h4241;
else if((VAR1 % 100) == 65) assign VAR2 = 15'h424B;
else if((VAR1 % 100) == 66) assign VAR2 = 15'h4250;
else if((VAR1 % 100) == 67) assign VAR2 = 15'h425A;
else if((VAR1 % 100) == 68) assign VAR2 = 15'h426F;
else if((VAR1 % 100) == 69) assign VAR2 = 15'h427B;
else if((VAR1 % 100) == 70) assign VAR2 = 15'h427E;
else if((VAR1 % 100) == 71) assign VAR2 = 15'h428E;
else if((VAR1 % 100) == 72) assign VAR2 = 15'h4290;
else if((VAR1 % 100) == 73) assign VAR2 = 15'h4293;
else if((VAR1 % 100) == 74) assign VAR2 = 15'h4299;
else if((VAR1 % 100) == 75) assign VAR2 = 15'h42A3;
else if((VAR1 % 100) == 76) assign VAR2 = 15'h42A5;
else if((VAR1 % 100) == 77) assign VAR2 = 15'h42AF;
else if((VAR1 % 100) == 78) assign VAR2 = 15'h42B8;
else if((VAR1 % 100) == 79) assign VAR2 = 15'h42BD;
else if((VAR1 % 100) == 80) assign VAR2 = 15'h42C0;
else if((VAR1 % 100) == 81) assign VAR2 = 15'h42C6;
else if((VAR1 % 100) == 82) assign VAR2 = 15'h42D1;
else if((VAR1 % 100) == 83) assign VAR2 = 15'h42D8;
else if((VAR1 % 100) == 84) assign VAR2 = 15'h42E2;
else if((VAR1 % 100) == 85) assign VAR2 = 15'h42E4;
else if((VAR1 % 100) == 86) assign VAR2 = 15'h42ED;
else if((VAR1 % 100) == 87) assign VAR2 = 15'h42F6;
else if((VAR1 % 100) == 88) assign VAR2 = 15'h42F9;
else if((VAR1 % 100) == 89) assign VAR2 = 15'h4304;
else if((VAR1 % 100) == 90) assign VAR2 = 15'h4308;
else if((VAR1 % 100) == 91) assign VAR2 = 15'h430E;
else if((VAR1 % 100) == 92) assign VAR2 = 15'h4315;
else if((VAR1 % 100) == 93) assign VAR2 = 15'h432A;
else if((VAR1 % 100) == 94) assign VAR2 = 15'h432C;
else if((VAR1 % 100) == 95) assign VAR2 = 15'h4332;
else if((VAR1 % 100) == 96) assign VAR2 = 15'h433E;
else if((VAR1 % 100) == 97) assign VAR2 = 15'h4340;
else if((VAR1 % 100) == 98) assign VAR2 = 15'h4354;
else if((VAR1 % 100) == 99) assign VAR2 = 15'h4357;
end
else if(VAR3 == 16)
begin
if((VAR1 % 100) == 0) assign VAR2 = 16'h8016;
end
else if((VAR1 % 100) == 1) assign VAR2 = 16'h801C;
else if((VAR1 % 100) == 2) assign VAR2 = 16'h801F;
else if((VAR1 % 100) == 3) assign VAR2 = 16'h8029;
else if((VAR1 % 100) == 4) assign VAR2 = 16'h805E;
else if((VAR1 % 100) == 5) assign VAR2 = 16'h806B;
else if((VAR1 % 100) == 6) assign VAR2 = 16'h8097;
else if((VAR1 % 100) == 7) assign VAR2 = 16'h809E;
else if((VAR1 % 100) == 8) assign VAR2 = 16'h80A7;
else if((VAR1 % 100) == 9) assign VAR2 = 16'h80AE;
else if((VAR1 % 100) == 10) assign VAR2 = 16'h80CB;
else if((VAR1 % 100) == 11) assign VAR2 = 16'h80D0;
else if((VAR1 % 100) == 12) assign VAR2 = 16'h80D6;
else if((VAR1 % 100) == 13) assign VAR2 = 16'h80DF;
else if((VAR1 % 100) == 14) assign VAR2 = 16'h80E3;
else if((VAR1 % 100) == 15) assign VAR2 = 16'h810A;
else if((VAR1 % 100) == 16) assign VAR2 = 16'h810C;
else if((VAR1 % 100) == 17) assign VAR2 = 16'h8112;
else if((VAR1 % 100) == 18) assign VAR2 = 16'h8117;
else if((VAR1 % 100) == 19) assign VAR2 = 16'h812E;
else if((VAR1 % 100) == 20) assign VAR2 = 16'h8136;
else if((VAR1 % 100) == 21) assign VAR2 = 16'h8142;
else if((VAR1 % 100) == 22) assign VAR2 = 16'h8148;
else if((VAR1 % 100) == 23) assign VAR2 = 16'h8150;
else if((VAR1 % 100) == 24) assign VAR2 = 16'h8172;
else if((VAR1 % 100) == 25) assign VAR2 = 16'h818E;
else if((VAR1 % 100) == 26) assign VAR2 = 16'h81A5;
else if((VAR1 % 100) == 27) assign VAR2 = 16'h81B4;
else if((VAR1 % 100) == 28) assign VAR2 = 16'h81B8;
else if((VAR1 % 100) == 29) assign VAR2 = 16'h81C3;
else if((VAR1 % 100) == 30) assign VAR2 = 16'h81C6;
else if((VAR1 % 100) == 31) assign VAR2 = 16'h81CF;
else if((VAR1 % 100) == 32) assign VAR2 = 16'h81D1;
else if((VAR1 % 100) == 33) assign VAR2 = 16'h81EE;
else if((VAR1 % 100) == 34) assign VAR2 = 16'h81FC;
else if((VAR1 % 100) == 35) assign VAR2 = 16'h8214;
else if((VAR1 % 100) == 36) assign VAR2 = 16'h822B;
else if((VAR1 % 100) == 37) assign VAR2 = 16'h8233;
else if((VAR1 % 100) == 38) assign VAR2 = 16'h8241;
else if((VAR1 % 100) == 39) assign VAR2 = 16'h8244;
else if((VAR1 % 100) == 40) assign VAR2 = 16'h8248;
else if((VAR1 % 100) == 41) assign VAR2 = 16'h825F;
else if((VAR1 % 100) == 42) assign VAR2 = 16'h8260;
else if((VAR1 % 100) == 43) assign VAR2 = 16'h8299;
else if((VAR1 % 100) == 44) assign VAR2 = 16'h82A3;
else if((VAR1 % 100) == 45) assign VAR2 = 16'h82B4;
else if((VAR1 % 100) == 46) assign VAR2 = 16'h82C3;
else if((VAR1 % 100) == 47) assign VAR2 = 16'h82E1;
else if((VAR1 % 100) == 48) assign VAR2 = 16'h82EE;
else if((VAR1 % 100) == 49) assign VAR2 = 16'h82F5;
else if((VAR1 % 100) == 50) assign VAR2 = 16'h8320;
else if((VAR1 % 100) == 51) assign VAR2 = 16'h8325;
else if((VAR1 % 100) == 52) assign VAR2 = 16'h8329;
else if((VAR1 % 100) == 53) assign VAR2 = 16'h8345;
else if((VAR1 % 100) == 54) assign VAR2 = 16'h8361;
else if((VAR1 % 100) == 55) assign VAR2 = 16'h83B5;
else if((VAR1 % 100) == 56) assign VAR2 = 16'h83B6;
else if((VAR1 % 100) == 57) assign VAR2 = 16'h83BC;
else if((VAR1 % 100) == 58) assign VAR2 = 16'h83C1;
else if((VAR1 % 100) == 59) assign VAR2 = 16'h83F8;
else if((VAR1 % 100) == 60) assign VAR2 = 16'h8406;
else if((VAR1 % 100) == 61) assign VAR2 = 16'h8430;
else if((VAR1 % 100) == 62) assign VAR2 = 16'h845F;
else if((VAR1 % 100) == 63) assign VAR2 = 16'h846A;
else if((VAR1 % 100) == 64) assign VAR2 = 16'h846F;
else if((VAR1 % 100) == 65) assign VAR2 = 16'h8471;
else if((VAR1 % 100) == 66) assign VAR2 = 16'h8478;
else if((VAR1 % 100) == 67) assign VAR2 = 16'h847D;
else if((VAR1 % 100) == 68) assign VAR2 = 16'h849C;
else if((VAR1 % 100) == 69) assign VAR2 = 16'h84BE;
else if((VAR1 % 100) == 70) assign VAR2 = 16'h84C5;
else if((VAR1 % 100) == 71) assign VAR2 = 16'h84D2;
else if((VAR1 % 100) == 72) assign VAR2 = 16'h84D7;
else if((VAR1 % 100) == 73) assign VAR2 = 16'h84E1;
else if((VAR1 % 100) == 74) assign VAR2 = 16'h84E2;
else if((VAR1 % 100) == 75) assign VAR2 = 16'h84F3;
else if((VAR1 % 100) == 76) assign VAR2 = 16'h84F9;
else if((VAR1 % 100) == 77) assign VAR2 = 16'h853E;
else if((VAR1 % 100) == 78) assign VAR2 = 16'h8540;
else if((VAR1 % 100) == 79) assign VAR2 = 16'h855D;
else if((VAR1 % 100) == 80) assign VAR2 = 16'h8562;
else if((VAR1 % 100) == 81) assign VAR2 = 16'h8580;
else if((VAR1 % 100) == 82) assign VAR2 = 16'h8589;
else if((VAR1 % 100) == 83) assign VAR2 = 16'h858A;
else if((VAR1 % 100) == 84) assign VAR2 = 16'h85A8;
else if((VAR1 % 100) == 85) assign VAR2 = 16'h85AE;
else if((VAR1 % 100) == 86) assign VAR2 = 16'h85E6;
else if((VAR1 % 100) == 87) assign VAR2 = 16'h85E9;
else if((VAR1 % 100) == 88) assign VAR2 = 16'h85F2;
else if((VAR1 % 100) == 89) assign VAR2 = 16'h8607;
else if((VAR1 % 100) == 90) assign VAR2 = 16'h860E;
else if((VAR1 % 100) == 91) assign VAR2 = 16'h8610;
else if((VAR1 % 100) == 92) assign VAR2 = 16'h8634;
else if((VAR1 % 100) == 93) assign VAR2 = 16'h8638;
else if((VAR1 % 100) == 94) assign VAR2 = 16'h863D;
else if((VAR1 % 100) == 95) assign VAR2 = 16'h8646;
else if((VAR1 % 100) == 96) assign VAR2 = 16'h864A;
else if((VAR1 % 100) == 97) assign VAR2 = 16'h8651;
else if((VAR1 % 100) == 98) assign VAR2 = 16'h8657;
else if((VAR1 % 100) == 99) assign VAR2 = 16'h8679;
end
else if(VAR3 == 17)
begin
if((VAR1 % 100) == 0) assign VAR2 = 17'h10004;
end
else if((VAR1 % 100) == 1) assign VAR2 = 17'h10007;
else if((VAR1 % 100) == 2) assign VAR2 = 17'h10010;
else if((VAR1 % 100) == 3) assign VAR2 = 17'h10016;
else if((VAR1 % 100) == 4) assign VAR2 = 17'h10019;
else if((VAR1 % 100) == 5) assign VAR2 = 17'h1001F;
else if((VAR1 % 100) == 6) assign VAR2 = 17'h10020;
else if((VAR1 % 100) == 7) assign VAR2 = 17'h1002A;
else if((VAR1 % 100) == 8) assign VAR2 = 17'h10034;
else if((VAR1 % 100) == 9) assign VAR2 = 17'h1003D;
else if((VAR1 % 100) == 10) assign VAR2 = 17'h10046;
else if((VAR1 % 100) == 11) assign VAR2 = 17'h1004C;
else if((VAR1 % 100) == 12) assign VAR2 = 17'h10051;
else if((VAR1 % 100) == 13) assign VAR2 = 17'h10057;
else if((VAR1 % 100) == 14) assign VAR2 = 17'h1005D;
else if((VAR1 % 100) == 15) assign VAR2 = 17'h10062;
else if((VAR1 % 100) == 16) assign VAR2 = 17'h1007A;
else if((VAR1 % 100) == 17) assign VAR2 = 17'h10085;
else if((VAR1 % 100) == 18) assign VAR2 = 17'h10086;
else if((VAR1 % 100) == 19) assign VAR2 = 17'h1008C;
else if((VAR1 % 100) == 20) assign VAR2 = 17'h10092;
else if((VAR1 % 100) == 21) assign VAR2 = 17'h1009E;
else if((VAR1 % 100) == 22) assign VAR2 = 17'h100AB;
else if((VAR1 % 100) == 23) assign VAR2 = 17'h100B0;
else if((VAR1 % 100) == 24) assign VAR2 = 17'h100B3;
else if((VAR1 % 100) == 25) assign VAR2 = 17'h100B6;
else if((VAR1 % 100) == 26) assign VAR2 = 17'h100BF;
else if((VAR1 % 100) == 27) assign VAR2 = 17'h100C1;
else if((VAR1 % 100) == 28) assign VAR2 = 17'h100E0;
else if((VAR1 % 100) == 29) assign VAR2 = 17'h100E3;
else if((VAR1 % 100) == 30) assign VAR2 = 17'h100E5;
else if((VAR1 % 100) == 31) assign VAR2 = 17'h100EC;
else if((VAR1 % 100) == 32) assign VAR2 = 17'h100F8;
else if((VAR1 % 100) == 33) assign VAR2 = 17'h10106;
else if((VAR1 % 100) == 34) assign VAR2 = 17'h10111;
else if((VAR1 % 100) == 35) assign VAR2 = 17'h10114;
else if((VAR1 % 100) == 36) assign VAR2 = 17'h10118;
else if((VAR1 % 100) == 37) assign VAR2 = 17'h1011B;
else if((VAR1 % 100) == 38) assign VAR2 = 17'h10122;
else if((VAR1 % 100) == 39) assign VAR2 = 17'h10135;
else if((VAR1 % 100) == 40) assign VAR2 = 17'h1013C;
else if((VAR1 % 100) == 41) assign VAR2 = 17'h1013F;
else if((VAR1 % 100) == 42) assign VAR2 = 17'h10141;
else if((VAR1 % 100) == 43) assign VAR2 = 17'h10148;
else if((VAR1 % 100) == 44) assign VAR2 = 17'h1015A;
else if((VAR1 % 100) == 45) assign VAR2 = 17'h10163;
else if((VAR1 % 100) == 46) assign VAR2 = 17'h1016F;
else if((VAR1 % 100) == 47) assign VAR2 = 17'h10171;
else if((VAR1 % 100) == 48) assign VAR2 = 17'h10174;
else if((VAR1 % 100) == 49) assign VAR2 = 17'h1017E;
else if((VAR1 % 100) == 50) assign VAR2 = 17'h10184;
else if((VAR1 % 100) == 51) assign VAR2 = 17'h10188;
else if((VAR1 % 100) == 52) assign VAR2 = 17'h1018B;
else if((VAR1 % 100) == 53) assign VAR2 = 17'h1018D;
else if((VAR1 % 100) == 54) assign VAR2 = 17'h10193;
else if((VAR1 % 100) == 55) assign VAR2 = 17'h10199;
else if((VAR1 % 100) == 56) assign VAR2 = 17'h1019A;
else if((VAR1 % 100) == 57) assign VAR2 = 17'h101A9;
else if((VAR1 % 100) == 58) assign VAR2 = 17'h101BB;
else if((VAR1 % 100) == 59) assign VAR2 = 17'h101D8;
else if((VAR1 % 100) == 60) assign VAR2 = 17'h101DB;
else if((VAR1 % 100) == 61) assign VAR2 = 17'h101E1;
else if((VAR1 % 100) == 62) assign VAR2 = 17'h101E8;
else if((VAR1 % 100) == 63) assign VAR2 = 17'h101ED;
else if((VAR1 % 100) == 64) assign VAR2 = 17'h101F5;
else if((VAR1 % 100) == 65) assign VAR2 = 17'h10203;
else if((VAR1 % 100) == 66) assign VAR2 = 17'h1020A;
else if((VAR1 % 100) == 67) assign VAR2 = 17'h1020C;
else if((VAR1 % 100) == 68) assign VAR2 = 17'h1020F;
else if((VAR1 % 100) == 69) assign VAR2 = 17'h10217;
else if((VAR1 % 100) == 70) assign VAR2 = 17'h1021E;
else if((VAR1 % 100) == 71) assign VAR2 = 17'h10221;
else if((VAR1 % 100) == 72) assign VAR2 = 17'h1022B;
else if((VAR1 % 100) == 73) assign VAR2 = 17'h1022E;
else if((VAR1 % 100) == 74) assign VAR2 = 17'h10230;
else if((VAR1 % 100) == 75) assign VAR2 = 17'h10233;
else if((VAR1 % 100) == 76) assign VAR2 = 17'h1023A;
else if((VAR1 % 100) == 77) assign VAR2 = 17'h10242;
else if((VAR1 % 100) == 78) assign VAR2 = 17'h10247;
else if((VAR1 % 100) == 79) assign VAR2 = 17'h1024E;
else if((VAR1 % 100) == 80) assign VAR2 = 17'h10255;
else if((VAR1 % 100) == 81) assign VAR2 = 17'h1025C;
else if((VAR1 % 100) == 82) assign VAR2 = 17'h10260;
else if((VAR1 % 100) == 83) assign VAR2 = 17'h10266;
else if((VAR1 % 100) == 84) assign VAR2 = 17'h1027B;
else if((VAR1 % 100) == 85) assign VAR2 = 17'h1027D;
else if((VAR1 % 100) == 86) assign VAR2 = 17'h1028D;
else if((VAR1 % 100) == 87) assign VAR2 = 17'h1028E;
else if((VAR1 % 100) == 88) assign VAR2 = 17'h10293;
else if((VAR1 % 100) == 89) assign VAR2 = 17'h1029A;
else if((VAR1 % 100) == 90) assign VAR2 = 17'h1029F;
else if((VAR1 % 100) == 91) assign VAR2 = 17'h102B1;
else if((VAR1 % 100) == 92) assign VAR2 = 17'h102B2;
else if((VAR1 % 100) == 93) assign VAR2 = 17'h102B7;
else if((VAR1 % 100) == 94) assign VAR2 = 17'h102C9;
else if((VAR1 % 100) == 95) assign VAR2 = 17'h102D2;
else if((VAR1 % 100) == 96) assign VAR2 = 17'h102DD;
else if((VAR1 % 100) == 97) assign VAR2 = 17'h102F6;
else if((VAR1 % 100) == 98) assign VAR2 = 17'h10302;
else if((VAR1 % 100) == 99) assign VAR2 = 17'h1030E;
end
else if(VAR3 == 18)
begin
if((VAR1 % 100) == 0) assign VAR2 = 18'h20013;
end
else if((VAR1 % 100) == 1) assign VAR2 = 18'h2001F;
else if((VAR1 % 100) == 2) assign VAR2 = 18'h20026;
else if((VAR1 % 100) == 3) assign VAR2 = 18'h2003D;
else if((VAR1 % 100) == 4) assign VAR2 = 18'h20040;
else if((VAR1 % 100) == 5) assign VAR2 = 18'h2006D;
else if((VAR1 % 100) == 6) assign VAR2 = 18'h20073;
else if((VAR1 % 100) == 7) assign VAR2 = 18'h20076;
else if((VAR1 % 100) == 8) assign VAR2 = 18'h20083;
else if((VAR1 % 100) == 9) assign VAR2 = 18'h200A7;
else if((VAR1 % 100) == 10) assign VAR2 = 18'h200C8;
else if((VAR1 % 100) == 11) assign VAR2 = 18'h200F1;
else if((VAR1 % 100) == 12) assign VAR2 = 18'h200F4;
else if((VAR1 % 100) == 13) assign VAR2 = 18'h200F7;
else if((VAR1 % 100) == 14) assign VAR2 = 18'h20105;
else if((VAR1 % 100) == 15) assign VAR2 = 18'h20109;
else if((VAR1 % 100) == 16) assign VAR2 = 18'h20130;
else if((VAR1 % 100) == 17) assign VAR2 = 18'h2013A;
else if((VAR1 % 100) == 18) assign VAR2 = 18'h20155;
else if((VAR1 % 100) == 19) assign VAR2 = 18'h20178;
else if((VAR1 % 100) == 20) assign VAR2 = 18'h2018B;
else if((VAR1 % 100) == 21) assign VAR2 = 18'h20195;
else if((VAR1 % 100) == 22) assign VAR2 = 18'h20196;
else if((VAR1 % 100) == 23) assign VAR2 = 18'h201BB;
else if((VAR1 % 100) == 24) assign VAR2 = 18'h201C3;
else if((VAR1 % 100) == 25) assign VAR2 = 18'h201CA;
else if((VAR1 % 100) == 26) assign VAR2 = 18'h201CC;
else if((VAR1 % 100) == 27) assign VAR2 = 18'h201D4;
else if((VAR1 % 100) == 28) assign VAR2 = 18'h201D8;
else if((VAR1 % 100) == 29) assign VAR2 = 18'h201E2;
else if((VAR1 % 100) == 30) assign VAR2 = 18'h201EB;
else if((VAR1 % 100) == 31) assign VAR2 = 18'h201F0;
else if((VAR1 % 100) == 32) assign VAR2 = 18'h2020C;
else if((VAR1 % 100) == 33) assign VAR2 = 18'h20218;
else if((VAR1 % 100) == 34) assign VAR2 = 18'h2021E;
else if((VAR1 % 100) == 35) assign VAR2 = 18'h2022D;
else if((VAR1 % 100) == 36) assign VAR2 = 18'h2023C;
else if((VAR1 % 100) == 37) assign VAR2 = 18'h20244;
else if((VAR1 % 100) == 38) assign VAR2 = 18'h20250;
else if((VAR1 % 100) == 39) assign VAR2 = 18'h20290;
else if((VAR1 % 100) == 40) assign VAR2 = 18'h202C9;
else if((VAR1 % 100) == 41) assign VAR2 = 18'h202CF;
else if((VAR1 % 100) == 42) assign VAR2 = 18'h202E2;
else if((VAR1 % 100) == 43) assign VAR2 = 18'h202ED;
else if((VAR1 % 100) == 44) assign VAR2 = 18'h202F0;
else if((VAR1 % 100) == 45) assign VAR2 = 18'h202FF;
else if((VAR1 % 100) == 46) assign VAR2 = 18'h20304;
else if((VAR1 % 100) == 47) assign VAR2 = 18'h2030E;
else if((VAR1 % 100) == 48) assign VAR2 = 18'h20315;
else if((VAR1 % 100) == 49) assign VAR2 = 18'h2033E;
else if((VAR1 % 100) == 50) assign VAR2 = 18'h20346;
else if((VAR1 % 100) == 51) assign VAR2 = 18'h20394;
else if((VAR1 % 100) == 52) assign VAR2 = 18'h20398;
else if((VAR1 % 100) == 53) assign VAR2 = 18'h203A8;
else if((VAR1 % 100) == 54) assign VAR2 = 18'h203DF;
else if((VAR1 % 100) == 55) assign VAR2 = 18'h203E6;
else if((VAR1 % 100) == 56) assign VAR2 = 18'h203F8;
else if((VAR1 % 100) == 57) assign VAR2 = 18'h20400;
else if((VAR1 % 100) == 58) assign VAR2 = 18'h2041D;
else if((VAR1 % 100) == 59) assign VAR2 = 18'h20439;
else if((VAR1 % 100) == 60) assign VAR2 = 18'h20442;
else if((VAR1 % 100) == 61) assign VAR2 = 18'h20465;
else if((VAR1 % 100) == 62) assign VAR2 = 18'h2046F;
else if((VAR1 % 100) == 63) assign VAR2 = 18'h20477;
else if((VAR1 % 100) == 64) assign VAR2 = 18'h2047E;
else if((VAR1 % 100) == 65) assign VAR2 = 18'h20482;
else if((VAR1 % 100) == 66) assign VAR2 = 18'h20493;
else if((VAR1 % 100) == 67) assign VAR2 = 18'h20496;
else if((VAR1 % 100) == 68) assign VAR2 = 18'h204B2;
else if((VAR1 % 100) == 69) assign VAR2 = 18'h204BD;
else if((VAR1 % 100) == 70) assign VAR2 = 18'h204C9;
else if((VAR1 % 100) == 71) assign VAR2 = 18'h204D1;
else if((VAR1 % 100) == 72) assign VAR2 = 18'h204D2;
else if((VAR1 % 100) == 73) assign VAR2 = 18'h204E4;
else if((VAR1 % 100) == 74) assign VAR2 = 18'h204E7;
else if((VAR1 % 100) == 75) assign VAR2 = 18'h2050E;
else if((VAR1 % 100) == 76) assign VAR2 = 18'h20545;
else if((VAR1 % 100) == 77) assign VAR2 = 18'h2054A;
else if((VAR1 % 100) == 78) assign VAR2 = 18'h20562;
else if((VAR1 % 100) == 79) assign VAR2 = 18'h20567;
else if((VAR1 % 100) == 80) assign VAR2 = 18'h2056B;
else if((VAR1 % 100) == 81) assign VAR2 = 18'h20570;
else if((VAR1 % 100) == 82) assign VAR2 = 18'h2057A;
else if((VAR1 % 100) == 83) assign VAR2 = 18'h2058C;
else if((VAR1 % 100) == 84) assign VAR2 = 18'h20594;
else if((VAR1 % 100) == 85) assign VAR2 = 18'h2059D;
else if((VAR1 % 100) == 86) assign VAR2 = 18'h205A8;
else if((VAR1 % 100) == 87) assign VAR2 = 18'h205B3;
else if((VAR1 % 100) == 88) assign VAR2 = 18'h205C1;
else if((VAR1 % 100) == 89) assign VAR2 = 18'h205E3;
else if((VAR1 % 100) == 90) assign VAR2 = 18'h205EC;
else if((VAR1 % 100) == 91) assign VAR2 = 18'h205F8;
else if((VAR1 % 100) == 92) assign VAR2 = 18'h20625;
else if((VAR1 % 100) == 93) assign VAR2 = 18'h20638;
else if((VAR1 % 100) == 94) assign VAR2 = 18'h2063D;
else if((VAR1 % 100) == 95) assign VAR2 = 18'h20676;
else if((VAR1 % 100) == 96) assign VAR2 = 18'h2067F;
else if((VAR1 % 100) == 97) assign VAR2 = 18'h206C2;
else if((VAR1 % 100) == 98) assign VAR2 = 18'h206CB;
else if((VAR1 % 100) == 99) assign VAR2 = 18'h206CD;
end
else if(VAR3 == 19)
begin
if((VAR1 % 100) == 0) assign VAR2 = 19'h40013;
end
else if((VAR1 % 100) == 1) assign VAR2 = 19'h4001F;
else if((VAR1 % 100) == 2) assign VAR2 = 19'h40023;
else if((VAR1 % 100) == 3) assign VAR2 = 19'h40029;
else if((VAR1 % 100) == 4) assign VAR2 = 19'h4002C;
else if((VAR1 % 100) == 5) assign VAR2 = 19'h40031;
else if((VAR1 % 100) == 6) assign VAR2 = 19'h40037;
else if((VAR1 % 100) == 7) assign VAR2 = 19'h4003E;
else if((VAR1 % 100) == 8) assign VAR2 = 19'h40049;
else if((VAR1 % 100) == 9) assign VAR2 = 19'h40057;
else if((VAR1 % 100) == 10) assign VAR2 = 19'h40070;
else if((VAR1 % 100) == 11) assign VAR2 = 19'h400A1;
else if((VAR1 % 100) == 12) assign VAR2 = 19'h400B0;
else if((VAR1 % 100) == 13) assign VAR2 = 19'h400B5;
else if((VAR1 % 100) == 14) assign VAR2 = 19'h400BA;
else if((VAR1 % 100) == 15) assign VAR2 = 19'h400C2;
else if((VAR1 % 100) == 16) assign VAR2 = 19'h400CE;
else if((VAR1 % 100) == 17) assign VAR2 = 19'h400D0;
else if((VAR1 % 100) == 18) assign VAR2 = 19'h400D6;
else if((VAR1 % 100) == 19) assign VAR2 = 19'h400D9;
else if((VAR1 % 100) == 20) assign VAR2 = 19'h400DF;
else if((VAR1 % 100) == 21) assign VAR2 = 19'h400E3;
else if((VAR1 % 100) == 22) assign VAR2 = 19'h400E6;
else if((VAR1 % 100) == 23) assign VAR2 = 19'h400EF;
else if((VAR1 % 100) == 24) assign VAR2 = 19'h40105;
else if((VAR1 % 100) == 25) assign VAR2 = 19'h40109;
else if((VAR1 % 100) == 26) assign VAR2 = 19'h4010C;
else if((VAR1 % 100) == 27) assign VAR2 = 19'h40112;
else if((VAR1 % 100) == 28) assign VAR2 = 19'h40118;
else if((VAR1 % 100) == 29) assign VAR2 = 19'h40127;
else if((VAR1 % 100) == 30) assign VAR2 = 19'h40128;
else if((VAR1 % 100) == 31) assign VAR2 = 19'h40135;
else if((VAR1 % 100) == 32) assign VAR2 = 19'h40136;
else if((VAR1 % 100) == 33) assign VAR2 = 19'h40141;
else if((VAR1 % 100) == 34) assign VAR2 = 19'h40142;
else if((VAR1 % 100) == 35) assign VAR2 = 19'h4014B;
else if((VAR1 % 100) == 36) assign VAR2 = 19'h40150;
else if((VAR1 % 100) == 37) assign VAR2 = 19'h40190;
else if((VAR1 % 100) == 38) assign VAR2 = 19'h401A3;
else if((VAR1 % 100) == 39) assign VAR2 = 19'h401B4;
else if((VAR1 % 100) == 40) assign VAR2 = 19'h401B7;
else if((VAR1 % 100) == 41) assign VAR2 = 19'h401CF;
else if((VAR1 % 100) == 42) assign VAR2 = 19'h401D2;
else if((VAR1 % 100) == 43) assign VAR2 = 19'h401D4;
else if((VAR1 % 100) == 44) assign VAR2 = 19'h401E4;
else if((VAR1 % 100) == 45) assign VAR2 = 19'h401EB;
else if((VAR1 % 100) == 46) assign VAR2 = 19'h401ED;
else if((VAR1 % 100) == 47) assign VAR2 = 19'h401EE;
else if((VAR1 % 100) == 48) assign VAR2 = 19'h401F9;
else if((VAR1 % 100) == 49) assign VAR2 = 19'h4021B;
else if((VAR1 % 100) == 50) assign VAR2 = 19'h40228;
else if((VAR1 % 100) == 51) assign VAR2 = 19'h4023A;
else if((VAR1 % 100) == 52) assign VAR2 = 19'h4023F;
else if((VAR1 % 100) == 53) assign VAR2 = 19'h40244;
else if((VAR1 % 100) == 54) assign VAR2 = 19'h40248;
else if((VAR1 % 100) == 55) assign VAR2 = 19'h4025A;
else if((VAR1 % 100) == 56) assign VAR2 = 19'h4025C;
else if((VAR1 % 100) == 57) assign VAR2 = 19'h40269;
else if((VAR1 % 100) == 58) assign VAR2 = 19'h4026C;
else if((VAR1 % 100) == 59) assign VAR2 = 19'h4028E;
else if((VAR1 % 100) == 60) assign VAR2 = 19'h40295;
else if((VAR1 % 100) == 61) assign VAR2 = 19'h4029C;
else if((VAR1 % 100) == 62) assign VAR2 = 19'h402A0;
else if((VAR1 % 100) == 63) assign VAR2 = 19'h402A9;
else if((VAR1 % 100) == 64) assign VAR2 = 19'h402AF;
else if((VAR1 % 100) == 65) assign VAR2 = 19'h402B8;
else if((VAR1 % 100) == 66) assign VAR2 = 19'h402BB;
else if((VAR1 % 100) == 67) assign VAR2 = 19'h402D4;
else if((VAR1 % 100) == 68) assign VAR2 = 19'h402DD;
else if((VAR1 % 100) == 69) assign VAR2 = 19'h402E8;
else if((VAR1 % 100) == 70) assign VAR2 = 19'h402EB;
else if((VAR1 % 100) == 71) assign VAR2 = 19'h402EE;
else if((VAR1 % 100) == 72) assign VAR2 = 19'h402F5;
else if((VAR1 % 100) == 73) assign VAR2 = 19'h402FA;
else if((VAR1 % 100) == 74) assign VAR2 = 19'h402FC;
else if((VAR1 % 100) == 75) assign VAR2 = 19'h40304;
else if((VAR1 % 100) == 76) assign VAR2 = 19'h40308;
else if((VAR1 % 100) == 77) assign VAR2 = 19'h40319;
else if((VAR1 % 100) == 78) assign VAR2 = 19'h40320;
else if((VAR1 % 100) == 79) assign VAR2 = 19'h40325;
else if((VAR1 % 100) == 80) assign VAR2 = 19'h40326;
else if((VAR1 % 100) == 81) assign VAR2 = 19'h4032F;
else if((VAR1 % 100) == 82) assign VAR2 = 19'h40332;
else if((VAR1 % 100) == 83) assign VAR2 = 19'h40343;
else if((VAR1 % 100) == 84) assign VAR2 = 19'h40345;
else if((VAR1 % 100) == 85) assign VAR2 = 19'h4035E;
else if((VAR1 % 100) == 86) assign VAR2 = 19'h40361;
else if((VAR1 % 100) == 87) assign VAR2 = 19'h40368;
else if((VAR1 % 100) == 88) assign VAR2 = 19'h40373;
else if((VAR1 % 100) == 89) assign VAR2 = 19'h40376;
else if((VAR1 % 100) == 90) assign VAR2 = 19'h4038A;
else if((VAR1 % 100) == 91) assign VAR2 = 19'h4039D;
else if((VAR1 % 100) == 92) assign VAR2 = 19'h403A2;
else if((VAR1 % 100) == 93) assign VAR2 = 19'h403A4;
else if((VAR1 % 100) == 94) assign VAR2 = 19'h403AB;
else if((VAR1 % 100) == 95) assign VAR2 = 19'h403C2;
else if((VAR1 % 100) == 96) assign VAR2 = 19'h403C8;
else if((VAR1 % 100) == 97) assign VAR2 = 19'h403CE;
else if((VAR1 % 100) == 98) assign VAR2 = 19'h403F7;
else if((VAR1 % 100) == 99) assign VAR2 = 19'h403FE;
end
else if(VAR3 == 20)
begin
if((VAR1 % 100) == 0) assign VAR2 = 20'h80004;
end
else if((VAR1 % 100) == 1) assign VAR2 = 20'h80029;
else if((VAR1 % 100) == 2) assign VAR2 = 20'h80032;
else if((VAR1 % 100) == 3) assign VAR2 = 20'h80034;
else if((VAR1 % 100) == 4) assign VAR2 = 20'h8003D;
else if((VAR1 % 100) == 5) assign VAR2 = 20'h80079;
else if((VAR1 % 100) == 6) assign VAR2 = 20'h800B3;
else if((VAR1 % 100) == 7) assign VAR2 = 20'h800B6;
else if((VAR1 % 100) == 8) assign VAR2 = 20'h800BF;
else if((VAR1 % 100) == 9) assign VAR2 = 20'h800C7;
else if((VAR1 % 100) == 10) assign VAR2 = 20'h800DF;
else if((VAR1 % 100) == 11) assign VAR2 = 20'h80111;
else if((VAR1 % 100) == 12) assign VAR2 = 20'h80114;
else if((VAR1 % 100) == 13) assign VAR2 = 20'h80118;
else if((VAR1 % 100) == 14) assign VAR2 = 20'h8015C;
else if((VAR1 % 100) == 15) assign VAR2 = 20'h80199;
else if((VAR1 % 100) == 16) assign VAR2 = 20'h801A9;
else if((VAR1 % 100) == 17) assign VAR2 = 20'h801AC;
else if((VAR1 % 100) == 18) assign VAR2 = 20'h801B7;
else if((VAR1 % 100) == 19) assign VAR2 = 20'h801E1;
else if((VAR1 % 100) == 20) assign VAR2 = 20'h801FA;
else if((VAR1 % 100) == 21) assign VAR2 = 20'h801FF;
else if((VAR1 % 100) == 22) assign VAR2 = 20'h80211;
else if((VAR1 % 100) == 23) assign VAR2 = 20'h80242;
else if((VAR1 % 100) == 24) assign VAR2 = 20'h8024B;
else if((VAR1 % 100) == 25) assign VAR2 = 20'h80260;
else if((VAR1 % 100) == 26) assign VAR2 = 20'h80263;
else if((VAR1 % 100) == 27) assign VAR2 = 20'h80266;
else if((VAR1 % 100) == 28) assign VAR2 = 20'h80274;
else if((VAR1 % 100) == 29) assign VAR2 = 20'h80284;
else if((VAR1 % 100) == 30) assign VAR2 = 20'h80295;
else if((VAR1 % 100) == 31) assign VAR2 = 20'h802CF;
else if((VAR1 % 100) == 32) assign VAR2 = 20'h802F6;
else if((VAR1 % 100) == 33) assign VAR2 = 20'h802F9;
else if((VAR1 % 100) == 34) assign VAR2 = 20'h80302;
else if((VAR1 % 100) == 35) assign VAR2 = 20'h80315;
else if((VAR1 % 100) == 36) assign VAR2 = 20'h8031C;
else if((VAR1 % 100) == 37) assign VAR2 = 20'h8032A;
else if((VAR1 % 100) == 38) assign VAR2 = 20'h80338;
else if((VAR1 % 100) == 39) assign VAR2 = 20'h8033D;
else if((VAR1 % 100) == 40) assign VAR2 = 20'h8035B;
else if((VAR1 % 100) == 41) assign VAR2 = 20'h8036E;
else if((VAR1 % 100) == 42) assign VAR2 = 20'h80379;
else if((VAR1 % 100) == 43) assign VAR2 = 20'h8038A;
else if((VAR1 % 100) == 44) assign VAR2 = 20'h8039B;
else if((VAR1 % 100) == 45) assign VAR2 = 20'h803A4;
else if((VAR1 % 100) == 46) assign VAR2 = 20'h803BC;
else if((VAR1 % 100) == 47) assign VAR2 = 20'h803EF;
else if((VAR1 % 100) == 48) assign VAR2 = 20'h803FB;
else if((VAR1 % 100) == 49) assign VAR2 = 20'h8040C;
else if((VAR1 % 100) == 50) assign VAR2 = 20'h80414;
else if((VAR1 % 100) == 51) assign VAR2 = 20'h8041B;
else if((VAR1 % 100) == 52) assign VAR2 = 20'h80421;
else if((VAR1 % 100) == 53) assign VAR2 = 20'h80448;
else if((VAR1 % 100) == 54) assign VAR2 = 20'h8044D;
else if((VAR1 % 100) == 55) assign VAR2 = 20'h80456;
else if((VAR1 % 100) == 56) assign VAR2 = 20'h8045F;
else if((VAR1 % 100) == 57) assign VAR2 = 20'h8048D;
else if((VAR1 % 100) == 58) assign VAR2 = 20'h80499;
else if((VAR1 % 100) == 59) assign VAR2 = 20'h8049C;
else if((VAR1 % 100) == 60) assign VAR2 = 20'h804A6;
else if((VAR1 % 100) == 61) assign VAR2 = 20'h804BD;
else if((VAR1 % 100) == 62) assign VAR2 = 20'h804C6;
else if((VAR1 % 100) == 63) assign VAR2 = 20'h804CC;
else if((VAR1 % 100) == 64) assign VAR2 = 20'h804D7;
else if((VAR1 % 100) == 65) assign VAR2 = 20'h804E7;
else if((VAR1 % 100) == 66) assign VAR2 = 20'h804EE;
else if((VAR1 % 100) == 67) assign VAR2 = 20'h804F0;
else if((VAR1 % 100) == 68) assign VAR2 = 20'h80504;
else if((VAR1 % 100) == 69) assign VAR2 = 20'h80513;
else if((VAR1 % 100) == 70) assign VAR2 = 20'h8051A;
else if((VAR1 % 100) == 71) assign VAR2 = 20'h80526;
else if((VAR1 % 100) == 72) assign VAR2 = 20'h80529;
else if((VAR1 % 100) == 73) assign VAR2 = 20'h80534;
else if((VAR1 % 100) == 74) assign VAR2 = 20'h80543;
else if((VAR1 % 100) == 75) assign VAR2 = 20'h8054A;
else if((VAR1 % 100) == 76) assign VAR2 = 20'h80558;
else if((VAR1 % 100) == 77) assign VAR2 = 20'h80568;
else if((VAR1 % 100) == 78) assign VAR2 = 20'h8057A;
else if((VAR1 % 100) == 79) assign VAR2 = 20'h805BC;
else if((VAR1 % 100) == 80) assign VAR2 = 20'h805C1;
else if((VAR1 % 100) == 81) assign VAR2 = 20'h805EA;
else if((VAR1 % 100) == 82) assign VAR2 = 20'h805EC;
else if((VAR1 % 100) == 83) assign VAR2 = 20'h80608;
else if((VAR1 % 100) == 84) assign VAR2 = 20'h80637;
else if((VAR1 % 100) == 85) assign VAR2 = 20'h8065B;
else if((VAR1 % 100) == 86) assign VAR2 = 20'h8069D;
else if((VAR1 % 100) == 87) assign VAR2 = 20'h8069E;
else if((VAR1 % 100) == 88) assign VAR2 = 20'h806D0;
else if((VAR1 % 100) == 89) assign VAR2 = 20'h80705;
else if((VAR1 % 100) == 90) assign VAR2 = 20'h80718;
else if((VAR1 % 100) == 91) assign VAR2 = 20'h80733;
else if((VAR1 % 100) == 92) assign VAR2 = 20'h80735;
else if((VAR1 % 100) == 93) assign VAR2 = 20'h80741;
else if((VAR1 % 100) == 94) assign VAR2 = 20'h80753;
else if((VAR1 % 100) == 95) assign VAR2 = 20'h80769;
else if((VAR1 % 100) == 96) assign VAR2 = 20'h8076F;
else if((VAR1 % 100) == 97) assign VAR2 = 20'h80799;
else if((VAR1 % 100) == 98) assign VAR2 = 20'h807A5;
else if((VAR1 % 100) == 99) assign VAR2 = 20'h807B8;
end
else if(VAR3 == 21)
begin
if((VAR1 % 100) == 0) assign VAR2 = 21'h100002;
end
else if((VAR1 % 100) == 1) assign VAR2 = 21'h100013;
else if((VAR1 % 100) == 2) assign VAR2 = 21'h10001F;
else if((VAR1 % 100) == 3) assign VAR2 = 21'h100032;
else if((VAR1 % 100) == 4) assign VAR2 = 21'h100037;
else if((VAR1 % 100) == 5) assign VAR2 = 21'h10003D;
else if((VAR1 % 100) == 6) assign VAR2 = 21'h10003E;
else if((VAR1 % 100) == 7) assign VAR2 = 21'h100049;
else if((VAR1 % 100) == 8) assign VAR2 = 21'h10005B;
else if((VAR1 % 100) == 9) assign VAR2 = 21'h100083;
else if((VAR1 % 100) == 10) assign VAR2 = 21'h10008F;
else if((VAR1 % 100) == 11) assign VAR2 = 21'h10009D;
else if((VAR1 % 100) == 12) assign VAR2 = 21'h1000A4;
else if((VAR1 % 100) == 13) assign VAR2 = 21'h1000A7;
else if((VAR1 % 100) == 14) assign VAR2 = 21'h1000B6;
else if((VAR1 % 100) == 15) assign VAR2 = 21'h1000B9;
else if((VAR1 % 100) == 16) assign VAR2 = 21'h1000C2;
else if((VAR1 % 100) == 17) assign VAR2 = 21'h1000CB;
else if((VAR1 % 100) == 18) assign VAR2 = 21'h1000CE;
else if((VAR1 % 100) == 19) assign VAR2 = 21'h1000D3;
else if((VAR1 % 100) == 20) assign VAR2 = 21'h1000D5;
else if((VAR1 % 100) == 21) assign VAR2 = 21'h1000DA;
else if((VAR1 % 100) == 22) assign VAR2 = 21'h1000DC;
else if((VAR1 % 100) == 23) assign VAR2 = 21'h1000F1;
else if((VAR1 % 100) == 24) assign VAR2 = 21'h1000F7;
else if((VAR1 % 100) == 25) assign VAR2 = 21'h10010C;
else if((VAR1 % 100) == 26) assign VAR2 = 21'h100112;
else if((VAR1 % 100) == 27) assign VAR2 = 21'h10011B;
else if((VAR1 % 100) == 28) assign VAR2 = 21'h10011E;
else if((VAR1 % 100) == 29) assign VAR2 = 21'h10012D;
else if((VAR1 % 100) == 30) assign VAR2 = 21'h100139;
else if((VAR1 % 100) == 31) assign VAR2 = 21'h100156;
else if((VAR1 % 100) == 32) assign VAR2 = 21'h100169;
else if((VAR1 % 100) == 33) assign VAR2 = 21'h100184;
else if((VAR1 % 100) == 34) assign VAR2 = 21'h10018D;
else if((VAR1 % 100) == 35) assign VAR2 = 21'h1001A9;
else if((VAR1 % 100) == 36) assign VAR2 = 21'h1001B1;
else if((VAR1 % 100) == 37) assign VAR2 = 21'h1001BB;
else if((VAR1 % 100) == 38) assign VAR2 = 21'h1001CF;
else if((VAR1 % 100) == 39) assign VAR2 = 21'h1001D1;
else if((VAR1 % 100) == 40) assign VAR2 = 21'h1001D7;
else if((VAR1 % 100) == 41) assign VAR2 = 21'h1001DD;
else if((VAR1 % 100) == 42) assign VAR2 = 21'h1001E2;
else if((VAR1 % 100) == 43) assign VAR2 = 21'h1001EE;
else if((VAR1 % 100) == 44) assign VAR2 = 21'h10020A;
else if((VAR1 % 100) == 45) assign VAR2 = 21'h10020C;
else if((VAR1 % 100) == 46) assign VAR2 = 21'h100211;
else if((VAR1 % 100) == 47) assign VAR2 = 21'h100224;
else if((VAR1 % 100) == 48) assign VAR2 = 21'h10022E;
else if((VAR1 % 100) == 49) assign VAR2 = 21'h10023A;
else if((VAR1 % 100) == 50) assign VAR2 = 21'h100248;
else if((VAR1 % 100) == 51) assign VAR2 = 21'h10024B;
else if((VAR1 % 100) == 52) assign VAR2 = 21'h100253;
else if((VAR1 % 100) == 53) assign VAR2 = 21'h100272;
else if((VAR1 % 100) == 54) assign VAR2 = 21'h100274;
else if((VAR1 % 100) == 55) assign VAR2 = 21'h100277;
else if((VAR1 % 100) == 56) assign VAR2 = 21'h10027E;
else if((VAR1 % 100) == 57) assign VAR2 = 21'h100287;
else if((VAR1 % 100) == 58) assign VAR2 = 21'h100295;
else if((VAR1 % 100) == 59) assign VAR2 = 21'h1002AA;
else if((VAR1 % 100) == 60) assign VAR2 = 21'h1002DB;
else if((VAR1 % 100) == 61) assign VAR2 = 21'h1002EB;
else if((VAR1 % 100) == 62) assign VAR2 = 21'h1002F0;
else if((VAR1 % 100) == 63) assign VAR2 = 21'h1002F5;
else if((VAR1 % 100) == 64) assign VAR2 = 21'h1002F6;
else if((VAR1 % 100) == 65) assign VAR2 = 21'h100308;
else if((VAR1 % 100) == 66) assign VAR2 = 21'h10031F;
else if((VAR1 % 100) == 67) assign VAR2 = 21'h100329;
else if((VAR1 % 100) == 68) assign VAR2 = 21'h100345;
else if((VAR1 % 100) == 69) assign VAR2 = 21'h10035D;
else if((VAR1 % 100) == 70) assign VAR2 = 21'h100361;
else if((VAR1 % 100) == 71) assign VAR2 = 21'h10036B;
else if((VAR1 % 100) == 72) assign VAR2 = 21'h100370;
else if((VAR1 % 100) == 73) assign VAR2 = 21'h10037F;
else if((VAR1 % 100) == 74) assign VAR2 = 21'h1003A1;
else if((VAR1 % 100) == 75) assign VAR2 = 21'h1003AE;
else if((VAR1 % 100) == 76) assign VAR2 = 21'h1003B5;
else if((VAR1 % 100) == 77) assign VAR2 = 21'h1003BA;
else if((VAR1 % 100) == 78) assign VAR2 = 21'h1003CB;
else if((VAR1 % 100) == 79) assign VAR2 = 21'h1003D5;
else if((VAR1 % 100) == 80) assign VAR2 = 21'h1003D9;
else if((VAR1 % 100) == 81) assign VAR2 = 21'h1003E0;
else if((VAR1 % 100) == 82) assign VAR2 = 21'h1003E6;
else if((VAR1 % 100) == 83) assign VAR2 = 21'h1003E9;
else if((VAR1 % 100) == 84) assign VAR2 = 21'h100406;
else if((VAR1 % 100) == 85) assign VAR2 = 21'h100409;
else if((VAR1 % 100) == 86) assign VAR2 = 21'h10040A;
else if((VAR1 % 100) == 87) assign VAR2 = 21'h100427;
else if((VAR1 % 100) == 88) assign VAR2 = 21'h100430;
else if((VAR1 % 100) == 89) assign VAR2 = 21'h100441;
else if((VAR1 % 100) == 90) assign VAR2 = 21'h100448;
else if((VAR1 % 100) == 91) assign VAR2 = 21'h10044B;
else if((VAR1 % 100) == 92) assign VAR2 = 21'h100455;
else if((VAR1 % 100) == 93) assign VAR2 = 21'h10045C;
else if((VAR1 % 100) == 94) assign VAR2 = 21'h10046F;
else if((VAR1 % 100) == 95) assign VAR2 = 21'h100478;
else if((VAR1 % 100) == 96) assign VAR2 = 21'h10047D;
else if((VAR1 % 100) == 97) assign VAR2 = 21'h10049A;
else if((VAR1 % 100) == 98) assign VAR2 = 21'h1004AC;
else if((VAR1 % 100) == 99) assign VAR2 = 21'h1004B2;
end
else if(VAR3 == 22)
begin
if((VAR1 % 100) == 0) assign VAR2 = 22'h200001;
end
else if((VAR1 % 100) == 1) assign VAR2 = 22'h20001C;
else if((VAR1 % 100) == 2) assign VAR2 = 22'h20005E;
else if((VAR1 % 100) == 3) assign VAR2 = 22'h200061;
else if((VAR1 % 100) == 4) assign VAR2 = 22'h200094;
else if((VAR1 % 100) == 5) assign VAR2 = 22'h2000B0;
else if((VAR1 % 100) == 6) assign VAR2 = 22'h2000B9;
else if((VAR1 % 100) == 7) assign VAR2 = 22'h2000C7;
else if((VAR1 % 100) == 8) assign VAR2 = 22'h2000D9;
else if((VAR1 % 100) == 9) assign VAR2 = 22'h2000F8;
else if((VAR1 % 100) == 10) assign VAR2 = 22'h200111;
else if((VAR1 % 100) == 11) assign VAR2 = 22'h200133;
else if((VAR1 % 100) == 12) assign VAR2 = 22'h200156;
else if((VAR1 % 100) == 13) assign VAR2 = 22'h20015A;
else if((VAR1 % 100) == 14) assign VAR2 = 22'h200182;
else if((VAR1 % 100) == 15) assign VAR2 = 22'h200188;
else if((VAR1 % 100) == 16) assign VAR2 = 22'h2001A5;
else if((VAR1 % 100) == 17) assign VAR2 = 22'h2001B4;
else if((VAR1 % 100) == 18) assign VAR2 = 22'h2001C0;
else if((VAR1 % 100) == 19) assign VAR2 = 22'h2001DB;
else if((VAR1 % 100) == 20) assign VAR2 = 22'h2001E7;
else if((VAR1 % 100) == 21) assign VAR2 = 22'h2001EB;
else if((VAR1 % 100) == 22) assign VAR2 = 22'h2001ED;
else if((VAR1 % 100) == 23) assign VAR2 = 22'h200209;
else if((VAR1 % 100) == 24) assign VAR2 = 22'h200239;
else if((VAR1 % 100) == 25) assign VAR2 = 22'h200244;
else if((VAR1 % 100) == 26) assign VAR2 = 22'h200272;
else if((VAR1 % 100) == 27) assign VAR2 = 22'h200287;
else if((VAR1 % 100) == 28) assign VAR2 = 22'h20028D;
else if((VAR1 % 100) == 29) assign VAR2 = 22'h20029F;
else if((VAR1 % 100) == 30) assign VAR2 = 22'h2002A3;
else if((VAR1 % 100) == 31) assign VAR2 = 22'h2002BD;
else if((VAR1 % 100) == 32) assign VAR2 = 22'h2002C3;
else if((VAR1 % 100) == 33) assign VAR2 = 22'h2002C6;
else if((VAR1 % 100) == 34) assign VAR2 = 22'h2002CC;
else if((VAR1 % 100) == 35) assign VAR2 = 22'h2002DD;
else if((VAR1 % 100) == 36) assign VAR2 = 22'h20030B;
else if((VAR1 % 100) == 37) assign VAR2 = 22'h20030D;
else if((VAR1 % 100) == 38) assign VAR2 = 22'h200332;
else if((VAR1 % 100) == 39) assign VAR2 = 22'h200345;
else if((VAR1 % 100) == 40) assign VAR2 = 22'h200358;
else if((VAR1 % 100) == 41) assign VAR2 = 22'h200361;
else if((VAR1 % 100) == 42) assign VAR2 = 22'h20036D;
else if((VAR1 % 100) == 43) assign VAR2 = 22'h200398;
else if((VAR1 % 100) == 44) assign VAR2 = 22'h2003B5;
else if((VAR1 % 100) == 45) assign VAR2 = 22'h2003BF;
else if((VAR1 % 100) == 46) assign VAR2 = 22'h2003C2;
else if((VAR1 % 100) == 47) assign VAR2 = 22'h2003E3;
else if((VAR1 % 100) == 48) assign VAR2 = 22'h2003EC;
else if((VAR1 % 100) == 49) assign VAR2 = 22'h2003FD;
else if((VAR1 % 100) == 50) assign VAR2 = 22'h200403;
else if((VAR1 % 100) == 51) assign VAR2 = 22'h200414;
else if((VAR1 % 100) == 52) assign VAR2 = 22'h200427;
else if((VAR1 % 100) == 53) assign VAR2 = 22'h200433;
else if((VAR1 % 100) == 54) assign VAR2 = 22'h20044B;
else if((VAR1 % 100) == 55) assign VAR2 = 22'h200459;
else if((VAR1 % 100) == 56) assign VAR2 = 22'h200460;
else if((VAR1 % 100) == 57) assign VAR2 = 22'h200463;
else if((VAR1 % 100) == 58) assign VAR2 = 22'h200481;
else if((VAR1 % 100) == 59) assign VAR2 = 22'h20049F;
else if((VAR1 % 100) == 60) assign VAR2 = 22'h2004BE;
else if((VAR1 % 100) == 61) assign VAR2 = 22'h2004C6;
else if((VAR1 % 100) == 62) assign VAR2 = 22'h2004D7;
else if((VAR1 % 100) == 63) assign VAR2 = 22'h2004DD;
else if((VAR1 % 100) == 64) assign VAR2 = 22'h2004E1;
else if((VAR1 % 100) == 65) assign VAR2 = 22'h2004E2;
else if((VAR1 % 100) == 66) assign VAR2 = 22'h2004ED;
else if((VAR1 % 100) == 67) assign VAR2 = 22'h2004FA;
else if((VAR1 % 100) == 68) assign VAR2 = 22'h200515;
else if((VAR1 % 100) == 69) assign VAR2 = 22'h20051C;
else if((VAR1 % 100) == 70) assign VAR2 = 22'h20053E;
else if((VAR1 % 100) == 71) assign VAR2 = 22'h20054A;
else if((VAR1 % 100) == 72) assign VAR2 = 22'h20054F;
else if((VAR1 % 100) == 73) assign VAR2 = 22'h20056E;
else if((VAR1 % 100) == 74) assign VAR2 = 22'h200575;
else if((VAR1 % 100) == 75) assign VAR2 = 22'h200576;
else if((VAR1 % 100) == 76) assign VAR2 = 22'h20057C;
else if((VAR1 % 100) == 77) assign VAR2 = 22'h200589;
else if((VAR1 % 100) == 78) assign VAR2 = 22'h2005A4;
else if((VAR1 % 100) == 79) assign VAR2 = 22'h2005AD;
else if((VAR1 % 100) == 80) assign VAR2 = 22'h2005B3;
else if((VAR1 % 100) == 81) assign VAR2 = 22'h2005B6;
else if((VAR1 % 100) == 82) assign VAR2 = 22'h2005BA;
else if((VAR1 % 100) == 83) assign VAR2 = 22'h2005BF;
else if((VAR1 % 100) == 84) assign VAR2 = 22'h2005EC;
else if((VAR1 % 100) == 85) assign VAR2 = 22'h2005EF;
else if((VAR1 % 100) == 86) assign VAR2 = 22'h200616;
else if((VAR1 % 100) == 87) assign VAR2 = 22'h200623;
else if((VAR1 % 100) == 88) assign VAR2 = 22'h200634;
else if((VAR1 % 100) == 89) assign VAR2 = 22'h20064A;
else if((VAR1 % 100) == 90) assign VAR2 = 22'h20064C;
else if((VAR1 % 100) == 91) assign VAR2 = 22'h20064F;
else if((VAR1 % 100) == 92) assign VAR2 = 22'h200651;
else if((VAR1 % 100) == 93) assign VAR2 = 22'h200667;
else if((VAR1 % 100) == 94) assign VAR2 = 22'h20066B;
else if((VAR1 % 100) == 95) assign VAR2 = 22'h200685;
else if((VAR1 % 100) == 96) assign VAR2 = 22'h200697;
else if((VAR1 % 100) == 97) assign VAR2 = 22'h20069B;
else if((VAR1 % 100) == 98) assign VAR2 = 22'h20069E;
else if((VAR1 % 100) == 99) assign VAR2 = 22'h2006A8;
end
else if(VAR3 == 23)
begin
if((VAR1 % 100) == 0) assign VAR2 = 23'h400010;
end
else if((VAR1 % 100) == 1) assign VAR2 = 23'h400015;
else if((VAR1 % 100) == 2) assign VAR2 = 23'h400016;
else if((VAR1 % 100) == 3) assign VAR2 = 23'h400019;
else if((VAR1 % 100) == 4) assign VAR2 = 23'h40001F;
else if((VAR1 % 100) == 5) assign VAR2 = 23'h400026;
else if((VAR1 % 100) == 6) assign VAR2 = 23'h400032;
else if((VAR1 % 100) == 7) assign VAR2 = 23'h40003B;
else if((VAR1 % 100) == 8) assign VAR2 = 23'h400043;
else if((VAR1 % 100) == 9) assign VAR2 = 23'h400045;
else if((VAR1 % 100) == 10) assign VAR2 = 23'h40004C;
else if((VAR1 % 100) == 11) assign VAR2 = 23'h400051;
else if((VAR1 % 100) == 12) assign VAR2 = 23'h40005E;
else if((VAR1 % 100) == 13) assign VAR2 = 23'h400062;
else if((VAR1 % 100) == 14) assign VAR2 = 23'h400079;
else if((VAR1 % 100) == 15) assign VAR2 = 23'h40007C;
else if((VAR1 % 100) == 16) assign VAR2 = 23'h400097;
else if((VAR1 % 100) == 17) assign VAR2 = 23'h40009D;
else if((VAR1 % 100) == 18) assign VAR2 = 23'h4000AD;
else if((VAR1 % 100) == 19) assign VAR2 = 23'h4000B0;
else if((VAR1 % 100) == 20) assign VAR2 = 23'h4000B3;
else if((VAR1 % 100) == 21) assign VAR2 = 23'h4000C1;
else if((VAR1 % 100) == 22) assign VAR2 = 23'h4000C4;
else if((VAR1 % 100) == 23) assign VAR2 = 23'h4000FB;
else if((VAR1 % 100) == 24) assign VAR2 = 23'h400100;
else if((VAR1 % 100) == 25) assign VAR2 = 23'h40010F;
else if((VAR1 % 100) == 26) assign VAR2 = 23'h400112;
else if((VAR1 % 100) == 27) assign VAR2 = 23'h400124;
else if((VAR1 % 100) == 28) assign VAR2 = 23'h400127;
else if((VAR1 % 100) == 29) assign VAR2 = 23'h40012B;
else if((VAR1 % 100) == 30) assign VAR2 = 23'h40012D;
else if((VAR1 % 100) == 31) assign VAR2 = 23'h400136;
else if((VAR1 % 100) == 32) assign VAR2 = 23'h40013C;
else if((VAR1 % 100) == 33) assign VAR2 = 23'h400147;
else if((VAR1 % 100) == 34) assign VAR2 = 23'h400148;
else if((VAR1 % 100) == 35) assign VAR2 = 23'h40015C;
else if((VAR1 % 100) == 36) assign VAR2 = 23'h40016F;
else if((VAR1 % 100) == 37) assign VAR2 = 23'h400177;
else if((VAR1 % 100) == 38) assign VAR2 = 23'h400181;
else if((VAR1 % 100) == 39) assign VAR2 = 23'h400193;
else if((VAR1 % 100) == 40) assign VAR2 = 23'h400196;
else if((VAR1 % 100) == 41) assign VAR2 = 23'h4001A0;
else if((VAR1 % 100) == 42) assign VAR2 = 23'h4001B2;
else if((VAR1 % 100) == 43) assign VAR2 = 23'h4001D1;
else if((VAR1 % 100) == 44) assign VAR2 = 23'h4001D2;
else if((VAR1 % 100) == 45) assign VAR2 = 23'h4001D8;
else if((VAR1 % 100) == 46) assign VAR2 = 23'h4001DE;
else if((VAR1 % 100) == 47) assign VAR2 = 23'h4001F6;
else if((VAR1 % 100) == 48) assign VAR2 = 23'h400206;
else if((VAR1 % 100) == 49) assign VAR2 = 23'h400214;
else if((VAR1 % 100) == 50) assign VAR2 = 23'h400228;
else if((VAR1 % 100) == 51) assign VAR2 = 23'h400247;
else if((VAR1 % 100) == 52) assign VAR2 = 23'h400260;
else if((VAR1 % 100) == 53) assign VAR2 = 23'h40026F;
else if((VAR1 % 100) == 54) assign VAR2 = 23'h400293;
else if((VAR1 % 100) == 55) assign VAR2 = 23'h400295;
else if((VAR1 % 100) == 56) assign VAR2 = 23'h4002A0;
else if((VAR1 % 100) == 57) assign VAR2 = 23'h4002B7;
else if((VAR1 % 100) == 58) assign VAR2 = 23'h4002D2;
else if((VAR1 % 100) == 59) assign VAR2 = 23'h4002D4;
else if((VAR1 % 100) == 60) assign VAR2 = 23'h4002E2;
else if((VAR1 % 100) == 61) assign VAR2 = 23'h4002F0;
else if((VAR1 % 100) == 62) assign VAR2 = 23'h400308;
else if((VAR1 % 100) == 63) assign VAR2 = 23'h40030E;
else if((VAR1 % 100) == 64) assign VAR2 = 23'h40032F;
else if((VAR1 % 100) == 65) assign VAR2 = 23'h400331;
else if((VAR1 % 100) == 66) assign VAR2 = 23'h400340;
else if((VAR1 % 100) == 67) assign VAR2 = 23'h40035D;
else if((VAR1 % 100) == 68) assign VAR2 = 23'h400362;
else if((VAR1 % 100) == 69) assign VAR2 = 23'h40036D;
else if((VAR1 % 100) == 70) assign VAR2 = 23'h40036E;
else if((VAR1 % 100) == 71) assign VAR2 = 23'h400376;
else if((VAR1 % 100) == 72) assign VAR2 = 23'h400379;
else if((VAR1 % 100) == 73) assign VAR2 = 23'h400389;
else if((VAR1 % 100) == 74) assign VAR2 = 23'h40038A;
else if((VAR1 % 100) == 75) assign VAR2 = 23'h400391;
else if((VAR1 % 100) == 76) assign VAR2 = 23'h40039B;
else if((VAR1 % 100) == 77) assign VAR2 = 23'h4003BF;
else if((VAR1 % 100) == 78) assign VAR2 = 23'h4003D0;
else if((VAR1 % 100) == 79) assign VAR2 = 23'h4003DA;
else if((VAR1 % 100) == 80) assign VAR2 = 23'h4003EF;
else if((VAR1 % 100) == 81) assign VAR2 = 23'h4003F2;
else if((VAR1 % 100) == 82) assign VAR2 = 23'h4003FB;
else if((VAR1 % 100) == 83) assign VAR2 = 23'h4003FD;
else if((VAR1 % 100) == 84) assign VAR2 = 23'h40041D;
else if((VAR1 % 100) == 85) assign VAR2 = 23'h40041E;
else if((VAR1 % 100) == 86) assign VAR2 = 23'h40042D;
else if((VAR1 % 100) == 87) assign VAR2 = 23'h40043F;
else if((VAR1 % 100) == 88) assign VAR2 = 23'h400444;
else if((VAR1 % 100) == 89) assign VAR2 = 23'h40044D;
else if((VAR1 % 100) == 90) assign VAR2 = 23'h400455;
else if((VAR1 % 100) == 91) assign VAR2 = 23'h400456;
else if((VAR1 % 100) == 92) assign VAR2 = 23'h400460;
else if((VAR1 % 100) == 93) assign VAR2 = 23'h400463;
else if((VAR1 % 100) == 94) assign VAR2 = 23'h40046A;
else if((VAR1 % 100) == 95) assign VAR2 = 23'h400484;
else if((VAR1 % 100) == 96) assign VAR2 = 23'h400490;
else if((VAR1 % 100) == 97) assign VAR2 = 23'h40049C;
else if((VAR1 % 100) == 98) assign VAR2 = 23'h4004AA;
else if((VAR1 % 100) == 99) assign VAR2 = 23'h4004B2;
end
else if(VAR3 == 24)
begin
if((VAR1 % 100) == 0) assign VAR2 = 24'h80000D;
end
else if((VAR1 % 100) == 1) assign VAR2 = 24'h800043;
else if((VAR1 % 100) == 2) assign VAR2 = 24'h800058;
else if((VAR1 % 100) == 3) assign VAR2 = 24'h80006D;
else if((VAR1 % 100) == 4) assign VAR2 = 24'h80007A;
else if((VAR1 % 100) == 5) assign VAR2 = 24'h800092;
else if((VAR1 % 100) == 6) assign VAR2 = 24'h8000BF;
else if((VAR1 % 100) == 7) assign VAR2 = 24'h8000DA;
else if((VAR1 % 100) == 8) assign VAR2 = 24'h8000E5;
else if((VAR1 % 100) == 9) assign VAR2 = 24'h800112;
else if((VAR1 % 100) == 10) assign VAR2 = 24'h800128;
else if((VAR1 % 100) == 11) assign VAR2 = 24'h80012B;
else if((VAR1 % 100) == 12) assign VAR2 = 24'h800136;
else if((VAR1 % 100) == 13) assign VAR2 = 24'h8001B1;
else if((VAR1 % 100) == 14) assign VAR2 = 24'h8001B4;
else if((VAR1 % 100) == 15) assign VAR2 = 24'h8001D7;
else if((VAR1 % 100) == 16) assign VAR2 = 24'h8001E1;
else if((VAR1 % 100) == 17) assign VAR2 = 24'h8001E7;
else if((VAR1 % 100) == 18) assign VAR2 = 24'h8001F9;
else if((VAR1 % 100) == 19) assign VAR2 = 24'h80020C;
else if((VAR1 % 100) == 20) assign VAR2 = 24'h800221;
else if((VAR1 % 100) == 21) assign VAR2 = 24'h800224;
else if((VAR1 % 100) == 22) assign VAR2 = 24'h8002BD;
else if((VAR1 % 100) == 23) assign VAR2 = 24'h800329;
else if((VAR1 % 100) == 24) assign VAR2 = 24'h800345;
else if((VAR1 % 100) == 25) assign VAR2 = 24'h80035E;
else if((VAR1 % 100) == 26) assign VAR2 = 24'h8003A7;
else if((VAR1 % 100) == 27) assign VAR2 = 24'h8003A8;
else if((VAR1 % 100) == 28) assign VAR2 = 24'h8003C7;
else if((VAR1 % 100) == 29) assign VAR2 = 24'h800412;
else if((VAR1 % 100) == 30) assign VAR2 = 24'h80041B;
else if((VAR1 % 100) == 31) assign VAR2 = 24'h800422;
else if((VAR1 % 100) == 32) assign VAR2 = 24'h80042B;
else if((VAR1 % 100) == 33) assign VAR2 = 24'h80044E;
else if((VAR1 % 100) == 34) assign VAR2 = 24'h800453;
else if((VAR1 % 100) == 35) assign VAR2 = 24'h80047E;
else if((VAR1 % 100) == 36) assign VAR2 = 24'h800481;
else if((VAR1 % 100) == 37) assign VAR2 = 24'h8004DE;
else if((VAR1 % 100) == 38) assign VAR2 = 24'h8004ED;
else if((VAR1 % 100) == 39) assign VAR2 = 24'h8004F6;
else if((VAR1 % 100) == 40) assign VAR2 = 24'h800507;
else if((VAR1 % 100) == 41) assign VAR2 = 24'h800520;
else if((VAR1 % 100) == 42) assign VAR2 = 24'h800523;
else if((VAR1 % 100) == 43) assign VAR2 = 24'h80053D;
else if((VAR1 % 100) == 44) assign VAR2 = 24'h80055D;
else if((VAR1 % 100) == 45) assign VAR2 = 24'h800579;
else if((VAR1 % 100) == 46) assign VAR2 = 24'h800580;
else if((VAR1 % 100) == 47) assign VAR2 = 24'h80058F;
else if((VAR1 % 100) == 48) assign VAR2 = 24'h800594;
else if((VAR1 % 100) == 49) assign VAR2 = 24'h80059B;
else if((VAR1 % 100) == 50) assign VAR2 = 24'h8005A2;
else if((VAR1 % 100) == 51) assign VAR2 = 24'h8005A4;
else if((VAR1 % 100) == 52) assign VAR2 = 24'h8005A8;
else if((VAR1 % 100) == 53) assign VAR2 = 24'h8005BA;
else if((VAR1 % 100) == 54) assign VAR2 = 24'h8005D6;
else if((VAR1 % 100) == 55) assign VAR2 = 24'h8005EA;
else if((VAR1 % 100) == 56) assign VAR2 = 24'h800602;
else if((VAR1 % 100) == 57) assign VAR2 = 24'h800613;
else if((VAR1 % 100) == 58) assign VAR2 = 24'h80066E;
else if((VAR1 % 100) == 59) assign VAR2 = 24'h80067F;
else if((VAR1 % 100) == 60) assign VAR2 = 24'h80069B;
else if((VAR1 % 100) == 61) assign VAR2 = 24'h8006B5;
else if((VAR1 % 100) == 62) assign VAR2 = 24'h8006E6;
else if((VAR1 % 100) == 63) assign VAR2 = 24'h8006E9;
else if((VAR1 % 100) == 64) assign VAR2 = 24'h8006EF;
else if((VAR1 % 100) == 65) assign VAR2 = 24'h8006F4;
else if((VAR1 % 100) == 66) assign VAR2 = 24'h80070A;
else if((VAR1 % 100) == 67) assign VAR2 = 24'h800730;
else if((VAR1 % 100) == 68) assign VAR2 = 24'h800759;
else if((VAR1 % 100) == 69) assign VAR2 = 24'h80075F;
else if((VAR1 % 100) == 70) assign VAR2 = 24'h800795;
else if((VAR1 % 100) == 71) assign VAR2 = 24'h8007BE;
else if((VAR1 % 100) == 72) assign VAR2 = 24'h8007DE;
else if((VAR1 % 100) == 73) assign VAR2 = 24'h8007E4;
else if((VAR1 % 100) == 74) assign VAR2 = 24'h800806;
else if((VAR1 % 100) == 75) assign VAR2 = 24'h800817;
else if((VAR1 % 100) == 76) assign VAR2 = 24'h800853;
else if((VAR1 % 100) == 77) assign VAR2 = 24'h800863;
else if((VAR1 % 100) == 78) assign VAR2 = 24'h8008A6;
else if((VAR1 % 100) == 79) assign VAR2 = 24'h8008D1;
else if((VAR1 % 100) == 80) assign VAR2 = 24'h8008D7;
else if((VAR1 % 100) == 81) assign VAR2 = 24'h8008E7;
else if((VAR1 % 100) == 82) assign VAR2 = 24'h8008F3;
else if((VAR1 % 100) == 83) assign VAR2 = 24'h8008FC;
else if((VAR1 % 100) == 84) assign VAR2 = 24'h80090B;
else if((VAR1 % 100) == 85) assign VAR2 = 24'h800916;
else if((VAR1 % 100) == 86) assign VAR2 = 24'h80093B;
else if((VAR1 % 100) == 87) assign VAR2 = 24'h800973;
else if((VAR1 % 100) == 88) assign VAR2 = 24'h8009F8;
else if((VAR1 % 100) == 89) assign VAR2 = 24'h8009FE;
else if((VAR1 % 100) == 90) assign VAR2 = 24'h800A23;
else if((VAR1 % 100) == 91) assign VAR2 = 24'h800A3E;
else if((VAR1 % 100) == 92) assign VAR2 = 24'h800AA1;
else if((VAR1 % 100) == 93) assign VAR2 = 24'h800AA7;
else if((VAR1 % 100) == 94) assign VAR2 = 24'h800AAB;
else if((VAR1 % 100) == 95) assign VAR2 = 24'h800AC4;
else if((VAR1 % 100) == 96) assign VAR2 = 24'h800AD5;
else if((VAR1 % 100) == 97) assign VAR2 = 24'h800B35;
else if((VAR1 % 100) == 98) assign VAR2 = 24'h800B4D;
else if((VAR1 % 100) == 99) assign VAR2 = 24'h800B87;
end
else if(VAR3 == 25)
begin
if((VAR1 % 100) == 0) assign VAR2 = 25'h1000004;
end
else if((VAR1 % 100) == 1) assign VAR2 = 25'h1000007;
else if((VAR1 % 100) == 2) assign VAR2 = 25'h1000016;
else if((VAR1 % 100) == 3) assign VAR2 = 25'h1000040;
else if((VAR1 % 100) == 4) assign VAR2 = 25'h1000049;
else if((VAR1 % 100) == 5) assign VAR2 = 25'h1000062;
else if((VAR1 % 100) == 6) assign VAR2 = 25'h100007F;
else if((VAR1 % 100) == 7) assign VAR2 = 25'h1000086;
else if((VAR1 % 100) == 8) assign VAR2 = 25'h100009E;
else if((VAR1 % 100) == 9) assign VAR2 = 25'h10000A2;
else if((VAR1 % 100) == 10) assign VAR2 = 25'h10000B9;
else if((VAR1 % 100) == 11) assign VAR2 = 25'h10000CB;
else if((VAR1 % 100) == 12) assign VAR2 = 25'h10000D0;
else if((VAR1 % 100) == 13) assign VAR2 = 25'h10000D6;
else if((VAR1 % 100) == 14) assign VAR2 = 25'h10000DC;
else if((VAR1 % 100) == 15) assign VAR2 = 25'h10000E9;
else if((VAR1 % 100) == 16) assign VAR2 = 25'h10000EF;
else if((VAR1 % 100) == 17) assign VAR2 = 25'h10000F4;
else if((VAR1 % 100) == 18) assign VAR2 = 25'h100010A;
else if((VAR1 % 100) == 19) assign VAR2 = 25'h1000111;
else if((VAR1 % 100) == 20) assign VAR2 = 25'h1000118;
else if((VAR1 % 100) == 21) assign VAR2 = 25'h1000144;
else if((VAR1 % 100) == 22) assign VAR2 = 25'h1000160;
else if((VAR1 % 100) == 23) assign VAR2 = 25'h1000165;
else if((VAR1 % 100) == 24) assign VAR2 = 25'h100016F;
else if((VAR1 % 100) == 25) assign VAR2 = 25'h1000172;
else if((VAR1 % 100) == 26) assign VAR2 = 25'h100017D;
else if((VAR1 % 100) == 27) assign VAR2 = 25'h1000182;
else if((VAR1 % 100) == 28) assign VAR2 = 25'h100018B;
else if((VAR1 % 100) == 29) assign VAR2 = 25'h1000190;
else if((VAR1 % 100) == 30) assign VAR2 = 25'h100019C;
else if((VAR1 % 100) == 31) assign VAR2 = 25'h10001A5;
else if((VAR1 % 100) == 32) assign VAR2 = 25'h10001A6;
else if((VAR1 % 100) == 33) assign VAR2 = 25'h10001AF;
else if((VAR1 % 100) == 34) assign VAR2 = 25'h10001B7;
else if((VAR1 % 100) == 35) assign VAR2 = 25'h10001E2;
else if((VAR1 % 100) == 36) assign VAR2 = 25'h10001F5;
else if((VAR1 % 100) == 37) assign VAR2 = 25'h10001FC;
else if((VAR1 % 100) == 38) assign VAR2 = 25'h1000205;
else if((VAR1 % 100) == 39) assign VAR2 = 25'h1000206;
else if((VAR1 % 100) == 40) assign VAR2 = 25'h1000239;
else if((VAR1 % 100) == 41) assign VAR2 = 25'h100025F;
else if((VAR1 % 100) == 42) assign VAR2 = 25'h100026A;
else if((VAR1 % 100) == 43) assign VAR2 = 25'h1000272;
else if((VAR1 % 100) == 44) assign VAR2 = 25'h100027B;
else if((VAR1 % 100) == 45) assign VAR2 = 25'h100027E;
else if((VAR1 % 100) == 46) assign VAR2 = 25'h1000287;
else if((VAR1 % 100) == 47) assign VAR2 = 25'h1000290;
else if((VAR1 % 100) == 48) assign VAR2 = 25'h1000296;
else if((VAR1 % 100) == 49) assign VAR2 = 25'h100029F;
else if((VAR1 % 100) == 50) assign VAR2 = 25'h10002A3;
else if((VAR1 % 100) == 51) assign VAR2 = 25'h10002B4;
else if((VAR1 % 100) == 52) assign VAR2 = 25'h10002B8;
else if((VAR1 % 100) == 53) assign VAR2 = 25'h10002D8;
else if((VAR1 % 100) == 54) assign VAR2 = 25'h10002E7;
else if((VAR1 % 100) == 55) assign VAR2 = 25'h10002EB;
else if((VAR1 % 100) == 56) assign VAR2 = 25'h10002F3;
else if((VAR1 % 100) == 57) assign VAR2 = 25'h1000310;
else if((VAR1 % 100) == 58) assign VAR2 = 25'h1000319;
else if((VAR1 % 100) == 59) assign VAR2 = 25'h1000320;
else if((VAR1 % 100) == 60) assign VAR2 = 25'h100032F;
else if((VAR1 % 100) == 61) assign VAR2 = 25'h1000334;
else if((VAR1 % 100) == 62) assign VAR2 = 25'h100033D;
else if((VAR1 % 100) == 63) assign VAR2 = 25'h1000349;
else if((VAR1 % 100) == 64) assign VAR2 = 25'h100035B;
else if((VAR1 % 100) == 65) assign VAR2 = 25'h1000361;
else if((VAR1 % 100) == 66) assign VAR2 = 25'h1000376;
else if((VAR1 % 100) == 67) assign VAR2 = 25'h100037C;
else if((VAR1 % 100) == 68) assign VAR2 = 25'h1000386;
else if((VAR1 % 100) == 69) assign VAR2 = 25'h1000392;
else if((VAR1 % 100) == 70) assign VAR2 = 25'h1000398;
else if((VAR1 % 100) == 71) assign VAR2 = 25'h100039B;
else if((VAR1 % 100) == 72) assign VAR2 = 25'h100039D;
else if((VAR1 % 100) == 73) assign VAR2 = 25'h10003C8;
else if((VAR1 % 100) == 74) assign VAR2 = 25'h10003CE;
else if((VAR1 % 100) == 75) assign VAR2 = 25'h10003DA;
else if((VAR1 % 100) == 76) assign VAR2 = 25'h10003DC;
else if((VAR1 % 100) == 77) assign VAR2 = 25'h10003E5;
else if((VAR1 % 100) == 78) assign VAR2 = 25'h10003EA;
else if((VAR1 % 100) == 79) assign VAR2 = 25'h10003F1;
else if((VAR1 % 100) == 80) assign VAR2 = 25'h10003FD;
else if((VAR1 % 100) == 81) assign VAR2 = 25'h100042B;
else if((VAR1 % 100) == 82) assign VAR2 = 25'h100042E;
else if((VAR1 % 100) == 83) assign VAR2 = 25'h100043A;
else if((VAR1 % 100) == 84) assign VAR2 = 25'h1000444;
else if((VAR1 % 100) == 85) assign VAR2 = 25'h100044E;
else if((VAR1 % 100) == 86) assign VAR2 = 25'h1000469;
else if((VAR1 % 100) == 87) assign VAR2 = 25'h100046A;
else if((VAR1 % 100) == 88) assign VAR2 = 25'h1000478;
else if((VAR1 % 100) == 89) assign VAR2 = 25'h100047B;
else if((VAR1 % 100) == 90) assign VAR2 = 25'h100048D;
else if((VAR1 % 100) == 91) assign VAR2 = 25'h100048E;
else if((VAR1 % 100) == 92) assign VAR2 = 25'h1000493;
else if((VAR1 % 100) == 93) assign VAR2 = 25'h1000495;
else if((VAR1 % 100) == 94) assign VAR2 = 25'h10004A0;
else if((VAR1 % 100) == 95) assign VAR2 = 25'h10004B1;
else if((VAR1 % 100) == 96) assign VAR2 = 25'h10004B7;
else if((VAR1 % 100) == 97) assign VAR2 = 25'h10004C9;
else if((VAR1 % 100) == 98) assign VAR2 = 25'h10004EB;
else if((VAR1 % 100) == 99) assign VAR2 = 25'h10004F3;
end
else if(VAR3 == 26)
begin
if((VAR1 % 100) == 0) assign VAR2 = 26'h2000023;
end
else if((VAR1 % 100) == 1) assign VAR2 = 26'h2000026;
else if((VAR1 % 100) == 2) assign VAR2 = 26'h2000058;
else if((VAR1 % 100) == 3) assign VAR2 = 26'h2000070;
else if((VAR1 % 100) == 4) assign VAR2 = 26'h200007A;
else if((VAR1 % 100) == 5) assign VAR2 = 26'h200008C;
else if((VAR1 % 100) == 6) assign VAR2 = 26'h200009D;
else if((VAR1 % 100) == 7) assign VAR2 = 26'h20000B6;
else if((VAR1 % 100) == 8) assign VAR2 = 26'h20000BF;
else if((VAR1 % 100) == 9) assign VAR2 = 26'h20000C1;
else if((VAR1 % 100) == 10) assign VAR2 = 26'h20000C4;
else if((VAR1 % 100) == 11) assign VAR2 = 26'h20000DF;
else if((VAR1 % 100) == 12) assign VAR2 = 26'h20000F1;
else if((VAR1 % 100) == 13) assign VAR2 = 26'h2000109;
else if((VAR1 % 100) == 14) assign VAR2 = 26'h200011D;
else if((VAR1 % 100) == 15) assign VAR2 = 26'h2000122;
else if((VAR1 % 100) == 16) assign VAR2 = 26'h2000139;
else if((VAR1 % 100) == 17) assign VAR2 = 26'h2000142;
else if((VAR1 % 100) == 18) assign VAR2 = 26'h200014E;
else if((VAR1 % 100) == 19) assign VAR2 = 26'h2000155;
else if((VAR1 % 100) == 20) assign VAR2 = 26'h200015C;
else if((VAR1 % 100) == 21) assign VAR2 = 26'h2000178;
else if((VAR1 % 100) == 22) assign VAR2 = 26'h200017D;
else if((VAR1 % 100) == 23) assign VAR2 = 26'h20001A0;
else if((VAR1 % 100) == 24) assign VAR2 = 26'h20001A5;
else if((VAR1 % 100) == 25) assign VAR2 = 26'h20001DB;
else if((VAR1 % 100) == 26) assign VAR2 = 26'h20001E4;
else if((VAR1 % 100) == 27) assign VAR2 = 26'h20001FC;
else if((VAR1 % 100) == 28) assign VAR2 = 26'h2000214;
else if((VAR1 % 100) == 29) assign VAR2 = 26'h200021D;
else if((VAR1 % 100) == 30) assign VAR2 = 26'h2000244;
else if((VAR1 % 100) == 31) assign VAR2 = 26'h200024B;
else if((VAR1 % 100) == 32) assign VAR2 = 26'h200024D;
else if((VAR1 % 100) == 33) assign VAR2 = 26'h2000250;
else if((VAR1 % 100) == 34) assign VAR2 = 26'h2000274;
else if((VAR1 % 100) == 35) assign VAR2 = 26'h200028E;
else if((VAR1 % 100) == 36) assign VAR2 = 26'h20002BE;
else if((VAR1 % 100) == 37) assign VAR2 = 26'h20002C5;
else if((VAR1 % 100) == 38) assign VAR2 = 26'h20002DB;
else if((VAR1 % 100) == 39) assign VAR2 = 26'h20002E2;
else if((VAR1 % 100) == 40) assign VAR2 = 26'h2000323;
else if((VAR1 % 100) == 41) assign VAR2 = 26'h2000331;
else if((VAR1 % 100) == 42) assign VAR2 = 26'h200033D;
else if((VAR1 % 100) == 43) assign VAR2 = 26'h2000346;
else if((VAR1 % 100) == 44) assign VAR2 = 26'h200034A;
else if((VAR1 % 100) == 45) assign VAR2 = 26'h2000376;
else if((VAR1 % 100) == 46) assign VAR2 = 26'h200037F;
else if((VAR1 % 100) == 47) assign VAR2 = 26'h2000383;
else if((VAR1 % 100) == 48) assign VAR2 = 26'h2000385;
else if((VAR1 % 100) == 49) assign VAR2 = 26'h200038C;
else if((VAR1 % 100) == 50) assign VAR2 = 26'h2000398;
else if((VAR1 % 100) == 51) assign VAR2 = 26'h200039B;
else if((VAR1 % 100) == 52) assign VAR2 = 26'h200039E;
else if((VAR1 % 100) == 53) assign VAR2 = 26'h20003A7;
else if((VAR1 % 100) == 54) assign VAR2 = 26'h20003D6;
else if((VAR1 % 100) == 55) assign VAR2 = 26'h2000414;
else if((VAR1 % 100) == 56) assign VAR2 = 26'h200041B;
else if((VAR1 % 100) == 57) assign VAR2 = 26'h2000421;
else if((VAR1 % 100) == 58) assign VAR2 = 26'h200042D;
else if((VAR1 % 100) == 59) assign VAR2 = 26'h200045F;
else if((VAR1 % 100) == 60) assign VAR2 = 26'h2000460;
else if((VAR1 % 100) == 61) assign VAR2 = 26'h2000472;
else if((VAR1 % 100) == 62) assign VAR2 = 26'h2000477;
else if((VAR1 % 100) == 63) assign VAR2 = 26'h2000484;
else if((VAR1 % 100) == 64) assign VAR2 = 26'h2000487;
else if((VAR1 % 100) == 65) assign VAR2 = 26'h2000495;
else if((VAR1 % 100) == 66) assign VAR2 = 26'h20004CC;
else if((VAR1 % 100) == 67) assign VAR2 = 26'h20004D8;
else if((VAR1 % 100) == 68) assign VAR2 = 26'h20004DE;
else if((VAR1 % 100) == 69) assign VAR2 = 26'h200051A;
else if((VAR1 % 100) == 70) assign VAR2 = 26'h200053E;
else if((VAR1 % 100) == 71) assign VAR2 = 26'h200055B;
else if((VAR1 % 100) == 72) assign VAR2 = 26'h200057A;
else if((VAR1 % 100) == 73) assign VAR2 = 26'h2000580;
else if((VAR1 % 100) == 74) assign VAR2 = 26'h2000589;
else if((VAR1 % 100) == 75) assign VAR2 = 26'h2000592;
else if((VAR1 % 100) == 76) assign VAR2 = 26'h20005A7;
else if((VAR1 % 100) == 77) assign VAR2 = 26'h20005BC;
else if((VAR1 % 100) == 78) assign VAR2 = 26'h20005BF;
else if((VAR1 % 100) == 79) assign VAR2 = 26'h20005C2;
else if((VAR1 % 100) == 80) assign VAR2 = 26'h20005D5;
else if((VAR1 % 100) == 81) assign VAR2 = 26'h20005DA;
else if((VAR1 % 100) == 82) assign VAR2 = 26'h20005E3;
else if((VAR1 % 100) == 83) assign VAR2 = 26'h20005F1;
else if((VAR1 % 100) == 84) assign VAR2 = 26'h2000607;
else if((VAR1 % 100) == 85) assign VAR2 = 26'h2000608;
else if((VAR1 % 100) == 86) assign VAR2 = 26'h200062C;
else if((VAR1 % 100) == 87) assign VAR2 = 26'h2000637;
else if((VAR1 % 100) == 88) assign VAR2 = 26'h2000645;
else if((VAR1 % 100) == 89) assign VAR2 = 26'h200065B;
else if((VAR1 % 100) == 90) assign VAR2 = 26'h2000679;
else if((VAR1 % 100) == 91) assign VAR2 = 26'h200068F;
else if((VAR1 % 100) == 92) assign VAR2 = 26'h20006B9;
else if((VAR1 % 100) == 93) assign VAR2 = 26'h20006CD;
else if((VAR1 % 100) == 94) assign VAR2 = 26'h20006D5;
else if((VAR1 % 100) == 95) assign VAR2 = 26'h20006E3;
else if((VAR1 % 100) == 96) assign VAR2 = 26'h20006F4;
else if((VAR1 % 100) == 97) assign VAR2 = 26'h2000700;
else if((VAR1 % 100) == 98) assign VAR2 = 26'h2000709;
else if((VAR1 % 100) == 99) assign VAR2 = 26'h200072D;
end
else if(VAR3 == 27)
begin
if((VAR1 % 100) == 0) assign VAR2 = 27'h4000013;
end
else if((VAR1 % 100) == 1) assign VAR2 = 27'h4000068;
else if((VAR1 % 100) == 2) assign VAR2 = 27'h4000073;
else if((VAR1 % 100) == 3) assign VAR2 = 27'h4000075;
else if((VAR1 % 100) == 4) assign VAR2 = 27'h4000094;
else if((VAR1 % 100) == 5) assign VAR2 = 27'h4000098;
else if((VAR1 % 100) == 6) assign VAR2 = 27'h40000AE;
else if((VAR1 % 100) == 7) assign VAR2 = 27'h40000B6;
else if((VAR1 % 100) == 8) assign VAR2 = 27'h40000BC;
else if((VAR1 % 100) == 9) assign VAR2 = 27'h40000C1;
else if((VAR1 % 100) == 10) assign VAR2 = 27'h40000F1;
else if((VAR1 % 100) == 11) assign VAR2 = 27'h4000112;
else if((VAR1 % 100) == 12) assign VAR2 = 27'h4000114;
else if((VAR1 % 100) == 13) assign VAR2 = 27'h400011B;
else if((VAR1 % 100) == 14) assign VAR2 = 27'h4000128;
else if((VAR1 % 100) == 15) assign VAR2 = 27'h4000144;
else if((VAR1 % 100) == 16) assign VAR2 = 27'h4000182;
else if((VAR1 % 100) == 17) assign VAR2 = 27'h40001AA;
else if((VAR1 % 100) == 18) assign VAR2 = 27'h40001B4;
else if((VAR1 % 100) == 19) assign VAR2 = 27'h40001BD;
else if((VAR1 % 100) == 20) assign VAR2 = 27'h40001D1;
else if((VAR1 % 100) == 21) assign VAR2 = 27'h40001D4;
else if((VAR1 % 100) == 22) assign VAR2 = 27'h40001E7;
else if((VAR1 % 100) == 23) assign VAR2 = 27'h4000203;
else if((VAR1 % 100) == 24) assign VAR2 = 27'h4000218;
else if((VAR1 % 100) == 25) assign VAR2 = 27'h4000227;
else if((VAR1 % 100) == 26) assign VAR2 = 27'h400022D;
else if((VAR1 % 100) == 27) assign VAR2 = 27'h400022E;
else if((VAR1 % 100) == 28) assign VAR2 = 27'h4000242;
else if((VAR1 % 100) == 29) assign VAR2 = 27'h400024D;
else if((VAR1 % 100) == 30) assign VAR2 = 27'h400025A;
else if((VAR1 % 100) == 31) assign VAR2 = 27'h4000277;
else if((VAR1 % 100) == 32) assign VAR2 = 27'h4000290;
else if((VAR1 % 100) == 33) assign VAR2 = 27'h40002A5;
else if((VAR1 % 100) == 34) assign VAR2 = 27'h40002AA;
else if((VAR1 % 100) == 35) assign VAR2 = 27'h40002B7;
else if((VAR1 % 100) == 36) assign VAR2 = 27'h40002C0;
else if((VAR1 % 100) == 37) assign VAR2 = 27'h40002D2;
else if((VAR1 % 100) == 38) assign VAR2 = 27'h40002D8;
else if((VAR1 % 100) == 39) assign VAR2 = 27'h40002F0;
else if((VAR1 % 100) == 40) assign VAR2 = 27'h40002F5;
else if((VAR1 % 100) == 41) assign VAR2 = 27'h40002FC;
else if((VAR1 % 100) == 42) assign VAR2 = 27'h400031A;
else if((VAR1 % 100) == 43) assign VAR2 = 27'h400031C;
else if((VAR1 % 100) == 44) assign VAR2 = 27'h400032C;
else if((VAR1 % 100) == 45) assign VAR2 = 27'h4000340;
else if((VAR1 % 100) == 46) assign VAR2 = 27'h400034C;
else if((VAR1 % 100) == 47) assign VAR2 = 27'h4000354;
else if((VAR1 % 100) == 48) assign VAR2 = 27'h400035D;
else if((VAR1 % 100) == 49) assign VAR2 = 27'h400039E;
else if((VAR1 % 100) == 50) assign VAR2 = 27'h40003A7;
else if((VAR1 % 100) == 51) assign VAR2 = 27'h40003AB;
else if((VAR1 % 100) == 52) assign VAR2 = 27'h40003B3;
else if((VAR1 % 100) == 53) assign VAR2 = 27'h40003B5;
else if((VAR1 % 100) == 54) assign VAR2 = 27'h40003B9;
else if((VAR1 % 100) == 55) assign VAR2 = 27'h40003DF;
else if((VAR1 % 100) == 56) assign VAR2 = 27'h40003E3;
else if((VAR1 % 100) == 57) assign VAR2 = 27'h40003F4;
else if((VAR1 % 100) == 58) assign VAR2 = 27'h400040F;
else if((VAR1 % 100) == 59) assign VAR2 = 27'h400042B;
else if((VAR1 % 100) == 60) assign VAR2 = 27'h4000436;
else if((VAR1 % 100) == 61) assign VAR2 = 27'h4000453;
else if((VAR1 % 100) == 62) assign VAR2 = 27'h4000471;
else if((VAR1 % 100) == 63) assign VAR2 = 27'h4000474;
else if((VAR1 % 100) == 64) assign VAR2 = 27'h400048D;
else if((VAR1 % 100) == 65) assign VAR2 = 27'h4000499;
else if((VAR1 % 100) == 66) assign VAR2 = 27'h40004A6;
else if((VAR1 % 100) == 67) assign VAR2 = 27'h40004B2;
else if((VAR1 % 100) == 68) assign VAR2 = 27'h40004BD;
else if((VAR1 % 100) == 69) assign VAR2 = 27'h40004D1;
else if((VAR1 % 100) == 70) assign VAR2 = 27'h40004DB;
else if((VAR1 % 100) == 71) assign VAR2 = 27'h40004E7;
else if((VAR1 % 100) == 72) assign VAR2 = 27'h40004ED;
else if((VAR1 % 100) == 73) assign VAR2 = 27'h40004F5;
else if((VAR1 % 100) == 74) assign VAR2 = 27'h4000507;
else if((VAR1 % 100) == 75) assign VAR2 = 27'h400050E;
else if((VAR1 % 100) == 76) assign VAR2 = 27'h400052A;
else if((VAR1 % 100) == 77) assign VAR2 = 27'h4000534;
else if((VAR1 % 100) == 78) assign VAR2 = 27'h4000545;
else if((VAR1 % 100) == 79) assign VAR2 = 27'h400054C;
else if((VAR1 % 100) == 80) assign VAR2 = 27'h4000551;
else if((VAR1 % 100) == 81) assign VAR2 = 27'h4000562;
else if((VAR1 % 100) == 82) assign VAR2 = 27'h400056E;
else if((VAR1 % 100) == 83) assign VAR2 = 27'h4000597;
else if((VAR1 % 100) == 84) assign VAR2 = 27'h400059E;
else if((VAR1 % 100) == 85) assign VAR2 = 27'h40005A4;
else if((VAR1 % 100) == 86) assign VAR2 = 27'h40005BF;
else if((VAR1 % 100) == 87) assign VAR2 = 27'h40005D3;
else if((VAR1 % 100) == 88) assign VAR2 = 27'h40005D6;
else if((VAR1 % 100) == 89) assign VAR2 = 27'h40005E9;
else if((VAR1 % 100) == 90) assign VAR2 = 27'h40005EC;
else if((VAR1 % 100) == 91) assign VAR2 = 27'h40005FB;
else if((VAR1 % 100) == 92) assign VAR2 = 27'h4000607;
else if((VAR1 % 100) == 93) assign VAR2 = 27'h400062F;
else if((VAR1 % 100) == 94) assign VAR2 = 27'h4000649;
else if((VAR1 % 100) == 95) assign VAR2 = 27'h4000652;
else if((VAR1 % 100) == 96) assign VAR2 = 27'h4000670;
else if((VAR1 % 100) == 97) assign VAR2 = 27'h400067C;
else if((VAR1 % 100) == 98) assign VAR2 = 27'h4000680;
else if((VAR1 % 100) == 99) assign VAR2 = 27'h40006AE;
end
else if(VAR3 == 28)
begin
if((VAR1 % 100) == 0) assign VAR2 = 28'h8000004;
end
else if((VAR1 % 100) == 1) assign VAR2 = 28'h8000029;
else if((VAR1 % 100) == 2) assign VAR2 = 28'h800003B;
else if((VAR1 % 100) == 3) assign VAR2 = 28'h8000070;
else if((VAR1 % 100) == 4) assign VAR2 = 28'h80000B3;
else if((VAR1 % 100) == 5) assign VAR2 = 28'h80000B9;
else if((VAR1 % 100) == 6) assign VAR2 = 28'h80000EF;
else if((VAR1 % 100) == 7) assign VAR2 = 28'h8000100;
else if((VAR1 % 100) == 8) assign VAR2 = 28'h8000111;
else if((VAR1 % 100) == 9) assign VAR2 = 28'h8000159;
else if((VAR1 % 100) == 10) assign VAR2 = 28'h800016C;
else if((VAR1 % 100) == 11) assign VAR2 = 28'h8000190;
else if((VAR1 % 100) == 12) assign VAR2 = 28'h800019C;
else if((VAR1 % 100) == 13) assign VAR2 = 28'h80001AC;
else if((VAR1 % 100) == 14) assign VAR2 = 28'h80001B8;
else if((VAR1 % 100) == 15) assign VAR2 = 28'h80001D8;
else if((VAR1 % 100) == 16) assign VAR2 = 28'h8000205;
else if((VAR1 % 100) == 17) assign VAR2 = 28'h8000214;
else if((VAR1 % 100) == 18) assign VAR2 = 28'h8000217;
else if((VAR1 % 100) == 19) assign VAR2 = 28'h8000256;
else if((VAR1 % 100) == 20) assign VAR2 = 28'h800025A;
else if((VAR1 % 100) == 21) assign VAR2 = 28'h800027E;
else if((VAR1 % 100) == 22) assign VAR2 = 28'h8000296;
else if((VAR1 % 100) == 23) assign VAR2 = 28'h80002E1;
else if((VAR1 % 100) == 24) assign VAR2 = 28'h80002EB;
else if((VAR1 % 100) == 25) assign VAR2 = 28'h80002F5;
else if((VAR1 % 100) == 26) assign VAR2 = 28'h8000308;
else if((VAR1 % 100) == 27) assign VAR2 = 28'h800031F;
else if((VAR1 % 100) == 28) assign VAR2 = 28'h8000334;
else if((VAR1 % 100) == 29) assign VAR2 = 28'h800033E;
else if((VAR1 % 100) == 30) assign VAR2 = 28'h8000358;
else if((VAR1 % 100) == 31) assign VAR2 = 28'h800035B;
else if((VAR1 % 100) == 32) assign VAR2 = 28'h800037F;
else if((VAR1 % 100) == 33) assign VAR2 = 28'h8000380;
else if((VAR1 % 100) == 34) assign VAR2 = 28'h8000386;
else if((VAR1 % 100) == 35) assign VAR2 = 28'h80003C8;
else if((VAR1 % 100) == 36) assign VAR2 = 28'h80003CB;
else if((VAR1 % 100) == 37) assign VAR2 = 28'h80003E6;
else if((VAR1 % 100) == 38) assign VAR2 = 28'h800043A;
else if((VAR1 % 100) == 39) assign VAR2 = 28'h8000444;
else if((VAR1 % 100) == 40) assign VAR2 = 28'h800044B;
else if((VAR1 % 100) == 41) assign VAR2 = 28'h8000456;
else if((VAR1 % 100) == 42) assign VAR2 = 28'h800047D;
else if((VAR1 % 100) == 43) assign VAR2 = 28'h800048D;
else if((VAR1 % 100) == 44) assign VAR2 = 28'h800049A;
else if((VAR1 % 100) == 45) assign VAR2 = 28'h80004B2;
else if((VAR1 % 100) == 46) assign VAR2 = 28'h80004BB;
else if((VAR1 % 100) == 47) assign VAR2 = 28'h80004D2;
else if((VAR1 % 100) == 48) assign VAR2 = 28'h80004D7;
else if((VAR1 % 100) == 49) assign VAR2 = 28'h80004E8;
else if((VAR1 % 100) == 50) assign VAR2 = 28'h800050E;
else if((VAR1 % 100) == 51) assign VAR2 = 28'h8000554;
else if((VAR1 % 100) == 52) assign VAR2 = 28'h8000564;
else if((VAR1 % 100) == 53) assign VAR2 = 28'h800056B;
else if((VAR1 % 100) == 54) assign VAR2 = 28'h8000579;
else if((VAR1 % 100) == 55) assign VAR2 = 28'h800057C;
else if((VAR1 % 100) == 56) assign VAR2 = 28'h8000597;
else if((VAR1 % 100) == 57) assign VAR2 = 28'h80005AD;
else if((VAR1 % 100) == 58) assign VAR2 = 28'h80005C1;
else if((VAR1 % 100) == 59) assign VAR2 = 28'h80005C2;
else if((VAR1 % 100) == 60) assign VAR2 = 28'h80005E6;
else if((VAR1 % 100) == 61) assign VAR2 = 28'h80005F7;
else if((VAR1 % 100) == 62) assign VAR2 = 28'h8000604;
else if((VAR1 % 100) == 63) assign VAR2 = 28'h8000662;
else if((VAR1 % 100) == 64) assign VAR2 = 28'h8000668;
else if((VAR1 % 100) == 65) assign VAR2 = 28'h800068F;
else if((VAR1 % 100) == 66) assign VAR2 = 28'h800069D;
else if((VAR1 % 100) == 67) assign VAR2 = 28'h80006BA;
else if((VAR1 % 100) == 68) assign VAR2 = 28'h80006CE;
else if((VAR1 % 100) == 69) assign VAR2 = 28'h80006FB;
else if((VAR1 % 100) == 70) assign VAR2 = 28'h800070F;
else if((VAR1 % 100) == 71) assign VAR2 = 28'h8000724;
else if((VAR1 % 100) == 72) assign VAR2 = 28'h8000727;
else if((VAR1 % 100) == 73) assign VAR2 = 28'h8000735;
else if((VAR1 % 100) == 74) assign VAR2 = 28'h80007A5;
else if((VAR1 % 100) == 75) assign VAR2 = 28'h80007A9;
else if((VAR1 % 100) == 76) assign VAR2 = 28'h80007AF;
else if((VAR1 % 100) == 77) assign VAR2 = 28'h80007DB;
else if((VAR1 % 100) == 78) assign VAR2 = 28'h80007F3;
else if((VAR1 % 100) == 79) assign VAR2 = 28'h80007F6;
else if((VAR1 % 100) == 80) assign VAR2 = 28'h80007FF;
else if((VAR1 % 100) == 81) assign VAR2 = 28'h8000803;
else if((VAR1 % 100) == 82) assign VAR2 = 28'h800082D;
else if((VAR1 % 100) == 83) assign VAR2 = 28'h8000835;
else if((VAR1 % 100) == 84) assign VAR2 = 28'h8000836;
else if((VAR1 % 100) == 85) assign VAR2 = 28'h8000853;
else if((VAR1 % 100) == 86) assign VAR2 = 28'h8000893;
else if((VAR1 % 100) == 87) assign VAR2 = 28'h80008E2;
else if((VAR1 % 100) == 88) assign VAR2 = 28'h8000907;
else if((VAR1 % 100) == 89) assign VAR2 = 28'h800090E;
else if((VAR1 % 100) == 90) assign VAR2 = 28'h800092C;
else if((VAR1 % 100) == 91) assign VAR2 = 28'h8000932;
else if((VAR1 % 100) == 92) assign VAR2 = 28'h8000949;
else if((VAR1 % 100) == 93) assign VAR2 = 28'h8000961;
else if((VAR1 % 100) == 94) assign VAR2 = 28'h8000964;
else if((VAR1 % 100) == 95) assign VAR2 = 28'h8000979;
else if((VAR1 % 100) == 96) assign VAR2 = 28'h8000991;
else if((VAR1 % 100) == 97) assign VAR2 = 28'h80009AE;
else if((VAR1 % 100) == 98) assign VAR2 = 28'h80009DC;
else if((VAR1 % 100) == 99) assign VAR2 = 28'h80009E3;
end
else if(VAR3 == 29)
begin
if((VAR1 % 100) == 0) assign VAR2 = 29'h10000002;
end
else if((VAR1 % 100) == 1) assign VAR2 = 29'h1000000B;
else if((VAR1 % 100) == 2) assign VAR2 = 29'h1000000E;
else if((VAR1 % 100) == 3) assign VAR2 = 29'h10000046;
else if((VAR1 % 100) == 4) assign VAR2 = 29'h10000061;
else if((VAR1 % 100) == 5) assign VAR2 = 29'h1000007C;
else if((VAR1 % 100) == 6) assign VAR2 = 29'h1000008C;
else if((VAR1 % 100) == 7) assign VAR2 = 29'h1000009D;
else if((VAR1 % 100) == 8) assign VAR2 = 29'h1000009E;
else if((VAR1 % 100) == 9) assign VAR2 = 29'h100000B9;
else if((VAR1 % 100) == 10) assign VAR2 = 29'h100000C4;
else if((VAR1 % 100) == 11) assign VAR2 = 29'h100000C8;
else if((VAR1 % 100) == 12) assign VAR2 = 29'h100000D5;
else if((VAR1 % 100) == 13) assign VAR2 = 29'h100000DF;
else if((VAR1 % 100) == 14) assign VAR2 = 29'h10000103;
else if((VAR1 % 100) == 15) assign VAR2 = 29'h1000010A;
else if((VAR1 % 100) == 16) assign VAR2 = 29'h10000130;
else if((VAR1 % 100) == 17) assign VAR2 = 29'h10000139;
else if((VAR1 % 100) == 18) assign VAR2 = 29'h10000147;
else if((VAR1 % 100) == 19) assign VAR2 = 29'h10000182;
else if((VAR1 % 100) == 20) assign VAR2 = 29'h100001A5;
else if((VAR1 % 100) == 21) assign VAR2 = 29'h100001A9;
else if((VAR1 % 100) == 22) assign VAR2 = 29'h100001B2;
else if((VAR1 % 100) == 23) assign VAR2 = 29'h100001BB;
else if((VAR1 % 100) == 24) assign VAR2 = 29'h100001BE;
else if((VAR1 % 100) == 25) assign VAR2 = 29'h100001CA;
else if((VAR1 % 100) == 26) assign VAR2 = 29'h100001DE;
else if((VAR1 % 100) == 27) assign VAR2 = 29'h100001FA;
else if((VAR1 % 100) == 28) assign VAR2 = 29'h10000206;
else if((VAR1 % 100) == 29) assign VAR2 = 29'h10000211;
else if((VAR1 % 100) == 30) assign VAR2 = 29'h10000218;
else if((VAR1 % 100) == 31) assign VAR2 = 29'h10000221;
else if((VAR1 % 100) == 32) assign VAR2 = 29'h1000022E;
else if((VAR1 % 100) == 33) assign VAR2 = 29'h10000235;
else if((VAR1 % 100) == 34) assign VAR2 = 29'h10000239;
else if((VAR1 % 100) == 35) assign VAR2 = 29'h1000023C;
else if((VAR1 % 100) == 36) assign VAR2 = 29'h1000024B;
else if((VAR1 % 100) == 37) assign VAR2 = 29'h1000024D;
else if((VAR1 % 100) == 38) assign VAR2 = 29'h10000256;
else if((VAR1 % 100) == 39) assign VAR2 = 29'h10000260;
else if((VAR1 % 100) == 40) assign VAR2 = 29'h1000026F;
else if((VAR1 % 100) == 41) assign VAR2 = 29'h1000027B;
else if((VAR1 % 100) == 42) assign VAR2 = 29'h1000029A;
else if((VAR1 % 100) == 43) assign VAR2 = 29'h1000029F;
else if((VAR1 % 100) == 44) assign VAR2 = 29'h100002A0;
else if((VAR1 % 100) == 45) assign VAR2 = 29'h100002A5;
else if((VAR1 % 100) == 46) assign VAR2 = 29'h100002A9;
else if((VAR1 % 100) == 47) assign VAR2 = 29'h100002B8;
else if((VAR1 % 100) == 48) assign VAR2 = 29'h100002C5;
else if((VAR1 % 100) == 49) assign VAR2 = 29'h100002D7;
else if((VAR1 % 100) == 50) assign VAR2 = 29'h100002DD;
else if((VAR1 % 100) == 51) assign VAR2 = 29'h100002E2;
else if((VAR1 % 100) == 52) assign VAR2 = 29'h100002EB;
else if((VAR1 % 100) == 53) assign VAR2 = 29'h100002F3;
else if((VAR1 % 100) == 54) assign VAR2 = 29'h10000308;
else if((VAR1 % 100) == 55) assign VAR2 = 29'h10000310;
else if((VAR1 % 100) == 56) assign VAR2 = 29'h1000031A;
else if((VAR1 % 100) == 57) assign VAR2 = 29'h10000331;
else if((VAR1 % 100) == 58) assign VAR2 = 29'h10000337;
else if((VAR1 % 100) == 59) assign VAR2 = 29'h10000340;
else if((VAR1 % 100) == 60) assign VAR2 = 29'h10000349;
else if((VAR1 % 100) == 61) assign VAR2 = 29'h10000352;
else if((VAR1 % 100) == 62) assign VAR2 = 29'h10000370;
else if((VAR1 % 100) == 63) assign VAR2 = 29'h10000375;
else if((VAR1 % 100) == 64) assign VAR2 = 29'h10000385;
else if((VAR1 % 100) == 65) assign VAR2 = 29'h10000389;
else if((VAR1 % 100) == 66) assign VAR2 = 29'h1000038A;
else if((VAR1 % 100) == 67) assign VAR2 = 29'h1000038C;
else if((VAR1 % 100) == 68) assign VAR2 = 29'h10000398;
else if((VAR1 % 100) == 69) assign VAR2 = 29'h100003A8;
else if((VAR1 % 100) == 70) assign VAR2 = 29'h100003C1;
else if((VAR1 % 100) == 71) assign VAR2 = 29'h100003C2;
else if((VAR1 % 100) == 72) assign VAR2 = 29'h100003D3;
else if((VAR1 % 100) == 73) assign VAR2 = 29'h100003D6;
else if((VAR1 % 100) == 74) assign VAR2 = 29'h100003E6;
else if((VAR1 % 100) == 75) assign VAR2 = 29'h100003FD;
else if((VAR1 % 100) == 76) assign VAR2 = 29'h1000041E;
else if((VAR1 % 100) == 77) assign VAR2 = 29'h10000422;
else if((VAR1 % 100) == 78) assign VAR2 = 29'h1000042D;
else if((VAR1 % 100) == 79) assign VAR2 = 29'h10000447;
else if((VAR1 % 100) == 80) assign VAR2 = 29'h10000455;
else if((VAR1 % 100) == 81) assign VAR2 = 29'h10000460;
else if((VAR1 % 100) == 82) assign VAR2 = 29'h1000046C;
else if((VAR1 % 100) == 83) assign VAR2 = 29'h10000471;
else if((VAR1 % 100) == 84) assign VAR2 = 29'h100004A9;
else if((VAR1 % 100) == 85) assign VAR2 = 29'h100004B1;
else if((VAR1 % 100) == 86) assign VAR2 = 29'h100004B2;
else if((VAR1 % 100) == 87) assign VAR2 = 29'h100004B4;
else if((VAR1 % 100) == 88) assign VAR2 = 29'h100004BB;
else if((VAR1 % 100) == 89) assign VAR2 = 29'h100004C6;
else if((VAR1 % 100) == 90) assign VAR2 = 29'h100004F3;
else if((VAR1 % 100) == 91) assign VAR2 = 29'h10000502;
else if((VAR1 % 100) == 92) assign VAR2 = 29'h10000508;
else if((VAR1 % 100) == 93) assign VAR2 = 29'h1000051F;
else if((VAR1 % 100) == 94) assign VAR2 = 29'h10000557;
else if((VAR1 % 100) == 95) assign VAR2 = 29'h1000055B;
else if((VAR1 % 100) == 96) assign VAR2 = 29'h1000055E;
else if((VAR1 % 100) == 97) assign VAR2 = 29'h10000564;
else if((VAR1 % 100) == 98) assign VAR2 = 29'h10000576;
else if((VAR1 % 100) == 99) assign VAR2 = 29'h10000583;
end
else if(VAR3 == 30)
begin
if((VAR1 % 100) == 0) assign VAR2 = 30'h20000029;
end
else if((VAR1 % 100) == 1) assign VAR2 = 30'h20000057;
else if((VAR1 % 100) == 2) assign VAR2 = 30'h2000005E;
else if((VAR1 % 100) == 3) assign VAR2 = 30'h20000089;
else if((VAR1 % 100) == 4) assign VAR2 = 30'h200000A4;
else if((VAR1 % 100) == 5) assign VAR2 = 30'h200000EC;
else if((VAR1 % 100) == 6) assign VAR2 = 30'h2000011E;
else if((VAR1 % 100) == 7) assign VAR2 = 30'h20000148;
else if((VAR1 % 100) == 8) assign VAR2 = 30'h2000014E;
else if((VAR1 % 100) == 9) assign VAR2 = 30'h20000160;
else if((VAR1 % 100) == 10) assign VAR2 = 30'h20000172;
else if((VAR1 % 100) == 11) assign VAR2 = 30'h2000017B;
else if((VAR1 % 100) == 12) assign VAR2 = 30'h2000018B;
else if((VAR1 % 100) == 13) assign VAR2 = 30'h200001E7;
else if((VAR1 % 100) == 14) assign VAR2 = 30'h200001EB;
else if((VAR1 % 100) == 15) assign VAR2 = 30'h20000241;
else if((VAR1 % 100) == 16) assign VAR2 = 30'h20000244;
else if((VAR1 % 100) == 17) assign VAR2 = 30'h2000027B;
else if((VAR1 % 100) == 18) assign VAR2 = 30'h2000027D;
else if((VAR1 % 100) == 19) assign VAR2 = 30'h200002AC;
else if((VAR1 % 100) == 20) assign VAR2 = 30'h2000031A;
else if((VAR1 % 100) == 21) assign VAR2 = 30'h20000332;
else if((VAR1 % 100) == 22) assign VAR2 = 30'h20000354;
else if((VAR1 % 100) == 23) assign VAR2 = 30'h20000357;
else if((VAR1 % 100) == 24) assign VAR2 = 30'h2000039E;
else if((VAR1 % 100) == 25) assign VAR2 = 30'h200003AB;
else if((VAR1 % 100) == 26) assign VAR2 = 30'h200003B9;
else if((VAR1 % 100) == 27) assign VAR2 = 30'h2000041D;
else if((VAR1 % 100) == 28) assign VAR2 = 30'h20000427;
else if((VAR1 % 100) == 29) assign VAR2 = 30'h20000439;
else if((VAR1 % 100) == 30) assign VAR2 = 30'h2000044E;
else if((VAR1 % 100) == 31) assign VAR2 = 30'h2000046C;
else if((VAR1 % 100) == 32) assign VAR2 = 30'h200004A5;
else if((VAR1 % 100) == 33) assign VAR2 = 30'h200004BE;
else if((VAR1 % 100) == 34) assign VAR2 = 30'h200004C5;
else if((VAR1 % 100) == 35) assign VAR2 = 30'h200004C9;
else if((VAR1 % 100) == 36) assign VAR2 = 30'h200004E1;
else if((VAR1 % 100) == 37) assign VAR2 = 30'h200004E4;
else if((VAR1 % 100) == 38) assign VAR2 = 30'h200004EE;
else if((VAR1 % 100) == 39) assign VAR2 = 30'h2000054C;
else if((VAR1 % 100) == 40) assign VAR2 = 30'h20000567;
else if((VAR1 % 100) == 41) assign VAR2 = 30'h20000597;
else if((VAR1 % 100) == 42) assign VAR2 = 30'h200005BA;
else if((VAR1 % 100) == 43) assign VAR2 = 30'h20000602;
else if((VAR1 % 100) == 44) assign VAR2 = 30'h20000619;
else if((VAR1 % 100) == 45) assign VAR2 = 30'h2000061C;
else if((VAR1 % 100) == 46) assign VAR2 = 30'h2000064A;
else if((VAR1 % 100) == 47) assign VAR2 = 30'h2000065B;
else if((VAR1 % 100) == 48) assign VAR2 = 30'h2000065D;
else if((VAR1 % 100) == 49) assign VAR2 = 30'h20000679;
else if((VAR1 % 100) == 50) assign VAR2 = 30'h200006CB;
else if((VAR1 % 100) == 51) assign VAR2 = 30'h200006D3;
else if((VAR1 % 100) == 52) assign VAR2 = 30'h20000705;
else if((VAR1 % 100) == 53) assign VAR2 = 30'h20000735;
else if((VAR1 % 100) == 54) assign VAR2 = 30'h20000759;
else if((VAR1 % 100) == 55) assign VAR2 = 30'h200007D2;
else if((VAR1 % 100) == 56) assign VAR2 = 30'h200007DD;
else if((VAR1 % 100) == 57) assign VAR2 = 30'h200007EB;
else if((VAR1 % 100) == 58) assign VAR2 = 30'h2000080F;
else if((VAR1 % 100) == 59) assign VAR2 = 30'h20000841;
else if((VAR1 % 100) == 60) assign VAR2 = 30'h20000847;
else if((VAR1 % 100) == 61) assign VAR2 = 30'h2000084E;
else if((VAR1 % 100) == 62) assign VAR2 = 30'h2000088D;
else if((VAR1 % 100) == 63) assign VAR2 = 30'h200008B4;
else if((VAR1 % 100) == 64) assign VAR2 = 30'h200008C3;
else if((VAR1 % 100) == 65) assign VAR2 = 30'h200008DD;
else if((VAR1 % 100) == 66) assign VAR2 = 30'h200008EB;
else if((VAR1 % 100) == 67) assign VAR2 = 30'h20000910;
else if((VAR1 % 100) == 68) assign VAR2 = 30'h2000093D;
else if((VAR1 % 100) == 69) assign VAR2 = 30'h20000951;
else if((VAR1 % 100) == 70) assign VAR2 = 30'h2000096E;
else if((VAR1 % 100) == 71) assign VAR2 = 30'h20000998;
else if((VAR1 % 100) == 72) assign VAR2 = 30'h2000099B;
else if((VAR1 % 100) == 73) assign VAR2 = 30'h200009AD;
else if((VAR1 % 100) == 74) assign VAR2 = 30'h200009C2;
else if((VAR1 % 100) == 75) assign VAR2 = 30'h200009C8;
else if((VAR1 % 100) == 76) assign VAR2 = 30'h200009D5;
else if((VAR1 % 100) == 77) assign VAR2 = 30'h20000A45;
else if((VAR1 % 100) == 78) assign VAR2 = 30'h20000A46;
else if((VAR1 % 100) == 79) assign VAR2 = 30'h20000A9D;
else if((VAR1 % 100) == 80) assign VAR2 = 30'h20000AE0;
else if((VAR1 % 100) == 81) assign VAR2 = 30'h20000AE9;
else if((VAR1 % 100) == 82) assign VAR2 = 30'h20000B03;
else if((VAR1 % 100) == 83) assign VAR2 = 30'h20000B09;
else if((VAR1 % 100) == 84) assign VAR2 = 30'h20000B18;
else if((VAR1 % 100) == 85) assign VAR2 = 30'h20000B53;
else if((VAR1 % 100) == 86) assign VAR2 = 30'h20000B72;
else if((VAR1 % 100) == 87) assign VAR2 = 30'h20000B7D;
else if((VAR1 % 100) == 88) assign VAR2 = 30'h20000B8E;
else if((VAR1 % 100) == 89) assign VAR2 = 30'h20000BA3;
else if((VAR1 % 100) == 90) assign VAR2 = 30'h20000BB8;
else if((VAR1 % 100) == 91) assign VAR2 = 30'h20000BBE;
else if((VAR1 % 100) == 92) assign VAR2 = 30'h20000BCA;
else if((VAR1 % 100) == 93) assign VAR2 = 30'h20000BD1;
else if((VAR1 % 100) == 94) assign VAR2 = 30'h20000C04;
else if((VAR1 % 100) == 95) assign VAR2 = 30'h20000C10;
else if((VAR1 % 100) == 96) assign VAR2 = 30'h20000C23;
else if((VAR1 % 100) == 97) assign VAR2 = 30'h20000C34;
else if((VAR1 % 100) == 98) assign VAR2 = 30'h20000C86;
else if((VAR1 % 100) == 99) assign VAR2 = 30'h20000C92;
end
else if(VAR3 == 31)
begin
if((VAR1 % 100) == 0) assign VAR2 = 31'h40000004;
end
else if((VAR1 % 100) == 1) assign VAR2 = 31'h40000007;
else if((VAR1 % 100) == 2) assign VAR2 = 31'h40000016;
else if((VAR1 % 100) == 3) assign VAR2 = 31'h4000001A;
else if((VAR1 % 100) == 4) assign VAR2 = 31'h40000020;
else if((VAR1 % 100) == 5) assign VAR2 = 31'h40000023;
else if((VAR1 % 100) == 6) assign VAR2 = 31'h4000002A;
else if((VAR1 % 100) == 7) assign VAR2 = 31'h40000040;
else if((VAR1 % 100) == 8) assign VAR2 = 31'h40000045;
else if((VAR1 % 100) == 9) assign VAR2 = 31'h40000054;
else if((VAR1 % 100) == 10) assign VAR2 = 31'h4000005D;
else if((VAR1 % 100) == 11) assign VAR2 = 31'h4000007F;
else if((VAR1 % 100) == 12) assign VAR2 = 31'h4000008F;
else if((VAR1 % 100) == 13) assign VAR2 = 31'h40000097;
else if((VAR1 % 100) == 14) assign VAR2 = 31'h400000A2;
else if((VAR1 % 100) == 15) assign VAR2 = 31'h400000AE;
else if((VAR1 % 100) == 16) assign VAR2 = 31'h400000B0;
else if((VAR1 % 100) == 17) assign VAR2 = 31'h400000B5;
else if((VAR1 % 100) == 18) assign VAR2 = 31'h400000D0;
else if((VAR1 % 100) == 19) assign VAR2 = 31'h400000D6;
else if((VAR1 % 100) == 20) assign VAR2 = 31'h400000E3;
else if((VAR1 % 100) == 21) assign VAR2 = 31'h40000105;
else if((VAR1 % 100) == 22) assign VAR2 = 31'h40000111;
else if((VAR1 % 100) == 23) assign VAR2 = 31'h40000118;
else if((VAR1 % 100) == 24) assign VAR2 = 31'h4000013C;
else if((VAR1 % 100) == 25) assign VAR2 = 31'h40000159;
else if((VAR1 % 100) == 26) assign VAR2 = 31'h40000169;
else if((VAR1 % 100) == 27) assign VAR2 = 31'h4000016F;
else if((VAR1 % 100) == 28) assign VAR2 = 31'h4000017B;
else if((VAR1 % 100) == 29) assign VAR2 = 31'h40000188;
else if((VAR1 % 100) == 30) assign VAR2 = 31'h4000018E;
else if((VAR1 % 100) == 31) assign VAR2 = 31'h40000193;
else if((VAR1 % 100) == 32) assign VAR2 = 31'h400001BD;
else if((VAR1 % 100) == 33) assign VAR2 = 31'h400001C9;
else if((VAR1 % 100) == 34) assign VAR2 = 31'h400001ED;
else if((VAR1 % 100) == 35) assign VAR2 = 31'h40000217;
else if((VAR1 % 100) == 36) assign VAR2 = 31'h40000230;
else if((VAR1 % 100) == 37) assign VAR2 = 31'h40000233;
else if((VAR1 % 100) == 38) assign VAR2 = 31'h40000255;
else if((VAR1 % 100) == 39) assign VAR2 = 31'h40000265;
else if((VAR1 % 100) == 40) assign VAR2 = 31'h4000026A;
else if((VAR1 % 100) == 41) assign VAR2 = 31'h40000272;
else if((VAR1 % 100) == 42) assign VAR2 = 31'h40000278;
else if((VAR1 % 100) == 43) assign VAR2 = 31'h4000028D;
else if((VAR1 % 100) == 44) assign VAR2 = 31'h4000029C;
else if((VAR1 % 100) == 45) assign VAR2 = 31'h4000029F;
else if((VAR1 % 100) == 46) assign VAR2 = 31'h400002B8;
else if((VAR1 % 100) == 47) assign VAR2 = 31'h400002C3;
else if((VAR1 % 100) == 48) assign VAR2 = 31'h400002C6;
else if((VAR1 % 100) == 49) assign VAR2 = 31'h400002E8;
else if((VAR1 % 100) == 50) assign VAR2 = 31'h400002F3;
else if((VAR1 % 100) == 51) assign VAR2 = 31'h400002FA;
else if((VAR1 % 100) == 52) assign VAR2 = 31'h40000301;
else if((VAR1 % 100) == 53) assign VAR2 = 31'h40000326;
else if((VAR1 % 100) == 54) assign VAR2 = 31'h4000033B;
else if((VAR1 % 100) == 55) assign VAR2 = 31'h4000034A;
else if((VAR1 % 100) == 56) assign VAR2 = 31'h4000034C;
else if((VAR1 % 100) == 57) assign VAR2 = 31'h4000037F;
else if((VAR1 % 100) == 58) assign VAR2 = 31'h4000038F;
else if((VAR1 % 100) == 59) assign VAR2 = 31'h40000394;
else if((VAR1 % 100) == 60) assign VAR2 = 31'h4000039D;
else if((VAR1 % 100) == 61) assign VAR2 = 31'h400003A4;
else if((VAR1 % 100) == 62) assign VAR2 = 31'h400003B6;
else if((VAR1 % 100) == 63) assign VAR2 = 31'h400003BF;
else if((VAR1 % 100) == 64) assign VAR2 = 31'h400003C1;
else if((VAR1 % 100) == 65) assign VAR2 = 31'h400003CB;
else if((VAR1 % 100) == 66) assign VAR2 = 31'h400003DA;
else if((VAR1 % 100) == 67) assign VAR2 = 31'h400003DC;
else if((VAR1 % 100) == 68) assign VAR2 = 31'h400003EA;
else if((VAR1 % 100) == 69) assign VAR2 = 31'h400003FE;
else if((VAR1 % 100) == 70) assign VAR2 = 31'h40000403;
else if((VAR1 % 100) == 71) assign VAR2 = 31'h4000040C;
else if((VAR1 % 100) == 72) assign VAR2 = 31'h40000459;
else if((VAR1 % 100) == 73) assign VAR2 = 31'h4000045C;
else if((VAR1 % 100) == 74) assign VAR2 = 31'h4000045F;
else if((VAR1 % 100) == 75) assign VAR2 = 31'h4000046A;
else if((VAR1 % 100) == 76) assign VAR2 = 31'h40000474;
else if((VAR1 % 100) == 77) assign VAR2 = 31'h4000047B;
else if((VAR1 % 100) == 78) assign VAR2 = 31'h40000481;
else if((VAR1 % 100) == 79) assign VAR2 = 31'h4000048D;
else if((VAR1 % 100) == 80) assign VAR2 = 31'h40000493;
else if((VAR1 % 100) == 81) assign VAR2 = 31'h400004B8;
else if((VAR1 % 100) == 82) assign VAR2 = 31'h400004DE;
else if((VAR1 % 100) == 83) assign VAR2 = 31'h400004ED;
else if((VAR1 % 100) == 84) assign VAR2 = 31'h40000501;
else if((VAR1 % 100) == 85) assign VAR2 = 31'h40000513;
else if((VAR1 % 100) == 86) assign VAR2 = 31'h40000525;
else if((VAR1 % 100) == 87) assign VAR2 = 31'h4000052F;
else if((VAR1 % 100) == 88) assign VAR2 = 31'h40000534;
else if((VAR1 % 100) == 89) assign VAR2 = 31'h40000538;
else if((VAR1 % 100) == 90) assign VAR2 = 31'h4000053E;
else if((VAR1 % 100) == 91) assign VAR2 = 31'h40000540;
else if((VAR1 % 100) == 92) assign VAR2 = 31'h40000549;
else if((VAR1 % 100) == 93) assign VAR2 = 31'h4000054F;
else if((VAR1 % 100) == 94) assign VAR2 = 31'h4000055D;
else if((VAR1 % 100) == 95) assign VAR2 = 31'h40000567;
else if((VAR1 % 100) == 96) assign VAR2 = 31'h4000056B;
else if((VAR1 % 100) == 97) assign VAR2 = 31'h40000576;
else if((VAR1 % 100) == 98) assign VAR2 = 31'h40000585;
else if((VAR1 % 100) == 99) assign VAR2 = 31'h400005B6;
end
else if(VAR3 == 32)
begin
if((VAR1 % 100) == 0) assign VAR2 = 32'h80000057;
end
else if((VAR1 % 100) == 1) assign VAR2 = 32'h80000062;
else if((VAR1 % 100) == 2) assign VAR2 = 32'h8000007A;
else if((VAR1 % 100) == 3) assign VAR2 = 32'h80000092;
else if((VAR1 % 100) == 4) assign VAR2 = 32'h800000B9;
else if((VAR1 % 100) == 5) assign VAR2 = 32'h800000BA;
else if((VAR1 % 100) == 6) assign VAR2 = 32'h80000106;
else if((VAR1 % 100) == 7) assign VAR2 = 32'h80000114;
else if((VAR1 % 100) == 8) assign VAR2 = 32'h8000012D;
else if((VAR1 % 100) == 9) assign VAR2 = 32'h8000014E;
else if((VAR1 % 100) == 10) assign VAR2 = 32'h8000016C;
else if((VAR1 % 100) == 11) assign VAR2 = 32'h8000019F;
else if((VAR1 % 100) == 12) assign VAR2 = 32'h800001A6;
else if((VAR1 % 100) == 13) assign VAR2 = 32'h800001F3;
else if((VAR1 % 100) == 14) assign VAR2 = 32'h8000020F;
else if((VAR1 % 100) == 15) assign VAR2 = 32'h800002CC;
else if((VAR1 % 100) == 16) assign VAR2 = 32'h80000349;
else if((VAR1 % 100) == 17) assign VAR2 = 32'h80000370;
else if((VAR1 % 100) == 18) assign VAR2 = 32'h80000375;
else if((VAR1 % 100) == 19) assign VAR2 = 32'h80000392;
else if((VAR1 % 100) == 20) assign VAR2 = 32'h80000398;
else if((VAR1 % 100) == 21) assign VAR2 = 32'h800003BF;
else if((VAR1 % 100) == 22) assign VAR2 = 32'h800003D6;
else if((VAR1 % 100) == 23) assign VAR2 = 32'h800003DF;
else if((VAR1 % 100) == 24) assign VAR2 = 32'h800003E9;
else if((VAR1 % 100) == 25) assign VAR2 = 32'h80000412;
else if((VAR1 % 100) == 26) assign VAR2 = 32'h80000414;
else if((VAR1 % 100) == 27) assign VAR2 = 32'h80000417;
else if((VAR1 % 100) == 28) assign VAR2 = 32'h80000465;
else if((VAR1 % 100) == 29) assign VAR2 = 32'h8000046A;
else if((VAR1 % 100) == 30) assign VAR2 = 32'h80000478;
else if((VAR1 % 100) == 31) assign VAR2 = 32'h800004D4;
else if((VAR1 % 100) == 32) assign VAR2 = 32'h800004F3;
else if((VAR1 % 100) == 33) assign VAR2 = 32'h8000050B;
else if((VAR1 % 100) == 34) assign VAR2 = 32'h80000526;
else if((VAR1 % 100) == 35) assign VAR2 = 32'h8000054C;
else if((VAR1 % 100) == 36) assign VAR2 = 32'h800005B6;
else if((VAR1 % 100) == 37) assign VAR2 = 32'h800005C1;
else if((VAR1 % 100) == 38) assign VAR2 = 32'h800005EC;
else if((VAR1 % 100) == 39) assign VAR2 = 32'h800005F1;
else if((VAR1 % 100) == 40) assign VAR2 = 32'h8000060D;
else if((VAR1 % 100) == 41) assign VAR2 = 32'h8000060E;
else if((VAR1 % 100) == 42) assign VAR2 = 32'h80000629;
else if((VAR1 % 100) == 43) assign VAR2 = 32'h80000638;
else if((VAR1 % 100) == 44) assign VAR2 = 32'h80000662;
else if((VAR1 % 100) == 45) assign VAR2 = 32'h8000066D;
else if((VAR1 % 100) == 46) assign VAR2 = 32'h80000676;
else if((VAR1 % 100) == 47) assign VAR2 = 32'h800006AE;
else if((VAR1 % 100) == 48) assign VAR2 = 32'h800006B0;
else if((VAR1 % 100) == 49) assign VAR2 = 32'h800006BC;
else if((VAR1 % 100) == 50) assign VAR2 = 32'h800006D6;
else if((VAR1 % 100) == 51) assign VAR2 = 32'h8000073C;
else if((VAR1 % 100) == 52) assign VAR2 = 32'h80000748;
else if((VAR1 % 100) == 53) assign VAR2 = 32'h80000766;
else if((VAR1 % 100) == 54) assign VAR2 = 32'h8000079C;
else if((VAR1 % 100) == 55) assign VAR2 = 32'h800007B7;
else if((VAR1 % 100) == 56) assign VAR2 = 32'h800007C3;
else if((VAR1 % 100) == 57) assign VAR2 = 32'h800007D4;
else if((VAR1 % 100) == 58) assign VAR2 = 32'h800007D8;
else if((VAR1 % 100) == 59) assign VAR2 = 32'h80000806;
else if((VAR1 % 100) == 60) assign VAR2 = 32'h8000083F;
else if((VAR1 % 100) == 61) assign VAR2 = 32'h80000850;
else if((VAR1 % 100) == 62) assign VAR2 = 32'h8000088D;
else if((VAR1 % 100) == 63) assign VAR2 = 32'h800008E1;
else if((VAR1 % 100) == 64) assign VAR2 = 32'h80000923;
else if((VAR1 % 100) == 65) assign VAR2 = 32'h80000931;
else if((VAR1 % 100) == 66) assign VAR2 = 32'h80000934;
else if((VAR1 % 100) == 67) assign VAR2 = 32'h8000093B;
else if((VAR1 % 100) == 68) assign VAR2 = 32'h80000958;
else if((VAR1 % 100) == 69) assign VAR2 = 32'h80000967;
else if((VAR1 % 100) == 70) assign VAR2 = 32'h800009D5;
else if((VAR1 % 100) == 71) assign VAR2 = 32'h80000A25;
else if((VAR1 % 100) == 72) assign VAR2 = 32'h80000A26;
else if((VAR1 % 100) == 73) assign VAR2 = 32'h80000A54;
else if((VAR1 % 100) == 74) assign VAR2 = 32'h80000A92;
else if((VAR1 % 100) == 75) assign VAR2 = 32'h80000AC4;
else if((VAR1 % 100) == 76) assign VAR2 = 32'h80000ACD;
else if((VAR1 % 100) == 77) assign VAR2 = 32'h80000B28;
else if((VAR1 % 100) == 78) assign VAR2 = 32'h80000B71;
else if((VAR1 % 100) == 79) assign VAR2 = 32'h80000B7B;
else if((VAR1 % 100) == 80) assign VAR2 = 32'h80000B84;
else if((VAR1 % 100) == 81) assign VAR2 = 32'h80000BA9;
else if((VAR1 % 100) == 82) assign VAR2 = 32'h80000BBE;
else if((VAR1 % 100) == 83) assign VAR2 = 32'h80000BC6;
else if((VAR1 % 100) == 84) assign VAR2 = 32'h80000C34;
else if((VAR1 % 100) == 85) assign VAR2 = 32'h80000C3E;
else if((VAR1 % 100) == 86) assign VAR2 = 32'h80000C43;
else if((VAR1 % 100) == 87) assign VAR2 = 32'h80000C7F;
else if((VAR1 % 100) == 88) assign VAR2 = 32'h80000CA2;
else if((VAR1 % 100) == 89) assign VAR2 = 32'h80000CEC;
else if((VAR1 % 100) == 90) assign VAR2 = 32'h80000D0F;
else if((VAR1 % 100) == 91) assign VAR2 = 32'h80000D22;
else if((VAR1 % 100) == 92) assign VAR2 = 32'h80000D28;
else if((VAR1 % 100) == 93) assign VAR2 = 32'h80000D4E;
else if((VAR1 % 100) == 94) assign VAR2 = 32'h80000DD7;
else if((VAR1 % 100) == 95) assign VAR2 = 32'h80000E24;
else if((VAR1 % 100) == 96) assign VAR2 = 32'h80000E35;
else if((VAR1 % 100) == 97) assign VAR2 = 32'h80000E66;
else if((VAR1 % 100) == 98) assign VAR2 = 32'h80000E74;
else if((VAR1 % 100) == 99) assign VAR2 = 32'h80000EA6;
end
else if(VAR3 == 33)
begin
if((VAR1 % 100) == 0) assign VAR2 = 33'h100000029;
end
else if((VAR1 % 100) == 1) assign VAR2 = 33'h100000034;
else if((VAR1 % 100) == 2) assign VAR2 = 33'h100000043;
else if((VAR1 % 100) == 3) assign VAR2 = 33'h10000004C;
else if((VAR1 % 100) == 4) assign VAR2 = 33'h100000051;
else if((VAR1 % 100) == 5) assign VAR2 = 33'h10000006E;
else if((VAR1 % 100) == 6) assign VAR2 = 33'h100000076;
else if((VAR1 % 100) == 7) assign VAR2 = 33'h10000007A;
else if((VAR1 % 100) == 8) assign VAR2 = 33'h100000083;
else if((VAR1 % 100) == 9) assign VAR2 = 33'h100000091;
else if((VAR1 % 100) == 10) assign VAR2 = 33'h100000098;
else if((VAR1 % 100) == 11) assign VAR2 = 33'h1000000A7;
else if((VAR1 % 100) == 12) assign VAR2 = 33'h1000000B6;
else if((VAR1 % 100) == 13) assign VAR2 = 33'h1000000BC;
else if((VAR1 % 100) == 14) assign VAR2 = 33'h1000000C1;
else if((VAR1 % 100) == 15) assign VAR2 = 33'h1000000E3;
else if((VAR1 % 100) == 16) assign VAR2 = 33'h1000000E6;
else if((VAR1 % 100) == 17) assign VAR2 = 33'h1000000F1;
else if((VAR1 % 100) == 18) assign VAR2 = 33'h1000000F8;
else if((VAR1 % 100) == 19) assign VAR2 = 33'h1000000FE;
else if((VAR1 % 100) == 20) assign VAR2 = 33'h100000105;
else if((VAR1 % 100) == 21) assign VAR2 = 33'h10000010F;
else if((VAR1 % 100) == 22) assign VAR2 = 33'h10000013F;
else if((VAR1 % 100) == 23) assign VAR2 = 33'h10000014D;
else if((VAR1 % 100) == 24) assign VAR2 = 33'h10000014E;
else if((VAR1 % 100) == 25) assign VAR2 = 33'h100000153;
else if((VAR1 % 100) == 26) assign VAR2 = 33'h10000015C;
else if((VAR1 % 100) == 27) assign VAR2 = 33'h100000184;
else if((VAR1 % 100) == 28) assign VAR2 = 33'h100000199;
else if((VAR1 % 100) == 29) assign VAR2 = 33'h10000019F;
else if((VAR1 % 100) == 30) assign VAR2 = 33'h1000001A3;
else if((VAR1 % 100) == 31) assign VAR2 = 33'h1000001A9;
else if((VAR1 % 100) == 32) assign VAR2 = 33'h1000001AF;
else if((VAR1 % 100) == 33) assign VAR2 = 33'h1000001BD;
else if((VAR1 % 100) == 34) assign VAR2 = 33'h1000001CC;
else if((VAR1 % 100) == 35) assign VAR2 = 33'h1000001EE;
else if((VAR1 % 100) == 36) assign VAR2 = 33'h1000001F5;
else if((VAR1 % 100) == 37) assign VAR2 = 33'h100000203;
else if((VAR1 % 100) == 38) assign VAR2 = 33'h10000021E;
else if((VAR1 % 100) == 39) assign VAR2 = 33'h100000235;
else if((VAR1 % 100) == 40) assign VAR2 = 33'h100000244;
else if((VAR1 % 100) == 41) assign VAR2 = 33'h10000026C;
else if((VAR1 % 100) == 42) assign VAR2 = 33'h100000274;
else if((VAR1 % 100) == 43) assign VAR2 = 33'h10000027D;
else if((VAR1 % 100) == 44) assign VAR2 = 33'h10000029A;
else if((VAR1 % 100) == 45) assign VAR2 = 33'h1000002A5;
else if((VAR1 % 100) == 46) assign VAR2 = 33'h1000002DB;
else if((VAR1 % 100) == 47) assign VAR2 = 33'h1000002EB;
else if((VAR1 % 100) == 48) assign VAR2 = 33'h10000031C;
else if((VAR1 % 100) == 49) assign VAR2 = 33'h100000349;
else if((VAR1 % 100) == 50) assign VAR2 = 33'h100000357;
else if((VAR1 % 100) == 51) assign VAR2 = 33'h10000036B;
else if((VAR1 % 100) == 52) assign VAR2 = 33'h10000036D;
else if((VAR1 % 100) == 53) assign VAR2 = 33'h100000383;
else if((VAR1 % 100) == 54) assign VAR2 = 33'h1000003AE;
else if((VAR1 % 100) == 55) assign VAR2 = 33'h1000003EA;
else if((VAR1 % 100) == 56) assign VAR2 = 33'h1000003EF;
else if((VAR1 % 100) == 57) assign VAR2 = 33'h100000403;
else if((VAR1 % 100) == 58) assign VAR2 = 33'h100000412;
else if((VAR1 % 100) == 59) assign VAR2 = 33'h100000439;
else if((VAR1 % 100) == 60) assign VAR2 = 33'h100000447;
else if((VAR1 % 100) == 61) assign VAR2 = 33'h10000045A;
else if((VAR1 % 100) == 62) assign VAR2 = 33'h100000478;
else if((VAR1 % 100) == 63) assign VAR2 = 33'h100000484;
else if((VAR1 % 100) == 64) assign VAR2 = 33'h1000004B2;
else if((VAR1 % 100) == 65) assign VAR2 = 33'h1000004DB;
else if((VAR1 % 100) == 66) assign VAR2 = 33'h1000004FF;
else if((VAR1 % 100) == 67) assign VAR2 = 33'h100000526;
else if((VAR1 % 100) == 68) assign VAR2 = 33'h10000052F;
else if((VAR1 % 100) == 69) assign VAR2 = 33'h100000558;
else if((VAR1 % 100) == 70) assign VAR2 = 33'h100000575;
else if((VAR1 % 100) == 71) assign VAR2 = 33'h100000580;
else if((VAR1 % 100) == 72) assign VAR2 = 33'h100000585;
else if((VAR1 % 100) == 73) assign VAR2 = 33'h1000005A1;
else if((VAR1 % 100) == 74) assign VAR2 = 33'h1000005BA;
else if((VAR1 % 100) == 75) assign VAR2 = 33'h1000005DC;
else if((VAR1 % 100) == 76) assign VAR2 = 33'h1000005DF;
else if((VAR1 % 100) == 77) assign VAR2 = 33'h1000005F1;
else if((VAR1 % 100) == 78) assign VAR2 = 33'h100000602;
else if((VAR1 % 100) == 79) assign VAR2 = 33'h100000608;
else if((VAR1 % 100) == 80) assign VAR2 = 33'h100000610;
else if((VAR1 % 100) == 81) assign VAR2 = 33'h100000664;
else if((VAR1 % 100) == 82) assign VAR2 = 33'h10000066B;
else if((VAR1 % 100) == 83) assign VAR2 = 33'h100000689;
else if((VAR1 % 100) == 84) assign VAR2 = 33'h1000006B3;
else if((VAR1 % 100) == 85) assign VAR2 = 33'h1000006E5;
else if((VAR1 % 100) == 86) assign VAR2 = 33'h1000006E6;
else if((VAR1 % 100) == 87) assign VAR2 = 33'h1000006FB;
else if((VAR1 % 100) == 88) assign VAR2 = 33'h100000711;
else if((VAR1 % 100) == 89) assign VAR2 = 33'h100000714;
else if((VAR1 % 100) == 90) assign VAR2 = 33'h100000735;
else if((VAR1 % 100) == 91) assign VAR2 = 33'h10000073A;
else if((VAR1 % 100) == 92) assign VAR2 = 33'h100000747;
else if((VAR1 % 100) == 93) assign VAR2 = 33'h10000074B;
else if((VAR1 % 100) == 94) assign VAR2 = 33'h100000763;
else if((VAR1 % 100) == 95) assign VAR2 = 33'h100000766;
else if((VAR1 % 100) == 96) assign VAR2 = 33'h100000769;
else if((VAR1 % 100) == 97) assign VAR2 = 33'h100000784;
else if((VAR1 % 100) == 98) assign VAR2 = 33'h100000788;
else if((VAR1 % 100) == 99) assign VAR2 = 33'h1000007A3;
end
else if(VAR3 == 34)
begin
if((VAR1 % 100) == 0) assign VAR2 = 34'h200000073;
end
else if((VAR1 % 100) == 1) assign VAR2 = 34'h20000008C;
else if((VAR1 % 100) == 2) assign VAR2 = 34'h20000008F;
else if((VAR1 % 100) == 3) assign VAR2 = 34'h2000000BA;
else if((VAR1 % 100) == 4) assign VAR2 = 34'h2000000C7;
else if((VAR1 % 100) == 5) assign VAR2 = 34'h2000000D9;
else if((VAR1 % 100) == 6) assign VAR2 = 34'h2000000E9;
else if((VAR1 % 100) == 7) assign VAR2 = 34'h2000000F2;
else if((VAR1 % 100) == 8) assign VAR2 = 34'h200000111;
else if((VAR1 % 100) == 9) assign VAR2 = 34'h200000128;
else if((VAR1 % 100) == 10) assign VAR2 = 34'h20000015F;
else if((VAR1 % 100) == 11) assign VAR2 = 34'h200000172;
else if((VAR1 % 100) == 12) assign VAR2 = 34'h20000018E;
else if((VAR1 % 100) == 13) assign VAR2 = 34'h2000001A3;
else if((VAR1 % 100) == 14) assign VAR2 = 34'h2000001C6;
else if((VAR1 % 100) == 15) assign VAR2 = 34'h2000001CA;
else if((VAR1 % 100) == 16) assign VAR2 = 34'h2000001D4;
else if((VAR1 % 100) == 17) assign VAR2 = 34'h2000001ED;
else if((VAR1 % 100) == 18) assign VAR2 = 34'h200000214;
else if((VAR1 % 100) == 19) assign VAR2 = 34'h200000230;
else if((VAR1 % 100) == 20) assign VAR2 = 34'h20000023F;
else if((VAR1 % 100) == 21) assign VAR2 = 34'h200000253;
else if((VAR1 % 100) == 22) assign VAR2 = 34'h20000025A;
else if((VAR1 % 100) == 23) assign VAR2 = 34'h200000284;
else if((VAR1 % 100) == 24) assign VAR2 = 34'h20000028B;
else if((VAR1 % 100) == 25) assign VAR2 = 34'h200000290;
else if((VAR1 % 100) == 26) assign VAR2 = 34'h2000002C5;
else if((VAR1 % 100) == 27) assign VAR2 = 34'h2000002D8;
else if((VAR1 % 100) == 28) assign VAR2 = 34'h200000313;
else if((VAR1 % 100) == 29) assign VAR2 = 34'h200000326;
else if((VAR1 % 100) == 30) assign VAR2 = 34'h20000032F;
else if((VAR1 % 100) == 31) assign VAR2 = 34'h200000332;
else if((VAR1 % 100) == 32) assign VAR2 = 34'h200000334;
else if((VAR1 % 100) == 33) assign VAR2 = 34'h20000039D;
else if((VAR1 % 100) == 34) assign VAR2 = 34'h2000003B5;
else if((VAR1 % 100) == 35) assign VAR2 = 34'h2000003D0;
else if((VAR1 % 100) == 36) assign VAR2 = 34'h2000003DC;
else if((VAR1 % 100) == 37) assign VAR2 = 34'h200000435;
else if((VAR1 % 100) == 38) assign VAR2 = 34'h200000442;
else if((VAR1 % 100) == 39) assign VAR2 = 34'h200000447;
else if((VAR1 % 100) == 40) assign VAR2 = 34'h20000049F;
else if((VAR1 % 100) == 41) assign VAR2 = 34'h2000004A5;
else if((VAR1 % 100) == 42) assign VAR2 = 34'h2000004CC;
else if((VAR1 % 100) == 43) assign VAR2 = 34'h200000551;
else if((VAR1 % 100) == 44) assign VAR2 = 34'h20000056B;
else if((VAR1 % 100) == 45) assign VAR2 = 34'h20000056E;
else if((VAR1 % 100) == 46) assign VAR2 = 34'h200000575;
else if((VAR1 % 100) == 47) assign VAR2 = 34'h200000580;
else if((VAR1 % 100) == 48) assign VAR2 = 34'h2000005F4;
else if((VAR1 % 100) == 49) assign VAR2 = 34'h2000005F7;
else if((VAR1 % 100) == 50) assign VAR2 = 34'h2000005FB;
else if((VAR1 % 100) == 51) assign VAR2 = 34'h2000005FE;
else if((VAR1 % 100) == 52) assign VAR2 = 34'h200000626;
else if((VAR1 % 100) == 53) assign VAR2 = 34'h20000062F;
else if((VAR1 % 100) == 54) assign VAR2 = 34'h200000631;
else if((VAR1 % 100) == 55) assign VAR2 = 34'h200000645;
else if((VAR1 % 100) == 56) assign VAR2 = 34'h200000668;
else if((VAR1 % 100) == 57) assign VAR2 = 34'h2000006B9;
else if((VAR1 % 100) == 58) assign VAR2 = 34'h2000006D3;
else if((VAR1 % 100) == 59) assign VAR2 = 34'h2000006EA;
else if((VAR1 % 100) == 60) assign VAR2 = 34'h200000718;
else if((VAR1 % 100) == 61) assign VAR2 = 34'h200000727;
else if((VAR1 % 100) == 62) assign VAR2 = 34'h200000739;
else if((VAR1 % 100) == 63) assign VAR2 = 34'h200000750;
else if((VAR1 % 100) == 64) assign VAR2 = 34'h20000076A;
else if((VAR1 % 100) == 65) assign VAR2 = 34'h20000076F;
else if((VAR1 % 100) == 66) assign VAR2 = 34'h200000788;
else if((VAR1 % 100) == 67) assign VAR2 = 34'h200000793;
else if((VAR1 % 100) == 68) assign VAR2 = 34'h2000007A6;
else if((VAR1 % 100) == 69) assign VAR2 = 34'h2000007C9;
else if((VAR1 % 100) == 70) assign VAR2 = 34'h2000007CA;
else if((VAR1 % 100) == 71) assign VAR2 = 34'h2000007ED;
else if((VAR1 % 100) == 72) assign VAR2 = 34'h200000859;
else if((VAR1 % 100) == 73) assign VAR2 = 34'h20000089A;
else if((VAR1 % 100) == 74) assign VAR2 = 34'h2000008A6;
else if((VAR1 % 100) == 75) assign VAR2 = 34'h2000008D1;
else if((VAR1 % 100) == 76) assign VAR2 = 34'h2000008F0;
else if((VAR1 % 100) == 77) assign VAR2 = 34'h200000904;
else if((VAR1 % 100) == 78) assign VAR2 = 34'h200000925;
else if((VAR1 % 100) == 79) assign VAR2 = 34'h200000929;
else if((VAR1 % 100) == 80) assign VAR2 = 34'h200000932;
else if((VAR1 % 100) == 81) assign VAR2 = 34'h20000093B;
else if((VAR1 % 100) == 82) assign VAR2 = 34'h200000985;
else if((VAR1 % 100) == 83) assign VAR2 = 34'h200000986;
else if((VAR1 % 100) == 84) assign VAR2 = 34'h200000994;
else if((VAR1 % 100) == 85) assign VAR2 = 34'h20000099E;
else if((VAR1 % 100) == 86) assign VAR2 = 34'h2000009DC;
else if((VAR1 % 100) == 87) assign VAR2 = 34'h200000A01;
else if((VAR1 % 100) == 88) assign VAR2 = 34'h200000A04;
else if((VAR1 % 100) == 89) assign VAR2 = 34'h200000A10;
else if((VAR1 % 100) == 90) assign VAR2 = 34'h200000A26;
else if((VAR1 % 100) == 91) assign VAR2 = 34'h200000A29;
else if((VAR1 % 100) == 92) assign VAR2 = 34'h200000A6D;
else if((VAR1 % 100) == 93) assign VAR2 = 34'h200000A73;
else if((VAR1 % 100) == 94) assign VAR2 = 34'h200000A7A;
else if((VAR1 % 100) == 95) assign VAR2 = 34'h200000A89;
else if((VAR1 % 100) == 96) assign VAR2 = 34'h200000A94;
else if((VAR1 % 100) == 97) assign VAR2 = 34'h200000AA7;
else if((VAR1 % 100) == 98) assign VAR2 = 34'h200000ABC;
else if((VAR1 % 100) == 99) assign VAR2 = 34'h200000ABF;
end
else if(VAR3 == 35)
begin
if((VAR1 % 93) == 0) assign VAR2 = 35'h400000002;
end
else if((VAR1 % 93) == 1) assign VAR2 = 35'h40000002F;
else if((VAR1 % 93) == 2) assign VAR2 = 35'h40000004F;
else if((VAR1 % 93) == 3) assign VAR2 = 35'h400000057;
else if((VAR1 % 93) == 4) assign VAR2 = 35'h40000009E;
else if((VAR1 % 93) == 5) assign VAR2 = 35'h4000000B6;
else if((VAR1 % 93) == 6) assign VAR2 = 35'h4000000C1;
else if((VAR1 % 93) == 7) assign VAR2 = 35'h4000000CE;
else if((VAR1 % 93) == 8) assign VAR2 = 35'h4000000DC;
else if((VAR1 % 93) == 9) assign VAR2 = 35'h4000000F1;
else if((VAR1 % 93) == 10) assign VAR2 = 35'h4000000F2;
else if((VAR1 % 93) == 11) assign VAR2 = 35'h400000103;
else if((VAR1 % 93) == 12) assign VAR2 = 35'h400000122;
else if((VAR1 % 93) == 13) assign VAR2 = 35'h400000127;
else if((VAR1 % 93) == 14) assign VAR2 = 35'h400000135;
else if((VAR1 % 93) == 15) assign VAR2 = 35'h40000013C;
else if((VAR1 % 93) == 16) assign VAR2 = 35'h400000159;
else if((VAR1 % 93) == 17) assign VAR2 = 35'h400000166;
else if((VAR1 % 93) == 18) assign VAR2 = 35'h400000190;
else if((VAR1 % 93) == 19) assign VAR2 = 35'h4000001E4;
else if((VAR1 % 93) == 20) assign VAR2 = 35'h40000020A;
else if((VAR1 % 93) == 21) assign VAR2 = 35'h40000020C;
else if((VAR1 % 93) == 22) assign VAR2 = 35'h400000218;
else if((VAR1 % 93) == 23) assign VAR2 = 35'h400000239;
else if((VAR1 % 93) == 24) assign VAR2 = 35'h400000244;
else if((VAR1 % 93) == 25) assign VAR2 = 35'h40000028D;
else if((VAR1 % 93) == 26) assign VAR2 = 35'h40000029A;
else if((VAR1 % 93) == 27) assign VAR2 = 35'h4000002B7;
else if((VAR1 % 93) == 28) assign VAR2 = 35'h4000002B8;
else if((VAR1 % 93) == 29) assign VAR2 = 35'h4000002CC;
else if((VAR1 % 93) == 30) assign VAR2 = 35'h4000002D2;
else if((VAR1 % 93) == 31) assign VAR2 = 35'h4000002E4;
else if((VAR1 % 93) == 32) assign VAR2 = 35'h4000002F0;
else if((VAR1 % 93) == 33) assign VAR2 = 35'h4000002F6;
else if((VAR1 % 93) == 34) assign VAR2 = 35'h4000002F9;
else if((VAR1 % 93) == 35) assign VAR2 = 35'h400000301;
else if((VAR1 % 93) == 36) assign VAR2 = 35'h400000308;
else if((VAR1 % 93) == 37) assign VAR2 = 35'h40000032C;
else if((VAR1 % 93) == 38) assign VAR2 = 35'h400000338;
else if((VAR1 % 93) == 39) assign VAR2 = 35'h40000034F;
else if((VAR1 % 93) == 40) assign VAR2 = 35'h40000035B;
else if((VAR1 % 93) == 41) assign VAR2 = 35'h40000037F;
else if((VAR1 % 93) == 42) assign VAR2 = 35'h400000380;
else if((VAR1 % 93) == 43) assign VAR2 = 35'h4000003AE;
else if((VAR1 % 93) == 44) assign VAR2 = 35'h4000003BA;
else if((VAR1 % 93) == 45) assign VAR2 = 35'h4000003D9;
else if((VAR1 % 93) == 46) assign VAR2 = 35'h400000417;
else if((VAR1 % 93) == 47) assign VAR2 = 35'h40000041B;
else if((VAR1 % 93) == 48) assign VAR2 = 35'h40000042D;
else if((VAR1 % 93) == 49) assign VAR2 = 35'h400000430;
else if((VAR1 % 93) == 50) assign VAR2 = 35'h400000453;
else if((VAR1 % 93) == 51) assign VAR2 = 35'h400000463;
else if((VAR1 % 93) == 52) assign VAR2 = 35'h400000471;
else if((VAR1 % 93) == 53) assign VAR2 = 35'h400000478;
else if((VAR1 % 93) == 54) assign VAR2 = 35'h40000048D;
else if((VAR1 % 93) == 55) assign VAR2 = 35'h400000490;
else if((VAR1 % 93) == 56) assign VAR2 = 35'h400000496;
else if((VAR1 % 93) == 57) assign VAR2 = 35'h40000049C;
else if((VAR1 % 93) == 58) assign VAR2 = 35'h4000004B2;
else if((VAR1 % 93) == 59) assign VAR2 = 35'h4000004FA;
else if((VAR1 % 93) == 60) assign VAR2 = 35'h40000051A;
else if((VAR1 % 93) == 61) assign VAR2 = 35'h40000052C;
else if((VAR1 % 93) == 62) assign VAR2 = 35'h40000055E;
else if((VAR1 % 93) == 63) assign VAR2 = 35'h400000561;
else if((VAR1 % 93) == 64) assign VAR2 = 35'h400000594;
else if((VAR1 % 93) == 65) assign VAR2 = 35'h4000005AB;
else if((VAR1 % 93) == 66) assign VAR2 = 35'h4000005AE;
else if((VAR1 % 93) == 67) assign VAR2 = 35'h4000005E5;
else if((VAR1 % 93) == 68) assign VAR2 = 35'h4000005E6;
else if((VAR1 % 93) == 69) assign VAR2 = 35'h4000005F8;
else if((VAR1 % 93) == 70) assign VAR2 = 35'h40000061F;
else if((VAR1 % 93) == 71) assign VAR2 = 35'h400000623;
else if((VAR1 % 93) == 72) assign VAR2 = 35'h400000631;
else if((VAR1 % 93) == 73) assign VAR2 = 35'h40000063E;
else if((VAR1 % 93) == 74) assign VAR2 = 35'h400000652;
else if((VAR1 % 93) == 75) assign VAR2 = 35'h400000668;
else if((VAR1 % 93) == 76) assign VAR2 = 35'h40000066B;
else if((VAR1 % 93) == 77) assign VAR2 = 35'h400000670;
else if((VAR1 % 93) == 78) assign VAR2 = 35'h4000006CE;
else if((VAR1 % 93) == 79) assign VAR2 = 35'h4000006E3;
else if((VAR1 % 93) == 80) assign VAR2 = 35'h400000700;
else if((VAR1 % 93) == 81) assign VAR2 = 35'h400000709;
else if((VAR1 % 93) == 82) assign VAR2 = 35'h400000717;
else if((VAR1 % 93) == 83) assign VAR2 = 35'h40000071B;
else if((VAR1 % 93) == 84) assign VAR2 = 35'h40000071E;
else if((VAR1 % 93) == 85) assign VAR2 = 35'h400000728;
else if((VAR1 % 93) == 86) assign VAR2 = 35'h400000777;
else if((VAR1 % 93) == 87) assign VAR2 = 35'h4000007B4;
else if((VAR1 % 93) == 88) assign VAR2 = 35'h4000007CF;
else if((VAR1 % 93) == 89) assign VAR2 = 35'h4000007D1;
else if((VAR1 % 93) == 90) assign VAR2 = 35'h4000007D2;
else if((VAR1 % 93) == 91) assign VAR2 = 35'h4000007DD;
else if((VAR1 % 93) == 92) assign VAR2 = 35'h4000007EB;
end
else if(VAR3 == 36)
begin
if((VAR1 % 100) == 0) assign VAR2 = 36'h80000003B;
end
else if((VAR1 % 100) == 1) assign VAR2 = 36'h80000003D;
else if((VAR1 % 100) == 2) assign VAR2 = 36'h80000007C;
else if((VAR1 % 100) == 3) assign VAR2 = 36'h8000000B5;
else if((VAR1 % 100) == 4) assign VAR2 = 36'h8000000C1;
else if((VAR1 % 100) == 5) assign VAR2 = 36'h8000000F7;
else if((VAR1 % 100) == 6) assign VAR2 = 36'h80000010C;
else if((VAR1 % 100) == 7) assign VAR2 = 36'h80000011D;
else if((VAR1 % 100) == 8) assign VAR2 = 36'h800000133;
else if((VAR1 % 100) == 9) assign VAR2 = 36'h800000141;
else if((VAR1 % 100) == 10) assign VAR2 = 36'h800000156;
else if((VAR1 % 100) == 11) assign VAR2 = 36'h800000169;
else if((VAR1 % 100) == 12) assign VAR2 = 36'h800000171;
else if((VAR1 % 100) == 13) assign VAR2 = 36'h800000190;
else if((VAR1 % 100) == 14) assign VAR2 = 36'h8000001B8;
else if((VAR1 % 100) == 15) assign VAR2 = 36'h8000001E2;
else if((VAR1 % 100) == 16) assign VAR2 = 36'h8000001FA;
else if((VAR1 % 100) == 17) assign VAR2 = 36'h8000001FC;
else if((VAR1 % 100) == 18) assign VAR2 = 36'h800000221;
else if((VAR1 % 100) == 19) assign VAR2 = 36'h800000256;
else if((VAR1 % 100) == 20) assign VAR2 = 36'h80000028B;
else if((VAR1 % 100) == 21) assign VAR2 = 36'h800000299;
else if((VAR1 % 100) == 22) assign VAR2 = 36'h8000002DD;
else if((VAR1 % 100) == 23) assign VAR2 = 36'h80000030D;
else if((VAR1 % 100) == 24) assign VAR2 = 36'h800000345;
else if((VAR1 % 100) == 25) assign VAR2 = 36'h8000003A2;
else if((VAR1 % 100) == 26) assign VAR2 = 36'h8000003CE;
else if((VAR1 % 100) == 27) assign VAR2 = 36'h800000400;
else if((VAR1 % 100) == 28) assign VAR2 = 36'h800000448;
else if((VAR1 % 100) == 29) assign VAR2 = 36'h8000004CC;
else if((VAR1 % 100) == 30) assign VAR2 = 36'h800000510;
else if((VAR1 % 100) == 31) assign VAR2 = 36'h800000589;
else if((VAR1 % 100) == 32) assign VAR2 = 36'h8000005DF;
else if((VAR1 % 100) == 33) assign VAR2 = 36'h80000063D;
else if((VAR1 % 100) == 34) assign VAR2 = 36'h800000667;
else if((VAR1 % 100) == 35) assign VAR2 = 36'h800000676;
else if((VAR1 % 100) == 36) assign VAR2 = 36'h800000697;
else if((VAR1 % 100) == 37) assign VAR2 = 36'h800000772;
else if((VAR1 % 100) == 38) assign VAR2 = 36'h800000778;
else if((VAR1 % 100) == 39) assign VAR2 = 36'h800000787;
else if((VAR1 % 100) == 40) assign VAR2 = 36'h8000007C6;
else if((VAR1 % 100) == 41) assign VAR2 = 36'h800000830;
else if((VAR1 % 100) == 42) assign VAR2 = 36'h800000848;
else if((VAR1 % 100) == 43) assign VAR2 = 36'h800000855;
else if((VAR1 % 100) == 44) assign VAR2 = 36'h80000087B;
else if((VAR1 % 100) == 45) assign VAR2 = 36'h80000087E;
else if((VAR1 % 100) == 46) assign VAR2 = 36'h80000088B;
else if((VAR1 % 100) == 47) assign VAR2 = 36'h8000008B1;
else if((VAR1 % 100) == 48) assign VAR2 = 36'h8000008BD;
else if((VAR1 % 100) == 49) assign VAR2 = 36'h800000919;
else if((VAR1 % 100) == 50) assign VAR2 = 36'h800000929;
else if((VAR1 % 100) == 51) assign VAR2 = 36'h8000009A7;
else if((VAR1 % 100) == 52) assign VAR2 = 36'h8000009DC;
else if((VAR1 % 100) == 53) assign VAR2 = 36'h800000A01;
else if((VAR1 % 100) == 54) assign VAR2 = 36'h800000A31;
else if((VAR1 % 100) == 55) assign VAR2 = 36'h800000A32;
else if((VAR1 % 100) == 56) assign VAR2 = 36'h800000A62;
else if((VAR1 % 100) == 57) assign VAR2 = 36'h800000B17;
else if((VAR1 % 100) == 58) assign VAR2 = 36'h800000B2E;
else if((VAR1 % 100) == 59) assign VAR2 = 36'h800000B6C;
else if((VAR1 % 100) == 60) assign VAR2 = 36'h800000B7E;
else if((VAR1 % 100) == 61) assign VAR2 = 36'h800000B82;
else if((VAR1 % 100) == 62) assign VAR2 = 36'h800000B95;
else if((VAR1 % 100) == 63) assign VAR2 = 36'h800000B9A;
else if((VAR1 % 100) == 64) assign VAR2 = 36'h800000B9C;
else if((VAR1 % 100) == 65) assign VAR2 = 36'h800000BA6;
else if((VAR1 % 100) == 66) assign VAR2 = 36'h800000BD1;
else if((VAR1 % 100) == 67) assign VAR2 = 36'h800000BEB;
else if((VAR1 % 100) == 68) assign VAR2 = 36'h800000C0B;
else if((VAR1 % 100) == 69) assign VAR2 = 36'h800000C23;
else if((VAR1 % 100) == 70) assign VAR2 = 36'h800000C51;
else if((VAR1 % 100) == 71) assign VAR2 = 36'h800000C61;
else if((VAR1 % 100) == 72) assign VAR2 = 36'h800000C7C;
else if((VAR1 % 100) == 73) assign VAR2 = 36'h800000CCD;
else if((VAR1 % 100) == 74) assign VAR2 = 36'h800000CDC;
else if((VAR1 % 100) == 75) assign VAR2 = 36'h800000D65;
else if((VAR1 % 100) == 76) assign VAR2 = 36'h800000D74;
else if((VAR1 % 100) == 77) assign VAR2 = 36'h800000D7E;
else if((VAR1 % 100) == 78) assign VAR2 = 36'h800000D99;
else if((VAR1 % 100) == 79) assign VAR2 = 36'h800000DC9;
else if((VAR1 % 100) == 80) assign VAR2 = 36'h800000DD8;
else if((VAR1 % 100) == 81) assign VAR2 = 36'h800000E11;
else if((VAR1 % 100) == 82) assign VAR2 = 36'h800000E17;
else if((VAR1 % 100) == 83) assign VAR2 = 36'h800000F79;
else if((VAR1 % 100) == 84) assign VAR2 = 36'h800000F89;
else if((VAR1 % 100) == 85) assign VAR2 = 36'h800000F9E;
else if((VAR1 % 100) == 86) assign VAR2 = 36'h800000FD9;
else if((VAR1 % 100) == 87) assign VAR2 = 36'h800000FE0;
else if((VAR1 % 100) == 88) assign VAR2 = 36'h800000FE6;
else if((VAR1 % 100) == 89) assign VAR2 = 36'h800000FF7;
else if((VAR1 % 100) == 90) assign VAR2 = 36'h800001081;
else if((VAR1 % 100) == 91) assign VAR2 = 36'h8000010C0;
else if((VAR1 % 100) == 92) assign VAR2 = 36'h8000010D8;
else if((VAR1 % 100) == 93) assign VAR2 = 36'h80000111F;
else if((VAR1 % 100) == 94) assign VAR2 = 36'h800001120;
else if((VAR1 % 100) == 95) assign VAR2 = 36'h800001176;
else if((VAR1 % 100) == 96) assign VAR2 = 36'h8000011D5;
else if((VAR1 % 100) == 97) assign VAR2 = 36'h8000011E3;
else if((VAR1 % 100) == 98) assign VAR2 = 36'h80000122F;
else if((VAR1 % 100) == 99) assign VAR2 = 36'h80000123E;
end
else if(VAR3 == 37)
begin
if((VAR1 % 100) == 0) assign VAR2 = 37'h100000001F;
end
else if((VAR1 % 100) == 1) assign VAR2 = 37'h1000000029;
else if((VAR1 % 100) == 2) assign VAR2 = 37'h1000000038;
else if((VAR1 % 100) == 3) assign VAR2 = 37'h100000005B;
else if((VAR1 % 100) == 4) assign VAR2 = 37'h1000000064;
else if((VAR1 % 100) == 5) assign VAR2 = 37'h1000000068;
else if((VAR1 % 100) == 6) assign VAR2 = 37'h100000007A;
else if((VAR1 % 100) == 7) assign VAR2 = 37'h100000009E;
else if((VAR1 % 100) == 8) assign VAR2 = 37'h10000000AE;
else if((VAR1 % 100) == 9) assign VAR2 = 37'h10000000C4;
else if((VAR1 % 100) == 10) assign VAR2 = 37'h10000000E3;
else if((VAR1 % 100) == 11) assign VAR2 = 37'h10000000E6;
else if((VAR1 % 100) == 12) assign VAR2 = 37'h10000000E9;
else if((VAR1 % 100) == 13) assign VAR2 = 37'h10000000F8;
else if((VAR1 % 100) == 14) assign VAR2 = 37'h1000000103;
else if((VAR1 % 100) == 15) assign VAR2 = 37'h100000010C;
else if((VAR1 % 100) == 16) assign VAR2 = 37'h100000017D;
else if((VAR1 % 100) == 17) assign VAR2 = 37'h100000019C;
else if((VAR1 % 100) == 18) assign VAR2 = 37'h10000001A6;
else if((VAR1 % 100) == 19) assign VAR2 = 37'h10000001AA;
else if((VAR1 % 100) == 20) assign VAR2 = 37'h10000001AF;
else if((VAR1 % 100) == 21) assign VAR2 = 37'h10000001B1;
else if((VAR1 % 100) == 22) assign VAR2 = 37'h10000001B7;
else if((VAR1 % 100) == 23) assign VAR2 = 37'h10000001CF;
else if((VAR1 % 100) == 24) assign VAR2 = 37'h10000001DD;
else if((VAR1 % 100) == 25) assign VAR2 = 37'h10000001F9;
else if((VAR1 % 100) == 26) assign VAR2 = 37'h1000000214;
else if((VAR1 % 100) == 27) assign VAR2 = 37'h1000000233;
else if((VAR1 % 100) == 28) assign VAR2 = 37'h1000000260;
else if((VAR1 % 100) == 29) assign VAR2 = 37'h1000000271;
else if((VAR1 % 100) == 30) assign VAR2 = 37'h100000028B;
else if((VAR1 % 100) == 31) assign VAR2 = 37'h100000028E;
else if((VAR1 % 100) == 32) assign VAR2 = 37'h10000002B4;
else if((VAR1 % 100) == 33) assign VAR2 = 37'h10000002BB;
else if((VAR1 % 100) == 34) assign VAR2 = 37'h10000002DE;
else if((VAR1 % 100) == 35) assign VAR2 = 37'h10000002E1;
else if((VAR1 % 100) == 36) assign VAR2 = 37'h10000002E7;
else if((VAR1 % 100) == 37) assign VAR2 = 37'h10000002F0;
else if((VAR1 % 100) == 38) assign VAR2 = 37'h10000002F5;
else if((VAR1 % 100) == 39) assign VAR2 = 37'h1000000316;
else if((VAR1 % 100) == 40) assign VAR2 = 37'h1000000329;
else if((VAR1 % 100) == 41) assign VAR2 = 37'h1000000332;
else if((VAR1 % 100) == 42) assign VAR2 = 37'h1000000345;
else if((VAR1 % 100) == 43) assign VAR2 = 37'h100000035B;
else if((VAR1 % 100) == 44) assign VAR2 = 37'h100000037A;
else if((VAR1 % 100) == 45) assign VAR2 = 37'h1000000386;
else if((VAR1 % 100) == 46) assign VAR2 = 37'h100000038A;
else if((VAR1 % 100) == 47) assign VAR2 = 37'h10000003AB;
else if((VAR1 % 100) == 48) assign VAR2 = 37'h10000003B9;
else if((VAR1 % 100) == 49) assign VAR2 = 37'h10000003BC;
else if((VAR1 % 100) == 50) assign VAR2 = 37'h10000003D0;
else if((VAR1 % 100) == 51) assign VAR2 = 37'h10000003DA;
else if((VAR1 % 100) == 52) assign VAR2 = 37'h10000003EF;
else if((VAR1 % 100) == 53) assign VAR2 = 37'h1000000421;
else if((VAR1 % 100) == 54) assign VAR2 = 37'h1000000433;
else if((VAR1 % 100) == 55) assign VAR2 = 37'h1000000442;
else if((VAR1 % 100) == 56) assign VAR2 = 37'h100000044B;
else if((VAR1 % 100) == 57) assign VAR2 = 37'h1000000463;
else if((VAR1 % 100) == 58) assign VAR2 = 37'h1000000471;
else if((VAR1 % 100) == 59) assign VAR2 = 37'h1000000472;
else if((VAR1 % 100) == 60) assign VAR2 = 37'h100000049C;
else if((VAR1 % 100) == 61) assign VAR2 = 37'h10000004AC;
else if((VAR1 % 100) == 62) assign VAR2 = 37'h10000004B1;
else if((VAR1 % 100) == 63) assign VAR2 = 37'h10000004BE;
else if((VAR1 % 100) == 64) assign VAR2 = 37'h10000004C9;
else if((VAR1 % 100) == 65) assign VAR2 = 37'h10000004D7;
else if((VAR1 % 100) == 66) assign VAR2 = 37'h10000004E1;
else if((VAR1 % 100) == 67) assign VAR2 = 37'h10000004E4;
else if((VAR1 % 100) == 68) assign VAR2 = 37'h10000004F6;
else if((VAR1 % 100) == 69) assign VAR2 = 37'h10000004F9;
else if((VAR1 % 100) == 70) assign VAR2 = 37'h1000000523;
else if((VAR1 % 100) == 71) assign VAR2 = 37'h1000000526;
else if((VAR1 % 100) == 72) assign VAR2 = 37'h100000054F;
else if((VAR1 % 100) == 73) assign VAR2 = 37'h1000000562;
else if((VAR1 % 100) == 74) assign VAR2 = 37'h1000000575;
else if((VAR1 % 100) == 75) assign VAR2 = 37'h1000000592;
else if((VAR1 % 100) == 76) assign VAR2 = 37'h10000005BC;
else if((VAR1 % 100) == 77) assign VAR2 = 37'h10000005C1;
else if((VAR1 % 100) == 78) assign VAR2 = 37'h10000005C8;
else if((VAR1 % 100) == 79) assign VAR2 = 37'h10000005DA;
else if((VAR1 % 100) == 80) assign VAR2 = 37'h10000005E0;
else if((VAR1 % 100) == 81) assign VAR2 = 37'h10000005F4;
else if((VAR1 % 100) == 82) assign VAR2 = 37'h100000060D;
else if((VAR1 % 100) == 83) assign VAR2 = 37'h1000000623;
else if((VAR1 % 100) == 84) assign VAR2 = 37'h1000000632;
else if((VAR1 % 100) == 85) assign VAR2 = 37'h1000000634;
else if((VAR1 % 100) == 86) assign VAR2 = 37'h100000066B;
else if((VAR1 % 100) == 87) assign VAR2 = 37'h100000066E;
else if((VAR1 % 100) == 88) assign VAR2 = 37'h1000000679;
else if((VAR1 % 100) == 89) assign VAR2 = 37'h1000000680;
else if((VAR1 % 100) == 90) assign VAR2 = 37'h100000069B;
else if((VAR1 % 100) == 91) assign VAR2 = 37'h10000006A1;
else if((VAR1 % 100) == 92) assign VAR2 = 37'h10000006AB;
else if((VAR1 % 100) == 93) assign VAR2 = 37'h10000006D6;
else if((VAR1 % 100) == 94) assign VAR2 = 37'h10000006DF;
else if((VAR1 % 100) == 95) assign VAR2 = 37'h10000006F1;
else if((VAR1 % 100) == 96) assign VAR2 = 37'h10000006F2;
else if((VAR1 % 100) == 97) assign VAR2 = 37'h1000000718;
else if((VAR1 % 100) == 98) assign VAR2 = 37'h1000000722;
else if((VAR1 % 100) == 99) assign VAR2 = 37'h100000074E;
end
else if(VAR3 == 38)
begin
if((VAR1 % 100) == 0) assign VAR2 = 38'h2000000031;
end
else if((VAR1 % 100) == 1) assign VAR2 = 38'h2000000051;
else if((VAR1 % 100) == 2) assign VAR2 = 38'h200000006B;
else if((VAR1 % 100) == 3) assign VAR2 = 38'h20000000A1;
else if((VAR1 % 100) == 4) assign VAR2 = 38'h20000000B9;
else if((VAR1 % 100) == 5) assign VAR2 = 38'h20000000D5;
else if((VAR1 % 100) == 6) assign VAR2 = 38'h20000000F8;
else if((VAR1 % 100) == 7) assign VAR2 = 38'h20000000FB;
else if((VAR1 % 100) == 8) assign VAR2 = 38'h2000000106;
else if((VAR1 % 100) == 9) assign VAR2 = 38'h2000000163;
else if((VAR1 % 100) == 10) assign VAR2 = 38'h2000000184;
else if((VAR1 % 100) == 11) assign VAR2 = 38'h2000000199;
else if((VAR1 % 100) == 12) assign VAR2 = 38'h20000001B2;
else if((VAR1 % 100) == 13) assign VAR2 = 38'h20000001B4;
else if((VAR1 % 100) == 14) assign VAR2 = 38'h200000020F;
else if((VAR1 % 100) == 15) assign VAR2 = 38'h2000000239;
else if((VAR1 % 100) == 16) assign VAR2 = 38'h200000026F;
else if((VAR1 % 100) == 17) assign VAR2 = 38'h200000027D;
else if((VAR1 % 100) == 18) assign VAR2 = 38'h20000002AC;
else if((VAR1 % 100) == 19) assign VAR2 = 38'h20000002B2;
else if((VAR1 % 100) == 20) assign VAR2 = 38'h20000002B8;
else if((VAR1 % 100) == 21) assign VAR2 = 38'h200000032F;
else if((VAR1 % 100) == 22) assign VAR2 = 38'h2000000334;
else if((VAR1 % 100) == 23) assign VAR2 = 38'h200000037C;
else if((VAR1 % 100) == 24) assign VAR2 = 38'h200000037F;
else if((VAR1 % 100) == 25) assign VAR2 = 38'h2000000389;
else if((VAR1 % 100) == 26) assign VAR2 = 38'h2000000398;
else if((VAR1 % 100) == 27) assign VAR2 = 38'h20000003A8;
else if((VAR1 % 100) == 28) assign VAR2 = 38'h20000003C1;
else if((VAR1 % 100) == 29) assign VAR2 = 38'h20000003CB;
else if((VAR1 % 100) == 30) assign VAR2 = 38'h20000003CD;
else if((VAR1 % 100) == 31) assign VAR2 = 38'h20000003DA;
else if((VAR1 % 100) == 32) assign VAR2 = 38'h2000000412;
else if((VAR1 % 100) == 33) assign VAR2 = 38'h200000041B;
else if((VAR1 % 100) == 34) assign VAR2 = 38'h2000000428;
else if((VAR1 % 100) == 35) assign VAR2 = 38'h200000043F;
else if((VAR1 % 100) == 36) assign VAR2 = 38'h200000046A;
else if((VAR1 % 100) == 37) assign VAR2 = 38'h2000000472;
else if((VAR1 % 100) == 38) assign VAR2 = 38'h2000000477;
else if((VAR1 % 100) == 39) assign VAR2 = 38'h2000000490;
else if((VAR1 % 100) == 40) assign VAR2 = 38'h20000004AA;
else if((VAR1 % 100) == 41) assign VAR2 = 38'h20000004BD;
else if((VAR1 % 100) == 42) assign VAR2 = 38'h20000004C5;
else if((VAR1 % 100) == 43) assign VAR2 = 38'h20000004DE;
else if((VAR1 % 100) == 44) assign VAR2 = 38'h200000051A;
else if((VAR1 % 100) == 45) assign VAR2 = 38'h2000000552;
else if((VAR1 % 100) == 46) assign VAR2 = 38'h200000055B;
else if((VAR1 % 100) == 47) assign VAR2 = 38'h2000000564;
else if((VAR1 % 100) == 48) assign VAR2 = 38'h2000000568;
else if((VAR1 % 100) == 49) assign VAR2 = 38'h2000000573;
else if((VAR1 % 100) == 50) assign VAR2 = 38'h20000005D3;
else if((VAR1 % 100) == 51) assign VAR2 = 38'h20000005DC;
else if((VAR1 % 100) == 52) assign VAR2 = 38'h20000005E6;
else if((VAR1 % 100) == 53) assign VAR2 = 38'h20000005EF;
else if((VAR1 % 100) == 54) assign VAR2 = 38'h20000005FD;
else if((VAR1 % 100) == 55) assign VAR2 = 38'h2000000640;
else if((VAR1 % 100) == 56) assign VAR2 = 38'h2000000646;
else if((VAR1 % 100) == 57) assign VAR2 = 38'h200000064F;
else if((VAR1 % 100) == 58) assign VAR2 = 38'h2000000657;
else if((VAR1 % 100) == 59) assign VAR2 = 38'h2000000694;
else if((VAR1 % 100) == 60) assign VAR2 = 38'h2000000709;
else if((VAR1 % 100) == 61) assign VAR2 = 38'h2000000711;
else if((VAR1 % 100) == 62) assign VAR2 = 38'h200000072D;
else if((VAR1 % 100) == 63) assign VAR2 = 38'h2000000742;
else if((VAR1 % 100) == 64) assign VAR2 = 38'h2000000756;
else if((VAR1 % 100) == 65) assign VAR2 = 38'h200000076F;
else if((VAR1 % 100) == 66) assign VAR2 = 38'h200000078B;
else if((VAR1 % 100) == 67) assign VAR2 = 38'h2000000795;
else if((VAR1 % 100) == 68) assign VAR2 = 38'h20000007AC;
else if((VAR1 % 100) == 69) assign VAR2 = 38'h20000007B8;
else if((VAR1 % 100) == 70) assign VAR2 = 38'h20000007BE;
else if((VAR1 % 100) == 71) assign VAR2 = 38'h20000007E2;
else if((VAR1 % 100) == 72) assign VAR2 = 38'h20000007EE;
else if((VAR1 % 100) == 73) assign VAR2 = 38'h20000007FC;
else if((VAR1 % 100) == 74) assign VAR2 = 38'h2000000818;
else if((VAR1 % 100) == 75) assign VAR2 = 38'h200000081B;
else if((VAR1 % 100) == 76) assign VAR2 = 38'h200000081D;
else if((VAR1 % 100) == 77) assign VAR2 = 38'h200000083F;
else if((VAR1 % 100) == 78) assign VAR2 = 38'h200000085C;
else if((VAR1 % 100) == 79) assign VAR2 = 38'h20000008AF;
else if((VAR1 % 100) == 80) assign VAR2 = 38'h20000008B4;
else if((VAR1 % 100) == 81) assign VAR2 = 38'h2000000902;
else if((VAR1 % 100) == 82) assign VAR2 = 38'h200000090D;
else if((VAR1 % 100) == 83) assign VAR2 = 38'h200000091C;
else if((VAR1 % 100) == 84) assign VAR2 = 38'h2000000926;
else if((VAR1 % 100) == 85) assign VAR2 = 38'h2000000980;
else if((VAR1 % 100) == 86) assign VAR2 = 38'h2000000989;
else if((VAR1 % 100) == 87) assign VAR2 = 38'h20000009A1;
else if((VAR1 % 100) == 88) assign VAR2 = 38'h20000009AD;
else if((VAR1 % 100) == 89) assign VAR2 = 38'h20000009BA;
else if((VAR1 % 100) == 90) assign VAR2 = 38'h20000009C8;
else if((VAR1 % 100) == 91) assign VAR2 = 38'h2000000A37;
else if((VAR1 % 100) == 92) assign VAR2 = 38'h2000000A54;
else if((VAR1 % 100) == 93) assign VAR2 = 38'h2000000A6D;
else if((VAR1 % 100) == 94) assign VAR2 = 38'h2000000A6E;
else if((VAR1 % 100) == 95) assign VAR2 = 38'h2000000AA4;
else if((VAR1 % 100) == 96) assign VAR2 = 38'h2000000AC4;
else if((VAR1 % 100) == 97) assign VAR2 = 38'h2000000AC8;
else if((VAR1 % 100) == 98) assign VAR2 = 38'h2000000ACD;
else if((VAR1 % 100) == 99) assign VAR2 = 38'h2000000AE9;
end
else if(VAR3 == 39)
begin
if((VAR1 % 61) == 0) assign VAR2 = 39'h4000000008;
end
else if((VAR1 % 61) == 1) assign VAR2 = 39'h4000000049;
else if((VAR1 % 61) == 2) assign VAR2 = 39'h400000006E;
else if((VAR1 % 61) == 3) assign VAR2 = 39'h4000000080;
else if((VAR1 % 61) == 4) assign VAR2 = 39'h40000000A4;
else if((VAR1 % 61) == 5) assign VAR2 = 39'h40000000E3;
else if((VAR1 % 61) == 6) assign VAR2 = 39'h40000000F1;
else if((VAR1 % 61) == 7) assign VAR2 = 39'h4000000105;
else if((VAR1 % 61) == 8) assign VAR2 = 39'h4000000106;
else if((VAR1 % 61) == 9) assign VAR2 = 39'h4000000117;
else if((VAR1 % 61) == 10) assign VAR2 = 39'h4000000121;
else if((VAR1 % 61) == 11) assign VAR2 = 39'h400000012D;
else if((VAR1 % 61) == 12) assign VAR2 = 39'h4000000130;
else if((VAR1 % 61) == 13) assign VAR2 = 39'h400000013A;
else if((VAR1 % 61) == 14) assign VAR2 = 39'h400000013F;
else if((VAR1 % 61) == 15) assign VAR2 = 39'h400000014E;
else if((VAR1 % 61) == 16) assign VAR2 = 39'h4000000155;
else if((VAR1 % 61) == 17) assign VAR2 = 39'h4000000160;
else if((VAR1 % 61) == 18) assign VAR2 = 39'h4000000172;
else if((VAR1 % 61) == 19) assign VAR2 = 39'h4000000199;
else if((VAR1 % 61) == 20) assign VAR2 = 39'h40000001BB;
else if((VAR1 % 61) == 21) assign VAR2 = 39'h40000001CC;
else if((VAR1 % 61) == 22) assign VAR2 = 39'h40000001F9;
else if((VAR1 % 61) == 23) assign VAR2 = 39'h4000000227;
else if((VAR1 % 61) == 24) assign VAR2 = 39'h4000000277;
else if((VAR1 % 61) == 25) assign VAR2 = 39'h40000002A3;
else if((VAR1 % 61) == 26) assign VAR2 = 39'h40000002AC;
else if((VAR1 % 61) == 27) assign VAR2 = 39'h40000002C9;
else if((VAR1 % 61) == 28) assign VAR2 = 39'h40000002D8;
else if((VAR1 % 61) == 29) assign VAR2 = 39'h40000002DD;
else if((VAR1 % 61) == 30) assign VAR2 = 39'h40000002F6;
else if((VAR1 % 61) == 31) assign VAR2 = 39'h4000000313;
else if((VAR1 % 61) == 32) assign VAR2 = 39'h400000031A;
else if((VAR1 % 61) == 33) assign VAR2 = 39'h400000031C;
else if((VAR1 % 61) == 34) assign VAR2 = 39'h4000000323;
else if((VAR1 % 61) == 35) assign VAR2 = 39'h4000000338;
else if((VAR1 % 61) == 36) assign VAR2 = 39'h4000000357;
else if((VAR1 % 61) == 37) assign VAR2 = 39'h4000000361;
else if((VAR1 % 61) == 38) assign VAR2 = 39'h4000000368;
else if((VAR1 % 61) == 39) assign VAR2 = 39'h4000000383;
else if((VAR1 % 61) == 40) assign VAR2 = 39'h4000000391;
else if((VAR1 % 61) == 41) assign VAR2 = 39'h400000039D;
else if((VAR1 % 61) == 42) assign VAR2 = 39'h40000003B5;
else if((VAR1 % 61) == 43) assign VAR2 = 39'h40000003CE;
else if((VAR1 % 61) == 44) assign VAR2 = 39'h40000003D0;
else if((VAR1 % 61) == 45) assign VAR2 = 39'h40000003EF;
else if((VAR1 % 61) == 46) assign VAR2 = 39'h40000003F2;
else if((VAR1 % 61) == 47) assign VAR2 = 39'h400000042E;
else if((VAR1 % 61) == 48) assign VAR2 = 39'h4000000441;
else if((VAR1 % 61) == 49) assign VAR2 = 39'h4000000459;
else if((VAR1 % 61) == 50) assign VAR2 = 39'h400000046A;
else if((VAR1 % 61) == 51) assign VAR2 = 39'h400000048B;
else if((VAR1 % 61) == 52) assign VAR2 = 39'h40000004A5;
else if((VAR1 % 61) == 53) assign VAR2 = 39'h40000004D2;
else if((VAR1 % 61) == 54) assign VAR2 = 39'h40000004E4;
else if((VAR1 % 61) == 55) assign VAR2 = 39'h40000004E8;
else if((VAR1 % 61) == 56) assign VAR2 = 39'h40000004F6;
else if((VAR1 % 61) == 57) assign VAR2 = 39'h4000000502;
else if((VAR1 % 61) == 58) assign VAR2 = 39'h400000050D;
else if((VAR1 % 61) == 59) assign VAR2 = 39'h400000051C;
else if((VAR1 % 61) == 60) assign VAR2 = 39'h4000000523;
end
else
begin
begin
|
bsd-2-clause
|
bluespec/Flute
|
src_bsc_lib_RTL/BRAM1BELoad.v
| 4,488 |
module MODULE1(VAR9,
VAR3,
VAR1,
VAR10,
VAR6,
VAR2
);
parameter VAR17 = "";
parameter VAR16 = 0;
parameter VAR11 = 1;
parameter VAR4 = 1;
parameter VAR15 = 1;
parameter VAR13 = 1;
parameter VAR12 = 1;
parameter VAR14 = 0;
input VAR9;
input VAR3;
input [VAR13-1:0] VAR1;
input [VAR11-1:0] VAR10;
input [VAR4-1:0] VAR6;
output [VAR4-1:0] VAR2;
reg [VAR4-1:0] VAR7[0:VAR12-1];
reg [VAR4-1:0] VAR5;
reg [VAR4-1:0] VAR8;
|
apache-2.0
|
dawsonjon/fpu
|
double_to_float/file_writer.v
| 1,166 |
module MODULE1(VAR4,VAR2,clk,rst,VAR1);
integer VAR5;
integer VAR3;
input clk;
input rst;
input [31:0] VAR4;
input VAR2;
output VAR1;
reg VAR6;
reg state;
reg [31:0] VAR7;
begin
begin
begin
begin
|
mit
|
lneuhaus/pyrpl
|
pyrpl/fpga/rtl/red_pitaya_iq_block.v
| 13,386 |
module MODULE1 #(
parameter VAR62 = 1, parameter VAR16 = 5, parameter VAR6 = 50,
parameter VAR28 = 11, parameter VAR14 = 17, parameter VAR57 = 32,
parameter VAR66 = 14, parameter VAR42 = 24, parameter VAR7 = 18 , parameter VAR87 = 8 ,
parameter VAR64 = 2,
parameter VAR83 = 5,
parameter VAR47 = 10
)
(
input VAR43 , input VAR29 , input VAR22 , input [ 14-1: 0] VAR84 , output [ 14-1: 0] VAR63 , output [ 14-1: 0] VAR70 , output [ 14-1: 0] VAR30 ,
input [ 16-1: 0] addr,
input VAR37,
input VAR17,
output reg ack,
output reg [ 32-1: 0] VAR3,
input [ 32-1: 0] VAR82
);
localparam VAR69 = 4'd0;
localparam VAR58 = 4'd1;
localparam VAR31 = 4'd2;
localparam VAR21 = 4'd4;
reg [4-1:0] VAR34;
wire VAR23;
assign VAR23 = VAR22;
reg VAR68; reg VAR19; reg VAR86; reg VAR40;
reg [VAR57-1:0] VAR51;
reg [VAR57-1:0] VAR71;
reg signed [VAR7-1:0] VAR53;
reg signed [VAR7-1:0] VAR81;
reg signed [VAR7-1:0] VAR33;
reg signed [VAR7-1:0] VAR48;
reg [32-1:0] VAR52;
reg [32-1:0] VAR55;
always @(posedge VAR43) begin
if (VAR29 == 1'b0) begin
VAR68 <= 1'b0; VAR19 <= 1'b0; VAR86 <= 1'b0; VAR40 <= 1'b0; VAR52 <= 32'd0;
VAR55 <= 32'd0;
VAR51 <= {VAR57{1'b0}};
VAR71 <= {VAR57{1'b0}};
VAR53 <= {VAR7{1'b0}};
VAR81 <= {VAR7{1'b0}};
VAR33 <= {VAR7{1'b0}};
VAR48 <= {VAR7{1'b0}};
VAR91 = 32'd0;
VAR79 = 32'd0;
VAR39 <= 1'b1;
VAR34 <= VAR69;
end
else begin
if (VAR37) begin
if (addr==16'h100) {VAR40,VAR86,VAR19,VAR68,VAR39} <= VAR82[6-1:1];
if (addr==16'h104) VAR51 <= VAR82[VAR57-1:0];
if (addr==16'h108) VAR71 <= VAR82[VAR57-1:0];
if (addr==16'h10C) VAR34 <= VAR82[4-1:0];
if (addr==16'h110) VAR53 <= VAR82[VAR7-1:0];
if (addr==16'h114) VAR81 <= VAR82[VAR7-1:0];
if (addr==16'h118) VAR33 <= VAR82[VAR7-1:0];
if (addr==16'h11C) VAR48 <= VAR82[VAR7-1:0];
if (addr==16'h120) VAR52 <= VAR82;
if (addr==16'h124) VAR55 <= VAR82;
if (addr==16'h130) VAR91 <= VAR82;
if (addr==16'h134) VAR79 <= VAR82;
if (addr==16'h134) VAR79 <= VAR82;
end
casez (addr)
16'h100 : begin ack <= VAR37|VAR17; VAR3 <= {{32-6{1'b0}},VAR40,VAR86,VAR19,VAR68,VAR39,VAR23}; end
16'h104 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR57{1'b0}},VAR51}; end
16'h108 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR57{1'b0}},VAR71}; end
16'h10C : begin ack <= VAR37|VAR17; VAR3 <= {{32-4{1'b0}},VAR34}; end
16'h110 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR7{1'b0}},VAR53}; end
16'h114 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR7{1'b0}},VAR81}; end
16'h118 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR7{1'b0}},VAR33}; end
16'h11C : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR7{1'b0}},VAR48}; end
16'h120 : begin ack <= VAR37|VAR17; VAR3 <= VAR52; end
16'h124 : begin ack <= VAR37|VAR17; VAR3 <= VAR55; end
16'h130 : begin ack <= VAR37|VAR17; VAR3 <= VAR91; end
16'h134 : begin ack <= VAR37|VAR17; VAR3 <= VAR79; end
16'h140 : begin ack <= VAR37|VAR17; VAR3 <= {VAR2,VAR73[31-1:0]};end
16'h144 : begin ack <= VAR37|VAR17; VAR3 <= {VAR2,VAR73[62-1:31]};end
16'h148 : begin ack <= VAR37|VAR17; VAR3 <= {VAR2,VAR61[31-1:0]};end
16'h14C : begin ack <= VAR37|VAR17; VAR3 <= {VAR2,VAR61[62-1:31]};end
16'h150 : begin ack <= VAR37|VAR17; VAR3 <= {{32-VAR66{1'b0}},VAR65};end
16'h200 : begin ack <= VAR37|VAR17; VAR3 <= VAR28; end
16'h204 : begin ack <= VAR37|VAR17; VAR3 <= VAR14; end
16'h208 : begin ack <= VAR37|VAR17; VAR3 <= VAR57; end
16'h20C : begin ack <= VAR37|VAR17; VAR3 <= VAR7; end
16'h210 : begin ack <= VAR37|VAR17; VAR3 <= VAR66; end
16'h214 : begin ack <= VAR37|VAR17; VAR3 <= VAR42; end
16'h218 : begin ack <= VAR37|VAR17; VAR3 <= VAR87; end
16'h220 : begin ack <= VAR37|VAR17; VAR3 <= VAR62; end
16'h224 : begin ack <= VAR37|VAR17; VAR3 <= VAR16; end
16'h228 : begin ack <= VAR37|VAR17; VAR3 <= VAR6; end
16'h230 : begin ack <= VAR37|VAR17; VAR3 <= VAR64; end
16'h234 : begin ack <= VAR37|VAR17; VAR3 <= VAR83; end
16'h238 : begin ack <= VAR37|VAR17; VAR3 <= VAR47; end
default: begin ack <= VAR37|VAR17; VAR3 <= 32'h0; end
endcase
end
end
wire signed [14-1:0] VAR90;
VAR45 #(
.VAR35(VAR62),
.VAR87(VAR16),
.VAR66(14),
.VAR32(VAR6)
)
VAR52
(
.VAR43(VAR43),
.VAR29(VAR29),
.VAR75(VAR52),
.VAR84(VAR84),
.VAR63(VAR90)
);
wire signed [VAR14-1:0] VAR88;
wire signed [VAR14-1:0] VAR10;
wire signed [VAR14-1:0] VAR76;
wire signed [VAR14-1:0] VAR27;
VAR44 #(
.VAR28 ( VAR28 ),
.VAR14 ( VAR14 ),
.VAR57 ( VAR57 )
)
VAR85
(
.VAR43 ( VAR43 ), .VAR29 ( VAR29 ),
.VAR23 ( VAR23 ),
.VAR68 ( VAR68 ),
.VAR19 ( VAR19 ),
.VAR86 ( VAR86 ),
.VAR40 ( VAR40 ),
.VAR51 ( VAR51 ),
.VAR71 ( VAR71 ),
.VAR36 ( VAR88 ),
.VAR74 ( VAR10 ),
.VAR41 ( VAR76 ),
.VAR25 ( VAR27 )
);
wire signed [VAR42-1:0] VAR15;
wire signed [VAR42-1:0] VAR18;
VAR24 #(
.VAR49 (VAR66),
.VAR54 (VAR42),
.VAR72 (VAR14),
.VAR87 (1) )
VAR38
(
.VAR43 (VAR43),
.VAR88 (VAR76),
.VAR10 (VAR27),
.VAR1 (VAR90),
.VAR56 (VAR15),
.VAR30 (VAR18)
);
wire signed [VAR42-1:0] VAR5;
wire signed [VAR42-1:0] VAR80;
wire signed [VAR66-1:0] VAR59;
wire signed [VAR66-1:0] VAR13;
VAR45 #(
.VAR35(VAR64),
.VAR87(VAR83),
.VAR66(VAR42),
.VAR32(VAR47)
)
VAR89 [1:0]
(
.VAR43 ( {VAR43,VAR43} ),
.VAR29 ( {VAR29,VAR29} ),
.VAR75( {VAR55, VAR55} ),
.VAR84 ( {VAR15,VAR18} ),
.VAR63 ( {VAR5,VAR80} )
);
VAR26 #(
.VAR49 (VAR42),
.VAR54 (VAR66),
.VAR72 (VAR14),
.VAR7 (VAR7),
.VAR87 (VAR87)
)
VAR77
(
.VAR43 (VAR43),
.VAR88 (VAR88),
.VAR10 (VAR10),
.VAR53 (VAR53) ,
.VAR81 (VAR81) ,
.VAR33 (VAR33) ,
.VAR48 (VAR48) ,
.VAR9 (VAR5),
.VAR67 (VAR80),
.VAR63 (VAR63),
.VAR78 (VAR59),
.VAR12 (VAR13)
);
reg VAR2;
reg signed [62-1:0] VAR73;
reg signed [62-1:0] VAR61;
reg [32-1:0] VAR91; reg [32-1:0] VAR79; reg [32-1:0] VAR4;
reg [32-1:0] VAR20;
always @(posedge VAR43) begin
if (VAR29 == 1'b0) begin VAR73 <= {62{1'b0}};
VAR61 <= {62{1'b0}};
VAR4 <= {32{1'b0}};
VAR20 <= {32{1'b0}};
VAR2 <= 1'b0;
end
else if (VAR37 && addr[16-1:0]==16'h0108) begin VAR73 <= {63{1'b0}};
VAR61 <= {63{1'b0}};
VAR4 <= VAR91;
VAR20 <= VAR79;
VAR2 <= 1'b1;
end
else if (VAR4 == {32{1'b0}} ) begin VAR2 <= 1'b0;
end
else if (VAR20 == {32{1'b0}} ) begin VAR4 <= VAR4 - 32'b1;
VAR2 <= 1'b1;
VAR73 <= VAR73 + VAR5;
VAR61 <= VAR61 + VAR80;
end
else begin VAR20 <= VAR20 - 32'b1;
VAR2 <= 1'b1;
end
end
wire [VAR66-1:0] VAR65;
reg VAR39;
VAR60 VAR46 (
.VAR29(VAR39),
.VAR43 (VAR43),
.VAR8 (VAR90[VAR66-1]), .VAR11 (VAR88[VAR14-1]), .VAR50(VAR65)
);
assign VAR70 = (VAR34==VAR69) ? VAR59
: (VAR34==VAR58) ? VAR63
: (VAR34==VAR31) ? VAR65
: {VAR66{1'b0}};
assign VAR30 = VAR13;
endmodule
|
mit
|
MeshSr/onetswitch30
|
ons30-app52-ref_ofshw/vivado/onets_7030_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/output_port_lookup.v
| 21,016 |
module MODULE1
parameter VAR57=VAR89/8,
parameter VAR40 = 8,
parameter VAR58 = 64,
parameter VAR19 = 16,
parameter VAR39 = 0,
parameter VAR64=2
)
( output [VAR89-1:0] VAR56,
output [VAR57-1:0] VAR108,
output VAR101,
input VAR82,
input [VAR89-1:0] VAR46,
input [VAR57-1:0] VAR63,
input VAR15,
output VAR103,
input [31:0] VAR27,
input [31:0] VAR8,
input VAR4,
input VAR70,
output VAR13,
output [31:0] VAR86,
input VAR7,
input VAR69,
input clk,
input reset);
localparam VAR107 = 12;
localparam VAR43 = VAR92(VAR19);
localparam VAR104 = VAR104 ;
localparam VAR37 = VAR37 ;
localparam VAR38 = VAR38 ;
localparam VAR44 = VAR44 ;
localparam VAR26 = VAR26 ;
wire [VAR89-1:0] VAR28 ;
wire [VAR57-1:0] VAR52 ;
wire VAR76 ;
wire VAR68 ;
wire [VAR104-1:0] VAR18 ;
wire VAR3 ;
wire [VAR104-1:0] VAR2;
wire [VAR104-1:0] VAR47;
wire [VAR57-1:0] VAR24 ;
wire [VAR89-1:0] VAR96 ;
wire [VAR58+31:0] VAR79 ;
wire [VAR37-1:0] VAR33 ;
wire [VAR37-1:0] VAR99 ;
wire [VAR107-1:0] VAR71 ;
reg [31:0] VAR81 ;
reg [27:0] VAR30 ;
wire [VAR104-1:0] VAR10 ;
wire [VAR40-1:0] VAR61 ;
wire VAR118 ;
wire [VAR43-1:0] VAR14 ;
wire [VAR43-1:0] VAR77 ;
wire VAR117 ;
wire VAR60 ;
wire VAR114 ;
wire [VAR38-1:0] VAR20 ;
wire [319:0] VAR111 ;
wire [319:0] VAR45 ;
wire [VAR38 - 1:0] VAR48 ;
wire [VAR58+31:0] VAR66 ;
wire [VAR58-1:0] VAR98 ;
wire VAR31 ;
wire [VAR58+31:0] VAR110 ;
wire [VAR58-1:0] VAR54 ;
wire [7:0] VAR78 ;
wire [VAR43-1:0] VAR83 ;
wire VAR109 ;
wire [31:0] VAR97 ;
wire [31:0] VAR21 ;
wire VAR16 ;
wire VAR106 ;
wire [3:0] VAR5 ;
VAR36
.VAR88 (5)
)VAR49
(
.din ({VAR63, VAR46}), .VAR115 (VAR15), .VAR22 (VAR59), .dout ({VAR24, VAR96}),
.VAR87 (),
.VAR100 (),
.VAR55 (VAR73),
.VAR116 (VAR32),
.reset (reset),
.clk (clk)
);
VAR65
.VAR57 (VAR57),
.VAR107 (VAR107),
.VAR51 (VAR6),
.VAR93 (VAR9),
.VAR34 (16'hEFFF), .VAR75 (VAR23),
.VAR29 (16'hFFFF),
.VAR42 (VAR26),
.VAR58 (VAR58+32),
.VAR39 (VAR39)
)
VAR65
( .VAR46 (VAR46),
.VAR63 (VAR63),
.VAR15 (VAR15),
.VAR79 (VAR79),
.VAR71 (VAR71),
.VAR113 (VAR113),
.VAR117 (VAR117),
.VAR78 (VAR78),
.reset (reset),
.clk (clk)
);
VAR25
.VAR107 (VAR107),
.VAR58 (VAR58+32),
.VAR19 (VAR19),
.VAR39 (VAR39),
.VAR43 (VAR43),
.VAR41 (VAR58+32)
) VAR25
( .VAR79 (VAR79), .VAR113 (VAR113),
.VAR85 (VAR85),
.VAR71 (VAR71),
.VAR17 (VAR17),
.VAR47 (VAR47[VAR104-1 : 0]),
.VAR105 (VAR105),
.VAR117 (VAR117),
.VAR112 (VAR81),
.clk (clk),
.reset (reset),
.VAR14 (VAR14),
.VAR60 (VAR60 ),
.VAR114 (VAR114 ),
.VAR20 (VAR20 ),
.VAR111 (VAR111 ),
.VAR45 (VAR45),
.VAR11 (VAR48),
.VAR110 (VAR66),
.VAR54 (VAR98),
.VAR31 (VAR31 ),
.VAR66 (VAR110 ),
.VAR98 (VAR54),
.VAR67 (VAR83),
.VAR109 (VAR109),
.VAR1 (VAR97),
.VAR84 (VAR21)
);
VAR36
.VAR88 (3)
)VAR102
(
.din ({VAR47}), .VAR115 (VAR105), .VAR22 (VAR95), .dout (VAR10),
.VAR87 (),
.VAR55 (VAR80),
.VAR100 (),
.VAR116 (VAR90),
.reset (reset),
.clk (clk)
);
VAR36
.VAR88 (3)
)VAR53
(
.din (VAR17), .VAR115 (VAR105), .VAR22 (VAR95), .dout (VAR16),
.VAR87 (),
.VAR55 (VAR80),
.VAR100 (),
.VAR116 (VAR90),
.reset (reset),
.clk (clk)
);
VAR36
.VAR88 (3)
)VAR62
(
.din (VAR14), .VAR115 (VAR105), .VAR22 (VAR95), .dout (VAR77),
.VAR87 (),
.VAR55 (VAR35),
.VAR100 (),
.VAR116 (VAR94),
.reset (reset),
.clk (clk)
);
VAR72
.VAR64 (VAR64),
.VAR39 (VAR39)
)VAR72
(
.VAR10 (VAR10),
.VAR95 (VAR95),
.VAR90 (VAR90),
.VAR24 (VAR24),
.VAR96 (VAR96),
.VAR59 (VAR59),
.VAR32 (VAR32),
.VAR101 (VAR76),
.VAR82 (VAR68),
.VAR56 (VAR28),
.VAR108 (VAR52),
.VAR18 (VAR18),
.VAR3 (VAR3),
.VAR106 (VAR106),
.VAR16 (VAR16),
.VAR5 (VAR5),
.clk (clk),
.reset (reset),
.VAR117 (VAR117)
);
VAR91
.VAR64 (VAR64),
.VAR39 (VAR39)
)VAR91
(
.VAR3 (VAR3),
.VAR106 (VAR106),
.VAR18 (VAR18),
.VAR5 (VAR5),
.VAR63 (VAR52),
.VAR46 (VAR28),
.VAR103 (VAR68),
.VAR15 (VAR76),
.VAR56 (VAR56),
.VAR108 (VAR108),
.VAR101 (VAR101 ),
.VAR82 (VAR82 ),
.VAR7 (VAR7),
.clk (clk),
.reset (reset)
);
VAR12
.VAR41 (VAR58),
.VAR74(VAR19),
.VAR64(VAR64-1),
.VAR39 (VAR39)
)
VAR12
(
.VAR27 (VAR27),
.VAR8 (VAR8),
.VAR4 (VAR4 ),
.VAR70 (VAR70 ),
.VAR13 (VAR13 ),
.VAR86 (VAR86 ),
.VAR60 (VAR60),
.VAR114 (VAR114),
.VAR20 (VAR20),
.VAR111 (VAR111),
.VAR45 (VAR45),
.VAR48 (VAR48),
.VAR66 (VAR66),
.VAR98 (VAR98),
.VAR31 (VAR31),
.VAR110 (VAR110),
.VAR54 (VAR54),
.VAR83 (VAR83),
.VAR109 (VAR109),
.VAR97 (VAR97),
.VAR21 (VAR21),
.VAR78 (VAR78),
.clk (clk),
.reset (reset)
);
assign VAR103 = !VAR73 && VAR85 ;
always @(posedge clk) begin
if(reset) begin
VAR30 <= 0;
VAR81 <= 0;
end
else begin
if(VAR30 == (1000000000/VAR50 - 1'b1)) begin
VAR81 <= VAR81 + 1'b1;
VAR30 <= 0;
end
else begin
VAR30 <= VAR30 + 1'b1;
end
end end
endmodule
|
lgpl-2.1
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sregsbp/sky130_fd_sc_lp__sregsbp.pp.symbol.v
| 1,412 |
module MODULE1 (
input VAR1 ,
output VAR6 ,
output VAR5 ,
input VAR11,
input VAR4 ,
input VAR7 ,
input VAR3 ,
input VAR2 ,
input VAR10 ,
input VAR8 ,
input VAR9
);
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/edk/pcores/ccx2mb_v1_00_a/hdl/verilog/mb2cpx.v
| 3,974 |
module MODULE1 (
VAR4,
VAR1,
VAR18,
VAR3,
VAR11,
VAR7,
VAR8,
VAR5
);
parameter VAR10 = (((VAR14+1-1)/VAR13)+1);
parameter VAR17 = (VAR13 * VAR10) -
(VAR14+1);
output VAR4;
output [VAR14-1:0] VAR1;
output VAR18;
input VAR3;
input VAR11;
input VAR7;
input VAR8;
input [VAR13-1:0] VAR5;
wire VAR4;
wire [VAR14-1:0] VAR1;
wire VAR18;
wire VAR16;
wire VAR6;
reg [VAR10*VAR13-1:0] VAR12;
reg [VAR14-1:0] VAR2;
assign VAR16 = VAR5[VAR13-VAR17-1];
always @(posedge VAR3) begin
VAR12 <= (VAR7 && VAR18) ?
{VAR12[(VAR10 -1)*VAR13-1:0],
VAR5} :
VAR12;
end
always @(posedge VAR3) begin
VAR2 <= VAR6 ? VAR12[VAR14-1:0] :
VAR2;
end
assign VAR1 = VAR4 ? VAR2 : {VAR14{1'b0}};
VAR9 VAR15 (
.VAR18(VAR18),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR16(VAR16)
);
endmodule
|
gpl-2.0
|
cfangmeier/VFPIX-telescope-Code
|
DAQ_Firmware/src/ram/alt_mem_ddrx_cmd_gen.v
| 118,132 |
module MODULE1
VAR325 = 33,
VAR2 = 3,
VAR71 = 8,
VAR346 = 4,
VAR324 = 4,
VAR152 = 5,
VAR30 = 2,
VAR323 = 2,
VAR149 = 5,
VAR126 = 2,
VAR233 = 2, VAR153 = 8,
VAR102 = 1, VAR369 = 1, VAR275 = 3,
VAR124 = 13,
VAR196 = 10,
VAR116 = 10,
VAR267 = 1,
VAR298 = 1,
VAR70 = 0,
VAR370 = 4,
VAR165 = 4,
VAR229 = 8,
VAR28 = 12,
VAR175 = 2,
VAR3 = 1
)
(
VAR100,
VAR290,
VAR65,
VAR117,
VAR240,
VAR98,
VAR357,
VAR93,
VAR94,
VAR230,
VAR53,
VAR331,
VAR121,
VAR141,
VAR113,
VAR154,
VAR242,
VAR159,
VAR96,
VAR57,
VAR271,
VAR36,
VAR351,
VAR315,
VAR140,
VAR339,
VAR104,
VAR248,
VAR358,
VAR34,
VAR276,
VAR9,
VAR99,
VAR51,
VAR107,
VAR255,
VAR42,
VAR15,
VAR18,
VAR205,
VAR350,
VAR169,
VAR24,
VAR236,
VAR259,
VAR316,
VAR127,
VAR97,
VAR134,
VAR90,
VAR114,
VAR371,
VAR182,
VAR111,
VAR16,
VAR82,
VAR320,
VAR178, VAR231, VAR133, VAR69, VAR249,
VAR160,
VAR184,
VAR348,
VAR210,
VAR132,
VAR172,
VAR308,
VAR318,
VAR311,
VAR225,
VAR72,
VAR282,
VAR129,
VAR89,
VAR112,
VAR265,
VAR12,
VAR361,
VAR268,
VAR243
);
localparam VAR86 = VAR196;
localparam VAR284 = VAR124;
localparam VAR302 = VAR275;
localparam VAR209 = VAR369;
localparam VAR273 = 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + VAR116 + VAR71 + VAR346 + VAR369 + VAR275 + VAR124 + VAR196;
localparam VAR246 = VAR269(VAR325);
localparam VAR194 = 2**VAR246;
localparam VAR263 = 1;
localparam VAR64 = 0;
localparam VAR181 = 4'h0;
localparam VAR247 = 4'h1;
localparam VAR340 = 4'h2;
localparam VAR50 = 4'h3;
localparam VAR245 = 4'h4;
localparam VAR239 = 4'h6;
localparam VAR167 = 4'h8;
input VAR100;
input VAR290;
input VAR65;
input [VAR370-1:0] VAR117;
input [VAR370-1:0] VAR240;
input [VAR370-1:0] VAR98;
input [(VAR370*VAR369)-1:0] VAR357;
input [(VAR370*VAR275)-1:0] VAR93;
input [(VAR370*VAR124)-1:0] VAR94;
input [(VAR370*VAR196)-1:0] VAR230;
input [(VAR165*VAR369)-1:0] VAR53;
input [(VAR165*VAR275)-1:0] VAR331;
input [(VAR165*VAR124)-1:0] VAR121;
output VAR141;
output VAR113;
output [VAR369-1:0] VAR154;
output [VAR275-1:0] VAR242;
output [VAR124-1:0] VAR159;
output [VAR196-1:0] VAR96;
output VAR57;
output VAR271;
output VAR36;
output [VAR346-1:0] VAR351;
output [VAR71-1:0] VAR315;
output [VAR116-1:0] VAR140;
output VAR339;
output VAR104;
output VAR248;
output VAR358;
output VAR34;
output [VAR370-1:0] VAR276;
output [VAR370-1:0] VAR9;
output [VAR370-1:0] VAR99;
output [VAR370-1:0] VAR51;
output [VAR370-1:0] VAR107;
output [VAR370-1:0] VAR255;
output [VAR165-1:0] VAR42;
output [VAR165-1:0] VAR15;
output [VAR165-1:0] VAR18;
output VAR205;
output VAR350;
input VAR169;
input [VAR325-1:0] VAR24;
input VAR236;
input VAR259;
input [VAR71-1:0] VAR316;
input VAR127;
input [VAR2-1:0] VAR97;
input VAR134;
input VAR90;
output VAR114;
output VAR371;
output VAR182;
output VAR111;
output VAR16;
output [VAR346-1:0] VAR82;
output [VAR71-1:0] VAR320;
input VAR178;
input [VAR116-1:0] VAR231;
input VAR133;
input [VAR116-1:0] VAR69;
output [VAR370-1:0] VAR249;
input [VAR370-1:0] VAR160;
input VAR184;
output VAR348; input VAR210;
input [VAR369-1:0] VAR132;
input [VAR275-1:0] VAR172;
input [VAR124-1:0] VAR308;
input [VAR196-1:0] VAR318;
input [VAR346-1:0] VAR311;
input [VAR71 - 1 : 0] VAR225;
input VAR72;
input VAR282;
input [VAR149-1:0] VAR129; input [VAR126-1:0] VAR89; input VAR112;
input VAR265;
input [VAR324-1:0] VAR12;
input [VAR152-1:0] VAR361;
input [VAR30-1:0] VAR268;
input [VAR323-1:0] VAR243;
integer VAR44;
integer VAR251;
integer VAR62;
integer VAR81;
wire VAR80;
wire VAR301;
wire VAR356;
wire VAR305;
wire VAR343;
wire VAR294;
wire VAR6;
reg VAR262;
wire VAR328;
wire VAR195;
reg [3:0] VAR254;
wire [VAR194-1:0] VAR120;
reg [VAR369-1:0] VAR199;
reg [VAR275-1:0] VAR162;
reg [VAR124-1:0] VAR317;
reg [VAR196-1:0] VAR355;
reg [VAR369-1:0] VAR220;
reg [VAR275-1:0] VAR330;
reg [VAR124-1:0] VAR11;
reg [VAR196-1:0] VAR47;
reg VAR180;
wire VAR291;
reg VAR274;
wire VAR349;
reg [VAR346-1:0] VAR306;
reg VAR234;
reg VAR185;
reg VAR223;
reg [VAR71-1:0] VAR326;
reg [VAR369-1:0] VAR21;
reg [VAR275-1:0] VAR270;
reg [VAR124-1:0] VAR146;
reg [VAR196-1:0] VAR143;
reg VAR131;
reg VAR193;
reg [VAR346-1:0] VAR304;
reg VAR88;
reg VAR365;
reg VAR217;
reg [VAR71-1:0] VAR56;
reg [VAR369-1:0] VAR164;
reg [VAR275-1:0] VAR43;
reg [VAR124-1:0] VAR10;
reg [VAR196-1:0] VAR147;
reg VAR215;
reg VAR39;
reg VAR45;
reg VAR327;
reg VAR52;
reg [VAR71-1:0] VAR364;
reg [VAR2:0] VAR32;
reg VAR161;
reg VAR119;
reg VAR362;
reg VAR163;
reg [3 : 0] VAR342;
reg [VAR346-1:0] VAR372;
reg [VAR369-1:0] VAR278;
reg [VAR275-1:0] VAR173;
reg [VAR124-1:0] VAR221;
reg [VAR196-1:0] VAR151;
reg [VAR369-1:0] VAR85;
reg [VAR275-1:0] VAR295;
reg [VAR124-1:0] VAR78;
reg [VAR196-1:0] VAR281;
wire VAR25;
reg [2:0] VAR73; reg [3:0] VAR55; reg [4:0] VAR137;
wire VAR139;
reg VAR191;
reg VAR54;
reg VAR312;
reg [VAR369-1:0] VAR106;
reg [VAR275-1:0] VAR103;
reg [VAR124-1:0] VAR335;
reg [VAR196-1:0] VAR130;
reg VAR110;
reg VAR145;
reg [VAR346-1:0] VAR280;
reg VAR59;
reg VAR366;
reg VAR289;
reg [VAR71-1:0] VAR60;
reg [VAR116-1:0] VAR337;
reg VAR224;
reg VAR158;
reg VAR48;
reg VAR336;
reg VAR348;
reg VAR27;
reg VAR288;
wire VAR309;
wire VAR91;
wire VAR303;
wire [VAR369-1:0] VAR203;
wire [VAR275-1:0] VAR68;
wire [VAR124-1:0] VAR250;
wire [VAR196-1:0] VAR171;
wire VAR63;
wire VAR287;
wire [VAR346-1:0] VAR296;
wire VAR258;
wire VAR277;
wire VAR237;
wire [VAR71-1:0] VAR208;
wire [VAR116-1:0] VAR22;
wire VAR266;
wire VAR272;
wire VAR367;
wire VAR114;
wire VAR371;
wire VAR182;
wire VAR111;
wire VAR16;
wire [VAR346-1:0] VAR82;
wire [VAR71-1:0] VAR320;
reg VAR353;
reg VAR101;
reg VAR261;
reg VAR186;
reg VAR77;
reg VAR95;
reg [VAR346-1:0] VAR244;
reg [VAR71-1:0] VAR286;
reg VAR235;
wire [VAR370-1:0] VAR249;
reg [VAR246-1:0] VAR138;
reg [VAR246-1:0] VAR14;
reg [VAR246-1:0] VAR253;
reg [VAR273-1:0] VAR189[VAR153-1:0];
reg VAR157[VAR153-1:0];
wire VAR313;
wire [VAR273-1:0] VAR4;
wire VAR218;
wire VAR319;
wire VAR141;
wire VAR113;
wire [VAR369-1:0] VAR154;
wire [VAR275-1:0] VAR242;
wire [VAR124-1:0] VAR159;
wire [VAR196-1:0] VAR96;
wire VAR57;
wire VAR271;
wire VAR36;
wire [VAR346-1:0] VAR351;
wire [VAR71-1:0] VAR315;
wire [VAR116-1:0] VAR140;
wire VAR339;
wire VAR104;
wire VAR248;
wire VAR358;
wire VAR34;
wire [VAR370-1:0] VAR276;
wire [VAR370-1:0] VAR9;
wire [VAR370-1:0] VAR99;
wire [VAR370-1:0] VAR51;
wire [VAR370-1:0] VAR107;
wire [VAR370-1:0] VAR255;
wire [VAR165-1:0] VAR42;
wire [VAR165-1:0] VAR15;
wire [VAR165-1:0] VAR18;
reg [VAR370-1:0] VAR29;
reg [VAR370-1:0] VAR204;
reg [VAR370-1:0] VAR150;
reg [VAR370-1:0] VAR49;
reg [VAR370-1:0] VAR177;
reg [VAR370-1:0] VAR13;
reg [VAR165-1:0] VAR332;
reg [VAR165-1:0] VAR115;
reg [VAR165-1:0] VAR76;
reg read [VAR370-1:0];
reg write [VAR370-1:0];
reg [VAR369-1:0] VAR135 [VAR370-1:0];
reg [VAR275-1:0] VAR148 [VAR370-1:0];
reg [VAR124-1:0] VAR338 [VAR370-1:0];
reg [VAR196-1:0] VAR19 [VAR370-1:0];
wire [VAR369-1:0] VAR292 [VAR165-1:0];
wire [VAR275-1:0] VAR7 [VAR165-1:0];
wire [VAR124-1:0] VAR201 [VAR165-1:0];
reg [VAR369-1:0] VAR241;
reg [VAR275-1:0] VAR105;
reg [VAR124-1:0] VAR74;
reg [VAR196-1:0] VAR329;
reg VAR75;
wire VAR345;
reg VAR166;
wire VAR206;
reg [VAR346-1:0] VAR352;
reg VAR38;
reg VAR279;
reg VAR256;
reg [VAR71-1:0] VAR187;
reg [VAR116-1:0] VAR238;
reg VAR360;
reg VAR79;
wire VAR211;
wire VAR8;
wire VAR232;
wire VAR109;
wire VAR58;
wire VAR297;
wire [VAR71-1:0] VAR84;
wire VAR156;
wire [VAR2-1:0] VAR333;
wire [VAR2:0] VAR61;
wire VAR46;
wire VAR299;
wire [VAR369-1:0] VAR213;
wire [VAR275-1:0] VAR375;
wire [VAR124-1:0] VAR122;
wire [VAR196-1:0] VAR83;
wire VAR285;
assign VAR80 = VAR112 | VAR265;
assign VAR285 = 1'b1;
generate
genvar VAR347;
for (VAR347=0; VAR347<VAR370; VAR347=VAR347+1)
begin : VAR35
always @
begin
if (VAR89 == VAR31)
begin
VAR253 = VAR243 + VAR268 + VAR12 - VAR269(VAR233);
end
else if (VAR89 == VAR66)
begin
VAR253 = VAR12 - VAR269(VAR233);
end
else begin
VAR253 = VAR268 + VAR12 - VAR269(VAR233);
end
if (VAR89 == VAR66)
begin
VAR14 = VAR361 + VAR12 - VAR269(VAR233);
end
else begin
VAR14 = VAR12 - VAR269(VAR233);
end
if (VAR89 == VAR31)
begin
VAR138 = VAR268 + VAR12 - VAR269(VAR233);
end
else begin
VAR138 = VAR268 + VAR361 + VAR12 - VAR269(VAR233);
end
end
assign VAR120 = VAR24;
always @
begin : VAR87
for (VAR251 = 0;VAR251 < VAR28;VAR251 = VAR251 + 1'b1) begin
VAR17 = VAR251 + VAR253;
VAR317[VAR251] = VAR120[VAR17];
end
for (VAR251 = VAR28;VAR251 < VAR284;VAR251 = VAR251 + 1'b1)
begin
VAR23 = VAR251 + VAR253;
if(VAR251 < VAR361) begin
VAR317[VAR251] = VAR120[VAR23];
end
else
begin
VAR317[VAR251] = 1'b0;
end
end
end
reg [VAR246-1:0] VAR212;
reg [VAR246-1:0] VAR144;
always @
begin
VAR81 = 0;
if (VAR243 > 1'b0) begin
for (VAR81=0; VAR81<VAR3; VAR81=VAR81+1'b1) begin
VAR199[VAR81] = VAR120[VAR81 + VAR138];
end
for (VAR81=VAR3; VAR81<VAR209; VAR81=VAR81+1'b1)
begin
if (VAR81 < VAR243) begin
VAR199[VAR81] = VAR120[VAR81 + VAR138];
end
else
begin
VAR199[VAR81] = 1'b0;
end
end
end
else begin
VAR199 = {VAR369{1'b0}};
end
end
generate
if (VAR298 == 1)
begin
reg VAR190[1:0];
wire VAR37[1:0];
reg [VAR71-1:0] VAR219[1:0];
reg VAR227[1:0];
reg [VAR2-1:0] VAR125[1:0];
reg VAR216[1:0];
reg VAR176[1:0];
reg [VAR369-1:0] VAR40[1:0];
reg [VAR275-1:0] VAR192[1:0];
reg [VAR124-1:0] VAR26[1:0];
reg [VAR196-1:0] VAR222[1:0];
reg [1:0] VAR198;
reg [1:0] VAR228;
wire VAR300;
wire VAR226;
wire VAR207;
wire VAR33;
wire VAR174;
wire VAR118;
wire VAR321;
wire VAR197;
wire [VAR196:0] VAR128;
wire [VAR196-1:0] VAR260;
wire [VAR2-1:0] VAR41;
wire [VAR196-1:0] VAR188;
wire [VAR2:0] VAR307;
wire VAR257;
wire VAR322;
wire VAR5;
assign VAR350 = (VAR198[1] != VAR228[1]) && (VAR198[0] == VAR228[0]);
assign VAR109 = (VAR198 != VAR228);
assign VAR37[0] = !VAR190[0];
assign VAR37[1] = !VAR190[1];
assign VAR83 = VAR228[0] ? VAR222[1] : VAR222[0];
assign VAR122 = VAR228[0] ? VAR26[1] : VAR26[0];
assign VAR375 = VAR228[0] ? VAR192[1] : VAR192[0];
assign VAR213 = VAR228[0] ? VAR40[1] : VAR40[0];
assign VAR58 = VAR228[0] ? VAR190[1] : VAR190[0];
assign VAR297 = VAR228[0] ? VAR37[1] : VAR37[0];
assign VAR84 = VAR228[0] ? VAR219[1] : VAR219[0];
assign VAR156 = VAR228[0] ? VAR227[1] : VAR227[0];
assign VAR333 = VAR228[0] ? VAR125[1] : VAR125[0];
assign VAR46 = VAR228[0] ? VAR216[1] : VAR216[0];
assign VAR299 = VAR228[0] ? VAR176[1] : VAR176[0];
assign VAR300 = (VAR40[1] == VAR40[0]);
assign VAR226 = (VAR192[1] == VAR192[0]);
assign VAR207 = (VAR26[1] == VAR26[0]);
assign VAR33 = (VAR37[1] == VAR37[0]);
assign VAR174 = (VAR190[1] == VAR190[0]);
assign VAR118 = (VAR64 == 1) ? (VAR219[1] == VAR219[0]) : 1'b1;
assign VAR321 = VAR350;
assign VAR128 = VAR260 + (VAR41 * VAR233);
assign VAR260 = VAR83;
assign VAR41 = VAR333;
assign VAR188 = VAR228[0] ? VAR222[0] : VAR222[1]; assign VAR197 = (VAR128 == VAR188);
assign VAR307 = VAR125[1] + VAR125[0];
assign VAR257 = (VAR307[VAR2] == 1);
assign VAR322 = (VAR128[VAR196] == 1);
assign VAR5 = (VAR298 == 1) ? (VAR321 && VAR33 && VAR174 && VAR118 && VAR226 && VAR207 && VAR300 && VAR197 && !VAR257 && !VAR322 && VAR232) : 0;
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR222[0] <= 0;
VAR26[0] <= 0;
VAR192[0] <= 0;
VAR40[0] <= 0;
VAR190[0] <= 0;
VAR219[0] <= 0;
VAR227[0] <= 0;
VAR125[0] <= 0;
VAR216[0] <= 0;
VAR176[0] <= 0;
end
else
begin
if (VAR169 && !VAR350 && (VAR198[0] == 0))
begin
VAR222[0] <= VAR355;
VAR26[0] <= VAR317;
VAR192[0] <= VAR162;
VAR40[0] <= VAR199;
VAR190[0] <= VAR236;
VAR219[0] <= VAR316;
VAR227[0] <= VAR127;
VAR125[0] <= VAR97;
VAR216[0] <= VAR134;
VAR176[0] <= VAR90;
end
else if (VAR5)
begin
if ((VAR228[0] == 1))
begin
VAR222[0] <= VAR222[1];
end
VAR125[0] <= VAR125[0] + VAR125[1];
VAR216[0] <= VAR216[0] || VAR216[1];
VAR176[0] <= VAR176[0] || VAR176[1];
VAR227[0] <= VAR227[0] || VAR227[1];
end
end
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR222[1] <= 0;
VAR26[1] <= 0;
VAR192[1] <= 0;
VAR40[1] <= 0;
VAR190[1] <= 0;
VAR219[1] <= 0;
VAR227[1] <= 0;
VAR125[1] <= 0;
VAR216[1] <= 0;
VAR176[1] <= 0;
end
else
begin
if (VAR169 && !VAR350 && (VAR198[0] == 1))
begin
VAR222[1] <= VAR355;
VAR26[1] <= VAR317;
VAR192[1] <= VAR162;
VAR40[1] <= VAR199;
VAR190[1] <= VAR236;
VAR219[1] <= VAR316;
VAR227[1] <= VAR127;
VAR125[1] <= VAR97;
VAR216[1] <= VAR134;
VAR176[1] <= VAR90;
end
end
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR198 <= 0;
end
else
begin
if (VAR169 && !VAR350)
begin
VAR198 <= VAR198 + 1;
end
else if (VAR5)
begin
VAR198 <= 1;
end
end
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR228 <= 0;
end
else
begin
if (VAR109 && !VAR232)
begin
VAR228 <= VAR228 + 1;
end
else if (VAR5)
begin
VAR228 <= 0;
end
end
end
end
else
begin
assign VAR350 = VAR232;
assign VAR109 = VAR169;
assign VAR58 = VAR236;
assign VAR297 = VAR259;
assign VAR84 = VAR316;
assign VAR156 = VAR127;
assign VAR333 = VAR97;
assign VAR46 = VAR134;
assign VAR299 = VAR90;
assign VAR213 = VAR199;
assign VAR375 = VAR162;
assign VAR122 = VAR317;
assign VAR83 = VAR355;
end
endgenerate
assign VAR205 = VAR141 || VAR169;
assign VAR232 = VAR309 | VAR191;
assign VAR25 = ~VAR232 & VAR109; assign VAR61 = VAR73 + VAR333;
assign VAR139 = (VAR333 > VAR55 | VAR61 > VAR55) & VAR282;
always @
begin
VAR55 = 1 * (VAR129 / VAR233); VAR137 = 2 * (VAR129 / VAR233); end
always @
begin
if (VAR25 && VAR139)
begin
VAR54 = 1;
end
else
begin
VAR54 = 0;
end
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR312 <= 0;
end
else
begin
if (VAR54)
begin
VAR312 <= 1;
end
else if ((VAR312 && VAR32 > VAR137) && VAR282)
begin
VAR312 <= 1;
end
else if (~VAR309)
begin
VAR312 <= 0;
end
end
end
always @
begin
if (!VAR312) begin
VAR180 = VAR297 & VAR109;
VAR274 = VAR58 & VAR109;
VAR234 = VAR299;
VAR185 = VAR156;
VAR223 = VAR46;
VAR326 = VAR84;
VAR220 = VAR213;
VAR330 = VAR375;
VAR11 = VAR122;
VAR47 = VAR83;
end
else begin
VAR180 = VAR215;
VAR274 = VAR39;
VAR234 = VAR45;
VAR185 = VAR327;
VAR223 = VAR52;
VAR326 = VAR364;
VAR220 = VAR278;
VAR330 = VAR173;
VAR11 = VAR221;
if (VAR129 == 2)
begin
VAR47 = {VAR151[VAR196-1:1], 1'b0};
end
else if (VAR129 == 4)
begin
VAR47 = {VAR151[VAR196-1:2], 2'b00};
end
else if (VAR129 == 8)
begin
VAR47 = {VAR151[VAR196-1:3], 3'b000};
end
else begin
VAR47 = {VAR151[VAR196-1:4], 4'b0000};
end
end
end
generate
if (VAR263)
begin
always @ (posedge VAR100 or negedge VAR290)
begin
if (!VAR290)
begin
VAR21 <= 0;
VAR270 <= 0;
VAR146 <= 0;
VAR143 <= 0;
VAR131 <= 0;
VAR193 <= 0;
VAR304 <= 0;
VAR88 <= 0;
VAR365 <= 0;
VAR217 <= 0;
VAR56 <= 0;
end
else
begin
if (!VAR319)
begin
VAR21 <= VAR220;
VAR270 <= VAR330;
VAR146 <= VAR11;
VAR143 <= VAR47;
VAR131 <= VAR180;
VAR193 <= VAR274;
VAR304 <= VAR306;
VAR88 <= VAR234;
VAR365 <= VAR185;
VAR217 <= VAR223;
VAR56 <= VAR326;
end
end
end
end
else
begin
always @
begin
VAR362 = &VAR342;
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR215 <= 1'b0;
VAR39 <= 1'b0;
VAR45 <= 1'b0;
VAR327 <= 1'b0;
VAR52 <= 1'b0;
VAR364 <= 0;
end
else
begin
if (VAR25)
begin
VAR215 <= VAR297;
VAR39 <= VAR58;
VAR45 <= VAR299;
VAR327 <= VAR156;
VAR52 <= VAR46;
VAR364 <= VAR84;
end
end
end
always @(posedge VAR100, negedge VAR290)
begin
if (!VAR290)
begin
VAR164 <= 0;
VAR43 <= 0;
VAR10 <= 0;
VAR147 <= 0;
end
else
if (VAR25)
begin
VAR164 <= VAR213;
VAR43 <= VAR375;
VAR10 <= VAR122;
VAR147 <= VAR83;
end
else if (VAR312 && ~VAR309)
begin
VAR164 <= VAR278;
VAR43 <= VAR173;
VAR10 <= VAR221;
VAR147 <= VAR151;
end
end
always @
begin
VAR353 = !VAR101 && !VAR343;
VAR101 = !VAR319 && ((VAR254 == 4'h4) || (VAR254 == 4'h1));
VAR261 = (VAR77 | VAR95);
VAR186 = !VAR48; end
always @
begin
VAR224 = VAR27;
VAR158 = VAR288;
end
always @ (posedge VAR100 or negedge VAR290)
begin
if (!VAR290)
begin
VAR235 <= 1'b0;
end
else
begin
if (VAR65) begin
VAR235 <= 1'b1;
end
else
begin
VAR235 <= 1'b0;
end
end
end
assign VAR309 = VAR80 ? VAR303 : VAR91;
assign VAR91 = VAR319;
assign VAR303 = (!VAR343);
assign VAR203 = (VAR80) ? VAR106 : VAR21;
assign VAR68 = (VAR80) ? VAR103 : VAR270;
assign VAR250 = (VAR80) ? VAR335 : VAR146;
assign VAR171 = (VAR80) ? VAR130 : VAR143;
assign VAR63 = (VAR80) ? VAR110 : VAR131;
assign VAR287 = (VAR80) ? VAR145 : VAR193;
assign VAR296 = (VAR80) ? VAR280 : VAR304;
assign VAR258 = (VAR80) ? VAR59 : VAR88;
assign VAR277 = (VAR80) ? VAR366 : VAR365;
assign VAR208 = (VAR80) ? VAR60 : VAR56;
assign VAR237 = (VAR80) ? VAR289 : VAR217;
assign VAR22 = (VAR80) ? VAR337 : (VAR63 ? VAR69 : VAR231);
assign VAR266 = (VAR80) ? !VAR336 : VAR131; assign VAR272 = (VAR80) ? VAR224 : 1'b0;
assign VAR367 = (VAR80) ? VAR158 : 1'b0;
assign VAR4 = {VAR63,VAR287,VAR277,VAR258,VAR237,VAR266,VAR272,VAR367,VAR22,VAR208,VAR296,VAR203,VAR250,VAR68,VAR171};
assign VAR218 = (VAR63 | VAR287) & ~VAR319;
assign VAR313 = VAR141 & ~VAR65;
always @(posedge VAR100 or negedge VAR290)
begin
if (!VAR290)
begin
for(VAR251=0; VAR251<VAR153; VAR251=VAR251+1)
begin
VAR157[VAR251] <= 1'b0;
VAR189 [VAR251] <= 0;
end
end
else
begin
if (VAR313) begin
for(VAR251=0; VAR251<VAR153-1; VAR251=VAR251+1)
begin
if(VAR157[VAR251] == 1'b1 & VAR157[VAR251+1] == 1'b0)
begin
VAR157[VAR251] <= VAR218;
VAR189 [VAR251] <= VAR4;
end
else
begin
VAR157[VAR251] <= VAR157[VAR251+1];
VAR189 [VAR251] <= VAR189 [VAR251+1];
end
end
VAR157[VAR153-1] <= VAR157[VAR153-1] & VAR218;
VAR189 [VAR153-1] <= VAR189 [VAR153-1] & VAR4;
end
else if (VAR218) begin
for(VAR251=1; VAR251<VAR153; VAR251=VAR251+1)
begin
if(VAR157[VAR251-1] == 1'b1 & VAR157[VAR251] == 1'b0)
begin
VAR157[VAR251] <= 1'b1;
VAR189 [VAR251] <= VAR4;
end
end
if(VAR157[0] == 1'b0)
begin
VAR157[0] <= 1'b1;
VAR189 [0] <= VAR4;
end
end
end
end
generate
begin
wire VAR341;
reg [VAR370-1:0] VAR214;
reg [VAR370-1:0] VAR363;
reg [VAR370-1:0] VAR142;
reg [VAR370-1:0] VAR123;
reg [VAR370-1:0] VAR136;
reg [VAR370-1:0] VAR359;
reg [VAR370-1:0] VAR283;
reg [VAR370-1:0] VAR252;
reg [VAR370-1:0] VAR183;
reg [VAR165-1:0] VAR67;
reg [VAR165-1:0] VAR200;
reg [VAR165-1:0] VAR170;
reg VAR264;
reg [VAR369-1:0] VAR374;
reg [VAR275-1:0] VAR310;
reg [VAR124-1:0] VAR92;
reg [VAR196-1:0] VAR344;
reg VAR1;
reg VAR202;
reg VAR108;
reg [VAR346-1:0] VAR20;
reg [VAR71-1:0] VAR334;
reg [VAR116-1:0] VAR354;
reg VAR368;
reg VAR168;
reg VAR314;
reg VAR179;
reg VAR155;
always @
begin
for(VAR251=0; VAR251<VAR165; VAR251=VAR251+1)
begin
if (VAR341)
begin
if (VAR374 == VAR292[VAR251])
begin
VAR67[VAR251] = 1'b1;
end
else
begin
VAR67[VAR251] = 1'b0;
end
if (VAR310 == VAR7[VAR251])
begin
VAR200[VAR251] = 1'b1;
end
else
begin
VAR200[VAR251] = 1'b0;
end
if (VAR92 == VAR201[VAR251])
begin
VAR170[VAR251] = 1'b1;
end
else
begin
VAR170[VAR251] = 1'b0;
end
end
else
begin
if (VAR203 == VAR292[VAR251])
begin
VAR67[VAR251] = 1'b1;
end
else
begin
VAR67[VAR251] = 1'b0;
end
if (VAR68 == VAR7[VAR251])
begin
VAR200[VAR251] = 1'b1;
end
else
begin
VAR200[VAR251] = 1'b0;
end
if (VAR250 == VAR201[VAR251])
begin
VAR170[VAR251] = 1'b1;
end
else
begin
VAR170[VAR251] = 1'b0;
end
end
end
end
assign VAR341 = VAR65 | ((VAR271 & ~VAR133) | (VAR57 & ~VAR178));
always @
begin
VAR29 = VAR214;
VAR204 = VAR363;
VAR150 = VAR142 & VAR123 & VAR136 & VAR359;
VAR49 = VAR283;
VAR177 = VAR252;
VAR13 = VAR183;
VAR332 = VAR67;
VAR115 = VAR200;
VAR76 = VAR170;
end
assign VAR319 = VAR341;
assign VAR141 = (VAR271 & VAR133) | (VAR57 & VAR178);
assign VAR113 = VAR235;
assign VAR271 = VAR202;
assign VAR57 = VAR1;
assign VAR36 = VAR108;
assign VAR358 = VAR179;
assign VAR339 = VAR368;
assign VAR34 = VAR155;
assign VAR104 = VAR168;
assign VAR248 = VAR314;
assign VAR140 = VAR354;
assign VAR315 = VAR334;
assign VAR351 = VAR20;
assign VAR154 = VAR374;
assign VAR159 = VAR92;
assign VAR242 = VAR310;
assign VAR96 = VAR344;
assign VAR276 = VAR29;
assign VAR9 = VAR204;
assign VAR99 = VAR150;
assign VAR51 = VAR49;
assign VAR107 = VAR177;
assign VAR255 = VAR13;
assign VAR42 = VAR332;
assign VAR15 = VAR115;
assign VAR18 = VAR76;
end
endgenerate
function integer VAR269;
input [31:0] VAR373;
integer VAR293;
begin
VAR269 = 0;
for(VAR293 = 0; 2**VAR293 < VAR373; VAR293 = VAR293 + 1)
VAR269 = VAR293 + 1;
end
endfunction
endmodule
|
gpl-2.0
|
FPGA1988/udp_ip_stack
|
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_rx/CRC_chk.v
| 6,212 |
module MODULE1(
VAR4 ,
VAR5 ,
VAR8 ,
VAR9 ,
VAR1 ,
VAR12 ,
VAR11
);
input VAR4 ;
input VAR5 ;
input[7:0] VAR8 ;
input VAR9 ;
input VAR1 ;
input VAR12 ;
output VAR11 ;
reg [31:0] VAR7;
wire[31:0] VAR2;
function[31:0] VAR2;
input[7:0] VAR3;
input[31:0] VAR10;
reg[31:0] VAR6;
begin
VAR6[0]=VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[1]=VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[2]=VAR10[26]^VAR3[5]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[3]=VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6];
VAR6[4]=VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[5]=VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[6]=VAR10[30]^VAR3[1]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[26]^VAR3[5]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6];
VAR6[7]=VAR10[31]^VAR3[0]^VAR10[29]^VAR3[2]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5]^VAR10[24]^VAR3[7];
VAR6[8]=VAR10[0]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[25]^VAR3[6]^VAR10[24]^VAR3[7];
VAR6[9]=VAR10[1]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[26]^VAR3[5]^VAR10[25]^VAR3[6];
VAR6[10]=VAR10[2]^VAR10[29]^VAR3[2]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5]^VAR10[24]^VAR3[7];
VAR6[11]=VAR10[3]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[25]^VAR3[6]^VAR10[24]^VAR3[7];
VAR6[12]=VAR10[4]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[26]^VAR3[5]^VAR10[25]^VAR3[6]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[13]=VAR10[5]^VAR10[30]^VAR3[1]^VAR10[29]^VAR3[2]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6];
VAR6[14]=VAR10[6]^VAR10[31]^VAR3[0]^VAR10[30]^VAR3[1]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5];
VAR6[15]=VAR10[7]^VAR10[31]^VAR3[0]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4];
VAR6[16]=VAR10[8]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[24]^VAR3[7];
VAR6[17]=VAR10[9]^VAR10[30]^VAR3[1]^VAR10[29]^VAR3[2]^VAR10[25]^VAR3[6];
VAR6[18]=VAR10[10]^VAR10[31]^VAR3[0]^VAR10[30]^VAR3[1]^VAR10[26]^VAR3[5];
VAR6[19]=VAR10[11]^VAR10[31]^VAR3[0]^VAR10[27]^VAR3[4];
VAR6[20]=VAR10[12]^VAR10[28]^VAR3[3];
VAR6[21]=VAR10[13]^VAR10[29]^VAR3[2];
VAR6[22]=VAR10[14]^VAR10[24]^VAR3[7];
VAR6[23]=VAR10[15]^VAR10[25]^VAR3[6]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[24]=VAR10[16]^VAR10[26]^VAR3[5]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6];
VAR6[25]=VAR10[17]^VAR10[27]^VAR3[4]^VAR10[26]^VAR3[5];
VAR6[26]=VAR10[18]^VAR10[28]^VAR3[3]^VAR10[27]^VAR3[4]^VAR10[24]^VAR10[30]^VAR3[1]^VAR3[7];
VAR6[27]=VAR10[19]^VAR10[29]^VAR3[2]^VAR10[28]^VAR3[3]^VAR10[25]^VAR10[31]^VAR3[0]^VAR3[6];
VAR6[28]=VAR10[20]^VAR10[30]^VAR3[1]^VAR10[29]^VAR3[2]^VAR10[26]^VAR3[5];
VAR6[29]=VAR10[21]^VAR10[31]^VAR3[0]^VAR10[30]^VAR3[1]^VAR10[27]^VAR3[4];
VAR6[30]=VAR10[22]^VAR10[31]^VAR3[0]^VAR10[28]^VAR3[3];
VAR6[31]=VAR10[23]^VAR10[29]^VAR3[2];
VAR2=VAR6;
end
endfunction
always @ (posedge VAR5 or posedge VAR4)
if (VAR4)
VAR7 <=32'hffffffff;
else if (VAR9)
VAR7 <=32'hffffffff;
else if (VAR1)
VAR7 <=VAR2(VAR8,VAR7);
assign VAR11 = VAR12&(VAR7[31:0] != 32'hc704dd7b);
endmodule
|
apache-2.0
|
hydai/Verilog-Practice
|
DigitalDesign/Final/Processor.v
| 8,423 |
module MODULE1 (
);
wire [31:0] VAR23, VAR45;
wire [1:0] VAR12;
reg [31:0] VAR37, VAR1, VAR55, VAR59;
input [31:0] VAR29;
wire [4:0] VAR44, VAR41, VAR39;
reg [6:0] VAR60;
reg [3:0] VAR46;
reg [1:0] VAR11, VAR33;
reg VAR51, VAR34, VAR43, VAR24, VAR8, VAR57;
parameter VAR22 = 7'b0000000;
parameter VAR9 = 7'b1000000;
parameter VAR32 = 7'b0000010;
parameter VAR47 = 7'b0000101;
parameter VAR14 = 7'b0001000;
parameter VAR53 = 7'b0001001;
parameter VAR54 = 7'b0001010;
parameter VAR3 = 7'b0001011;
parameter VAR26 = 7'b0100010;
parameter VAR56 = 7'b0100101;
parameter VAR17 = 7'b0101000;
parameter VAR2 = 7'b0101001;
parameter VAR16 = 7'b0101010;
parameter VAR13 = 7'b1000010;
parameter VAR15 = 7'b1000101;
parameter VAR30 = 7'b0001100;
parameter VAR52 = 7'b0001101;
parameter VAR25 = 7'b0001110;
parameter VAR38 = 7'b0010000;
parameter VAR61 = 7'b0100000;
parameter VAR50 = 7'b1110000;
parameter VAR48 = 7'b1100101;
parameter VAR5 = 7'b1100000;
parameter VAR19 = 7'b1001000;
parameter VAR7 = 7'b1101000;
parameter VAR10 = 7'b0110000;
assign VAR12 = {(VAR33[1]), (((VAR51^VAR28)|VAR33[1])&VAR33[0])};
assign VAR45 = VAR1 + 1;
VAR42 VAR27 (
.VAR58(VAR23),
.select(VAR12),
.VAR18(VAR45),
.VAR40(VAR36),
.VAR21(VAR35),
.VAR49(VAR36)
);
always @(posedge clk or negedge VAR31) begin
if (!VAR31) begin
VAR1 <= 0;
VAR55 <= 0;
VAR59 <= 0;
VAR37 <= 0;
end else begin
VAR59 <= VAR55;
VAR55 <= VAR1;
VAR1 <= VAR23;
VAR37 <= VAR29;
end
end
assign VAR44 = VAR29[19:15];
assign VAR41 = VAR29[14:10];
assign VAR39 = VAR29[24:20];
always @(*) begin
case(VAR29[31:25])
VAR10: begin
VAR60 = VAR7;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b11;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0000;
VAR24 = 1'b1;
VAR8 = 1'b1;
VAR57 = 1'b1;
end
VAR7: begin
VAR60 = VAR7;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b11;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'VAR20;
VAR24 = 1'b1;
VAR8 = 1'VAR6;
VAR57 = 1'b1;
end
VAR19: begin
VAR60 = VAR19;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b01;
VAR51 = 1'b1;
VAR43 = 1'b0;
VAR46 = 4'b0000;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b1;
end
VAR5: begin
VAR60 = VAR5;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b01;
VAR51 = 1'b0;
VAR43 = 1'b0;
VAR46 = 4'b0000;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b1;
end
VAR50: begin
VAR60 = VAR50;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b10;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'VAR20;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR61: begin
VAR60 = VAR61;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b1;
VAR46 = 4'VAR20;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR38: begin
VAR60 = VAR38;
VAR34 = 1'b1;
VAR11 = 2'b01;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'VAR20;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR25: begin
VAR60 = VAR25;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1110;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR52: begin
VAR60 = VAR52;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1101;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR30: begin
VAR60 = VAR30;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1100;
VAR24 = 1'b0;
VAR8 = 1'VAR6;
VAR57 = 1'VAR6;
end
VAR15: begin
VAR60 = VAR15;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0101;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b0;
end
VAR13: begin
VAR60 = VAR13;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0010;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b0;
end
VAR16: begin
VAR60 = VAR16;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1010;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b0;
end
VAR2: begin
VAR60 = VAR2;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1001;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b0;
end
VAR17: begin
VAR60 = VAR17;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1000;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b0;
end
VAR56: begin
VAR60 = VAR56;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0101;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b1;
end
VAR26: begin
VAR60 = VAR26;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0010;
VAR24 = 1'b1;
VAR8 = 1'b0;
VAR57 = 1'b1;
end
VAR3: begin
VAR60 = VAR3;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1011;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR54: begin
VAR60 = VAR54;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1010;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR53: begin
VAR60 = VAR53;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1001;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR14: begin
VAR60 = VAR14;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b1000;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR47: begin
VAR60 = VAR47;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0101;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR32: begin
VAR60 = VAR32;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0010;
VAR24 = 1'b0;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
VAR9: begin
VAR60 = VAR9;
VAR34 = 1'b1;
VAR11 = 2'b00;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'b0000;
VAR24 = 1'VAR6;
VAR8 = 1'b0;
VAR57 = 1'VAR6;
end
default: begin
VAR60 = 7'b0000000;
VAR34 = 1'b0;
VAR11 = 2'VAR4;
VAR33 = 2'b00;
VAR51 = 1'VAR6;
VAR43 = 1'b0;
VAR46 = 4'VAR20;
VAR24 = 1'VAR6;
VAR8 = 1'VAR6;
VAR57 = 1'VAR6;
end
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nand3b/sky130_fd_sc_ls__nand3b.pp.symbol.v
| 1,313 |
module MODULE1 (
input VAR2 ,
input VAR1 ,
input VAR7 ,
output VAR5 ,
input VAR3 ,
input VAR8,
input VAR6,
input VAR4
);
endmodule
|
apache-2.0
|
alankarkotwal/lca-processor
|
USE THESE FILES PRAVEEN/register_file.v
| 1,423 |
module MODULE1(clk, VAR22, VAR3, VAR35, VAR28, write, VAR14, VAR13, VAR24, in, reset);
output [15:0] VAR22, VAR3;
input [15:0] in, VAR24;
input [2:0] VAR35, VAR28, VAR14;
input write, clk, reset, VAR13;
wire [15:0] VAR12, VAR21, VAR36, VAR11, VAR7, VAR25, VAR29, VAR26;
wire [6:0] VAR15;
wire [7:0] VAR23;
VAR31 VAR10(VAR14, VAR23);
VAR34 VAR37(VAR12, VAR21, VAR36, VAR11, VAR7, VAR25, VAR29, VAR26, VAR35, VAR22);
VAR34 VAR38(VAR12, VAR21, VAR36, VAR11, VAR7, VAR25, VAR29, VAR26, VAR28, VAR3);
or VAR33(VAR15[0], write, ~VAR23[0]);
or VAR17(VAR15[1], write, ~VAR23[1]);
or VAR4(VAR15[2], write, ~VAR23[2]);
or VAR32(VAR15[3], write, ~VAR23[3]);
or VAR5(VAR15[4], write, ~VAR23[4]);
or VAR16(VAR15[5], write, ~VAR23[5]);
or VAR8(VAR15[6], write, ~VAR23[6]);
VAR2 VAR19(clk, VAR12, in, VAR15[0], reset);
VAR2 VAR18(clk, VAR21, in, VAR15[1], reset);
VAR2 VAR9(clk, VAR36, in, VAR15[2], reset);
VAR2 VAR27(clk, VAR11, in, VAR15[3], reset);
VAR2 VAR6(clk, VAR7, in, VAR15[4], reset);
VAR2 VAR1(clk, VAR25, in, VAR15[5], reset);
VAR2 VAR20(clk, VAR29, in, VAR15[6], reset);
VAR2 VAR30(clk, VAR26, VAR24, VAR13, reset);
endmodule
|
gpl-2.0
|
jotego/jt51
|
hdl/jt51_kon.v
| 1,740 |
module MODULE1(
input rst,
input clk,
input VAR6,
input [3:0] VAR9,
input [2:0] VAR10,
input [1:0] VAR13,
input [2:0] VAR2,
input VAR8,
input VAR15,
input VAR7,
output reg VAR12
);
reg din;
wire VAR11;
reg [3:0] VAR14;
always @(posedge clk) if (VAR6)
VAR12 <= (VAR15&&VAR7) || VAR11;
always @(*) begin
case( VAR13 )
2'd0: VAR14 = 4'b0001; 2'd1: VAR14 = 4'b0100; 2'd2: VAR14 = 4'b0010; 2'd3: VAR14 = 4'b1000; endcase
din = VAR10==VAR2 && VAR8 ? |(VAR9&VAR14) : VAR11;
end
VAR3 #(.VAR1(1),.VAR5(32)) VAR4(
.rst ( rst ),
.clk ( clk ),
.VAR6 ( VAR6 ),
.din ( din ),
.VAR11 ( VAR11 )
);
endmodule
|
gpl-3.0
|
ptracton/wb_dsp
|
rtl/wb_dsp_algorithm_sm.v
| 8,567 |
module MODULE1 (
VAR12, VAR48, VAR19, VAR28, VAR55,
VAR22, VAR21, VAR4,
VAR3, VAR29, VAR47, VAR9, VAR26,
VAR57, VAR37,
VAR40, VAR42, VAR50, VAR45
) ;
parameter VAR49 = 32;
parameter VAR31 = 32;
parameter VAR54 = 0;
input VAR3;
input VAR29;
output reg VAR12;
output reg [VAR31-1:0] VAR48;
output reg [3:0] VAR19;
output reg VAR28;
output reg [VAR49-1:0] VAR55;
input wire [VAR49-1:0] VAR47;
input [3:0] VAR9;
input [VAR49-1:0] VAR26;
input [VAR49-1:0] VAR57;
input [VAR49-1:0] VAR37;
input [VAR49-1:0] VAR40;
input [VAR49-1:0] VAR42;
output wire [VAR49-1:0] VAR22;
output reg [7:0] VAR21;
input VAR50;
output reg [VAR31-1:0] VAR4;
input VAR45;
reg [VAR49-1:0] VAR53;
reg [VAR49-1:0] VAR56;
reg [VAR49-1:0] VAR32;
reg [4:0] state;
reg [4:0] VAR46;
parameter VAR7 = 5'h00;
parameter VAR6 = 5'h01;
parameter VAR23 = 5'h02;
parameter VAR51 = 5'h03;
parameter VAR15 = 5'h04;
parameter VAR18 = 5'h05;
parameter VAR44 = 5'h06;
parameter VAR30 = 5'h07;
parameter VAR14 = 5'h08;
parameter VAR2 = 5'h09;
parameter VAR27 = 5'h1F;
wire VAR5 = (state != VAR7);
wire VAR25;
reg VAR20;
reg VAR43;
reg VAR11;
always @(posedge VAR3)
if (VAR29) begin
VAR43 <=0;
VAR11 <= 0;
VAR20 <=0;
end else begin
VAR11 <= VAR25;
VAR43<= |VAR42[VAR8];
VAR20 <= VAR25;
end
assign VAR25 = (VAR43 & !VAR11) & !VAR5;
wire VAR36 = (VAR25 |VAR20 | VAR9);
wire VAR39 = VAR42[VAR35];
assign VAR22[VAR33] = VAR45;
assign VAR22[31:1] = 0;
always @(posedge VAR3)
if (VAR29) begin
state <= VAR7;
end else begin
state <= VAR46;
end
always @
case (state)
VAR7: VAR13 = "VAR7";
VAR6: VAR13 = "VAR41 VAR10 VAR34";
VAR23: VAR13 = "VAR41 VAR10 VAR34 VAR24";
VAR51: VAR13 = "VAR41 VAR10 VAR16";
VAR15: VAR13 = "VAR41 VAR10 VAR16 VAR24";
VAR18: VAR13 = "VAR41 VAR10 VAR1";
VAR44: VAR13 = "VAR41 VAR10 VAR1 VAR24";
VAR27: VAR13 = "VAR27";
VAR30: VAR13 = "VAR41 VAR38 VAR17";
default: VAR13 = "VAR52";
endcase
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/conb/sky130_fd_sc_hvl__conb.functional.v
| 1,187 |
module MODULE1 (
VAR3,
VAR1
);
output VAR3;
output VAR1;
pullup VAR4 (VAR3 );
pulldown VAR2 (VAR1 );
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.cache/ip/2017.2/a79f7727e74fe6ae/zynq_design_1_axi_bram_ctrl_0_bram_0_stub.v
| 1,733 |
module MODULE1(VAR3, VAR7, VAR9, VAR11, VAR10, VAR1, VAR12, VAR2, VAR8, VAR6,
VAR13, VAR4, VAR14, VAR5)
;
input VAR3;
input VAR7;
input VAR9;
input [3:0]VAR11;
input [31:0]VAR10;
input [31:0]VAR1;
output [31:0]VAR12;
input VAR2;
input VAR8;
input VAR6;
input [3:0]VAR13;
input [31:0]VAR4;
input [31:0]VAR14;
output [31:0]VAR5;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o221ai/sky130_fd_sc_hs__o221ai.behavioral.pp.v
| 2,113 |
module MODULE1 (
VAR11,
VAR18,
VAR9 ,
VAR8 ,
VAR3 ,
VAR1 ,
VAR5 ,
VAR14
);
input VAR11;
input VAR18;
output VAR9 ;
input VAR8 ;
input VAR3 ;
input VAR1 ;
input VAR5 ;
input VAR14 ;
wire VAR5 VAR7 ;
wire VAR5 VAR4 ;
wire VAR16 ;
wire VAR12;
or VAR13 (VAR7 , VAR5, VAR1 );
or VAR15 (VAR4 , VAR3, VAR8 );
nand VAR2 (VAR16 , VAR4, VAR7, VAR14 );
VAR6 VAR10 (VAR12, VAR16, VAR11, VAR18);
buf VAR17 (VAR9 , VAR12 );
endmodule
|
apache-2.0
|
unihd-cag/openhmc
|
rtl/hmc_controller/crc/crc_128_init.v
| 25,763 |
module MODULE1 (
input wire clk,
input wire VAR3,
input wire [127:0] VAR1,
output reg [31:0] VAR2
);
always @(posedge clk or negedge VAR3) else
always @(posedge clk) VAR4
begin
if (!VAR3) begin
VAR2 <= 32'h0;
end else
begin
VAR2[31] <= VAR1[2]^VAR1[5]^VAR1[10]^VAR1[12]^VAR1[13]^VAR1[14]^VAR1[16]^VAR1[17]^VAR1[20]^VAR1[21]^VAR1[23]^VAR1[25]^VAR1[26]^VAR1[28]^VAR1[32]^VAR1[34]^VAR1[36]^VAR1[40]^VAR1[41]^VAR1[43]^VAR1[45]^VAR1[47]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[54]^VAR1[57]^VAR1[58]^VAR1[60]^VAR1[61]^VAR1[64]^VAR1[65]^VAR1[66]^VAR1[71]^VAR1[74]^VAR1[76]^VAR1[77]^VAR1[78]^VAR1[80]^VAR1[81]^VAR1[82]^VAR1[84]^VAR1[92]^VAR1[93]^VAR1[94]^VAR1[100]^VAR1[102]^VAR1[103]^VAR1[104]^VAR1[105]^VAR1[106]^VAR1[108]^VAR1[111]^VAR1[115]^VAR1[116]^VAR1[119]^VAR1[121]^VAR1[122]^VAR1[125]^VAR1[126];
VAR2[30] <= VAR1[3]^VAR1[6]^VAR1[11]^VAR1[13]^VAR1[14]^VAR1[15]^VAR1[17]^VAR1[18]^VAR1[21]^VAR1[22]^VAR1[24]^VAR1[26]^VAR1[27]^VAR1[29]^VAR1[33]^VAR1[35]^VAR1[37]^VAR1[41]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[48]^VAR1[50]^VAR1[52]^VAR1[54]^VAR1[55]^VAR1[58]^VAR1[59]^VAR1[61]^VAR1[62]^VAR1[65]^VAR1[66]^VAR1[67]^VAR1[72]^VAR1[75]^VAR1[77]^VAR1[78]^VAR1[79]^VAR1[81]^VAR1[82]^VAR1[83]^VAR1[85]^VAR1[93]^VAR1[94]^VAR1[95]^VAR1[101]^VAR1[103]^VAR1[104]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[109]^VAR1[112]^VAR1[116]^VAR1[117]^VAR1[120]^VAR1[122]^VAR1[123]^VAR1[126]^VAR1[127];
VAR2[29] <= VAR1[2]^VAR1[4]^VAR1[5]^VAR1[7]^VAR1[10]^VAR1[13]^VAR1[15]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[26]^VAR1[27]^VAR1[30]^VAR1[32]^VAR1[38]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[54]^VAR1[55]^VAR1[56]^VAR1[57]^VAR1[58]^VAR1[59]^VAR1[61]^VAR1[62]^VAR1[63]^VAR1[64]^VAR1[65]^VAR1[67]^VAR1[68]^VAR1[71]^VAR1[73]^VAR1[74]^VAR1[77]^VAR1[79]^VAR1[81]^VAR1[83]^VAR1[86]^VAR1[92]^VAR1[93]^VAR1[95]^VAR1[96]^VAR1[100]^VAR1[103]^VAR1[107]^VAR1[110]^VAR1[111]^VAR1[113]^VAR1[115]^VAR1[116]^VAR1[117]^VAR1[118]^VAR1[119]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[28] <= VAR1[0]^VAR1[2]^VAR1[3]^VAR1[6]^VAR1[8]^VAR1[10]^VAR1[11]^VAR1[12]^VAR1[13]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[22]^VAR1[25]^VAR1[26]^VAR1[27]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[36]^VAR1[39]^VAR1[40]^VAR1[42]^VAR1[45]^VAR1[47]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[54]^VAR1[55]^VAR1[56]^VAR1[59]^VAR1[61]^VAR1[62]^VAR1[63]^VAR1[68]^VAR1[69]^VAR1[71]^VAR1[72]^VAR1[75]^VAR1[76]^VAR1[77]^VAR1[81]^VAR1[87]^VAR1[92]^VAR1[96]^VAR1[97]^VAR1[100]^VAR1[101]^VAR1[102]^VAR1[103]^VAR1[105]^VAR1[106]^VAR1[112]^VAR1[114]^VAR1[115]^VAR1[117]^VAR1[118]^VAR1[120]^VAR1[121]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[127];
VAR2[27] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[3]^VAR1[4]^VAR1[5]^VAR1[7]^VAR1[9]^VAR1[10]^VAR1[11]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[21]^VAR1[25]^VAR1[27]^VAR1[33]^VAR1[35]^VAR1[36]^VAR1[37]^VAR1[45]^VAR1[46]^VAR1[47]^VAR1[48]^VAR1[49]^VAR1[50]^VAR1[51]^VAR1[52]^VAR1[53]^VAR1[55]^VAR1[56]^VAR1[58]^VAR1[61]^VAR1[62]^VAR1[63]^VAR1[65]^VAR1[66]^VAR1[69]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[74]^VAR1[80]^VAR1[81]^VAR1[84]^VAR1[88]^VAR1[92]^VAR1[94]^VAR1[97]^VAR1[98]^VAR1[100]^VAR1[101]^VAR1[105]^VAR1[107]^VAR1[108]^VAR1[111]^VAR1[113]^VAR1[118]^VAR1[123]^VAR1[124]^VAR1[126];
VAR2[26] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[3]^VAR1[4]^VAR1[5]^VAR1[6]^VAR1[8]^VAR1[10]^VAR1[11]^VAR1[12]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[22]^VAR1[26]^VAR1[28]^VAR1[34]^VAR1[36]^VAR1[37]^VAR1[38]^VAR1[46]^VAR1[47]^VAR1[48]^VAR1[49]^VAR1[50]^VAR1[51]^VAR1[52]^VAR1[53]^VAR1[54]^VAR1[56]^VAR1[57]^VAR1[59]^VAR1[62]^VAR1[63]^VAR1[64]^VAR1[66]^VAR1[67]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[74]^VAR1[75]^VAR1[81]^VAR1[82]^VAR1[85]^VAR1[89]^VAR1[93]^VAR1[95]^VAR1[98]^VAR1[99]^VAR1[101]^VAR1[102]^VAR1[106]^VAR1[108]^VAR1[109]^VAR1[112]^VAR1[114]^VAR1[119]^VAR1[124]^VAR1[125]^VAR1[127];
VAR2[25] <= VAR1[0]^VAR1[1]^VAR1[3]^VAR1[4]^VAR1[6]^VAR1[7]^VAR1[9]^VAR1[10]^VAR1[11]^VAR1[14]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[25]^VAR1[26]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[32]^VAR1[34]^VAR1[35]^VAR1[36]^VAR1[37]^VAR1[38]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[43]^VAR1[45]^VAR1[48]^VAR1[50]^VAR1[52]^VAR1[55]^VAR1[61]^VAR1[63]^VAR1[66]^VAR1[67]^VAR1[68]^VAR1[72]^VAR1[73]^VAR1[75]^VAR1[77]^VAR1[78]^VAR1[80]^VAR1[81]^VAR1[83]^VAR1[84]^VAR1[86]^VAR1[90]^VAR1[92]^VAR1[93]^VAR1[96]^VAR1[99]^VAR1[104]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[113]^VAR1[116]^VAR1[119]^VAR1[120]^VAR1[121]^VAR1[122];
VAR2[24] <= VAR1[1]^VAR1[2]^VAR1[4]^VAR1[5]^VAR1[7]^VAR1[8]^VAR1[10]^VAR1[11]^VAR1[12]^VAR1[15]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[26]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[33]^VAR1[35]^VAR1[36]^VAR1[37]^VAR1[38]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[56]^VAR1[62]^VAR1[64]^VAR1[67]^VAR1[68]^VAR1[69]^VAR1[73]^VAR1[74]^VAR1[76]^VAR1[78]^VAR1[79]^VAR1[81]^VAR1[82]^VAR1[84]^VAR1[85]^VAR1[87]^VAR1[91]^VAR1[93]^VAR1[94]^VAR1[97]^VAR1[100]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[112]^VAR1[114]^VAR1[117]^VAR1[120]^VAR1[121]^VAR1[122]^VAR1[123];
VAR2[23] <= VAR1[2]^VAR1[3]^VAR1[5]^VAR1[6]^VAR1[8]^VAR1[9]^VAR1[11]^VAR1[12]^VAR1[13]^VAR1[16]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[34]^VAR1[36]^VAR1[37]^VAR1[38]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[45]^VAR1[47]^VAR1[50]^VAR1[52]^VAR1[54]^VAR1[57]^VAR1[63]^VAR1[65]^VAR1[68]^VAR1[69]^VAR1[70]^VAR1[74]^VAR1[75]^VAR1[77]^VAR1[79]^VAR1[80]^VAR1[82]^VAR1[83]^VAR1[85]^VAR1[86]^VAR1[88]^VAR1[92]^VAR1[94]^VAR1[95]^VAR1[98]^VAR1[101]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[112]^VAR1[113]^VAR1[115]^VAR1[118]^VAR1[121]^VAR1[122]^VAR1[123]^VAR1[124];
VAR2[22] <= VAR1[3]^VAR1[4]^VAR1[6]^VAR1[7]^VAR1[9]^VAR1[10]^VAR1[12]^VAR1[13]^VAR1[14]^VAR1[17]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[35]^VAR1[37]^VAR1[38]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[46]^VAR1[48]^VAR1[51]^VAR1[53]^VAR1[55]^VAR1[58]^VAR1[64]^VAR1[66]^VAR1[69]^VAR1[70]^VAR1[71]^VAR1[75]^VAR1[76]^VAR1[78]^VAR1[80]^VAR1[81]^VAR1[83]^VAR1[84]^VAR1[86]^VAR1[87]^VAR1[89]^VAR1[93]^VAR1[95]^VAR1[96]^VAR1[99]^VAR1[102]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[112]^VAR1[113]^VAR1[114]^VAR1[116]^VAR1[119]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[125];
VAR2[21] <= VAR1[4]^VAR1[5]^VAR1[7]^VAR1[8]^VAR1[10]^VAR1[11]^VAR1[13]^VAR1[14]^VAR1[15]^VAR1[18]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[23]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[36]^VAR1[38]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[45]^VAR1[47]^VAR1[49]^VAR1[52]^VAR1[54]^VAR1[56]^VAR1[59]^VAR1[65]^VAR1[67]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[76]^VAR1[77]^VAR1[79]^VAR1[81]^VAR1[82]^VAR1[84]^VAR1[85]^VAR1[87]^VAR1[88]^VAR1[90]^VAR1[94]^VAR1[96]^VAR1[97]^VAR1[100]^VAR1[103]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[112]^VAR1[113]^VAR1[114]^VAR1[115]^VAR1[117]^VAR1[120]^VAR1[123]^VAR1[124]^VAR1[125]^VAR1[126];
VAR2[20] <= VAR1[0]^VAR1[5]^VAR1[6]^VAR1[8]^VAR1[9]^VAR1[11]^VAR1[12]^VAR1[14]^VAR1[15]^VAR1[16]^VAR1[19]^VAR1[21]^VAR1[22]^VAR1[23]^VAR1[24]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[37]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[45]^VAR1[46]^VAR1[48]^VAR1[50]^VAR1[53]^VAR1[55]^VAR1[57]^VAR1[60]^VAR1[66]^VAR1[68]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[77]^VAR1[78]^VAR1[80]^VAR1[82]^VAR1[83]^VAR1[85]^VAR1[86]^VAR1[88]^VAR1[89]^VAR1[91]^VAR1[95]^VAR1[97]^VAR1[98]^VAR1[101]^VAR1[104]^VAR1[109]^VAR1[110]^VAR1[111]^VAR1[112]^VAR1[113]^VAR1[114]^VAR1[115]^VAR1[116]^VAR1[118]^VAR1[121]^VAR1[124]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[19] <= VAR1[1]^VAR1[2]^VAR1[5]^VAR1[6]^VAR1[7]^VAR1[9]^VAR1[14]^VAR1[15]^VAR1[21]^VAR1[22]^VAR1[24]^VAR1[26]^VAR1[28]^VAR1[31]^VAR1[33]^VAR1[35]^VAR1[36]^VAR1[38]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[53]^VAR1[56]^VAR1[57]^VAR1[60]^VAR1[64]^VAR1[65]^VAR1[66]^VAR1[67]^VAR1[69]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[76]^VAR1[77]^VAR1[79]^VAR1[80]^VAR1[82]^VAR1[83]^VAR1[86]^VAR1[87]^VAR1[89]^VAR1[90]^VAR1[93]^VAR1[94]^VAR1[96]^VAR1[98]^VAR1[99]^VAR1[100]^VAR1[103]^VAR1[104]^VAR1[106]^VAR1[108]^VAR1[110]^VAR1[112]^VAR1[113]^VAR1[114]^VAR1[117]^VAR1[121]^VAR1[127];
VAR2[18] <= VAR1[3]^VAR1[5]^VAR1[6]^VAR1[7]^VAR1[8]^VAR1[12]^VAR1[13]^VAR1[14]^VAR1[15]^VAR1[17]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[26]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[37]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[60]^VAR1[64]^VAR1[67]^VAR1[68]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[76]^VAR1[82]^VAR1[83]^VAR1[87]^VAR1[88]^VAR1[90]^VAR1[91]^VAR1[92]^VAR1[93]^VAR1[95]^VAR1[97]^VAR1[99]^VAR1[101]^VAR1[102]^VAR1[103]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[113]^VAR1[114]^VAR1[116]^VAR1[118]^VAR1[119]^VAR1[121]^VAR1[125]^VAR1[126];
VAR2[17] <= VAR1[0]^VAR1[4]^VAR1[6]^VAR1[7]^VAR1[8]^VAR1[9]^VAR1[13]^VAR1[14]^VAR1[15]^VAR1[16]^VAR1[18]^VAR1[21]^VAR1[22]^VAR1[23]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[38]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[50]^VAR1[52]^VAR1[54]^VAR1[61]^VAR1[65]^VAR1[68]^VAR1[69]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[74]^VAR1[77]^VAR1[83]^VAR1[84]^VAR1[88]^VAR1[89]^VAR1[91]^VAR1[92]^VAR1[93]^VAR1[94]^VAR1[96]^VAR1[98]^VAR1[100]^VAR1[102]^VAR1[103]^VAR1[104]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[114]^VAR1[115]^VAR1[117]^VAR1[119]^VAR1[120]^VAR1[122]^VAR1[126]^VAR1[127];
VAR2[16] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[7]^VAR1[8]^VAR1[9]^VAR1[12]^VAR1[13]^VAR1[15]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[24]^VAR1[25]^VAR1[26]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[34]^VAR1[36]^VAR1[39]^VAR1[40]^VAR1[42]^VAR1[45]^VAR1[47]^VAR1[49]^VAR1[54]^VAR1[55]^VAR1[57]^VAR1[58]^VAR1[60]^VAR1[61]^VAR1[62]^VAR1[64]^VAR1[65]^VAR1[69]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[73]^VAR1[75]^VAR1[76]^VAR1[77]^VAR1[80]^VAR1[81]^VAR1[82]^VAR1[85]^VAR1[89]^VAR1[90]^VAR1[95]^VAR1[97]^VAR1[99]^VAR1[100]^VAR1[101]^VAR1[102]^VAR1[106]^VAR1[109]^VAR1[110]^VAR1[118]^VAR1[119]^VAR1[120]^VAR1[122]^VAR1[123]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[15] <= VAR1[0]^VAR1[1]^VAR1[3]^VAR1[5]^VAR1[8]^VAR1[9]^VAR1[12]^VAR1[17]^VAR1[22]^VAR1[27]^VAR1[28]^VAR1[30]^VAR1[31]^VAR1[33]^VAR1[34]^VAR1[35]^VAR1[36]^VAR1[37]^VAR1[45]^VAR1[46]^VAR1[47]^VAR1[48]^VAR1[49]^VAR1[50]^VAR1[51]^VAR1[53]^VAR1[54]^VAR1[55]^VAR1[56]^VAR1[57]^VAR1[59]^VAR1[60]^VAR1[62]^VAR1[63]^VAR1[64]^VAR1[70]^VAR1[72]^VAR1[73]^VAR1[80]^VAR1[83]^VAR1[84]^VAR1[86]^VAR1[90]^VAR1[91]^VAR1[92]^VAR1[93]^VAR1[94]^VAR1[96]^VAR1[98]^VAR1[101]^VAR1[104]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[110]^VAR1[115]^VAR1[116]^VAR1[120]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[125]^VAR1[127];
VAR2[14] <= VAR1[0]^VAR1[1]^VAR1[4]^VAR1[5]^VAR1[6]^VAR1[9]^VAR1[12]^VAR1[14]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[20]^VAR1[21]^VAR1[25]^VAR1[26]^VAR1[29]^VAR1[31]^VAR1[35]^VAR1[37]^VAR1[38]^VAR1[40]^VAR1[41]^VAR1[43]^VAR1[45]^VAR1[46]^VAR1[48]^VAR1[50]^VAR1[52]^VAR1[53]^VAR1[55]^VAR1[56]^VAR1[63]^VAR1[66]^VAR1[73]^VAR1[76]^VAR1[77]^VAR1[78]^VAR1[80]^VAR1[82]^VAR1[85]^VAR1[87]^VAR1[91]^VAR1[95]^VAR1[97]^VAR1[99]^VAR1[100]^VAR1[103]^VAR1[104]^VAR1[107]^VAR1[109]^VAR1[115]^VAR1[117]^VAR1[119]^VAR1[122]^VAR1[123]^VAR1[124];
VAR2[13] <= VAR1[1]^VAR1[2]^VAR1[5]^VAR1[6]^VAR1[7]^VAR1[10]^VAR1[13]^VAR1[15]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[21]^VAR1[22]^VAR1[26]^VAR1[27]^VAR1[30]^VAR1[32]^VAR1[36]^VAR1[38]^VAR1[39]^VAR1[41]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[47]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[54]^VAR1[56]^VAR1[57]^VAR1[64]^VAR1[67]^VAR1[74]^VAR1[77]^VAR1[78]^VAR1[79]^VAR1[81]^VAR1[83]^VAR1[86]^VAR1[88]^VAR1[92]^VAR1[96]^VAR1[98]^VAR1[100]^VAR1[101]^VAR1[104]^VAR1[105]^VAR1[108]^VAR1[110]^VAR1[116]^VAR1[118]^VAR1[120]^VAR1[123]^VAR1[124]^VAR1[125];
VAR2[12] <= VAR1[0]^VAR1[2]^VAR1[3]^VAR1[6]^VAR1[7]^VAR1[8]^VAR1[11]^VAR1[14]^VAR1[16]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[22]^VAR1[23]^VAR1[27]^VAR1[28]^VAR1[31]^VAR1[33]^VAR1[37]^VAR1[39]^VAR1[40]^VAR1[42]^VAR1[43]^VAR1[45]^VAR1[47]^VAR1[48]^VAR1[50]^VAR1[52]^VAR1[54]^VAR1[55]^VAR1[57]^VAR1[58]^VAR1[65]^VAR1[68]^VAR1[75]^VAR1[78]^VAR1[79]^VAR1[80]^VAR1[82]^VAR1[84]^VAR1[87]^VAR1[89]^VAR1[93]^VAR1[97]^VAR1[99]^VAR1[101]^VAR1[102]^VAR1[105]^VAR1[106]^VAR1[109]^VAR1[111]^VAR1[117]^VAR1[119]^VAR1[121]^VAR1[124]^VAR1[125]^VAR1[126];
VAR2[11] <= VAR1[1]^VAR1[3]^VAR1[4]^VAR1[7]^VAR1[8]^VAR1[9]^VAR1[12]^VAR1[15]^VAR1[17]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[23]^VAR1[24]^VAR1[28]^VAR1[29]^VAR1[32]^VAR1[34]^VAR1[38]^VAR1[40]^VAR1[41]^VAR1[43]^VAR1[44]^VAR1[46]^VAR1[48]^VAR1[49]^VAR1[51]^VAR1[53]^VAR1[55]^VAR1[56]^VAR1[58]^VAR1[59]^VAR1[66]^VAR1[69]^VAR1[76]^VAR1[79]^VAR1[80]^VAR1[81]^VAR1[83]^VAR1[85]^VAR1[88]^VAR1[90]^VAR1[94]^VAR1[98]^VAR1[100]^VAR1[102]^VAR1[103]^VAR1[106]^VAR1[107]^VAR1[110]^VAR1[112]^VAR1[118]^VAR1[120]^VAR1[122]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[10] <= VAR1[4]^VAR1[8]^VAR1[9]^VAR1[12]^VAR1[14]^VAR1[17]^VAR1[18]^VAR1[22]^VAR1[23]^VAR1[24]^VAR1[26]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[35]^VAR1[36]^VAR1[39]^VAR1[40]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[50]^VAR1[51]^VAR1[52]^VAR1[53]^VAR1[56]^VAR1[58]^VAR1[59]^VAR1[61]^VAR1[64]^VAR1[65]^VAR1[66]^VAR1[67]^VAR1[70]^VAR1[71]^VAR1[74]^VAR1[76]^VAR1[78]^VAR1[86]^VAR1[89]^VAR1[91]^VAR1[92]^VAR1[93]^VAR1[94]^VAR1[95]^VAR1[99]^VAR1[100]^VAR1[101]^VAR1[102]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[113]^VAR1[115]^VAR1[116]^VAR1[122]^VAR1[123]^VAR1[125]^VAR1[127];
VAR2[ 9] <= VAR1[0]^VAR1[2]^VAR1[9]^VAR1[12]^VAR1[14]^VAR1[15]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[24]^VAR1[26]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[35]^VAR1[37]^VAR1[44]^VAR1[47]^VAR1[49]^VAR1[52]^VAR1[58]^VAR1[59]^VAR1[61]^VAR1[62]^VAR1[64]^VAR1[67]^VAR1[68]^VAR1[72]^VAR1[74]^VAR1[75]^VAR1[76]^VAR1[78]^VAR1[79]^VAR1[80]^VAR1[81]^VAR1[82]^VAR1[84]^VAR1[87]^VAR1[90]^VAR1[95]^VAR1[96]^VAR1[101]^VAR1[104]^VAR1[105]^VAR1[107]^VAR1[111]^VAR1[114]^VAR1[115]^VAR1[117]^VAR1[119]^VAR1[121]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[125];
VAR2[ 8] <= VAR1[1]^VAR1[3]^VAR1[10]^VAR1[13]^VAR1[15]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[25]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[36]^VAR1[38]^VAR1[45]^VAR1[48]^VAR1[50]^VAR1[53]^VAR1[59]^VAR1[60]^VAR1[62]^VAR1[63]^VAR1[65]^VAR1[68]^VAR1[69]^VAR1[73]^VAR1[75]^VAR1[76]^VAR1[77]^VAR1[79]^VAR1[80]^VAR1[81]^VAR1[82]^VAR1[83]^VAR1[85]^VAR1[88]^VAR1[91]^VAR1[96]^VAR1[97]^VAR1[102]^VAR1[105]^VAR1[106]^VAR1[108]^VAR1[112]^VAR1[115]^VAR1[116]^VAR1[118]^VAR1[120]^VAR1[122]^VAR1[123]^VAR1[124]^VAR1[125]^VAR1[126];
VAR2[ 7] <= VAR1[0]^VAR1[2]^VAR1[4]^VAR1[11]^VAR1[14]^VAR1[16]^VAR1[17]^VAR1[18]^VAR1[19]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[23]^VAR1[26]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[35]^VAR1[37]^VAR1[39]^VAR1[46]^VAR1[49]^VAR1[51]^VAR1[54]^VAR1[60]^VAR1[61]^VAR1[63]^VAR1[64]^VAR1[66]^VAR1[69]^VAR1[70]^VAR1[74]^VAR1[76]^VAR1[77]^VAR1[78]^VAR1[80]^VAR1[81]^VAR1[82]^VAR1[83]^VAR1[84]^VAR1[86]^VAR1[89]^VAR1[92]^VAR1[97]^VAR1[98]^VAR1[103]^VAR1[106]^VAR1[107]^VAR1[109]^VAR1[113]^VAR1[116]^VAR1[117]^VAR1[119]^VAR1[121]^VAR1[123]^VAR1[124]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[ 6] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[3]^VAR1[10]^VAR1[13]^VAR1[14]^VAR1[15]^VAR1[16]^VAR1[18]^VAR1[19]^VAR1[22]^VAR1[24]^VAR1[25]^VAR1[26]^VAR1[27]^VAR1[28]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[33]^VAR1[35]^VAR1[38]^VAR1[41]^VAR1[43]^VAR1[45]^VAR1[49]^VAR1[50]^VAR1[51]^VAR1[52]^VAR1[53]^VAR1[54]^VAR1[55]^VAR1[57]^VAR1[58]^VAR1[60]^VAR1[62]^VAR1[66]^VAR1[67]^VAR1[70]^VAR1[74]^VAR1[75]^VAR1[76]^VAR1[79]^VAR1[80]^VAR1[83]^VAR1[85]^VAR1[87]^VAR1[90]^VAR1[92]^VAR1[94]^VAR1[98]^VAR1[99]^VAR1[100]^VAR1[102]^VAR1[103]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[110]^VAR1[111]^VAR1[114]^VAR1[115]^VAR1[116]^VAR1[117]^VAR1[118]^VAR1[119]^VAR1[120]^VAR1[121]^VAR1[124]^VAR1[127];
VAR2[ 5] <= VAR1[1]^VAR1[3]^VAR1[4]^VAR1[5]^VAR1[10]^VAR1[11]^VAR1[12]^VAR1[13]^VAR1[15]^VAR1[19]^VAR1[21]^VAR1[27]^VAR1[29]^VAR1[30]^VAR1[31]^VAR1[39]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[45]^VAR1[46]^VAR1[47]^VAR1[49]^VAR1[50]^VAR1[52]^VAR1[55]^VAR1[56]^VAR1[57]^VAR1[59]^VAR1[60]^VAR1[63]^VAR1[64]^VAR1[65]^VAR1[66]^VAR1[67]^VAR1[68]^VAR1[74]^VAR1[75]^VAR1[78]^VAR1[82]^VAR1[86]^VAR1[88]^VAR1[91]^VAR1[92]^VAR1[94]^VAR1[95]^VAR1[99]^VAR1[101]^VAR1[102]^VAR1[105]^VAR1[107]^VAR1[112]^VAR1[117]^VAR1[118]^VAR1[120]^VAR1[126];
VAR2[ 4] <= VAR1[0]^VAR1[2]^VAR1[4]^VAR1[5]^VAR1[6]^VAR1[11]^VAR1[12]^VAR1[13]^VAR1[14]^VAR1[16]^VAR1[20]^VAR1[22]^VAR1[28]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[45]^VAR1[46]^VAR1[47]^VAR1[48]^VAR1[50]^VAR1[51]^VAR1[53]^VAR1[56]^VAR1[57]^VAR1[58]^VAR1[60]^VAR1[61]^VAR1[64]^VAR1[65]^VAR1[66]^VAR1[67]^VAR1[68]^VAR1[69]^VAR1[75]^VAR1[76]^VAR1[79]^VAR1[83]^VAR1[87]^VAR1[89]^VAR1[92]^VAR1[93]^VAR1[95]^VAR1[96]^VAR1[100]^VAR1[102]^VAR1[103]^VAR1[106]^VAR1[108]^VAR1[113]^VAR1[118]^VAR1[119]^VAR1[121]^VAR1[127];
VAR2[ 3] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[3]^VAR1[6]^VAR1[7]^VAR1[10]^VAR1[15]^VAR1[16]^VAR1[20]^VAR1[25]^VAR1[26]^VAR1[28]^VAR1[29]^VAR1[31]^VAR1[33]^VAR1[34]^VAR1[36]^VAR1[40]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[48]^VAR1[52]^VAR1[53]^VAR1[59]^VAR1[60]^VAR1[62]^VAR1[64]^VAR1[67]^VAR1[68]^VAR1[69]^VAR1[70]^VAR1[71]^VAR1[74]^VAR1[78]^VAR1[81]^VAR1[82]^VAR1[88]^VAR1[90]^VAR1[92]^VAR1[96]^VAR1[97]^VAR1[100]^VAR1[101]^VAR1[102]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[111]^VAR1[114]^VAR1[115]^VAR1[116]^VAR1[120]^VAR1[121]^VAR1[125]^VAR1[126];
VAR2[ 2] <= VAR1[0]^VAR1[1]^VAR1[2]^VAR1[3]^VAR1[4]^VAR1[7]^VAR1[8]^VAR1[11]^VAR1[16]^VAR1[17]^VAR1[21]^VAR1[26]^VAR1[27]^VAR1[29]^VAR1[30]^VAR1[32]^VAR1[34]^VAR1[35]^VAR1[37]^VAR1[41]^VAR1[43]^VAR1[45]^VAR1[47]^VAR1[49]^VAR1[53]^VAR1[54]^VAR1[60]^VAR1[61]^VAR1[63]^VAR1[65]^VAR1[68]^VAR1[69]^VAR1[70]^VAR1[71]^VAR1[72]^VAR1[75]^VAR1[79]^VAR1[82]^VAR1[83]^VAR1[89]^VAR1[91]^VAR1[93]^VAR1[97]^VAR1[98]^VAR1[101]^VAR1[102]^VAR1[103]^VAR1[106]^VAR1[107]^VAR1[108]^VAR1[109]^VAR1[110]^VAR1[112]^VAR1[115]^VAR1[116]^VAR1[117]^VAR1[121]^VAR1[122]^VAR1[126]^VAR1[127];
VAR2[ 1] <= VAR1[0]^VAR1[1]^VAR1[3]^VAR1[4]^VAR1[8]^VAR1[9]^VAR1[10]^VAR1[13]^VAR1[14]^VAR1[16]^VAR1[18]^VAR1[20]^VAR1[21]^VAR1[22]^VAR1[23]^VAR1[25]^VAR1[26]^VAR1[27]^VAR1[30]^VAR1[31]^VAR1[32]^VAR1[33]^VAR1[34]^VAR1[35]^VAR1[38]^VAR1[40]^VAR1[41]^VAR1[42]^VAR1[43]^VAR1[44]^VAR1[45]^VAR1[46]^VAR1[47]^VAR1[48]^VAR1[49]^VAR1[50]^VAR1[51]^VAR1[53]^VAR1[55]^VAR1[57]^VAR1[58]^VAR1[60]^VAR1[62]^VAR1[65]^VAR1[69]^VAR1[70]^VAR1[72]^VAR1[73]^VAR1[74]^VAR1[77]^VAR1[78]^VAR1[81]^VAR1[82]^VAR1[83]^VAR1[90]^VAR1[93]^VAR1[98]^VAR1[99]^VAR1[100]^VAR1[105]^VAR1[106]^VAR1[107]^VAR1[109]^VAR1[110]^VAR1[113]^VAR1[115]^VAR1[117]^VAR1[118]^VAR1[119]^VAR1[121]^VAR1[123]^VAR1[125]^VAR1[126]^VAR1[127];
VAR2[ 0] <= VAR1[1]^VAR1[4]^VAR1[9]^VAR1[11]^VAR1[12]^VAR1[13]^VAR1[15]^VAR1[16]^VAR1[19]^VAR1[20]^VAR1[22]^VAR1[24]^VAR1[25]^VAR1[27]^VAR1[31]^VAR1[33]^VAR1[35]^VAR1[39]^VAR1[40]^VAR1[42]^VAR1[44]^VAR1[46]^VAR1[48]^VAR1[50]^VAR1[52]^VAR1[53]^VAR1[56]^VAR1[57]^VAR1[59]^VAR1[60]^VAR1[63]^VAR1[64]^VAR1[65]^VAR1[70]^VAR1[73]^VAR1[75]^VAR1[76]^VAR1[77]^VAR1[79]^VAR1[80]^VAR1[81]^VAR1[83]^VAR1[91]^VAR1[92]^VAR1[93]^VAR1[99]^VAR1[101]^VAR1[102]^VAR1[103]^VAR1[104]^VAR1[105]^VAR1[107]^VAR1[110]^VAR1[114]^VAR1[115]^VAR1[118]^VAR1[120]^VAR1[121]^VAR1[124]^VAR1[125]^VAR1[127];
end
end
endmodule
|
lgpl-3.0
|
phanrahan/magmathon
|
notebooks/tutorial/coreir/Counter.v
| 1,763 |
module MODULE1 #(
parameter VAR26 = 1,
parameter VAR13 = 1,
parameter VAR18 = 1,
parameter VAR25 = 1
) (
input clk,
input VAR27,
input [VAR26-1:0] in,
output [VAR26-1:0] out
);
reg [VAR26-1:0] VAR11;
wire VAR9;
assign VAR9 = VAR13 ? VAR27 : ~VAR27;
wire VAR6;
assign VAR6 = VAR18 ? clk : ~clk;
always @(posedge VAR6, posedge VAR9) begin
if (VAR9) VAR11 <= VAR25;
end
else VAR11 <= in;
end
assign out = VAR11;
endmodule
module MODULE3 (
input [15:0] VAR4,
input [15:0] VAR16,
input [0:0] VAR10,
output [15:0] out
);
assign out = VAR10[0] ? VAR16 : VAR4;
endmodule
module MODULE5 (
input [15:0] VAR3,
input [15:0] VAR23,
input VAR8,
output [15:0] VAR24
);
MODULE3 MODULE1 (
.VAR4(VAR3),
.VAR16(VAR23),
.VAR10(VAR8),
.out(VAR24)
);
endmodule
module MODULE2 (
input VAR12,
input [15:0] VAR22,
output [15:0] VAR1,
output [15:0] VAR15
);
MODULE5 VAR5 (
.VAR3(VAR22),
.VAR23(VAR22 + 16'h0001),
.VAR8(VAR12),
.VAR24(VAR1)
);
assign VAR15 = VAR1;
endmodule
module MODULE4 (
input VAR12,
input VAR20,
input VAR19,
output [15:0] VAR24
);
wire [15:0] VAR14;
wire [15:0] VAR2;
MODULE2 VAR17 (
.VAR12(VAR12),
.VAR22(VAR2),
.VAR1(VAR14),
.VAR15(VAR24)
);
MODULE1 #(
.VAR13(1'b1),
.VAR18(1'b1),
.VAR25(16'h0000),
.VAR26(16)
) VAR7 (
.clk(VAR20),
.VAR27(VAR19),
.in(VAR14),
.out(VAR2)
);
endmodule
|
mit
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b_downsizer.v
| 11,689 |
module MODULE1 #
(
parameter VAR21 = "none",
parameter integer VAR4 = 4,
parameter integer VAR32 = 0,
parameter integer VAR33 = 1
)
(
input wire VAR2,
input wire VAR38,
input wire VAR34,
input wire VAR13,
input wire [4-1:0] VAR29,
output wire VAR27,
output wire [VAR4-1:0] VAR37,
output wire [2-1:0] VAR39,
output wire [VAR33-1:0] VAR19,
output wire VAR24,
input wire VAR17,
input wire [VAR4-1:0] VAR22,
input wire [2-1:0] VAR1,
input wire [VAR33-1:0] VAR11,
input wire VAR6,
output wire VAR9
);
localparam [2-1:0] VAR28 = 2'b00;
localparam [2-1:0] VAR18 = 2'b01;
localparam [2-1:0] VAR41 = 2'b10;
localparam [2-1:0] VAR3 = 2'b11;
wire VAR30;
wire VAR25;
wire VAR15;
reg [4-1:0] VAR8;
reg [4-1:0] VAR23;
wire [4-1:0] VAR10;
reg VAR35;
wire VAR36;
wire VAR40;
wire VAR20;
reg [2-1:0] VAR5;
wire VAR16;
wire [VAR4-1:0] VAR7;
reg [2-1:0] VAR26;
wire [VAR33-1:0] VAR14;
wire VAR31;
wire VAR12;
assign VAR16 = VAR6 & ~VAR15;
assign VAR9 = VAR16;
assign VAR31 = VAR6 & VAR36;
assign VAR25 = VAR6 & VAR16;
assign VAR30 = VAR34 & VAR25 & VAR36;
assign VAR27 = VAR30;
assign VAR15 = (~VAR12 & VAR36);
assign VAR40 = (VAR13 & VAR35);
assign VAR20 = ( VAR1 > VAR5 );
always @ *
begin
if ( VAR13 ) begin
if ( VAR40 || VAR20 ) begin
VAR26 = VAR1;
end else begin
VAR26 = VAR5;
end
end else begin
VAR26 = VAR1;
end
end
always @ (posedge VAR38) begin
if (VAR2) begin
VAR5 <= VAR28;
end else begin
if ( VAR25 ) begin
VAR5 <= VAR26;
end
end
end
assign VAR36 = ( ( VAR23 == 4'b0 ) & ~VAR35 ) |
~VAR13;
always @ *
begin
if ( VAR35 ) begin
VAR8 = VAR29;
end else begin
VAR8 = VAR23;
end
end
assign VAR10 = VAR8 - 1'b1;
always @ (posedge VAR38) begin
if (VAR2) begin
VAR23 <= 4'b0;
VAR35 <= 1'b1;
end else begin
if ( VAR25 ) begin
VAR23 <= VAR10;
VAR35 <= VAR36;
end
end
end
assign VAR7 = VAR22;
assign VAR14 = {VAR33{1'b0}};
assign VAR37 = VAR7;
assign VAR39 = VAR26;
assign VAR19 = VAR14;
assign VAR24 = VAR31;
assign VAR12 = VAR17;
endmodule
|
gpl-3.0
|
nyaxt/dmix
|
mixer.v
| 5,217 |
module MODULE1 #(
parameter VAR18 = 8, parameter VAR23 = 3,
parameter VAR61 = 2,
parameter VAR13 = 1,
parameter VAR22 = 32
)(
input wire clk, input wire rst,
input wire [(VAR18-1):0] VAR36,
output wire [(VAR18-1):0] VAR31, input wire [(VAR18-1):0] VAR49,
input wire [(VAR18*24-1):0] VAR48,
input wire [(VAR18*VAR22-1):0] VAR56,
input wire [(VAR61-1):0] VAR54,
output wire [23:0] VAR24,
output wire [(VAR61-1):0] VAR6);
parameter VAR37 = 6;
wire [23:0] VAR59 [(VAR18-1):0];
genvar VAR20;
generate
for (VAR20 = 0; VAR20 < VAR18; VAR20 = VAR20 + 1) begin:VAR46
VAR60 #(.VAR40(4), .VAR9(2)) VAR8(
.clk(clk), .rst(rst | VAR36[VAR20]),
.VAR48(VAR48[(VAR20*24) +: 24]), .VAR27(VAR49[VAR20]),
.VAR54(VAR31[VAR20]), .VAR39(0), .VAR24(VAR59[VAR20]));
end
endgenerate
parameter VAR52 = VAR18/VAR61 + VAR37 + 1 + 1; parameter VAR34 = VAR23-VAR13 + 4; reg [(VAR23-1):0] VAR35;
reg [(VAR13-1):0] VAR12;
reg [(VAR34-1):0] VAR63;
wire VAR29 = (VAR63 == VAR52-1) ? 1'b1 : 1'b0;
always @(posedge clk) begin
if (rst) begin
VAR35 <= 0;
VAR12 <= 0;
VAR63 <= 0;
end else if (VAR29) begin
VAR63 <= 0;
if (VAR12 == VAR61-1) begin
VAR35 <= 0;
VAR12 <= 0;
end else begin
VAR35 <= VAR12 + 1;
VAR12 <= VAR12 + 1;
end
end else begin
VAR35 <= VAR35 + VAR61;
VAR63 <= VAR63 + 1;
end
end
reg VAR53;
reg [(VAR61-1):0] VAR62;
wire [(VAR61-1):0] VAR25;
genvar VAR19;
generate
for (VAR19 = 0; VAR19 < VAR61; VAR19 = VAR19 + 1) begin:VAR3
VAR21 VAR21(
.clk(clk), .rst(rst),
.VAR54(VAR54[VAR19]),
.VAR45(VAR62[VAR19]), .VAR43(VAR25[VAR19]));
end
endgenerate
always @(posedge clk) begin
VAR62 <= 0;
if (rst) begin
VAR53 <= 1'b0;
end else if (VAR63 == 0) begin
VAR62 <= 1 << VAR12;
end else if (VAR63 == 1) begin
VAR53 <= VAR25[VAR12];
end
end
wire [23:0] VAR15 = VAR59[VAR35];
wire [(VAR22-1):0] VAR55 = 32'h01000000;
wire [31:0] VAR28;
VAR58 VAR10(
.clk(clk),
.VAR11(VAR15), .VAR1(VAR55),
.VAR30(VAR28));
reg [23:0] VAR16;
always @(posedge clk) begin
if (VAR28[31] == 1'b0) begin
if (VAR28[30:23] == 8'b00000000)
VAR16 <= {1'b0, VAR28[22:0]};
end
else
VAR16 <= 24'h7fffff; end else begin
if (VAR28[30:23] == 8'b11111111)
VAR16 <= {1'b1, VAR28[22:0]};
end
else
VAR16 <= 24'h800000; end
end
reg [(VAR37+1-1):0] VAR57;
always @(posedge clk) begin
if (VAR29)
VAR57 <= 0;
end
else
VAR57 <= {1'b1, VAR57[(VAR37+1-1):1]};
end
wire VAR5 = VAR57[0];
reg [23:0] VAR26;
function [23:0] VAR47(
input [23:0] VAR4,
input [23:0] VAR7);
reg [24:0] VAR41;
reg [24:0] VAR38;
reg [24:0] VAR32;
begin
VAR41 = {VAR4[23], VAR4};
VAR38 = {VAR7[23], VAR7};
VAR32 = (VAR41) + (VAR38);
case (VAR32[24:23])
2'b00, 2'b11: VAR47 = VAR32[23:0];
2'b01: VAR47 = 24'h7fffff;
2'b10: VAR47 = 24'h800000;
endcase
end
endfunction
always @(posedge clk) begin
if (!VAR5) begin
VAR26 <= 0;
end else begin
if (VAR53)
VAR51("MODULE1 MODULE1: %VAR14 VAR17: %VAR50. VAR15 %VAR50 * VAR44 %VAR50 = %VAR50",
VAR12, (VAR26), (VAR10.VAR42), (VAR10.VAR2), (VAR16));
VAR26 <= VAR47(VAR26, VAR16);
end
end
assign VAR24 = VAR26;
assign VAR6 = (VAR29 & VAR53) << VAR12;
assign VAR31 = {(VAR18/VAR61){VAR6}};
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/busdrivernovlp2/sky130_fd_sc_lp__busdrivernovlp2.pp.symbol.v
| 1,417 |
module MODULE1 (
input VAR3 ,
output VAR1 ,
input VAR5,
input VAR4 ,
input VAR7,
input VAR2,
input VAR6
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/xor2/gf180mcu_fd_sc_mcu7t5v0__xor2_1.functional.v
| 1,142 |
module MODULE1( VAR10, VAR3, VAR2 );
input VAR3, VAR10;
output VAR2;
wire VAR12;
not VAR9( VAR12, VAR10 );
wire VAR5;
and VAR1( VAR5, VAR12, VAR3 );
wire VAR4;
not VAR11( VAR4, VAR3 );
wire VAR7;
and VAR8( VAR7, VAR4, VAR10 );
or VAR6( VAR2, VAR5, VAR7 );
endmodule
|
apache-2.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/tq/spiral_0.v
| 1,463 |
module MODULE1(
VAR1,
VAR2,
VAR4
);
input signed [19:0] VAR1;
output signed [19+7:0] VAR2;
output signed [19+7:0] VAR4;
wire signed [26:0] VAR7,
VAR10,
VAR5,
VAR8,
VAR11,
VAR3,
VAR9,
VAR6;
assign VAR7 = VAR1;
assign VAR10 = VAR7 << 3;
assign VAR5 = VAR7 + VAR10;
assign VAR8 = VAR7 << 6;
assign VAR11 = VAR7 + VAR8;
assign VAR3 = VAR5 << 1;
assign VAR9 = VAR11 + VAR3;
assign VAR6 = VAR5 << 2;
assign VAR2=VAR6;
assign VAR4=VAR9;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_refgen_new/sky130_fd_io__top_refgen_new.pp.blackbox.v
| 2,805 |
module MODULE1 (
VAR14 ,
VAR5 ,
VAR11 ,
VAR17 ,
VAR20 ,
VAR3 ,
VAR8 ,
VAR9 ,
VAR13 ,
VAR6,
VAR22 ,
VAR1 ,
VAR10 ,
VAR21 ,
VAR25 ,
VAR24 ,
VAR27 ,
VAR26 ,
VAR15 ,
VAR12 ,
VAR18 ,
VAR7 ,
VAR23 ,
VAR19 ,
VAR2 ,
VAR4 ,
VAR16
);
output VAR14 ;
output VAR5 ;
inout VAR11 ;
inout VAR17 ;
inout VAR20 ;
input VAR3 ;
input VAR8 ;
input VAR9 ;
input VAR13 ;
input VAR6;
input [2:0] VAR22 ;
input VAR1 ;
input [1:0] VAR10 ;
input VAR21 ;
input VAR25 ;
inout VAR24 ;
inout VAR27 ;
inout VAR26 ;
inout VAR15 ;
inout VAR12 ;
inout VAR18 ;
inout VAR7 ;
inout VAR23 ;
inout VAR19 ;
inout VAR2 ;
inout VAR4 ;
inout VAR16 ;
endmodule
|
apache-2.0
|
fzyz999/5-stage-MIPS
|
control/hazard.v
| 2,285 |
module MODULE1 (VAR55,VAR56,VAR17,VAR43,VAR24,VAR31);
input [31:0] VAR55,VAR56,VAR17;
input VAR43,VAR24;
output VAR31;
wire VAR29,VAR7,VAR26,VAR39,VAR27,VAR25,VAR32,VAR22,VAR34,VAR9,VAR10,VAR52;
wire VAR28,VAR47,VAR41,VAR14,VAR19,VAR49,VAR15,VAR5,VAR13,VAR8,VAR40,VAR23;
wire VAR12,VAR50,VAR20,VAR11,VAR44,VAR37,VAR51,VAR16,VAR18,VAR46,VAR38,VAR53;
VAR6 VAR4(VAR55,VAR29,VAR7,VAR32,VAR22,VAR39,VAR27,VAR25,VAR34,VAR9,VAR52,VAR10);
VAR6 VAR54(VAR56,VAR28,VAR47,VAR5,VAR15,VAR14,VAR19,VAR49,VAR13,VAR8,VAR23,VAR40);
VAR6 VAR45(VAR17,VAR12,VAR50,VAR16,VAR51,VAR11,VAR44,VAR37,VAR18,VAR46,VAR53,VAR38);
assign VAR26 = VAR39 | VAR25;
assign VAR41 = VAR14 | VAR49;
assign VAR20 = VAR11 | VAR37;
wire VAR48,VAR3,VAR36,VAR21,VAR42,VAR30,VAR33;
assign VAR33=VAR9 & (VAR43|VAR24);
assign VAR48 = VAR26 & VAR55[VAR35]!= 5'b00000 &(
(VAR28 & (VAR55[VAR35]==VAR56[VAR2])) |
(VAR47 & (VAR55[VAR35]==VAR56[VAR1])) |
((VAR5|VAR40) & (VAR55[VAR35]==VAR56[VAR1])) |
((VAR16|VAR38) & (VAR55[VAR35]==VAR17[VAR1]))
);
assign VAR3 = VAR27 & VAR55[VAR1]!= 5'b00000 &(
(VAR28 & (VAR55[VAR1]==VAR56[VAR2])) |
(VAR47 & (VAR55[VAR1]==VAR56[VAR1])) |
((VAR5|VAR40) & (VAR55[VAR1]==VAR56[VAR1])) |
((VAR16|VAR38) & (VAR55[VAR1]==VAR17[VAR1]))
);
assign VAR36 = VAR29 & ((VAR5|VAR40) & (VAR55[VAR35]==VAR56[VAR1] | VAR55[VAR1]==VAR56[VAR1]));
assign VAR21 = VAR7 & ((VAR5|VAR40) & VAR55[VAR35]==VAR56[VAR1]);
assign VAR42 = VAR32 & ((VAR5|VAR40) & VAR55[VAR35]==VAR56[VAR1]);
assign VAR30 = VAR22 & ((VAR5|VAR40) & VAR55[VAR35]==VAR56[VAR1]);
assign VAR31=VAR48|VAR36|VAR21|VAR42|VAR30|VAR3|VAR33;
endmodule
|
mit
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/wrapper/usbSlaveCyc2Wrap.v
| 5,771 |
module MODULE1(
VAR21,
VAR12,
VAR19,
VAR18,
VAR31,
VAR22,
VAR34,
VAR6,
irq,
VAR9,
VAR4,
VAR25,
VAR30,
VAR23,
VAR13,
VAR1,
VAR7
);
input VAR21;
input VAR12;
input [7:0] VAR19;
input [7:0] VAR18;
output [7:0] VAR31;
input VAR22;
input VAR34;
output VAR6;
output irq;
input VAR9;
inout VAR4 ;
inout VAR25 ;
output VAR30 ;
output VAR23 ;
output VAR13;
output VAR1;
input VAR7;
wire VAR21;
wire VAR12;
wire [7:0] VAR19;
wire [7:0] VAR18;
wire [7:0] VAR31;
wire irq;
wire VAR9;
wire VAR28;
wire VAR33;
wire VAR23;
wire VAR8;
wire VAR35;
wire VAR38;
wire VAR24;
wire VAR27;
wire VAR11;
wire VAR5;
wire [1:0] VAR26;
wire [1:0] VAR3;
assign irq = VAR8 | VAR35 |
VAR38 | VAR24 |
VAR27 | VAR11;
assign VAR26 = {VAR4, VAR25};
assign {VAR4, VAR25} = (VAR5 == 1'b1) ? VAR3 : 2'VAR36;
assign VAR30 = ~VAR5;
parameter VAR32 = 64;
parameter VAR10 = 6;
parameter VAR37 = 64;
parameter VAR15 = 6;
parameter VAR16 = 64;
parameter VAR29 = 6;
parameter VAR14 = 64;
parameter VAR20 = 6;
VAR17 VAR2 (
.VAR21(VAR21),
.VAR12(VAR12),
.VAR19(VAR19),
.VAR18(VAR18),
.VAR31(VAR31),
.VAR22(VAR22),
.VAR34(VAR34),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR35(VAR35),
.VAR38(VAR38),
.VAR24(VAR24),
.VAR27(VAR27),
.VAR11(VAR11),
.VAR26(VAR26),
.VAR33(VAR33),
.VAR3(VAR3),
.VAR28(VAR28),
.VAR5(VAR5),
.VAR23(VAR23),
.VAR13(VAR13),
.VAR1(VAR1),
.VAR7(VAR7)
);
endmodule
|
gpl-3.0
|
htuNCSU/MmcCommunicationVerilog
|
DE2_115_MASTER/source_code/freedm_bus/fb_txstatem.v
| 5,229 |
module MODULE1 (VAR5, VAR3,
VAR28, VAR13, VAR36, VAR24, VAR33, VAR12,
VAR37, VAR25, VAR26, VAR8, VAR11,
VAR22, VAR9, VAR10, VAR6, VAR18,
VAR14, VAR21, VAR1, VAR32, VAR15,
VAR34, VAR30, VAR20, VAR35, VAR4,
VAR29
);
input VAR5;
input VAR3;
input VAR28;
input VAR13;
input VAR36;
input VAR24;
input VAR33;
input VAR12;
input VAR37;
input VAR25;
input VAR26;
input VAR8;
input VAR11;
input VAR22;
input VAR9;
input VAR10;
input VAR6;
input VAR18;
output VAR14; output VAR21; output VAR1; output VAR32; output [1:0] VAR15; output VAR34; output [1:0] VAR30; output [1:0] VAR20; output VAR35; output VAR4;
output [1:0] VAR29;
reg VAR14;
reg VAR21;
reg VAR1;
reg VAR32;
reg [1:0] VAR15;
reg VAR34;
reg [1:0] VAR30;
reg [1:0] VAR20;
reg VAR35;
reg VAR4;
wire VAR19; wire VAR2; wire VAR7; wire VAR16;
wire [1:0] VAR31;
wire VAR38;
wire [1:0] VAR17;
wire [1:0] VAR29;
wire VAR23; wire VAR27;
assign VAR19 = VAR10;
assign VAR2 = VAR14 & VAR28;
assign VAR7 = VAR21 & VAR18;
assign VAR16 = VAR1 & VAR37;
assign VAR31[0] = VAR1 & VAR26;
assign VAR31[1] = VAR15[0];
assign VAR38 = VAR1 & VAR8;
assign VAR17[0] = VAR1 & VAR11;
assign VAR17[1] = VAR30[0];
assign VAR29[0] = ( VAR1 & VAR25 ) | ( VAR20[1] & ~VAR33 ) | ( VAR35 & ~VAR12 & VAR6);
assign VAR29[1] = VAR20[0] & ~VAR22 ;
assign VAR23 = VAR20[1] & VAR33 ;
assign VAR27 = VAR35 & VAR12 | VAR32 & VAR13 | VAR15[1] & VAR36 | VAR34 & VAR24 | VAR30[1];
always @ (posedge VAR5 or posedge VAR3)
begin
if(VAR3)
begin
VAR14 <= 1'b1;
VAR21 <= 1'b0;
VAR1 <= 1'b0;
VAR32 <= 1'b0;
VAR15[1:0] <= 2'b0;
VAR34 <= 1'b0;
VAR30[1:0] <= 2'b0;
VAR20[1:0] <= 2'b0;
VAR35 <= 1'b0;
VAR4 <= 1'b0;
end
else
begin
VAR20[1:0] <= VAR29[1:0];
if(VAR2)
VAR14 <= 1'b0;
end
else
if(VAR19)
VAR14 <= 1'b1;
if(VAR7)
VAR21 <= 1'b0;
end
else
if(VAR2)
VAR21 <= 1'b1;
if(VAR29[0] | VAR16 | VAR31[0] | VAR38 | VAR17[0])
VAR1 <= 1'b0;
else
if(VAR7)
VAR1 <= 1'b1;
if(VAR27)
VAR32 <= 1'b0;
else
if(VAR16)
VAR32 <= 1'b1;
if(VAR27)
VAR34 <= 1'b0;
else
if(VAR38)
VAR34 <= 1'b1;
if(VAR31[1])
VAR15[0] <= 1'b0;
else
if(VAR31[0])
VAR15[0] <= 1'b1;
if(VAR27)
VAR15[1] <= 1'b0;
else
if(VAR31[1])
VAR15[1] <= 1'b1;
if(VAR17[1])
VAR30[0] <= 1'b0;
else
if(VAR17[0])
VAR30[0] <= 1'b1;
if(VAR27)
VAR30[1] <= 1'b0;
else
if(VAR17[1])
VAR30[1] <= 1'b1;
if(VAR29[0] | VAR27)
VAR35 <= 1'b0;
else
if(VAR23)
VAR35 <= 1'b1;
if(VAR19)
VAR4 <= 1'b0;
else
if(VAR27)
VAR4 <= 1'b1;
end
end
endmodule
|
gpl-3.0
|
James534/SubZero
|
SubZero/fpga/fpga_hw/top_level/DE0_Nano_SOPC/synthesis/submodules/DE0_Nano_SOPC_altpll_sys.v
| 11,870 |
module MODULE1
(
VAR9,
VAR7,
VAR10,
VAR6) ;
input VAR9;
input VAR7;
input [0:0] VAR10;
output [0:0] VAR6;
tri0 VAR9;
tri1 VAR7;
reg [0:0] VAR2;
reg [0:0] VAR8;
reg [0:0] VAR3;
wire VAR1;
wire VAR4;
wire VAR5;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdfxtp/sky130_fd_sc_hd__sdfxtp.behavioral.v
| 2,340 |
module MODULE1 (
VAR18 ,
VAR6,
VAR21 ,
VAR16,
VAR4
);
output VAR18 ;
input VAR6;
input VAR21 ;
input VAR16;
input VAR4;
supply1 VAR2;
supply0 VAR11;
supply1 VAR25 ;
supply0 VAR19 ;
wire VAR1 ;
wire VAR3 ;
reg VAR10 ;
wire VAR13 ;
wire VAR7;
wire VAR14;
wire VAR23;
wire VAR15 ;
wire VAR12 ;
wire VAR5 ;
wire VAR9 ;
VAR8 VAR20 (VAR3, VAR13, VAR7, VAR14 );
VAR22 VAR24 (VAR1 , VAR3, VAR23, VAR10, VAR2, VAR11);
assign VAR15 = ( VAR2 === 1'b1 );
assign VAR12 = ( ( VAR14 === 1'b0 ) && VAR15 );
assign VAR5 = ( ( VAR14 === 1'b1 ) && VAR15 );
assign VAR9 = ( ( VAR13 !== VAR7 ) && VAR15 );
buf VAR17 (VAR18 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or3/sky130_fd_sc_lp__or3_1.v
| 2,153 |
module MODULE2 (
VAR5 ,
VAR9 ,
VAR8 ,
VAR10 ,
VAR2,
VAR1,
VAR6 ,
VAR4
);
output VAR5 ;
input VAR9 ;
input VAR8 ;
input VAR10 ;
input VAR2;
input VAR1;
input VAR6 ;
input VAR4 ;
VAR7 VAR3 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR5,
VAR9,
VAR8,
VAR10
);
output VAR5;
input VAR9;
input VAR8;
input VAR10;
supply1 VAR2;
supply0 VAR1;
supply1 VAR6 ;
supply0 VAR4 ;
VAR7 VAR3 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkinvlp/sky130_fd_sc_hdll__clkinvlp_2.v
| 2,084 |
module MODULE1 (
VAR4 ,
VAR8 ,
VAR6,
VAR2,
VAR1 ,
VAR3
);
output VAR4 ;
input VAR8 ;
input VAR6;
input VAR2;
input VAR1 ;
input VAR3 ;
VAR7 VAR5 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR4,
VAR8
);
output VAR4;
input VAR8;
supply1 VAR6;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR3 ;
VAR7 VAR5 (
.VAR4(VAR4),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
alr46664/lab4
|
verilog_source/pipeline_ctrl.v
| 2,977 |
module MODULE1(
clk, VAR10, VAR18, VAR11,
VAR12, VAR2, VAR8, VAR5, VAR15, VAR9,
VAR3,
VAR20, VAR17,
VAR1, VAR16, VAR6, VAR21, VAR19, VAR7
);
input clk, VAR10;
input [VAR23-1:0] VAR18, VAR11;
input [VAR13-1:0] VAR12, VAR2, VAR8;
input VAR5;
input [VAR23-1:0] VAR15, VAR9, VAR3;
input signed [VAR4-1:0] VAR20, VAR17, VAR1, VAR16, VAR6, VAR21;
output reg signed [VAR4-1:0] VAR19, VAR7;
always @(*) begin
VAR19 = VAR20;
VAR7 = VAR17;
if (VAR2 == VAR14) begin
VAR19 = VAR16;
end else if (VAR18 == VAR15 && VAR2 != VAR22) begin
VAR19 = VAR1;
end else if (VAR18 == VAR9 && VAR8 != VAR22) begin
VAR19 = VAR6;
end else if (VAR18 == VAR3 && VAR5 == 1) begin
VAR19 = VAR21;
end
if (VAR11 == VAR15 && VAR2 != VAR22) begin
VAR7 = VAR1;
end else if (VAR11 == VAR9 && VAR8 != VAR22) begin
VAR7 = VAR6;
end else if (VAR11 == VAR3 && VAR5 == 1) begin
VAR7 = VAR21;
end
end
endmodule
|
gpl-3.0
|
monotone-RK/FACE
|
MCSoC-15/16-way/src/vivado_ip_dram/phy/mig_7series_v2_3_ddr_phy_ocd_cntlr.v
| 9,807 |
module MODULE1 #
(parameter VAR44 = 100,
parameter VAR52 = 3,
parameter VAR9 = 8)
(
VAR35, VAR24, VAR40,
VAR12, VAR7, VAR25,
VAR37, VAR15, VAR38,
VAR27, VAR36, VAR26,
VAR46,
clk, rst, VAR51, VAR19,
VAR28, VAR45, VAR39,
VAR5, VAR34, VAR16
);
localparam VAR49 = 1;
input clk;
input rst;
output VAR35, VAR24;
reg VAR4, VAR14, VAR1, VAR10;
assign VAR35 = VAR14;
assign VAR24 = VAR10;
output VAR40; assign VAR40 = 1'b1;
input VAR51;
reg VAR3, VAR33;
output VAR12;
assign VAR12 = VAR33;
input VAR19, VAR28;
input VAR45;
reg VAR22, VAR30;
output VAR7;
assign VAR7 = VAR30;
reg VAR47, VAR50;
output VAR25;
assign VAR25 = VAR50;
reg VAR17, VAR18;
output VAR37;
assign VAR37 = VAR18;
input VAR39;
reg VAR20, VAR11;
wire VAR41 = VAR28 ? VAR11 : VAR39;
reg VAR21, VAR8, VAR43;
output VAR15, VAR38, VAR27;
assign VAR15 = VAR21;
assign VAR38 = VAR8;
assign VAR27 = VAR43;
input [8:0] VAR5;
reg VAR31;
output VAR36;
assign VAR36 = VAR31;
input VAR34;
reg [3:0] VAR2, VAR42;
reg [VAR52-1:0] VAR6, VAR48;
output [VAR52:0] VAR26;
assign VAR26 = {1'b0, VAR48};
reg VAR13, VAR29;
output VAR46;
assign VAR46 = VAR29;
input VAR16;
reg [2:0] VAR32, VAR23;
always @(*) begin
VAR6 = VAR48;
VAR1 = VAR10;
VAR22 = VAR30;
VAR17 = VAR18;
VAR47 = VAR50;
VAR31 = 1'b0;
VAR2 = VAR42;
if (|VAR42) VAR2 = VAR42 - 4'b1;
VAR13 = VAR29;
VAR4 = VAR14;
VAR32 = VAR23;
VAR3= 1'b0;
if (rst == 1'b1) begin
VAR47 = 1'b0;
VAR1 = 1'b0;
VAR32 = 3'd0;
VAR22 = 1'b0;
VAR17 = 1'b0;
VAR13 = 1'b1;
VAR4 = 1'b0;
end else
case (VAR23)
3'd0: begin
VAR6 = {VAR52{1'b0}};
if (VAR19 && ~VAR18 ||
VAR28 && ~VAR50)
begin
VAR32 = 3'd1;
VAR22 = 1'b1;
end
end
3'd1:
VAR32 = 3'd2;
3'd2:begin
if (VAR45) begin
VAR22 = 1'b0;
VAR32 = 3'd3;
VAR13 = 1'b0;
end
end
3'd3:begin
if (VAR16) begin
VAR13 = 1'b1;
VAR32 = 3'd4;
end
end
3'd4:begin
VAR32 = 3'd5;
VAR3 = 1'b1;
end
3'd5:begin
if (VAR51) VAR32 = 3'd6;
end
3'd6:begin
VAR6 = VAR48 + VAR49[VAR52-1:0];
if ({1'b0, VAR48} == VAR9[VAR52:0] - VAR49[VAR9:0]) begin
VAR6 = {VAR52{1'b0}};
VAR2 = 4'd8;
VAR32 = 3'd7;
end else begin
VAR32 = 3'd1;
VAR22 = 1'b1;
end
end
3'd7:
if (~|VAR42 && VAR34)
if (|VAR5[5:0]) VAR31 = 1'b1;
end
else begin
if ({1'b0, VAR48} == VAR9[VAR52:0] - VAR49[VAR9:0]) begin
VAR32 = 3'd0;
VAR17= 1'b1;
VAR4 = 1'b1;
if (VAR28) begin
VAR47 = 1'b1;
VAR1 = 1'b1;
end
end else begin
VAR6 = VAR48 + VAR49[VAR52-1:0];
VAR2 = 4'd8;
end
end
endcase end
endmodule
|
mit
|
buhii/LGA-FHP2
|
ltcppg.v
| 5,328 |
module MODULE1
(
input [63:0] VAR29,
input [63:0] VAR95,
input [63:0] VAR104,
input [63:0] VAR45,
input [63:0] VAR13,
input [63:0] VAR84,
input [63:0] VAR80,
input [63:0] VAR58,
input [63:0] VAR35,
output [63:0] VAR26
);
wire [7:0] VAR72;
wire [7:0] VAR18;
wire [7:0] VAR25;
wire [7:0] VAR50;
wire [7:0] VAR78;
wire [7:0] VAR27;
wire [7:0] VAR31;
wire [7:0] VAR2;
assign VAR72 = VAR29[7:0];
assign VAR18 = VAR29[15:8];
assign VAR25 = VAR29[23:16];
assign VAR50 = VAR29[31:24];
assign VAR78 = VAR29[39:32];
assign VAR27 = VAR29[47:40];
assign VAR31 = VAR29[55:48];
assign VAR2 = VAR29[63:56];
wire [7:0] VAR49;
wire [7:0] VAR67;
wire [7:0] VAR8;
wire [7:0] VAR70;
wire [7:0] VAR12;
wire [7:0] VAR54;
wire [7:0] VAR69;
wire [7:0] VAR20;
assign VAR49 = VAR95[7:0];
assign VAR67 = VAR95[15:8];
assign VAR8 = VAR95[23:16];
assign VAR70 = VAR95[31:24];
assign VAR12 = VAR95[39:32];
assign VAR54 = VAR95[47:40];
assign VAR69 = VAR95[55:48];
assign VAR20 = VAR95[63:56];
wire [7:0] VAR5;
wire [7:0] VAR55;
wire [7:0] VAR68;
wire [7:0] VAR74;
wire [7:0] VAR37;
wire [7:0] VAR3;
wire [7:0] VAR91;
wire [7:0] VAR92;
assign VAR5 = VAR104[7:0];
assign VAR55 = VAR104[15:8];
assign VAR68 = VAR104[23:16];
assign VAR74 = VAR104[31:24];
assign VAR37 = VAR104[39:32];
assign VAR3 = VAR104[47:40];
assign VAR91 = VAR104[55:48];
assign VAR92 = VAR104[63:56];
wire [7:0] VAR23;
wire [7:0] VAR102;
wire [7:0] VAR39;
wire [7:0] VAR109;
wire [7:0] VAR48;
wire [7:0] VAR105;
wire [7:0] VAR11;
wire [7:0] VAR107;
assign VAR23 = VAR45[7:0];
assign VAR102 = VAR45[15:8];
assign VAR39 = VAR45[23:16];
assign VAR109 = VAR45[31:24];
assign VAR48 = VAR45[39:32];
assign VAR105 = VAR45[47:40];
assign VAR11 = VAR45[55:48];
assign VAR107 = VAR45[63:56];
wire [7:0] VAR96;
wire [7:0] VAR77;
wire [7:0] VAR38;
wire [7:0] VAR60;
wire [7:0] VAR56;
wire [7:0] VAR88;
wire [7:0] VAR106;
wire [7:0] VAR97;
assign VAR96 = VAR13[7:0];
assign VAR77 = VAR13[15:8];
assign VAR38 = VAR13[23:16];
assign VAR60 = VAR13[31:24];
assign VAR56 = VAR13[39:32];
assign VAR88 = VAR13[47:40];
assign VAR106 = VAR13[55:48];
assign VAR97 = VAR13[63:56];
wire [7:0] VAR14;
wire [7:0] VAR52;
wire [7:0] VAR108;
wire [7:0] VAR32;
wire [7:0] VAR42;
wire [7:0] VAR24;
wire [7:0] VAR76;
wire [7:0] VAR89;
assign VAR14 = VAR84[7:0];
assign VAR52 = VAR84[15:8];
assign VAR108 = VAR84[23:16];
assign VAR32 = VAR84[31:24];
assign VAR42 = VAR84[39:32];
assign VAR24 = VAR84[47:40];
assign VAR76 = VAR84[55:48];
assign VAR89 = VAR84[63:56];
wire [7:0] VAR28;
wire [7:0] VAR87;
wire [7:0] VAR59;
wire [7:0] VAR98;
wire [7:0] VAR33;
wire [7:0] VAR43;
wire [7:0] VAR46;
wire [7:0] VAR90;
assign VAR28 = VAR80[7:0];
assign VAR87 = VAR80[15:8];
assign VAR59 = VAR80[23:16];
assign VAR98 = VAR80[31:24];
assign VAR33 = VAR80[39:32];
assign VAR43 = VAR80[47:40];
assign VAR46 = VAR80[55:48];
assign VAR90 = VAR80[63:56];
wire [7:0] VAR71;
wire [7:0] VAR16;
wire [7:0] VAR34;
wire [7:0] VAR63;
wire [7:0] VAR30;
wire [7:0] VAR94;
wire [7:0] VAR66;
wire [7:0] VAR4;
assign VAR71 = VAR58[7:0];
assign VAR16 = VAR58[15:8];
assign VAR34 = VAR58[23:16];
assign VAR63 = VAR58[31:24];
assign VAR30 = VAR58[39:32];
assign VAR94 = VAR58[47:40];
assign VAR66 = VAR58[55:48];
assign VAR4 = VAR58[63:56];
wire [7:0] VAR62;
wire [7:0] VAR82;
wire [7:0] VAR65;
wire [7:0] VAR22;
wire [7:0] VAR40;
wire [7:0] VAR10;
wire [7:0] VAR83;
wire [7:0] VAR47;
assign VAR62 = VAR35[7:0];
assign VAR82 = VAR35[15:8];
assign VAR65 = VAR35[23:16];
assign VAR22 = VAR35[31:24];
assign VAR40 = VAR35[39:32];
assign VAR10 = VAR35[47:40];
assign VAR83 = VAR35[55:48];
assign VAR47 = VAR35[63:56];
wire [7:0] VAR51;
wire [7:0] VAR15;
wire [7:0] VAR73;
wire [7:0] VAR7;
wire [7:0] VAR85;
wire [7:0] VAR81;
wire [7:0] VAR99;
wire [7:0] VAR103;
VAR100 VAR9 ( .VAR110( VAR2 ), .VAR93( VAR8 ), .VAR36( VAR70 ),
.VAR79( VAR105 ), .VAR64( VAR96 ), .VAR57( VAR77 ),
.VAR53( VAR107 ), .VAR86( VAR38 ), .VAR17( VAR60 ),
.VAR61( VAR51 ), .VAR21( 1'b0 ) );
VAR100 VAR75 ( .VAR110( VAR8 ), .VAR93( VAR70 ), .VAR36( VAR69 ),
.VAR79( VAR96 ), .VAR64( VAR77 ), .VAR57( VAR56 ),
.VAR53( VAR38 ), .VAR86( VAR60 ), .VAR17( VAR106 ),
.VAR61( VAR15 ), .VAR21( 1'b0 ) );
VAR100 VAR41 ( .VAR110( VAR70 ), .VAR93( VAR69 ), .VAR36( VAR20 ),
.VAR79( VAR77 ), .VAR64( VAR56 ), .VAR57( VAR88 ),
.VAR53( VAR60 ), .VAR86( VAR106 ), .VAR17( VAR97 ),
.VAR61( VAR73 ), .VAR21( 1'b0 ) );
VAR100 VAR101 ( .VAR110( VAR69 ), .VAR93( VAR20 ), .VAR36( VAR68 ),
.VAR79( VAR56 ), .VAR64( VAR88 ), .VAR57( VAR14 ),
.VAR53( VAR106 ), .VAR86( VAR97 ), .VAR17( VAR108 ),
.VAR61( VAR7 ), .VAR21( 1'b0 ) );
VAR100 VAR6 ( .VAR110( VAR105 ), .VAR93( VAR96 ), .VAR36( VAR77 ),
.VAR79( VAR107 ), .VAR64( VAR38 ), .VAR57( VAR60 ),
.VAR53( VAR43 ), .VAR86( VAR71 ), .VAR17( VAR16 ),
.VAR61( VAR85 ), .VAR21( 1'b1 ) );
VAR100 VAR44 ( .VAR110( VAR96 ), .VAR93( VAR77 ), .VAR36( VAR56 ),
.VAR79( VAR38 ), .VAR64( VAR60 ), .VAR57( VAR106 ),
.VAR53( VAR71 ), .VAR86( VAR16 ), .VAR17( VAR30 ),
.VAR61( VAR81 ), .VAR21( 1'b1 ) );
VAR100 VAR19 ( .VAR110( VAR77 ), .VAR93( VAR56 ), .VAR36( VAR88 ),
.VAR79( VAR60 ), .VAR64( VAR106 ), .VAR57( VAR97 ),
.VAR53( VAR16 ), .VAR86( VAR30 ), .VAR17( VAR94 ),
.VAR61( VAR99 ), .VAR21( 1'b1 ) );
VAR100 VAR1 ( .VAR110( VAR56 ), .VAR93( VAR88 ), .VAR36( VAR14 ),
.VAR79( VAR106 ), .VAR64( VAR97 ), .VAR57( VAR108 ),
.VAR53( VAR30 ), .VAR86( VAR94 ), .VAR17( VAR62 ),
.VAR61( VAR103 ), .VAR21( 1'b1 ) );
assign VAR26 = { VAR51, VAR15, VAR73, VAR7,
VAR85, VAR81, VAR99, VAR103 };
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dfrbp/sky130_fd_sc_lp__dfrbp_1.v
| 2,441 |
module MODULE2 (
VAR8 ,
VAR5 ,
VAR11 ,
VAR10 ,
VAR1,
VAR2 ,
VAR4 ,
VAR7 ,
VAR3
);
output VAR8 ;
output VAR5 ;
input VAR11 ;
input VAR10 ;
input VAR1;
input VAR2 ;
input VAR4 ;
input VAR7 ;
input VAR3 ;
VAR6 VAR9 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR8 ,
VAR5 ,
VAR11 ,
VAR10 ,
VAR1
);
output VAR8 ;
output VAR5 ;
input VAR11 ;
input VAR10 ;
input VAR1;
supply1 VAR2;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR3 ;
VAR6 VAR9 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
src/sha256_transform.v
| 4,978 |
module MODULE2 #(
parameter VAR35 = 6'd4
) (
input clk,
input VAR27,
input [5:0] VAR31,
input [255:0] VAR23,
input [511:0] VAR9,
output reg [255:0] VAR2
);
localparam VAR21 = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2};
genvar VAR4;
generate
for (VAR4 = 0; VAR4 < 64/VAR35; VAR4 = VAR4 + 1) begin : VAR17
wire [511:0] VAR5;
wire [255:0] state;
if(VAR4 == 0)
MODULE1 VAR32 (
.clk(clk),
.VAR36(VAR21[32*(63-VAR31) +: 32]),
.VAR29(VAR27 ? VAR5 : VAR9),
.VAR23(VAR27 ? state : VAR23),
.VAR16(VAR5),
.VAR20(state)
);
end
else
MODULE1 VAR32 (
.clk(clk),
.VAR36(VAR21[32*(63-VAR35*VAR4-VAR31) +: 32]),
.VAR29(VAR27 ? VAR5 : VAR17[VAR4-1].VAR5),
.VAR23(VAR27 ? state : VAR17[VAR4-1].state),
.VAR16(VAR5),
.VAR20(state)
);
end
endgenerate
always @ (posedge clk)
begin
if (!VAR27)
begin
VAR2[VAR33(0)] <= VAR23[VAR33(0)] + VAR17[64/VAR35-6'd1].state[VAR33(0)];
VAR2[VAR33(1)] <= VAR23[VAR33(1)] + VAR17[64/VAR35-6'd1].state[VAR33(1)];
VAR2[VAR33(2)] <= VAR23[VAR33(2)] + VAR17[64/VAR35-6'd1].state[VAR33(2)];
VAR2[VAR33(3)] <= VAR23[VAR33(3)] + VAR17[64/VAR35-6'd1].state[VAR33(3)];
VAR2[VAR33(4)] <= VAR23[VAR33(4)] + VAR17[64/VAR35-6'd1].state[VAR33(4)];
VAR2[VAR33(5)] <= VAR23[VAR33(5)] + VAR17[64/VAR35-6'd1].state[VAR33(5)];
VAR2[VAR33(6)] <= VAR23[VAR33(6)] + VAR17[64/VAR35-6'd1].state[VAR33(6)];
VAR2[VAR33(7)] <= VAR23[VAR33(7)] + VAR17[64/VAR35-6'd1].state[VAR33(7)];
end
end
endmodule
module MODULE1 (clk, VAR36, VAR29, VAR23, VAR16, VAR20);
input clk;
input [31:0] VAR36;
input [511:0] VAR29;
input [255:0] VAR23;
output reg [511:0] VAR16;
output reg [255:0] VAR20;
wire [31:0] VAR30, VAR22, VAR12, VAR3, VAR26, VAR14;
VAR6 VAR1 (VAR23[VAR33(0)], VAR30);
VAR11 VAR34 (VAR23[VAR33(4)], VAR22);
VAR24 VAR13 (VAR23[VAR33(4)], VAR23[VAR33(5)], VAR23[VAR33(6)], VAR12);
VAR10 VAR18 (VAR23[VAR33(0)], VAR23[VAR33(1)], VAR23[VAR33(2)], VAR3);
VAR25 VAR28 (VAR29[63:32], VAR26);
VAR15 VAR19 (VAR29[479:448], VAR14);
wire [31:0] VAR7 = VAR23[VAR33(7)] + VAR22 + VAR12 + VAR29[31:0] + VAR36;
wire [31:0] VAR8 = VAR30 + VAR3;
wire [31:0] VAR37 = VAR14 + VAR29[319:288] + VAR26 + VAR29[31:0];
always @ (posedge clk)
begin
VAR16[511:480] <= VAR37;
VAR16[479:0] <= VAR29[511:32];
VAR20[VAR33(7)] <= VAR23[VAR33(6)];
VAR20[VAR33(6)] <= VAR23[VAR33(5)];
VAR20[VAR33(5)] <= VAR23[VAR33(4)];
VAR20[VAR33(4)] <= VAR23[VAR33(3)] + VAR7;
VAR20[VAR33(3)] <= VAR23[VAR33(2)];
VAR20[VAR33(2)] <= VAR23[VAR33(1)];
VAR20[VAR33(1)] <= VAR23[VAR33(0)];
VAR20[VAR33(0)] <= VAR7 + VAR8;
end
endmodule
|
gpl-3.0
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/z80/zports.v
| 21,299 |
module MODULE1(
input wire VAR77, input wire VAR45, input wire VAR103,
input wire VAR59,
input wire VAR132,
input wire [ 7:0] din,
output reg [ 7:0] dout,
output wire VAR12,
input wire [15:0] VAR109,
input wire VAR4,
input wire VAR144,
input wire VAR137,
input wire VAR32,
input wire VAR123,
output reg VAR112, output reg VAR22,
output wire [15:0] VAR88,
input wire [15:0] VAR69,
output wire VAR41, output wire [ 2:0] VAR133,
output wire VAR84,
output wire VAR155,
output wire VAR151,
output wire VAR54,
input wire [ 4:0] VAR93, input wire [ 7:0] VAR91, input wire [ 4:0] VAR118,
output reg [ 3:0] VAR142,
input wire VAR20,
output wire VAR53,
output wire VAR162,
output wire [ 7:0] VAR16,
output wire [ 7:0] VAR116,
input wire VAR120,
output wire VAR113,
input wire VAR145,
input wire VAR73, output wire VAR79,
output wire VAR25,
output wire VAR158,
output wire VAR18,
output wire [ 7:0] VAR3,
input wire [ 7:0] VAR17,
output reg [ 7:0] VAR161,
output reg [ 2:0] VAR122,
output wire VAR61, output wire VAR111, output reg VAR62, output reg [ 7:0] VAR44,
input wire [ 7:0] VAR106,
output wire VAR100,
output reg [ 2:0] VAR48, output reg VAR101, output reg VAR115, output reg VAR51, output reg VAR46,
output wire VAR87,
output wire VAR70, output wire VAR159, output wire [ 5:0] VAR129, output wire VAR42,
output wire VAR34, output wire [ 5:0] VAR128,
output wire VAR99,
output wire VAR31,
output wire VAR150,
output wire VAR66,
input wire [63:0] VAR24,
input wire [ 7:0] VAR143,
input wire [ 7:0] VAR23,
input wire [ 5:0] VAR104,
input wire [ 7:0] VAR72,
output reg VAR134,
output reg VAR39,
output reg [15:0] VAR96
);
8'h08,8'h28,8'h48,8'h68,8'h88,8'hA8,8'hC8,8'hE8
localparam VAR58 = 8'hFE;
localparam VAR2 = 8'hF6;
localparam VAR56 = 8'hF7;
localparam VAR147 = 8'h10;
localparam VAR138 = 8'h11;
localparam VAR36 = 8'h30;
localparam VAR148 = 8'h50;
localparam VAR130 = 8'h70;
localparam VAR83 = 8'h90;
localparam VAR135 = 8'hB0;
localparam VAR8 = 8'hD0;
localparam VAR49 = 8'hF0;
localparam VAR21 = 8'hC8;
localparam VAR28 = 8'hFD;
localparam VAR68 = 8'h1F;
localparam VAR80 = 8'h3F;
localparam VAR33 = 8'h5F;
localparam VAR71 = 8'h7F;
localparam VAR121 = 8'hFF;
localparam VAR30 = 8'h2F;
localparam VAR119 = 8'h4F;
localparam VAR43 = 8'h6F;
localparam VAR105 = 8'h8F;
localparam VAR146 = 8'h1F;
localparam VAR125 = 8'hDF;
localparam VAR47 = 8'h77;
localparam VAR14 = 8'h57;
localparam VAR107 = 8'hF7;
localparam VAR154 = 8'h77;
localparam VAR38 = 8'hBE; localparam VAR92 = 8'hBF; localparam VAR131 = 8'hBD;
localparam VAR78 = 8'hEF;
localparam VAR26 = 8'hFB;
reg VAR127;
reg VAR81;
reg VAR149;
reg VAR152;
reg VAR86,
VAR160,
VAR7;
reg [1:0] VAR74,
VAR76;
reg [1:0] VAR124;
wire [7:0] VAR108;
wire VAR55;
wire VAR67;
wire VAR5;
reg [7:0] VAR82; reg VAR90;
reg VAR97; reg VAR110;
reg VAR102, VAR6; reg VAR140, VAR63;
reg [15:0] VAR85;
wire [ 7:0] VAR1; wire [ 7:0] VAR95;
reg VAR98,VAR114;
wire VAR75;
reg VAR52; reg VAR57; reg VAR117;
wire VAR60;
reg [7:0] VAR35;
reg [7:0] VAR19 [3:0];
assign VAR60 = VAR20 || VAR52;
assign VAR108=VAR109[7:0];
always @*
begin
if( (VAR108==VAR58) || (VAR108==VAR2) ||
(VAR108==VAR28) ||
(VAR108==VAR125) ||
( (VAR108==VAR68)&&VAR60 ) || ( (VAR108==VAR80)&&VAR60 ) || ( (VAR108==VAR33)&&VAR60 ) || ( (VAR108==VAR71)&&VAR60 ) ||
( (VAR108==VAR121)&&VAR60 ) ||
( (VAR108==VAR30)&&VAR60 ) || ( (VAR108==VAR119)&&VAR60 ) ||
( (VAR108==VAR43)&&VAR60 ) || ( (VAR108==VAR105)&&VAR60 ) ||
( (VAR108==VAR56)&&(!VAR60) ) || ( (VAR108==VAR47)&&(!VAR60) ) || ( (VAR108==VAR14) ) ||
( (VAR108==VAR107)&&VAR60 ) || ( (VAR108==VAR154)&&VAR60 ) ||
( VAR108==VAR92 ) || ( VAR108==VAR38) || ( VAR108==VAR131) || ( VAR108==VAR78 )
)
VAR112 = 1'b1;
end
else
VAR112 = 1'b0;
end
always @*
begin
if( ((VAR108==VAR28) && VAR109[15]) || (( (VAR108==VAR68)&&VAR60 ) || ( (VAR108==VAR80)&&VAR60 ) || ( (VAR108==VAR33)&&VAR60 ) || ( (VAR108==VAR71)&&VAR60 )) ) VAR22 = 1'b1;
end
else
VAR22 = 1'b0;
end
assign VAR12 = VAR112 & (~VAR4) & (~VAR32) & (~VAR22);
always @(posedge VAR77)
begin
VAR149 <= ~(VAR4 | VAR123);
VAR152 <= ~(VAR4 | VAR32);
if( (!VAR149) && (!VAR4) && (!VAR123) )
VAR127 <= 1'b1;
end
else
VAR127 <= 1'b0;
if( (!VAR152) && (!VAR4) && (!VAR32) )
VAR81 <= 1'b1;
else
VAR81 <= 1'b0;
end
always @(posedge VAR45) if( VAR59 )
begin
VAR74[0] <= ~(VAR4 | VAR123);
VAR76[0] <= ~(VAR4 | VAR32);
end
always @(posedge VAR45)
begin
VAR74[1] <= VAR74[0];
VAR76[1] <= VAR76[0];
end
always @(posedge VAR45)
begin
VAR86 <= VAR74[0] && (!VAR74[1]);
VAR160 <= VAR76[0] && (!VAR76[1]);
end
always @(posedge VAR45)
VAR124[1:0] <= { VAR124[0], ~(VAR144 | VAR123) };
always @(posedge VAR45)
VAR7 <= VAR124[0] && (!VAR124[1]);
always @*
begin
case( VAR108 )
VAR58:
dout = { 1'b1, VAR120, 1'b0, VAR93 };
VAR2:
dout = { 1'b1, VAR120, 1'b0, VAR93 };
dout = VAR1;
VAR138:
dout = VAR95;
VAR121:
dout = { VAR145, VAR73, 6'b111111 };
VAR30, VAR119, VAR43, VAR105:
dout = VAR19[ VAR108[6:5] ];
VAR146:
dout = {3'b000, VAR118};
VAR125:
dout = VAR91;
VAR47:
dout = 8'h00; VAR14:
dout = VAR17;
VAR56: begin
if( !VAR109[14] && (VAR109[8]^VAR60) && VAR75 ) dout = VAR106;
end
else dout = 8'hFF;
end
VAR78: begin
dout = VAR106; end
VAR92: begin
dout = { 3'b000, VAR39, VAR134, VAR117, VAR57, VAR52 };
end
VAR38: begin
dout = VAR35;
end
default:
dout = 8'hFF;
endcase
end
assign VAR55 = (((VAR108==VAR58) || (VAR108==VAR2)) && VAR127);
assign VAR157 = ( (VAR108==VAR28) && VAR127);
assign VAR13 = ( (VAR108==VAR56) && (VAR109[8]==1'b1) && VAR127 && (!VAR60) ) ||
( (VAR108==VAR56) && (VAR109[8]==1'b0) && VAR127 && VAR60 ) ;
assign VAR37 = ( (VAR108==VAR56) && (VAR109[8]==1'b1) && VAR81 && (!VAR60) ) ||
( (VAR108==VAR56) && (VAR109[8]==1'b0) && VAR81 && VAR60 ) ;
assign VAR79 = ( ( (VAR108==VAR121)&&VAR60 ) && VAR127);
assign VAR139 = ( (VAR108==VAR78) && VAR127);
assign VAR156 = ( (VAR108==VAR78) && VAR81);
assign VAR126 = ( (VAR108==VAR131) && VAR86);
always @(posedge VAR45)
if( VAR126)
begin
if( !VAR109[8] )
VAR96[ 7:0] <= din;
end
else VAR96[15:8] <= din;
end
wire VAR141;
assign VAR9 = (((VAR108==VAR58) || (VAR108==VAR2)) && VAR86);
always @(posedge VAR45)
if( VAR9 )
VAR142 <= { ~VAR109[3], din[2:0] };
always @(VAR108)
if( VAR29(VAR108) )
VAR90 = 1'b1;
else
VAR90 = 1'b0;
assign VAR5 = VAR81 && (VAR108==VAR147) && (!VAR97);
always @(posedge VAR77)
begin
if( (VAR108==VAR147) && VAR81 && !VAR97 )
VAR97 <= 1'b1;
end
else if( ( VAR90 || (VAR108==VAR138) ) && ( VAR81 || VAR127 ) )
VAR97 <= 1'b0;
end
always @(posedge VAR77)
if( ( VAR90 || (VAR108==VAR138) ) && ( VAR81 || VAR127 ) )
begin
if( (VAR108==VAR138) && VAR127 )
VAR6 <= 1'b1;
end
else
VAR6 <= 1'b0;
if( (VAR108==VAR147) && VAR127 && !VAR6 && !VAR102 )
VAR102 <= 1'b1;
else
VAR102 <= 1'b0;
end
always @(posedge VAR77)
begin
if( VAR127 && (VAR108==VAR138) )
VAR85[15:8] <= din;
if( VAR127 && (VAR108==VAR147) && !VAR102 )
VAR85[ 7:0] <= din;
end
always @(posedge VAR77)
if( VAR5 )
VAR82 <= VAR69[15:8];
assign VAR133 = VAR109[7:5];
assign VAR84 = (~VAR90) | (~(VAR108!=VAR21));
assign VAR155 = (~VAR90) | (~(VAR108==VAR21));
always @* if( VAR32 ) VAR110 <= VAR97;
assign VAR151 = VAR4 | VAR32 | (~VAR90) | (VAR110 && (VAR108==VAR147));
always @* if( VAR123 ) VAR140 <= VAR102; always @* if( VAR123 ) VAR63 <= VAR6; assign VAR54 = VAR4 | VAR123 | (~VAR90) | ( (VAR108==VAR147) && !VAR140 && !VAR63 );
assign VAR41 = ~VAR54;
assign VAR95[ 7:0] = VAR82[ 7:0];
assign VAR1[ 7:0] = (VAR110 && (VAR108==VAR147)) ? VAR82[ 7:0] : VAR69[ 7:0];
assign VAR88[15:8] = VAR63 ? VAR85[15:8] : din[ 7:0];
assign VAR88[ 7:0] = VAR140 ? VAR85[ 7:0] : din[ 7:0];
always @*
begin
VAR98 = 1'b0;
VAR114 = 1'b0;
if( VAR108==VAR28 )
begin
if( VAR109[15:14]==2'b11 )
begin
VAR98=1'b1;
VAR114=1'b1;
end
else if( VAR109[15:14]==2'b10 )
begin
VAR98=1'b0;
VAR114=1'b1;
end
end
end
assign VAR162 = VAR98 & (~VAR4) & ((~VAR32)|(~VAR123));
assign VAR53 = VAR114 & (~VAR4) & (~VAR123);
reg [7:0] VAR94,VAR40;
reg VAR50;
wire VAR15;
wire VAR10;
always @(posedge VAR77, negedge VAR103)
begin
if( !VAR103 )
VAR94 <= 7'h00;
end
else if( (VAR109[15]==1'b0) && VAR157 && (!VAR15) )
VAR94 <= din; end
always @(posedge VAR77, negedge VAR103)
if( !VAR103 )
VAR50 <= 1'b0;
else
if( (VAR109[15]==1'b0) && VAR157 && (!VAR15) )
VAR50 <= din[4];
assign VAR15=VAR94[5] & VAR10;
always @(posedge VAR77, negedge VAR103)
begin
if( !VAR103 )
VAR40 <= 8'h00;
end
else if( !VAR109[12] && VAR13 && (!VAR60) ) VAR40 <= din; end
assign VAR10 = VAR40[2];
assign VAR16 = { (VAR10 ? 3'b0 : VAR94[7:5]),VAR50,VAR94[3:0]};
assign VAR116 = VAR10 ? { VAR40[7], 1'b0, VAR40[5], VAR40[4], 3'b000, VAR40[0] } : VAR40;
assign VAR42 = VAR94[4];
assign VAR129[5:0] = { VAR94[7:5], VAR94[2:0] };
assign VAR159 = ~VAR40[2];
assign VAR70 = VAR40[3];
assign VAR75 = VAR40[7] || VAR60;
always @(posedge VAR77)
begin
if( VAR75 && VAR13 ) begin
if( !VAR109[13] ) VAR161 <= din;
end
end
always @(posedge VAR77)
begin
if( VAR139 || VAR156 )
VAR122 <= VAR109[10:8 ];
end
always @(posedge VAR77)
begin
if( VAR75 && VAR13 && !VAR109[14] ) VAR44 <= din;
end
else if( VAR139 ) VAR44 <= din;
end
assign VAR61 = ( VAR75 && !VAR109[14] && (VAR37 || VAR13) ); assign VAR111 = ( VAR156 || VAR139 );
always @(posedge VAR77) begin
if( VAR127 )
VAR62 <= 1'b0;
if( VAR81 )
VAR62 <= 1'b1;
end
assign VAR113 = (~VAR60) | VAR4 | (VAR32 & VAR123) | ( ~((VAR108==VAR68)|(VAR108==VAR80)|(VAR108==VAR33)|(VAR108==VAR71)) );
wire VAR65,VAR27,VAR136;
assign VAR65 = ( (VAR108==VAR47) && VAR86 && (!VAR60) ) ||
( (VAR108==VAR14) && VAR86 && VAR60 && (VAR109[15]==1'b1) ) ;
assign VAR27 = ( (VAR108==VAR14) && VAR86 && (!VAR60) ) ||
( (VAR108==VAR14) && VAR86 && VAR60 && (VAR109[15]==1'b0) ) ;
assign VAR136 = ( (VAR108==VAR14) && VAR160 );
assign VAR158 = VAR65;
assign VAR25 = din[1];
assign VAR18 = VAR27 || VAR136;
assign VAR3 = VAR136 ? 8'hFF : din;
wire VAR11;
wire VAR89;
assign VAR100 = ( (VAR108==VAR107) && (VAR109[8]==1'b1) && VAR60 && VAR86 ); assign VAR11 = ( (VAR108==VAR154) && VAR60 && VAR86 );
assign VAR89 = ( (VAR108==VAR92) && VAR86 );
always @(posedge VAR45, negedge VAR103)
if( !VAR103 )
begin
VAR52 <= 1'b0;
VAR57 <= 1'b0;
VAR117 <= 1'b0;
VAR134 <= 1'b0;
VAR39 <= 1'b0;
end
else if( VAR89 )
begin
VAR52 <= din[0];
VAR57 <= din[1];
VAR117 <= din[2];
VAR134 <= din[3];
VAR39 <= din[4];
end
assign VAR87 = VAR57;
always @(posedge VAR45, negedge VAR103)
if( !VAR103 )
begin
VAR48 = 3'b011;
VAR101 = 1'b0;
VAR115 = 1'b1; VAR51 = 1'b0;
VAR46 = 1'b0;
end
else if( VAR11 )
begin
VAR48 <= din[2:0];
VAR101 <= din[3];
VAR115 <= ~VAR109[8];
VAR51 <= VAR109[9];
VAR46 <= ~VAR109[14];
end
wire VAR64;
assign VAR64 = ( ( (VAR108==VAR121)&&VAR60 ) && VAR86);
assign VAR34 = VAR64 & VAR46;
assign VAR128 = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] };
assign VAR150 = ( (VAR108==VAR38) && VAR86 );
assign VAR31 = (VAR108==VAR58) && VAR9;
assign VAR99 = (VAR108==VAR26) && VAR86;
assign VAR66 = VAR117 && VAR7;
always @*
case( VAR109[12:8] )
5'h0: VAR35 = VAR24[ 7:0 ];
5'h1: VAR35 = VAR24[15:8 ];
5'h2: VAR35 = VAR24[23:16];
5'h3: VAR35 = VAR24[31:24];
5'h4: VAR35 = VAR24[39:32];
5'h5: VAR35 = VAR24[47:40];
5'h6: VAR35 = VAR24[55:48];
5'h7: VAR35 = VAR24[63:56];
5'h8: VAR35 = VAR143;
5'h9: VAR35 = VAR23;
5'hA: VAR35 = VAR94;
5'hB: VAR35 = VAR40;
5'hC: VAR35 = { ~VAR46, VAR51, ~VAR115, VAR20, VAR101, VAR48 };
5'hD: VAR35 = { ~VAR104[4], ~VAR104[2], ~VAR104[0], ~VAR104[5], 2'b11, ~VAR104[3], ~VAR104[1] };
5'hE: VAR35 = VAR72;
5'h10: VAR35 = VAR96[7:0];
5'h11: VAR35 = VAR96[15:8];
default: VAR35 = 8'VAR153;
endcase
always @(posedge VAR45)
if( VAR86 && VAR60 )
begin
if( (VAR108==VAR30) ||
(VAR108==VAR119) ||
(VAR108==VAR43) ||
(VAR108==VAR105) )
VAR19[ VAR108[6:5] ] <= din;
end
endmodule
|
gpl-3.0
|
nikhilghanathe/HLS-for-EMTF
|
verilog/sp_co_ord_delay_actual.v
| 29,427 |
module MODULE1 (
VAR179,
VAR45,
VAR260,
VAR146,
VAR133,
VAR304,
VAR240,
VAR125,
VAR193,
VAR163,
VAR212,
VAR48,
VAR171,
VAR154,
VAR301,
VAR50,
VAR267,
VAR185,
VAR104,
VAR300,
VAR127,
VAR178,
VAR3,
VAR217,
VAR224,
VAR78,
VAR117,
VAR278,
VAR276,
VAR313,
VAR141,
VAR186,
VAR130,
VAR129,
VAR42,
VAR192,
VAR162,
VAR29,
VAR239,
VAR293,
VAR60,
VAR157,
VAR237,
VAR36,
VAR290,
VAR297,
VAR305,
VAR99,
VAR145,
VAR249,
VAR116,
VAR248,
VAR317,
VAR27,
VAR243,
VAR215,
VAR72,
VAR114,
VAR56,
VAR198,
VAR149,
VAR211,
VAR33,
VAR190,
VAR152,
VAR246,
VAR47,
VAR40,
VAR143,
VAR9,
VAR83,
VAR65,
VAR272,
VAR184,
VAR181,
VAR103,
VAR170,
VAR230,
VAR52,
VAR135,
VAR273,
VAR265,
VAR213,
VAR219,
VAR204,
VAR161,
VAR310,
VAR256,
VAR167,
VAR85,
VAR285,
VAR140,
VAR189,
VAR288,
VAR49,
VAR7,
VAR325,
VAR128,
VAR35,
VAR75,
VAR314,
VAR137,
VAR166,
VAR281,
VAR41,
VAR139,
VAR5,
VAR271,
VAR257,
VAR316,
VAR2,
VAR251,
VAR188,
VAR172,
VAR299,
VAR11,
VAR62,
VAR136,
VAR153,
VAR315,
VAR84,
VAR318,
VAR124,
VAR38,
VAR210,
VAR164,
VAR6,
VAR303,
VAR165,
VAR221,
VAR236,
VAR92,
VAR282,
VAR279,
VAR111,
VAR253,
VAR53,
VAR180,
VAR311,
VAR96,
VAR88,
VAR241,
VAR206,
VAR292,
VAR25,
VAR98,
VAR70,
VAR307,
VAR225,
VAR87,
VAR174,
VAR323,
VAR203,
VAR77,
VAR231,
VAR245,
VAR73,
VAR106,
VAR14,
VAR177,
VAR182,
VAR90,
VAR173,
VAR34,
VAR229,
VAR148,
VAR97,
VAR214,
VAR223,
VAR254,
VAR20,
VAR79,
VAR205,
VAR238,
VAR22,
VAR31,
VAR144,
VAR227,
VAR16,
VAR176,
VAR208,
VAR134,
VAR187,
VAR200,
VAR250,
VAR32,
VAR26,
VAR319,
VAR321,
VAR151,
VAR120,
VAR199,
VAR67,
VAR287,
VAR259,
VAR309,
VAR168,
VAR17,
VAR175,
VAR255,
VAR261,
VAR324,
VAR69,
VAR108,
VAR118,
VAR110,
VAR105,
VAR21,
VAR57,
VAR132,
VAR119,
VAR270,
VAR54,
VAR277,
VAR194,
VAR8,
VAR102,
VAR13,
VAR19,
VAR86,
VAR252,
VAR91,
VAR284,
VAR15
);
parameter VAR286 = 1'b1;
parameter VAR46 = 32'b00000000000000000000000000000000;
input VAR179;
input VAR45;
input VAR260;
output VAR146;
output VAR133;
output VAR304;
input [11:0] VAR240;
input [11:0] VAR125;
input [11:0] VAR193;
input [11:0] VAR163;
input [11:0] VAR212;
input [11:0] VAR48;
input [11:0] VAR171;
input [11:0] VAR154;
input [11:0] VAR301;
input [11:0] VAR50;
input [11:0] VAR267;
input [11:0] VAR185;
input [11:0] VAR104;
input [11:0] VAR300;
input [11:0] VAR127;
input [11:0] VAR178;
input [11:0] VAR3;
input [11:0] VAR217;
input [11:0] VAR224;
input [11:0] VAR78;
input [11:0] VAR117;
input [11:0] VAR278;
input [11:0] VAR276;
input [11:0] VAR313;
input [11:0] VAR141;
input [11:0] VAR186;
input [11:0] VAR130;
input [11:0] VAR129;
input [11:0] VAR42;
input [11:0] VAR192;
input [11:0] VAR162;
input [11:0] VAR29;
input [11:0] VAR239;
input [11:0] VAR293;
input [11:0] VAR60;
input [11:0] VAR157;
input [11:0] VAR237;
input [11:0] VAR36;
input [11:0] VAR290;
input [11:0] VAR297;
input [11:0] VAR305;
input [11:0] VAR99;
input [11:0] VAR145;
input [11:0] VAR249;
input [11:0] VAR116;
input [11:0] VAR248;
input [11:0] VAR317;
input [11:0] VAR27;
input [11:0] VAR243;
input [11:0] VAR215;
input [11:0] VAR72;
input [11:0] VAR114;
input [11:0] VAR56;
input [11:0] VAR198;
input [11:0] VAR149;
input [11:0] VAR211;
input [11:0] VAR33;
input [11:0] VAR190;
input [11:0] VAR152;
input [11:0] VAR246;
input [11:0] VAR47;
input [11:0] VAR40;
input [11:0] VAR143;
input [11:0] VAR9;
input [11:0] VAR83;
input [11:0] VAR65;
input [11:0] VAR272;
input [11:0] VAR184;
input [11:0] VAR181;
input [11:0] VAR103;
input [11:0] VAR170;
input [11:0] VAR230;
input [11:0] VAR52;
input [11:0] VAR135;
input [11:0] VAR273;
input [11:0] VAR265;
input [11:0] VAR213;
input [11:0] VAR219;
input [11:0] VAR204;
input [11:0] VAR161;
input [11:0] VAR310;
input [11:0] VAR256;
input [11:0] VAR167;
input [11:0] VAR85;
input [11:0] VAR285;
input [11:0] VAR140;
input [11:0] VAR189;
input [11:0] VAR288;
input [11:0] VAR49;
input [11:0] VAR7;
input [3:0] VAR325;
input [3:0] VAR128;
input [3:0] VAR35;
input [3:0] VAR75;
input [3:0] VAR314;
input [3:0] VAR137;
input [3:0] VAR166;
input [3:0] VAR281;
input [3:0] VAR41;
input [3:0] VAR139;
input [3:0] VAR5;
input [3:0] VAR271;
input [3:0] VAR257;
input [3:0] VAR316;
input [3:0] VAR2;
input [3:0] VAR251;
input [3:0] VAR188;
input [3:0] VAR172;
input [3:0] VAR299;
input [3:0] VAR11;
input [3:0] VAR62;
input [3:0] VAR136;
input [3:0] VAR153;
input [3:0] VAR315;
input [3:0] VAR84;
input [3:0] VAR318;
input [3:0] VAR124;
input [3:0] VAR38;
input [3:0] VAR210;
input [3:0] VAR164;
input [3:0] VAR6;
input [3:0] VAR303;
input [3:0] VAR165;
input [3:0] VAR221;
input [3:0] VAR236;
input [3:0] VAR92;
input [3:0] VAR282;
input [3:0] VAR279;
input [3:0] VAR111;
input [3:0] VAR253;
input [3:0] VAR53;
input [3:0] VAR180;
input [3:0] VAR311;
input [3:0] VAR96;
input [3:0] VAR88;
input [3:0] VAR241;
input [3:0] VAR206;
input [3:0] VAR292;
input [3:0] VAR25;
input [3:0] VAR98;
input [3:0] VAR70;
input [3:0] VAR307;
input [3:0] VAR225;
input [3:0] VAR87;
input [3:0] VAR174;
input [3:0] VAR323;
input [3:0] VAR203;
input [3:0] VAR77;
input [3:0] VAR231;
input [3:0] VAR245;
input [3:0] VAR73;
input [3:0] VAR106;
input [3:0] VAR14;
input [3:0] VAR177;
input [3:0] VAR182;
input [3:0] VAR90;
input [3:0] VAR173;
input [3:0] VAR34;
input [3:0] VAR229;
input [3:0] VAR148;
input [3:0] VAR97;
input [3:0] VAR214;
input [3:0] VAR223;
input [3:0] VAR254;
input [3:0] VAR20;
input [3:0] VAR79;
input [3:0] VAR205;
input [3:0] VAR238;
input [3:0] VAR22;
input [3:0] VAR31;
input [3:0] VAR144;
input [3:0] VAR227;
input [3:0] VAR16;
input [3:0] VAR176;
input [3:0] VAR208;
input [3:0] VAR134;
input [3:0] VAR187;
input [3:0] VAR200;
input [3:0] VAR250;
input [3:0] VAR32;
output [11:0] VAR26;
output [11:0] VAR319;
output [11:0] VAR321;
output [11:0] VAR151;
output [11:0] VAR120;
output [11:0] VAR199;
output [11:0] VAR67;
output [11:0] VAR287;
output [11:0] VAR259;
output [6:0] VAR309;
output [6:0] VAR168;
output [6:0] VAR17;
output [6:0] VAR175;
output [6:0] VAR255;
output [6:0] VAR261;
output [6:0] VAR324;
output [6:0] VAR69;
output [6:0] VAR108;
output [6:0] VAR118;
output [6:0] VAR110;
output [6:0] VAR105;
output [6:0] VAR21;
output [6:0] VAR57;
output [6:0] VAR132;
output [6:0] VAR119;
output [6:0] VAR270;
output [6:0] VAR54;
output [6:0] VAR277;
output [6:0] VAR194;
output [3:0] VAR8;
output [3:0] VAR102;
output [3:0] VAR13;
output [3:0] VAR19;
output [3:0] VAR86;
output [3:0] VAR252;
output [3:0] VAR91;
output [3:0] VAR284;
output [3:0] VAR15;
reg VAR146;
reg VAR133;
reg VAR304;
reg [0:0] VAR66;
reg VAR228;
reg VAR1;
wire VAR201;
reg VAR18;
reg VAR93;
reg VAR80;
reg [11:0] VAR274;
reg [11:0] VAR150;
reg [11:0] VAR30;
reg [11:0] VAR169;
reg [11:0] VAR275;
reg [11:0] VAR43;
reg [11:0] VAR37;
reg [11:0] VAR242;
reg [11:0] VAR326;
reg [11:0] VAR4;
reg [11:0] VAR263;
reg [11:0] VAR121;
reg [11:0] VAR156;
reg [11:0] VAR258;
reg [11:0] VAR296;
reg [11:0] VAR195;
reg [11:0] VAR218;
reg [11:0] VAR155;
reg [11:0] VAR322;
reg [11:0] VAR126;
reg [11:0] VAR294;
reg [11:0] VAR89;
reg [11:0] VAR320;
reg [11:0] VAR113;
reg [11:0] VAR209;
reg [11:0] VAR76;
reg [11:0] VAR123;
reg [11:0] VAR295;
reg [11:0] VAR216;
reg [11:0] VAR264;
reg [11:0] VAR220;
reg [11:0] VAR298;
reg [11:0] VAR312;
wire [0:0] VAR94;
wire [0:0] VAR222;
wire [0:0] VAR115;
wire [0:0] VAR247;
wire [0:0] VAR68;
wire [0:0] VAR302;
wire [0:0] VAR232;
wire [0:0] VAR234;
wire [0:0] VAR61;
wire [0:0] VAR101;
wire [0:0] VAR10;
wire [0:0] VAR107;
wire [0:0] VAR197;
wire [0:0] VAR39;
wire [0:0] VAR291;
wire [0:0] VAR202;
wire [0:0] VAR122;
wire [0:0] VAR159;
wire [0:0] VAR158;
wire [0:0] VAR12;
wire [0:0] VAR64;
wire [0:0] VAR44;
wire [0:0] VAR71;
wire [0:0] VAR51;
wire [0:0] VAR308;
wire [0:0] VAR191;
wire [0:0] VAR268;
wire [0:0] VAR142;
wire [0:0] VAR74;
wire [6:0] VAR266;
wire [6:0] VAR131;
wire [6:0] VAR147;
wire [6:0] VAR289;
wire [6:0] VAR244;
wire [6:0] VAR138;
wire [6:0] VAR81;
wire [6:0] VAR28;
wire [6:0] VAR235;
wire [6:0] VAR262;
wire [6:0] VAR183;
wire [6:0] VAR59;
wire [6:0] VAR112;
wire [6:0] VAR280;
wire [6:0] VAR207;
wire [6:0] VAR160;
wire [6:0] VAR269;
wire [6:0] VAR24;
wire [6:0] VAR283;
wire [6:0] VAR226;
wire [3:0] VAR82;
wire [3:0] VAR109;
wire [3:0] VAR306;
wire [3:0] VAR95;
wire [3:0] VAR196;
wire [3:0] VAR55;
wire [3:0] VAR233;
wire [3:0] VAR63;
wire [3:0] VAR23;
reg [0:0] VAR100;
reg VAR58;
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
models/udp_pwrgood_pp_p/sky130_fd_sc_hvl__udp_pwrgood_pp_p.symbol.v
| 1,289 |
module MODULE1 (
input VAR2 ,
output VAR3,
input VAR1
);
endmodule
|
apache-2.0
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/hdl/verilog/tri_intersect_fdiv_32ns_32ns_32_30.v
| 1,934 |
module MODULE1
VAR16 = 50,
VAR11 = 30,
VAR14 = 32,
VAR5 = 32,
VAR24 = 32
)(
input wire clk,
input wire reset,
input wire VAR25,
input wire [VAR14-1:0] VAR22,
input wire [VAR5-1:0] VAR4,
output wire [VAR24-1:0] dout
);
wire VAR2;
wire VAR23;
wire VAR6;
wire [31:0] VAR18;
wire VAR12;
wire [31:0] VAR3;
wire VAR21;
wire [31:0] VAR17;
reg [VAR14-1:0] VAR26;
reg [VAR5-1:0] VAR7;
VAR9 VAR1 (
.VAR2 ( VAR2 ),
.VAR23 ( VAR23 ),
.VAR19 ( VAR6 ),
.VAR13 ( VAR18 ),
.VAR10 ( VAR12 ),
.VAR8 ( VAR3 ),
.VAR15 ( VAR21 ),
.VAR20 ( VAR17 )
);
assign VAR2 = clk;
assign VAR23 = VAR25;
assign VAR6 = 1'b1;
assign VAR18 = VAR26==='VAR27 ? 'b0 : VAR26;
assign VAR12 = 1'b1;
assign VAR3 = VAR7==='VAR27 ? 'b0 : VAR7;
assign dout = VAR17;
always @(posedge clk) begin
if (VAR25) begin
VAR26 <= VAR22;
VAR7 <= VAR4;
end
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/led_controller/led_controller.cache/ip/2017.3/33befe9f7af11a93/led_controller_design_led_controller_0_0_stub.v
| 2,568 |
module MODULE1(VAR10, VAR16, VAR15,
VAR12, VAR17, VAR7, VAR19, VAR14,
VAR9, VAR18, VAR2, VAR1, VAR6,
VAR22, VAR11, VAR21, VAR8, VAR3,
VAR13, VAR20, VAR5, VAR4)
;
output [7:0]VAR10;
input [3:0]VAR16;
input [2:0]VAR15;
input VAR12;
output VAR17;
input [31:0]VAR7;
input [3:0]VAR19;
input VAR14;
output VAR9;
output [1:0]VAR18;
output VAR2;
input VAR1;
input [3:0]VAR6;
input [2:0]VAR22;
input VAR11;
output VAR21;
output [31:0]VAR8;
output [1:0]VAR3;
output VAR13;
input VAR20;
input VAR5;
input VAR4;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o22a/sky130_fd_sc_hdll__o22a.pp.symbol.v
| 1,376 |
module MODULE1 (
input VAR3 ,
input VAR8 ,
input VAR7 ,
input VAR9 ,
output VAR1 ,
input VAR6 ,
input VAR4,
input VAR5,
input VAR2
);
endmodule
|
apache-2.0
|
AmeerAbdelhadi/2D-Binary-Content-Addressable-Memory-BCAM
|
trcam.v
| 8,135 |
module MODULE1
localparam VAR35 = 16384 ; localparam VAR8 = 512 ; localparam VAR5 = VAR16(VAR8) ; localparam VAR12 = (VAR37<VAR5) ? VAR5 : VAR37; localparam VAR4 = (VAR35/(2**VAR12))<1 ? 1 : (VAR35/(2**VAR12)) ; localparam VAR10 = VAR17 / VAR4 ;
wire [VAR16(VAR17)-VAR16(VAR4)-1:0] VAR29 = VAR22[VAR16(VAR17)-1:VAR16(VAR4)];
reg [VAR10 -1:0] VAR13 ;
always @(*) begin
VAR13 = 0 ;
VAR13[VAR29] = VAR30;
end
genvar VAR9;
generate
if (VAR7=="VAR2")
for (VAR9=1 ; VAR9<=VAR10 ; VAR9=VAR9+1) begin: VAR3
end
if (VAR37<14) VAR20 #( .VAR25( 1 ), .VAR31( VAR4 ), .VAR21( VAR28 )) VAR18 ( .clk ( clk ), .rst ( rst ), .VAR30 ( VAR13[VAR9-1] ), .VAR22( {VAR14(VAR32,VAR5),VAR22[VAR16(VAR4)-1:0]} ), .VAR34( VAR15 ), .VAR1( VAR14(VAR26,VAR5) ), .VAR33( VAR36[VAR9*VAR4-1 -: VAR4]) ); else if (VAR37==14) VAR20 #( .VAR25( 1 ), .VAR31( 1 ), .VAR21( VAR28 )) VAR18 ( .clk ( clk ), .rst ( rst ), .VAR30 (VAR13[VAR9-1] ), .VAR22( VAR32 ), .VAR34( VAR15 ), .VAR1( VAR26 ), .VAR33( VAR36[VAR9-1]) ); else VAR19 #( .VAR24( 2**VAR37 ), .VAR21( VAR28 )) VAR6 ( .clk ( clk ), .rst ( rst ), .VAR30 ( VAR13[VAR9-1] ), .VAR22( VAR32 ), .VAR34( VAR15 ), .VAR1( VAR26 ), .VAR33( VAR36[VAR9-1] )); end
else VAR23 #( .VAR25( 1 ), .VAR31( VAR17 ), .VAR27 ( 2**VAR37 ), .VAR21( VAR28 )) VAR11 ( .clk ( clk ), .rst ( rst ), .VAR30 ( VAR30 ), .VAR22( {VAR32,VAR22} ), .VAR34( VAR15 ), .VAR1( VAR26 ), .VAR33( VAR36 )); endgenerate
endmodule
|
bsd-3-clause
|
CospanDesign/nysa-tx1-pcie-platform
|
tx1_pcie/slave/wb_tx1_pcie/rtl/host_interface/config_parser.v
| 5,500 |
module MODULE1 (
input rst,
input clk,
input VAR16,
output reg VAR5,
input [31:0] VAR15,
input VAR6,
output reg [9:0] VAR20,
output reg VAR8,
output reg [31:0] VAR3,
output reg [31:0] VAR18,
output reg [31:0] VAR22,
output reg [31:0] VAR1,
output reg [31:0] VAR12,
output reg [31:0] VAR13
);
localparam VAR25 = 4'h0;
localparam VAR7 = 4'h1;
localparam VAR19 = 4'h2;
localparam VAR10 = 4'h3;
localparam VAR21 = 4'h4;
reg [3:0] state;
reg [3:0] VAR24;
wire [9:0] VAR4[0:VAR17];
assign VAR4[0] = VAR23 >> 2;
assign VAR4[1] = VAR11 >> 2;
assign VAR4[2] = VAR9 >> 2;
assign VAR4[3] = VAR14 >> 2;
assign VAR4[4] = VAR26 >> 2;
assign VAR4[5] = VAR2 >> 2;
always @ (posedge clk) begin
VAR8 <= 0;
if (rst) begin
state <= VAR25;
VAR24 <= 0;
VAR20 <= VAR4[0];
VAR3 <= 0;
VAR18 <= 0;
VAR22 <= 0;
VAR1 <= 0;
VAR12 <= 0;
VAR13 <= 0;
VAR5 <= 0;
end
else begin
case (state)
VAR25: begin
VAR5 <= 0;
VAR24 <= 0;
if (VAR16) begin
state <= VAR7;
end
end
VAR7: begin
case (VAR24)
0: begin
VAR20 <= 4;
end
1: begin
VAR20 <= 5;
end
2: begin
VAR20 <= 6;
end
3: begin
VAR20 <= 7;
end
4: begin
VAR20 <= 8;
end
5: begin
VAR20 <= 9;
end
endcase
state <= VAR19;
end
VAR19: begin
VAR8 <= 1;
if (VAR6) begin
state <= VAR10;
end
end
VAR10: begin
VAR8 <= 1;
if (VAR6) begin
case (VAR24)
0: begin
VAR3 <= VAR15;
end
1: begin
VAR18 <= VAR15;
end
2: begin
VAR22 <= VAR15;
end
3: begin
VAR1 <= VAR15;
end
4: begin
VAR12 <= VAR15;
end
5: begin
VAR13 <= VAR15;
end
default: begin
end
endcase
state <= VAR21;
end
end
VAR21: begin
if (!VAR6) begin
if (VAR24 < VAR17 + 1) begin
state <= VAR7;
VAR24 <= VAR24 + 1;
end
else begin
VAR5 <= 1;
if (!VAR16) begin
state <= VAR25;
end
end
end
end
default: begin
state <= VAR25;
end
endcase
end
end
endmodule
|
mit
|
MiddleMan5/233
|
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_10_0_0/RAT_Mux4x1_10_0_0_stub.v
| 1,344 |
module MODULE1(VAR4, VAR6, VAR3, VAR5, VAR1, VAR2)
;
input [9:0]VAR4;
input [9:0]VAR6;
input [9:0]VAR3;
input [9:0]VAR5;
input [1:0]VAR1;
output [9:0]VAR2;
endmodule
|
mit
|
liqimai/ZPC
|
PersonalComputer/NumIn.v
| 2,021 |
module MODULE3(
input clk,
input[7:0] VAR1,
output reg[31:0] VAR17
);
wire[7:0] VAR14;
MODULE2 MODULE9(clk,VAR1[0],VAR14[0]);
MODULE2 MODULE3(clk,VAR1[1],VAR14[1]);
MODULE2 MODULE6(clk,VAR1[2],VAR14[2]);
MODULE2 MODULE5(clk,VAR1[3],VAR14[3]);
MODULE2 MODULE8(clk,VAR1[4],VAR14[4]);
MODULE2 MODULE2(clk,VAR1[5],VAR14[5]);
MODULE2 MODULE7(clk,VAR1[6],VAR14[6]);
MODULE2 MODULE4(clk,VAR1[7],VAR14[7]);
always @(posedge VAR14[0]) VAR17[ 3: 0] <= VAR17[ 3: 0] + 4'd1;
always @(posedge VAR14[1]) VAR17[ 7: 4] <= VAR17[ 7: 4] + 4'd1;
always @(posedge VAR14[2]) VAR17[11: 8] <= VAR17[11: 8] + 4'd1;
always @(posedge VAR14[3]) VAR17[15:12] <= VAR17[15:12] + 4'd1;
always @(posedge VAR14[4]) VAR17[19:16] <= VAR17[19:16] + 4'd1;
always @(posedge VAR14[5]) VAR17[23:20] <= VAR17[23:20] + 4'd1;
always @(posedge VAR14[6]) VAR17[27:24] <= VAR17[27:24] + 4'd1;
always @(posedge VAR14[7]) VAR17[31:28] <= VAR17[31:28] + 4'd1;
endmodule
module MODULE2
(input wire clk,
input wire VAR4,
output reg VAR11);
reg [7:0] VAR5;
wire VAR8;
MODULE1 MODULE1(clk, VAR8);
always@(posedge VAR8) begin
VAR5 <=VAR5<<1;
VAR5[0] <=VAR4;
if (VAR5==0)
VAR11 <=0;
if (VAR5==8'hFF)
VAR11 <=1;
end
endmodule
module MODULE1
(input wire clk,
output reg VAR8);
reg [15:0] VAR2;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o32a/sky130_fd_sc_hs__o32a_2.v
| 2,301 |
module MODULE1 (
VAR1 ,
VAR4 ,
VAR9 ,
VAR7 ,
VAR5 ,
VAR6 ,
VAR2,
VAR3
);
output VAR1 ;
input VAR4 ;
input VAR9 ;
input VAR7 ;
input VAR5 ;
input VAR6 ;
input VAR2;
input VAR3;
VAR10 VAR8 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR1 ,
VAR4,
VAR9,
VAR7,
VAR5,
VAR6
);
output VAR1 ;
input VAR4;
input VAR9;
input VAR7;
input VAR5;
input VAR6;
supply1 VAR2;
supply0 VAR3;
VAR10 VAR8 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
efabless/openlane
|
designs/synth_ram/src/synth_ram.v
| 1,211 |
module MODULE1 #( parameter integer VAR1 = 64) (
input clk,
input VAR4,
input [3:0] VAR6,
input [21:0] addr,
input [31:0] VAR5,
output[31:0] VAR2
);
reg [31:0] VAR2;
reg [31:0] VAR3 [0:VAR1-1];
always @(posedge clk) begin
if (VAR4 == 1'b1) begin
VAR2 <= VAR3[addr];
if (VAR6[0]) VAR3[addr][ 7: 0] <= VAR5[ 7: 0];
if (VAR6[1]) VAR3[addr][15: 8] <= VAR5[15: 8];
if (VAR6[2]) VAR3[addr][23:16] <= VAR5[23:16];
if (VAR6[3]) VAR3[addr][31:24] <= VAR5[31:24];
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfsbp/sky130_fd_sc_ms__dfsbp.behavioral.pp.v
| 2,300 |
module MODULE1 (
VAR20 ,
VAR21 ,
VAR3 ,
VAR23 ,
VAR16,
VAR2 ,
VAR11 ,
VAR13 ,
VAR9
);
output VAR20 ;
output VAR21 ;
input VAR3 ;
input VAR23 ;
input VAR16;
input VAR2 ;
input VAR11 ;
input VAR13 ;
input VAR9 ;
wire VAR19 ;
wire VAR22 ;
reg VAR5 ;
wire VAR6 ;
wire VAR15;
wire VAR12 ;
wire VAR18 ;
wire VAR8 ;
wire VAR17 ;
not VAR4 (VAR22 , VAR15 );
VAR7 VAR10 (VAR19 , VAR6, VAR12, VAR22, VAR5, VAR2, VAR11);
assign VAR18 = ( VAR2 === 1'b1 );
assign VAR8 = ( VAR15 === 1'b1 );
assign VAR17 = ( VAR16 === 1'b1 );
buf VAR1 (VAR20 , VAR19 );
not VAR14 (VAR21 , VAR19 );
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/ip_pid_controller/hdl/ip_pid_controller.v
| 21,029 |
module MODULE2 (
VAR203,
VAR164,
VAR178,
VAR168
);
input VAR203;
input VAR164;
input VAR178;
output VAR168;
wire VAR71;
wire VAR129;
wire [7:0] VAR5;
wire [7:0] VAR59;
wire VAR45;
wire VAR13;
wire VAR21;
wire VAR9;
wire VAR196;
wire VAR100;
wire VAR167;
wire VAR89;
assign VAR71 = VAR203;
assign VAR129 = VAR164;
assign VAR167 = VAR178;
assign VAR168 = VAR89;
VAR152 VAR182 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR5)
);
VAR173 #(
.VAR151(99),
.VAR191(0),
.VAR61(0),
.VAR195(0),
.VAR143("VAR7"),
.VAR88(1),
.VAR158(VAR197),
.VAR135(8))
counter (
.VAR184(VAR129),
.clk(VAR71),
.rst(1'b0),
.VAR171(1'b0),
.en(VAR45),
.VAR111(VAR59)
);
VAR94 #(
.VAR136(25),
.VAR163(0),
.reset(0),
.VAR117(1))
delay (
.VAR184(VAR129),
.clk(VAR71),
.en(1'b1),
.rst(1'b1),
.VAR78(VAR21),
.VAR140(VAR45)
);
VAR41 VAR6 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.VAR16(VAR196),
.VAR111(VAR13)
);
VAR120 VAR190 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR68(VAR9),
.VAR76(VAR13),
.VAR17(VAR21)
);
VAR120 VAR3 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR68(VAR45),
.VAR76(VAR100),
.VAR17(VAR89)
);
VAR67 #(
.VAR161(1),
.VAR91(1'b0))
VAR139 (
.VAR184(VAR129),
.clk(VAR71),
.en(1'b1),
.rst(1'b0),
.VAR78(VAR167),
.VAR140(VAR9)
);
VAR67 #(
.VAR161(1),
.VAR91(1'b0))
VAR35 (
.VAR184(VAR129),
.clk(VAR71),
.en(1'b1),
.rst(1'b0),
.VAR78(VAR167),
.VAR140(VAR196)
);
VAR40 VAR147 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR149(VAR5),
.VAR98(VAR59),
.VAR111(VAR100)
);
endmodule
module MODULE3 (
VAR203,
VAR164,
rst,
en,
VAR70,
VAR84
);
input VAR203;
input VAR164;
input rst;
input en;
input [31:0] VAR70;
output [31:0] VAR84;
wire VAR71;
wire VAR129;
wire [31:0] VAR18;
wire [31:0] VAR77;
wire [31:0] VAR19;
wire [31:0] VAR27;
wire VAR133;
wire VAR23;
wire VAR114;
wire VAR157;
wire VAR33;
wire VAR82;
wire VAR90;
wire VAR83;
wire VAR89;
wire [31:0] VAR49;
wire [31:0] VAR26;
assign VAR71 = VAR203;
assign VAR129 = VAR164;
assign VAR83 = rst;
assign VAR89 = en;
assign VAR49 = VAR70;
assign VAR84 = VAR26;
VAR124 MODULE5 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.VAR98(VAR49),
.rst(VAR83),
.en(VAR114),
.VAR140(VAR26)
);
VAR204 VAR10 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR18)
);
VAR108 VAR119 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR77)
);
VAR123 VAR150 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR19)
);
VAR108 VAR15 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR27)
);
VAR102 VAR190 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR68(VAR157),
.VAR76(VAR33),
.VAR17(VAR133)
);
VAR102 VAR3 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR68(VAR82),
.VAR76(VAR90),
.VAR17(VAR23)
);
VAR126 VAR122 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR68(VAR89),
.VAR76(VAR23),
.VAR189(VAR133),
.VAR17(VAR114)
);
VAR188 VAR147 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR149(VAR26),
.VAR98(VAR18),
.VAR111(VAR157)
);
VAR188 VAR202 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR149(VAR49),
.VAR98(VAR77),
.VAR111(VAR33)
);
VAR101 VAR2 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR149(VAR26),
.VAR98(VAR19),
.VAR111(VAR82)
);
VAR101 VAR205 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR149(VAR49),
.VAR98(VAR27),
.VAR111(VAR90)
);
endmodule
module MODULE6 (
VAR203,
VAR164,
VAR207,
VAR73,
VAR162,
VAR176,
VAR144,
VAR70,
VAR84
);
input VAR203;
input VAR164;
input VAR207;
input VAR73;
input [31:0] VAR162;
input [31:0] VAR176;
input [31:0] VAR144;
input [31:0] VAR70;
output [31:0] VAR84;
wire VAR71;
wire VAR129;
wire [31:0] VAR57;
wire [31:0] VAR64;
wire [31:0] VAR154;
wire [31:0] VAR79;
wire [31:0] VAR49;
wire [31:0] VAR75;
wire [31:0] VAR60;
wire VAR89;
wire VAR83;
wire [31:0] VAR153;
wire [31:0] VAR103;
wire [31:0] VAR148;
wire [31:0] VAR155;
wire [31:0] VAR30;
wire [31:0] VAR26;
assign VAR71 = VAR203;
assign VAR129 = VAR164;
assign VAR89 = VAR207;
assign VAR83 = VAR73;
assign VAR153 = VAR162;
assign VAR103 = VAR176;
assign VAR148 = VAR144;
assign VAR155 = VAR70;
assign VAR84 = VAR30;
VAR50 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR107(0),
.VAR34(0),
.VAR11(33),
.VAR143("VAR134"),
.VAR97(0),
.VAR106(2),
.VAR4(33),
.VAR136(0),
.VAR130(1),
.VAR65(1),
.VAR201(VAR116),
.VAR185(16),
.VAR170(32))
VAR104 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.VAR149(VAR155),
.VAR98(VAR79),
.VAR115(VAR57)
);
VAR50 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR107(0),
.VAR34(0),
.VAR11(33),
.VAR143("VAR54"),
.VAR97(0),
.VAR106(2),
.VAR4(33),
.VAR136(0),
.VAR130(1),
.VAR65(1),
.VAR201(VAR116),
.VAR185(16),
.VAR170(32))
VAR85 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.VAR149(VAR75),
.VAR98(VAR60),
.VAR115(VAR64)
);
VAR50 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR107(0),
.VAR34(0),
.VAR11(33),
.VAR143("VAR54"),
.VAR97(0),
.VAR106(2),
.VAR4(33),
.VAR136(0),
.VAR130(1),
.VAR65(1),
.VAR201(VAR116),
.VAR185(16),
.VAR170(32))
VAR141 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.VAR149(VAR26),
.VAR98(VAR64),
.VAR115(VAR30)
);
VAR94 #(
.VAR136(1),
.VAR163(0),
.reset(0),
.VAR117(32))
delay (
.VAR184(VAR129),
.clk(VAR71),
.rst(1'b1),
.VAR78(VAR155),
.en(VAR89),
.VAR140(VAR154)
);
VAR94 #(
.VAR136(1),
.VAR163(0),
.reset(0),
.VAR117(32))
VAR187 (
.VAR184(VAR129),
.clk(VAR71),
.rst(1'b1),
.VAR78(VAR154),
.en(VAR89),
.VAR140(VAR79)
);
VAR38 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR172(0),
.VAR62(32),
.VAR156(0),
.VAR74(32),
.VAR32(32),
.VAR11(64),
.VAR58(0),
.VAR143("VAR43"),
.VAR97(1),
.VAR145(2),
.VAR130(2),
.VAR198(VAR116),
.VAR160(16),
.VAR55(32),
.VAR65(1))
VAR12 (
.VAR118(VAR129),
.VAR86(VAR71),
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.VAR20(1'b1),
.en(1'b1),
.rst(1'b0),
.VAR149(VAR155),
.VAR98(VAR103),
.VAR128(VAR49)
);
VAR38 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR172(0),
.VAR62(32),
.VAR156(0),
.VAR74(32),
.VAR32(32),
.VAR11(64),
.VAR58(0),
.VAR143("VAR43"),
.VAR97(1),
.VAR145(2),
.VAR130(2),
.VAR198(VAR116),
.VAR160(16),
.VAR55(32),
.VAR65(1))
VAR51 (
.VAR118(VAR129),
.VAR86(VAR71),
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.VAR20(1'b1),
.en(1'b1),
.rst(1'b0),
.VAR149(VAR155),
.VAR98(VAR148),
.VAR128(VAR75)
);
VAR38 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR172(0),
.VAR62(32),
.VAR156(0),
.VAR74(32),
.VAR32(32),
.VAR11(64),
.VAR58(0),
.VAR143("VAR43"),
.VAR97(1),
.VAR145(2),
.VAR130(2),
.VAR198(VAR116),
.VAR160(16),
.VAR55(32),
.VAR65(1))
VAR166 (
.VAR118(VAR129),
.VAR86(VAR71),
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.VAR20(1'b1),
.en(1'b1),
.rst(1'b0),
.VAR149(VAR57),
.VAR98(VAR153),
.VAR128(VAR60)
);
MODULE3 MODULE5 (
.VAR203(VAR71),
.VAR164(VAR129),
.rst(VAR83),
.en(VAR89),
.VAR70(VAR49),
.VAR84(VAR26)
);
endmodule
module MODULE4 (
VAR203,
VAR164,
VAR69,
VAR181
);
input VAR203;
input VAR164;
input [31:0] VAR69;
output [31:0] VAR181;
wire VAR71;
wire VAR129;
wire [31:0] VAR193;
wire [37:0] VAR87;
wire [31:0] VAR110;
wire [31:0] VAR93;
assign VAR71 = VAR203;
assign VAR129 = VAR164;
assign VAR110 = VAR69;
assign VAR181 = VAR93;
VAR53 VAR182 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR111(VAR193)
);
VAR109 #(
.VAR183(0),
.VAR121(2),
.VAR125(6),
.VAR142(38),
.VAR177(2),
.VAR138(16),
.VAR42(32),
.VAR136(1),
.VAR130(VAR96),
.VAR65(VAR105))
VAR24 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.din(VAR87),
.dout(VAR93)
);
VAR206 VAR46 (
.VAR184(VAR129),
.clk(VAR71),
.VAR81(1'b1),
.VAR66(1'b1),
.VAR149(VAR193),
.VAR98(VAR110),
.VAR111(VAR87)
);
endmodule
module MODULE7 (
VAR203,
VAR164,
rst,
VAR127,
VAR194,
VAR99,
VAR144,
VAR176,
VAR162,
VAR70,
VAR84,
VAR29
);
input VAR203;
input VAR164;
input rst;
input [31:0] VAR127;
input VAR194;
input [31:0] VAR99;
input [31:0] VAR144;
input [31:0] VAR176;
input [31:0] VAR162;
output [31:0] VAR70;
output [31:0] VAR84;
output [31:0] VAR29;
wire VAR71;
wire VAR129;
wire [31:0] VAR155;
wire [31:0] VAR180;
wire [31:0] VAR63;
wire [31:0] VAR39;
wire [31:0] VAR22;
wire VAR83;
wire [31:0] VAR80;
wire VAR167;
wire [31:0] VAR110;
wire [31:0] VAR148;
wire [31:0] VAR103;
wire [31:0] VAR153;
wire [31:0] VAR31;
wire [31:0] VAR186;
wire [31:0] VAR137;
wire VAR89;
wire [31:0] VAR30;
wire [31:0] VAR93;
assign VAR71 = VAR203;
assign VAR129 = VAR164;
assign VAR83 = rst;
assign VAR80 = VAR127;
assign VAR167 = VAR194;
assign VAR110 = VAR99;
assign VAR148 = VAR144;
assign VAR103 = VAR176;
assign VAR153 = VAR162;
assign VAR70 = VAR31;
assign VAR84 = VAR186;
assign VAR29 = VAR137;
assign VAR31 = VAR180;
assign VAR186 = VAR63;
assign VAR137 = VAR93;
VAR50 #(
.VAR14(VAR116),
.VAR92(16),
.VAR159(32),
.VAR112(VAR116),
.VAR44(16),
.VAR131(32),
.VAR107(0),
.VAR34(0),
.VAR11(33),
.VAR143("VAR134"),
.VAR97(0),
.VAR106(2),
.VAR4(33),
.VAR136(0),
.VAR130(1),
.VAR65(1),
.VAR201(VAR116),
.VAR185(16),
.VAR170(32))
VAR47 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.VAR149(VAR39),
.VAR98(VAR93),
.VAR115(VAR155)
);
VAR109 #(
.VAR183(0),
.VAR121(2),
.VAR125(16),
.VAR142(32),
.VAR177(2),
.VAR138(0),
.VAR42(32),
.VAR136(0),
.VAR130(VAR1),
.VAR65(VAR105))
VAR24 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.din(VAR155),
.dout(VAR180)
);
VAR109 #(
.VAR183(0),
.VAR121(2),
.VAR125(16),
.VAR142(32),
.VAR177(2),
.VAR138(0),
.VAR42(32),
.VAR136(0),
.VAR130(VAR1),
.VAR65(VAR105))
VAR146 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.din(VAR22),
.dout(VAR63)
);
VAR109 #(
.VAR183(0),
.VAR121(2),
.VAR125(0),
.VAR142(32),
.VAR177(2),
.VAR138(16),
.VAR42(32),
.VAR136(0),
.VAR130(VAR1),
.VAR65(VAR105))
VAR37 (
.VAR184(VAR129),
.clk(VAR71),
.VAR171(1'b0),
.en(1'b1),
.din(VAR80),
.dout(VAR39)
);
VAR175 VAR169 (
.clk(1'b0),
.VAR184(1'b0),
.VAR171(1'b0),
.VAR36(VAR30),
.VAR200(VAR22)
);
MODULE2 MODULE6 (
.VAR203(VAR71),
.VAR164(VAR129),
.VAR178(VAR167),
.VAR168(VAR89)
);
MODULE6 MODULE1 (
.VAR203(VAR71),
.VAR164(VAR129),
.VAR207(VAR89),
.VAR73(VAR83),
.VAR162(VAR153),
.VAR176(VAR103),
.VAR144(VAR148),
.VAR70(VAR155),
.VAR84(VAR30)
);
MODULE4 MODULE4 (
.VAR203(VAR71),
.VAR164(VAR129),
.VAR69(VAR110),
.VAR181(VAR93)
);
endmodule
module MODULE5 (
VAR165,
VAR199,
VAR179,
VAR203,
VAR164
);
input VAR165;
input VAR199;
input VAR179;
output VAR164;
output VAR203;
wire VAR72;
wire VAR52;
assign VAR203 = VAR72;
assign VAR164 = VAR52;
VAR48 #(
.VAR174(1),
.period(1),
.VAR25(0))
VAR113 (
.VAR199(VAR199),
.VAR165(VAR165),
.VAR95(VAR179),
.VAR184(VAR52),
.clk(VAR72)
);
endmodule
module MODULE1 (
clk,
rst,
VAR127,
VAR194,
VAR99,
VAR144,
VAR176,
VAR162,
VAR70,
VAR84,
VAR29
);
input clk;
input rst;
input [31:0] VAR127;
input VAR194;
input [31:0] VAR99;
input [31:0] VAR144;
input [31:0] VAR176;
input [31:0] VAR162;
output [31:0] VAR70;
output [31:0] VAR84;
output [31:0] VAR29;
wire VAR71;
wire VAR129;
wire VAR8;
wire VAR83;
wire [31:0] VAR80;
wire VAR167;
wire [31:0] VAR110;
wire [31:0] VAR148;
wire [31:0] VAR103;
wire [31:0] VAR153;
wire [31:0] VAR31;
wire [31:0] VAR186;
wire [31:0] VAR137;
assign VAR8 = clk;
assign VAR83 = rst;
assign VAR80 = VAR127;
assign VAR167 = VAR194;
assign VAR110 = VAR99;
assign VAR148 = VAR144;
assign VAR103 = VAR176;
assign VAR153 = VAR162;
assign VAR70 = VAR31;
assign VAR84 = VAR186;
assign VAR29 = VAR137;
MODULE7 MODULE7 (
.VAR203(VAR71),
.VAR164(VAR129),
.rst(VAR83),
.VAR127(VAR80),
.VAR194(VAR167),
.VAR99(VAR110),
.VAR144(VAR148),
.VAR176(VAR103),
.VAR162(VAR153),
.VAR70(VAR31),
.VAR84(VAR186),
.VAR29(VAR137)
);
MODULE5 MODULE5 (
.VAR165(VAR8),
.VAR199(1'b1),
.VAR179(1'b0),
.VAR203(VAR71),
.VAR164(VAR129)
);
endmodule
|
gpl-3.0
|
zhangly/azpr_cpu
|
rtl/cpu/rtl/ex_stage.v
| 4,555 |
module MODULE1 (
input wire clk, input wire reset,
input wire VAR8, input wire VAR18, input wire VAR2,
output wire [VAR13] VAR4,
input wire [VAR40] VAR29, input wire VAR11, input wire [VAR23] VAR33, input wire [VAR13] VAR7, input wire [VAR13] VAR28, input wire VAR37, input wire [VAR20] VAR39, input wire [VAR13] VAR26, input wire [VAR14] VAR35, input wire [VAR32] VAR22, input wire VAR1, input wire [VAR34] VAR30,
output wire [VAR40] VAR16, output wire VAR24, output wire VAR6, output wire [VAR20] VAR25, output wire [VAR13] VAR31, output wire [VAR14] VAR27, output wire [VAR32] VAR3, output wire VAR9, output wire [VAR34] VAR17, output wire [VAR13] VAR10 );
wire [VAR13] VAR38; wire VAR15;
assign VAR4 = VAR38;
alu alu (
.VAR21 (VAR7), .VAR5 (VAR28), .VAR36 (VAR33), .out (VAR38), .VAR12 (VAR15) );
VAR19 VAR19 (
.clk (clk), .reset (reset),
.VAR38 (VAR38), .VAR15 (VAR15),
.VAR8 (VAR8), .VAR18 (VAR18), .VAR2 (VAR2),
.VAR29 (VAR29), .VAR11 (VAR11), .VAR37 (VAR37), .VAR39 (VAR39), .VAR26 (VAR26), .VAR35 (VAR35), .VAR22 (VAR22), .VAR1 (VAR1), .VAR30 (VAR30),
.VAR16 (VAR16), .VAR24 (VAR24), .VAR6 (VAR6), .VAR25 (VAR25), .VAR31 (VAR31), .VAR27 (VAR27), .VAR3 (VAR3), .VAR9 (VAR9), .VAR17 (VAR17), .VAR10 (VAR10) );
endmodule
|
mit
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/BCHSharedKESforTiger4/src/SharedKESTop.v
| 16,791 |
module MODULE1
parameter VAR27 = 4,
parameter VAR66 = 2,
parameter VAR48 = 12,
parameter VAR101 = 9,
parameter VAR133 = 27,
parameter VAR30 = 15
)
(
VAR79 ,
VAR142 ,
VAR20 ,
VAR126 ,
VAR43 ,
VAR114 ,
VAR41 ,
VAR29 ,
VAR72 ,
VAR2 ,
VAR152 ,
VAR5 ,
VAR31 ,
VAR125 ,
VAR104 ,
VAR112 ,
VAR10 ,
VAR83 ,
VAR19 ,
VAR121 ,
VAR145 ,
VAR89 ,
VAR54 ,
VAR103 ,
VAR98 ,
VAR128 ,
VAR73 ,
VAR52 ,
VAR147 ,
VAR12 ,
VAR141 ,
VAR28 ,
VAR131 ,
VAR124 ,
VAR85 ,
VAR39 ,
VAR108 ,
VAR136 ,
VAR138 ,
VAR92 ,
VAR94 ,
VAR109
);
input VAR79 ;
input VAR142 ;
output VAR20 ;
input [VAR66 - 1:0] VAR126 ;
input [VAR66 - 1:0] VAR43 ;
input [VAR66*VAR48*VAR133 - 1:0] VAR114 ;
input VAR41 ;
output VAR29 ;
output [VAR66 - 1:0] VAR72 ;
output [VAR66 - 1:0] VAR2 ;
output [VAR66*VAR101 - 1:0] VAR152 ;
output [VAR66*VAR48*VAR30 - 1:0] VAR5 ;
output VAR31 ;
input [VAR66 - 1:0] VAR125 ;
input [VAR66 - 1:0] VAR104 ;
input [VAR66*VAR48*VAR133 - 1:0] VAR112 ;
input VAR10 ;
output VAR83 ;
output [VAR66 - 1:0] VAR19 ;
output [VAR66 - 1:0] VAR121 ;
output [VAR66*VAR101 - 1:0] VAR145 ;
output [VAR66*VAR48*VAR30 - 1:0] VAR89 ;
output VAR54 ;
input [VAR66 - 1:0] VAR103 ;
input [VAR66 - 1:0] VAR98 ;
input [VAR66*VAR48*VAR133 - 1:0] VAR128 ;
input VAR73 ;
output VAR52 ;
output [VAR66 - 1:0] VAR147 ;
output [VAR66 - 1:0] VAR12 ;
output [VAR66*VAR101 - 1:0] VAR141 ;
output [VAR66*VAR48*VAR30 - 1:0] VAR28 ;
output VAR131 ;
input [VAR66 - 1:0] VAR124 ;
input [VAR66 - 1:0] VAR85 ;
input [VAR66*VAR48*VAR133 - 1:0] VAR39 ;
input VAR108 ;
output VAR136 ;
output [VAR66 - 1:0] VAR138 ;
output [VAR66 - 1:0] VAR92 ;
output [VAR66*VAR101 - 1:0] VAR94 ;
output [VAR66*VAR48*VAR30 - 1:0] VAR109 ;
wire VAR77 ;
wire VAR91 ;
wire VAR11 ;
wire VAR113 ;
wire VAR140 ;
wire VAR139 ;
wire VAR50 ;
wire VAR64 ;
wire VAR118 ;
wire VAR81 ;
wire [3:0] VAR55 ;
wire [VAR27 - 1:0] VAR4 ;
wire [VAR27 - 1:0] VAR22 ;
wire [VAR48*VAR133 - 1:0] VAR119 ;
wire [VAR48 - 1:0] VAR144 ;
wire [VAR48 - 1:0] VAR45 ;
wire [VAR48 - 1:0] VAR116 ;
wire [VAR48 - 1:0] VAR23 ;
wire [VAR48 - 1:0] VAR32 ;
wire [VAR48 - 1:0] VAR53 ;
wire [VAR48 - 1:0] VAR100 ;
wire [VAR48 - 1:0] VAR110 ;
wire [VAR48 - 1:0] VAR16 ;
wire [VAR48 - 1:0] VAR127 ;
wire [VAR48 - 1:0] VAR105 ;
wire [VAR48 - 1:0] VAR143 ;
wire [VAR48 - 1:0] VAR47 ;
wire [VAR48 - 1:0] VAR21 ;
wire [VAR48 - 1:0] VAR9 ;
VAR134
.VAR27(4),
.VAR66(2),
.VAR48(12),
.VAR133(27)
)
VAR71
(
.VAR79 (VAR79 ),
.VAR142 (VAR142 ),
.VAR129 ({VAR124, VAR103, VAR125, VAR126} ),
.VAR90 ({VAR85, VAR98, VAR104, VAR43} ),
.VAR8 ({VAR39, VAR128, VAR112, VAR114} ),
.VAR17 ({VAR131, VAR54, VAR31, VAR20} ),
.VAR69 (VAR77 ),
.VAR86 (VAR91 ),
.VAR14 (VAR11 ),
.VAR26 (VAR113 ),
.VAR7 (VAR140 ),
.VAR1 (VAR119 ),
.VAR56 (VAR4 )
);
VAR137
VAR95
(
.VAR115 (VAR79),
.VAR132 (VAR142),
.VAR150 (1'b0),
.VAR151 (VAR4),
.VAR102 (VAR91),
.VAR80 (VAR113),
.VAR24 (VAR139),
.VAR38 (VAR11),
.VAR67 (VAR140),
.VAR34 (VAR50),
.VAR68 (VAR64),
.VAR37 (VAR77),
.VAR78 (VAR118),
.VAR122 (VAR81),
.VAR18 (VAR22),
.VAR6 (VAR55),
.VAR111 (VAR119),
.VAR97 (VAR144),
.VAR35 (VAR45),
.VAR149 (VAR116),
.VAR3 (VAR23),
.VAR75 (VAR32),
.VAR60 (VAR53),
.VAR42 (VAR100),
.VAR88 (VAR110),
.VAR135 (VAR16),
.VAR120 (VAR127),
.VAR58 (VAR105),
.VAR123 (VAR143),
.VAR44 (VAR47),
.VAR154 (VAR21),
.VAR107 (VAR9)
);
VAR84
.VAR27(4),
.VAR66(2),
.VAR48(12),
.VAR30(15)
)
VAR15
(
.VAR79 (VAR79 ),
.VAR142 (VAR142 ),
.VAR148 (VAR22 ),
.VAR46 (VAR50 ),
.VAR74 (VAR64 ),
.VAR82 (VAR81 ),
.VAR40 (VAR118 ),
.VAR65 (VAR55 ),
.VAR106 (VAR139 ),
.VAR57 (VAR144 ),
.VAR96 (VAR45 ),
.VAR13 (VAR116 ),
.VAR117 (VAR23 ),
.VAR87 (VAR32 ),
.VAR70 (VAR53 ),
.VAR130 (VAR100 ),
.VAR36 (VAR110 ),
.VAR76 (VAR16 ),
.VAR99 (VAR127 ),
.VAR61 (VAR105 ),
.VAR93 (VAR143 ),
.VAR51 (VAR47 ),
.VAR25 (VAR21 ),
.VAR63 (VAR9 ),
.VAR153 ({VAR108, VAR73, VAR10, VAR41} ),
.VAR62 ({VAR136, VAR52, VAR83, VAR29} ),
.VAR33 ({VAR138, VAR147, VAR19, VAR72} ),
.VAR59 ({VAR92, VAR12, VAR121, VAR2} ),
.VAR49 ({VAR94, VAR141, VAR145, VAR152} ),
.VAR146 ({VAR109, VAR28, VAR89, VAR5} )
);
endmodule
|
gpl-3.0
|
markusC64/1541ultimate2
|
fpga/nios_dut/nios_dut/synthesis/submodules/read_signal_breakout.v
| 5,643 |
module MODULE1 (
VAR6, VAR16,
VAR14,
VAR5,
VAR11,
VAR9,
VAR3,
VAR12,
VAR7,
VAR4, VAR2, VAR15, VAR8,
VAR13,
VAR17,
VAR1
);
parameter VAR10 = 256;
input [VAR10-1:0] VAR6;
output wire [255:0] VAR16;
output wire [63:0] VAR14;
output wire [31:0] VAR5;
output wire [7:0] VAR11;
output wire VAR9;
output wire VAR3;
output wire VAR12;
output wire VAR7;
output wire [7:0] VAR4;
output wire [15:0] VAR2;
output wire [15:0] VAR15;
output wire [7:0] VAR8;
output wire VAR13;
input VAR17;
input VAR1;
assign VAR14[31:0] = VAR6[31:0];
assign VAR5 = VAR6[95:64];
generate
if (VAR10 == 256)
begin
assign VAR13 = VAR6[248];
assign VAR8 = VAR6[247:240];
assign VAR11 = VAR6[231:224];
assign VAR9 = VAR6[232];
assign VAR3 = VAR6[233];
assign VAR12 = VAR6[234];
assign VAR7 = VAR6[238];
assign VAR4 = VAR6[119:112];
assign VAR2 = VAR6[143:128];
assign VAR15 = VAR6[111:96];
assign VAR14[63:32] = VAR6[191:160];
end
else
begin
assign VAR13 = VAR6[120];
assign VAR8 = VAR6[119:112];
assign VAR11 = VAR6[103:96];
assign VAR9 = VAR6[104];
assign VAR3 = VAR6[105];
assign VAR12 = VAR6[106];
assign VAR7 = VAR6[110];
assign VAR4 = 8'h00;
assign VAR2 = 16'h0000;
assign VAR15 = 16'h0000;
assign VAR14[63:32] = 32'h00000000;
end
endgenerate
assign VAR16 = {{115{1'b0}}, VAR14[63:32],
VAR13,
VAR8,
VAR2,
VAR4,
VAR1,
VAR17,
VAR3,
VAR9,
VAR11,
VAR5,
VAR14[31:0]};
endmodule
|
gpl-3.0
|
Triple-Z/COExperiment_Repo
|
Project_Assignment_OnBoard/single_cycle_cpu_display.v
| 6,075 |
module MODULE1(
input clk,
input VAR37,
input VAR21,
output VAR22,
output VAR20,
output VAR42,
output VAR25,
output VAR10,
inout[15:0] VAR1,
output VAR39,
inout VAR3,
inout VAR45,
output VAR47,
output VAR9
);
wire VAR46; reg VAR17;
reg VAR4;
always @(posedge clk)
begin
if (!VAR37)
begin
VAR17<= 1'b0;
end
else
begin
VAR17 <= ~VAR21;
end
VAR4 <= VAR17;
end
wire VAR28;
assign VAR28 = !VAR37 || (!VAR17 && VAR4);
VAR24 VAR41(.VAR49(clk),.VAR31(VAR28),.VAR44(VAR46));
wire [31:0] VAR30; wire [31:0] VAR6; wire [ 4:0] VAR27; wire [31:0] VAR23; reg [31:0] VAR32; wire [31:0] VAR13; wire [ 4:0] VAR19;
wire [31:0] VAR18;
wire [31:0] VAR35;
wire [31:0] VAR14;
VAR16 VAR34(
.clk(VAR46 ),
.rst(VAR37 ),
.VAR27 (VAR27 ),
.VAR32(VAR32),
.VAR23 (VAR23 ),
.VAR13(VAR13),
.VAR30 (VAR30 ),
.VAR6(VAR6),
.VAR19(VAR19),
.VAR18(VAR18),
.VAR35(VAR35),
.VAR14(VAR14)
);
reg VAR48;
reg [39:0] VAR40;
reg [31:0] VAR29;
wire [5 :0] VAR36;
wire VAR12;
wire [31:0] VAR7;
VAR11 VAR11(
.clk (clk ), .VAR37 (VAR37 ),
.VAR48 (VAR48 ),
.VAR40 (VAR40 ),
.VAR29 (VAR29 ),
.VAR36 (VAR36),
.VAR12 (VAR12 ),
.VAR7 (VAR7 ),
.VAR22 (VAR22 ),
.VAR20 (VAR20 ),
.VAR42 (VAR42 ),
.VAR25 (VAR25 ),
.VAR10 (VAR10 ),
.VAR1 (VAR1 ),
.VAR39 (VAR39 ),
.VAR3 (VAR3 ),
.VAR45 (VAR45 ),
.VAR47 (VAR47 ),
.VAR9 (VAR9 )
);
always @(posedge clk)
begin
if (!VAR37)
begin
VAR32 <= 32'd0;
end
else if (VAR12)
begin
VAR32 <= VAR7;
end
end
assign VAR27 = VAR36-6'd5;
assign VAR19 = VAR36 - 6'd25;
always @(posedge clk)
begin
if (VAR36 >6'd4 && VAR36 <6'd37 )
begin VAR48 <= 1'b1;
VAR40[39:16] <= "VAR8";
VAR40[15: 8] <= {4'b0011,3'b000,VAR27[4]};
VAR40[7 : 0] <= {4'b0011,VAR27[3:0]};
VAR29 <= VAR23;
end
else if (VAR36 > 6'd36 && VAR36 < 6'd41) begin
VAR48 <= 1'b1;
VAR40[39:16] <= "VAR5";
VAR40[15:8] <= "0";
VAR40[7 : 0] <= {4'b0011,VAR19[3:0]};
VAR29 <= VAR18;
end
else if (VAR36 == 6'd41) begin
VAR48 <= 1'b1;
VAR40 <= " VAR43";
VAR29 <= VAR35;
end
else if (VAR36 == 6'd42) begin
VAR48 <= 1'b1;
VAR40 <= " VAR15";
VAR29 <= VAR14;
end
else
begin
case(VAR36)
6'd1 : begin
VAR48 <= 1'b1;
VAR40 <= " VAR38";
VAR29 <= VAR30;
end
6'd2 : begin
VAR48 <= 1'b1;
VAR40 <= " VAR33";
VAR29 <= VAR6;
end
6'd3 : begin
VAR48 <= 1'b1;
VAR40 <= "VAR26";
VAR29 <= VAR32;
end
6'd4 : begin
VAR48 <= 1'b1;
VAR40 <= "VAR2";
VAR29 <= VAR13;
end
default :
begin
VAR48 <= 1'b0;
end
endcase
end
end
endmodule
|
mit
|
lvd2/zxevo
|
fpga/sdload/trunk/slave/slavespi.v
| 7,082 |
module MODULE1(
input wire VAR18,
input wire VAR21,
input wire VAR2, output wire VAR15, input wire VAR25, input wire VAR3,
input wire [ 7:0] VAR22,
output wire [39:0] VAR13,
output wire VAR4,
output wire [ 7:0] VAR27,
output wire VAR12,
output wire VAR23,
output wire VAR29,
output wire VAR10,
input wire [ 7:0] VAR26,
input wire [ 2:0] VAR20,
input wire [ 7:0] VAR1,
output wire [ 7:0] VAR5,
input wire VAR19,
output wire VAR9,
output wire [ 7:0] VAR17, output wire [ 7:0] VAR16,
output wire VAR14,
output wire VAR6, input wire VAR28, output wire VAR8, output wire VAR11, output wire [ 7:0] VAR7, input wire [ 7:0] VAR24 );
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
|
gpl-3.0
|
Mahalakshmi23/BASYS2-Board-programmer
|
basys2.v
| 2,675 |
module MODULE1(
input [3:0] VAR4,
input [3:0] VAR1,
input clk,
output [3:0] VAR9,
output [6:0] final,
output [3:0] VAR3
);
reg [17:0] counter;
reg [3:0] VAR12;
reg [3:0] VAR5 = 4'b1111;
reg [6:0] VAR8=7'b1111111;
reg [6:0] VAR10=7'b1111111;
reg [6:0] VAR7=7'b1111111;
reg [6:0] VAR11=7'b1111111;
reg [6:0] VAR6=7'b1111111;
reg [6:0] VAR2=7'b1111111;
always @ (VAR4) begin
if(VAR4==4'b0000)
begin
VAR12 = 'h0;
VAR8 = 7'b1000000;
end
else if(VAR4==4'b0001)
begin
VAR12 = 'h1;
VAR8 = 7'b1111001;
end
else if(VAR4==4'b0010)
begin
VAR12 = 'h2;
VAR8 = 7'b0100100;
end
else if(VAR4==4'b0011)
begin
VAR12 = 'h3;
VAR8 = 7'b0110000;
end
else if(VAR4==4'b0100)
begin
VAR12 = 'h4;
VAR8 = 7'b0011001;
end
else if(VAR4==4'b0101)
begin
VAR12 = 'h5;
VAR8 = 7'b0010010;
end
else if(VAR4==4'b0110)
begin
VAR12 = 'h6;
VAR8 = 7'b0000010;
end
else if(VAR4== 4'b0111)
begin
VAR12 = 'h7;
VAR8 = 7'b1111000;
end
else if(VAR4== 4'b1000)
begin
VAR12 = 'h8;
VAR8 = 7'b0000000;
end
else if(VAR4== 4'b1001)
begin
VAR12 = 'h9;
VAR8 = 7'b0010000;
end
else
begin
VAR12 = 4'b0000;
VAR8 = 7'b1111111;
end
end
always @ (posedge clk) begin
if (clk)
counter = 0;
end
else
counter = counter + 1;
case(counter[17:16])
2'b00: begin
VAR2 = VAR10;
VAR5 = 4'b0111;
end
2'b01: begin
VAR2 = VAR7;
VAR5 = 4'b1011;
end
2'b10: begin
VAR2 = VAR11;
VAR5 = 4'b1101;
end
2'b11: begin
VAR2 = VAR6;
VAR5 = 4'b1110;
end
endcase
case(VAR1)
4'b0001: VAR10 = VAR8;
4'b0010: VAR7 = VAR8;
4'b0100: VAR11 = VAR8;
4'b1000: VAR6 = VAR8;
endcase
end
assign VAR9 = VAR12;
assign final= VAR2;
assign VAR3 = VAR5;
endmodule
|
mit
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_light/spw_light/synthesis/submodules/spw_light_time_in.v
| 2,169 |
module MODULE1 (
address,
VAR9,
clk,
VAR1,
VAR4,
VAR7,
VAR5,
VAR3
)
;
output [ 5: 0] VAR5;
output [ 31: 0] VAR3;
input [ 1: 0] address;
input VAR9;
input clk;
input VAR1;
input VAR4;
input [ 31: 0] VAR7;
wire VAR8;
reg [ 5: 0] VAR6;
wire [ 5: 0] VAR5;
wire [ 5: 0] VAR2;
wire [ 31: 0] VAR3;
assign VAR8 = 1;
assign VAR2 = {6 {(address == 0)}} & VAR6;
always @(posedge clk or negedge VAR1)
begin
if (VAR1 == 0)
VAR6 <= 0;
end
else if (VAR9 && ~VAR4 && (address == 0))
VAR6 <= VAR7[5 : 0];
end
assign VAR3 = {32'b0 | VAR2};
assign VAR5 = VAR6;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o31ai/sky130_fd_sc_lp__o31ai.functional.v
| 1,447 |
module MODULE1 (
VAR2 ,
VAR5,
VAR4,
VAR6,
VAR9
);
output VAR2 ;
input VAR5;
input VAR4;
input VAR6;
input VAR9;
wire VAR1 ;
wire VAR3;
or VAR10 (VAR1 , VAR4, VAR5, VAR6 );
nand VAR8 (VAR3, VAR9, VAR1 );
buf VAR7 (VAR2 , VAR3 );
endmodule
|
apache-2.0
|
gbraad/minimig-de1
|
minimig-src/j68/j68.v
| 94,934 |
module MODULE8
(
input rst, input clk, output VAR366, output VAR274, input VAR184, output [1:0] VAR24, output [31:0] address, input [15:0] VAR345, output [15:0] VAR23, output [2:0] VAR297, input [2:0] VAR304, output [3:0] VAR209, output [3:0] VAR200, output [15:0] VAR82, output [15:0] VAR100, output [31:0] VAR89, output [31:0] VAR170, output [31:0] VAR157, output [31:0] VAR244, output [7:0] VAR155, output VAR54 );
reg VAR165;
wire [19:0] VAR338; wire VAR191; reg VAR101; wire VAR85; wire [1:0] VAR342; wire [10:0] VAR270; wire [15:0] VAR302; wire [15:0] VAR131; wire VAR337;
wire VAR60; wire [1:0] VAR2; wire [10:0] VAR300;
reg [11:0] VAR322; reg [10:0] VAR307; reg [3:0] VAR148;
reg [3:0] VAR73; reg [3:0] VAR160; wire [3:0] VAR234; reg [15:0] VAR339[0:15]; reg [15:0] VAR146; wire [15:0] VAR130; wire [31:0] VAR172; reg VAR13;
wire VAR66; wire [10:0] VAR99; reg [10:0] VAR284; reg [10:0] VAR152; wire [10:0] VAR187;
reg [3:0] VAR102; reg [3:0] VAR260; reg [10:0] VAR235[0:15]; wire [10:0] VAR87; reg [10:0] VAR361; reg VAR17; reg VAR32;
wire VAR365 = (VAR338[19:17] == 3'b100) ? 1'b1 : 1'b0;
wire VAR273 = (VAR338[19:17] == 3'b101) ? 1'b1 : 1'b0;
wire VAR230 = (VAR338[15:12] == 4'b1111) ? 1'b1 : 1'b0;
wire VAR329;
wire [3:0] VAR240;
wire VAR22;
wire VAR25;
wire [31:0] VAR159;
wire [3:0] VAR96;
wire [1:0] VAR298;
wire [4:0] VAR104;
wire [5:0] VAR348;
wire [10:0] VAR330;
wire [4:0] VAR10;
wire VAR174;
wire VAR219;
wire VAR57;
wire VAR248; wire VAR133; wire VAR39; wire VAR355; wire VAR36; wire [15:0] VAR336; wire [10:0] VAR4;
wire [15:0] VAR243; wire [15:0] VAR305; wire [15:0] VAR113;
reg [31:0] VAR134;
reg [31:0] VAR299;
reg [7:0] VAR41;
always@(posedge clk)
VAR165 <= rst;
assign VAR191 = VAR273 & VAR338[7] & ~VAR337;
assign VAR270 = VAR300;
assign VAR342 = VAR2 & { VAR60, VAR60 };
assign VAR302 = VAR146;
assign VAR337 = (VAR273 & VAR338[6]) | VAR101;
always@(posedge clk)
VAR101 <= VAR191;
assign VAR209 = (VAR60) ? VAR300[4:1] : 4'b0000;
assign VAR200[3] = VAR60 & VAR300[5] & VAR300[0] & VAR2[1];
assign VAR200[2] = VAR60 & VAR300[5] & VAR300[0] & VAR2[0];
assign VAR200[1] = VAR60 & VAR300[5] & ~VAR300[0] & VAR2[1];
assign VAR200[0] = VAR60 & VAR300[5] & ~VAR300[0] & VAR2[0];
assign VAR82 = (VAR60) ? VAR146 : 16'h0000;
assign VAR100 = { (VAR330 & 11'b10100111000) , VAR10};
assign VAR170 = VAR134;
assign VAR157 = VAR299;
assign VAR244 = 32'h00000000;
assign VAR155 = VAR41;
always @(posedge rst or posedge clk)
begin
if (rst) begin
VAR134 <= 32'd0;
VAR299 <= 32'd0;
VAR41 <= 8'd0;
end else begin
if (VAR60) begin
if ((VAR300[5:0] == 6'b011100) ||
((VAR300[5:0] == 6'b111110) && (!VAR330[8])))
VAR134[15:0] <= VAR146;
if ((VAR300[5:0] == 6'b011101) ||
((VAR300[5:0] == 6'b111111) && (!VAR330[8])))
VAR134[31:16] <= VAR146;
if ((VAR300[5:0] == 6'b011110) ||
((VAR300[5:0] == 6'b111110) && (VAR330[8])))
VAR299[15:0] <= VAR146;
if ((VAR300[5:0] == 6'b011111) ||
((VAR300[5:0] == 6'b111111) && (VAR330[8])))
VAR299[31:16] <= VAR146;
end
if (VAR54)
VAR41 <= 8'd0;
end
else
VAR41 <= VAR41 + 8'd1;
end
end
assign VAR133 = ~VAR338[8] & VAR338[6] & VAR365;
assign VAR248 = ~VAR338[8] & VAR338[7] & VAR365 & ~VAR355;
assign VAR39 = VAR338[8] & VAR365 & ~VAR355;
assign VAR36 = VAR355 | VAR133;
assign VAR99 = VAR284 + 11'd1;
always @(VAR338 or VAR25 or VAR329 or VAR230 or VAR338 or VAR99 or VAR22 or VAR187 or VAR146 or VAR87)
begin
case (VAR338[19:17])
3'b000 : if (VAR25)
VAR152 <= VAR338[10:0];
end
else
VAR152 <= VAR99;
3'b001 : if (VAR329)
VAR152 <= VAR338[10:0] | (VAR146[10:0] & {11{VAR230}});
else
VAR152 <= VAR99;
default : if (VAR338[16])
VAR152 <= VAR87;
else
if (VAR22)
VAR152 <= VAR187;
else
VAR152 <= VAR99;
endcase
end
assign VAR66 = ((VAR36 | VAR337 | (~VAR365 & ~VAR273) | VAR165) & ~rst);
always @(posedge rst or posedge clk)
begin
if (rst)
VAR284 <= 11'b11111111111;
end
else
if (VAR66) VAR284 <= VAR152;
end
always @(VAR338 or VAR66 or VAR102 or VAR284 or VAR99)
begin
if (VAR338[19:17] == 3'b001) begin
if (VAR338[16]) begin
VAR260 <= VAR102;
VAR361 <= VAR284;
VAR17 <= 1'b0;
VAR32 <= 1'b0;
end else begin
VAR260 <= VAR102 - 4'd1;
VAR361 <= VAR99;
VAR17 <= 1'b1;
VAR32 <= 1'b0;
end
end else begin
if ((VAR338[16]) && (VAR66)) begin
VAR260 <= VAR102 + 4'd1;
VAR361 <= VAR284;
VAR17 <= 1'b0;
VAR32 <= 1'b1;
end else begin
VAR260 <= VAR102;
VAR361 <= VAR284;
VAR17 <= 1'b0;
VAR32 <= 1'b0;
end
end
end
always @(posedge rst or posedge clk)
begin
if (rst)
VAR102 <= 4'd0;
end
else begin
VAR102 <= VAR260;
if (VAR17) VAR235[VAR260] <= VAR361;
end
end
assign VAR87 = VAR235[VAR102];
assign VAR234 = {{3{VAR338[13]}}, VAR338[12]};
always@(VAR338 or VAR230 or VAR73 or VAR234)
begin
casez(VAR338[19:17])
3'b000 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= 1'b0; VAR322[8:4] <= VAR51; if (VAR338[11]) begin
VAR322[3:2] <= VAR136; VAR322[1:0] <= VAR27; VAR160 <= VAR73 - 4'd1;
end else begin
VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; VAR160 <= VAR73;
end
VAR13 <= 1'b0;
end
3'b001 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= 1'b0; VAR322[8:4] <= VAR51; if (VAR230) begin
VAR322[3:2] <= VAR136; VAR322[1:0] <= VAR27; VAR160 <= VAR73 - 4'd1;
end else begin
VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; VAR160 <= VAR73;
end
VAR13 <= 1'b0;
end
3'b010 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= 1'b0; VAR322[8:4] <= VAR51; VAR322[3:2] <= VAR139; VAR322[1:0] <= VAR52; VAR160 <= VAR73 + 4'd1;
VAR13 <= 1'b1;
end
3'b011 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= 1'b0; VAR322[8:4] <= VAR51; VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; VAR160 <= VAR73;
VAR13 <= 1'b0;
end
3'b100 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= VAR338[9]; VAR322[8:4] <= VAR51; if (VAR338[7]) begin
if (VAR234[0]) begin
VAR322[3:2] <= VAR136; VAR322[1:0] <= VAR271; end else begin
VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; end
end else begin
if (VAR234[0]) begin
VAR322[3:2] <= VAR136; VAR322[1:0] <= VAR27; end else begin
VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; end
end
VAR160 <= VAR73 + VAR234;
VAR13 <= VAR338[14];
end
3'b101 :
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= VAR338[9]; VAR322[8:4] <= VAR51; if (VAR338[7]) begin
VAR322[3:2] <= VAR294; VAR322[1:0] <= VAR52; end else begin
if (VAR234[0]) begin
VAR322[3:2] <= VAR136; VAR322[1:0] <= VAR27; end else begin
VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; end
end
VAR160 <= VAR73 + VAR234;
VAR13 <= VAR338[14];
end
3'b110 :
begin
VAR322[11:10] <= VAR338[11:10]; VAR322[9] <= VAR338[9]; VAR322[8:4] <= VAR338[8:4]; VAR322[3:2] <= VAR338[3:2]; VAR322[1:0] <= VAR338[1:0]; VAR160 <= VAR73 + VAR234;
VAR13 <= VAR338[14];
end
default:
begin
VAR322[11:10] <= 2'b01; VAR322[9] <= 1'b0; VAR322[8:4] <= VAR51; VAR322[3:2] <= VAR349; VAR322[1:0] <= VAR52; VAR160 <= VAR73;
VAR13 <= 1'b0;
end
endcase
end
always @(posedge rst or posedge clk)
begin
if (rst) begin
VAR73 <= 4'd0;
VAR146 <= 16'h0000;
end else if ((VAR36) || (VAR337) || (!(VAR365 | VAR273))) begin
VAR73 <= VAR160;
VAR146 <= VAR172[15:0];
if (VAR13) VAR339[VAR160] <= VAR172[31:16];
end
end
assign VAR130 = VAR339[VAR73];
always@(VAR338 or VAR4)
begin
if (VAR338[19:17] == 3'b011) begin
VAR307 <= VAR338[10:0];
VAR148 <= VAR338[14:11];
end else begin
VAR307 <= VAR4;
VAR148 <= 4'b0000;
end
end
MODULE7 MODULE12
(
.rst(rst),
.clk(clk),
.VAR368(VAR322[11:10]),
.VAR67(VAR322[9]),
.VAR135(VAR322[8:4]),
.VAR116(VAR322[3:2]),
.VAR357(VAR322[1:0]),
.VAR289(VAR174),
.VAR111(VAR10[1]),
.VAR280(VAR146),
.VAR64(VAR130),
.VAR53(VAR131),
.VAR143(VAR336),
.VAR285(VAR338[15:0]),
.VAR254(VAR172),
.VAR3(VAR104),
.VAR319(VAR348[4:0]),
.VAR352(VAR159),
.VAR178(VAR96),
.VAR358(VAR298)
);
MODULE1 MODULE7
(
.rst(rst),
.clk(clk),
.VAR3(VAR104),
.VAR319(VAR348),
.VAR352(VAR159),
.VAR178(VAR96),
.VAR358(VAR298),
.VAR280(VAR146),
.VAR64(VAR130),
.VAR63(VAR307),
.VAR80(VAR148),
.VAR97(VAR10),
.VAR289(VAR174),
.VAR344(VAR219),
.VAR105(VAR57)
);
MODULE6 MODULE4
(
.rst(rst),
.clk(clk),
.VAR309(VAR338),
.VAR40({VAR57, VAR159[15], VAR219, VAR104[1]}),
.VAR318({VAR330, VAR10}),
.VAR280(VAR146),
.VAR238(VAR113),
.VAR138(VAR305),
.VAR112(VAR329)
);
MODULE10 MODULE5
(
.rst(rst),
.clk(clk),
.VAR309(VAR338),
.VAR222(VAR66),
.VAR280(VAR146[5:0]),
.VAR65(VAR152),
.VAR308(VAR187),
.VAR112(VAR22),
.VAR62(VAR25),
.VAR151(VAR240)
);
MODULE5 MODULE9
(
.rst(rst),
.clk(clk),
.VAR366(VAR366),
.VAR274(VAR274),
.VAR184(VAR184),
.VAR24(VAR24),
.address(address),
.VAR345(VAR345),
.VAR23(VAR23),
.VAR297(VAR297),
.VAR304(VAR304),
.VAR232(VAR248),
.VAR241(VAR133),
.VAR331(VAR39),
.VAR166(VAR273),
.VAR306(VAR355),
.VAR72(VAR336),
.VAR320(VAR146),
.VAR309(VAR338),
.VAR67(VAR322[9]),
.VAR18(VAR322[7:4]),
.VAR280(VAR146),
.VAR64(VAR130),
.VAR319(VAR348[5]),
.VAR237(VAR243),
.VAR138(VAR305),
.VAR238(VAR113),
.VAR231(VAR10),
.VAR303(VAR330),
.VAR63(VAR4),
.VAR129(VAR240),
.VAR94(VAR300[5:0]),
.VAR253(VAR60),
.VAR332(VAR2),
.VAR286(VAR89),
.VAR313(VAR54)
);
assign VAR300[10:6] = 5'b11111;
MODULE4 MODULE11
(
.VAR370(clk),
.VAR366(VAR66),
.VAR167(VAR152),
.VAR42(VAR338[3:0]),
.VAR202(VAR342[0]),
.VAR56(VAR270),
.VAR205(VAR302[3:0]),
.VAR343(VAR131[3:0])
);
MODULE11.VAR108 = "VAR312.VAR267";
MODULE4 MODULE8
(
.VAR370(clk),
.VAR366(VAR66),
.VAR167(VAR152),
.VAR42(VAR338[7:4]),
.VAR202(VAR342[0]),
.VAR56(VAR270),
.VAR205(VAR302[7:4]),
.VAR343(VAR131[7:4])
);
MODULE8.VAR108 = "VAR156.VAR267";
MODULE4 MODULE2
(
.VAR370(clk),
.VAR366(VAR66),
.VAR167(VAR152),
.VAR42(VAR338[11:8]),
.VAR202(VAR342[1]),
.VAR56(VAR270),
.VAR205(VAR302[11:8]),
.VAR343(VAR131[11:8])
);
MODULE2.VAR108 = "VAR198.VAR267";
MODULE4 MODULE13
(
.VAR370(clk),
.VAR366(VAR66),
.VAR167(VAR152),
.VAR42(VAR338[15:12]),
.VAR202(VAR342[1]),
.VAR56(VAR270),
.VAR205(VAR302[15:12]),
.VAR343(VAR131[15:12])
);
MODULE13.VAR108 = "VAR203.VAR267";
MODULE4 MODULE6
(
.VAR370(clk),
.VAR366(VAR66),
.VAR167(VAR152),
.VAR42(VAR338[19:16]),
.VAR202(1'b0),
.VAR56(VAR270),
.VAR205(4'b0000),
.VAR343()
);
MODULE6.VAR108 = "VAR194.VAR267";
endmodule
module MODULE7
(
input rst, input clk, input VAR67, input [1:0] VAR368, input [4:0] VAR135, input [1:0] VAR116, input [1:0] VAR357, input VAR289, input VAR111, input [15:0] VAR280, input [15:0] VAR64, input [15:0] VAR53, input [15:0] VAR143, input [15:0] VAR285, output reg [31:0] VAR254, output reg [4:0] VAR3, output reg [4:0] VAR319, output reg [31:0] VAR352, output reg [3:0] VAR178, output reg [1:0] VAR358 );
reg [15:0] VAR48; reg [15:0] VAR90; reg VAR120;
reg [15:0] VAR278; reg [15:0] VAR11; reg VAR326;
wire [17:0] VAR181; reg [15:0] VAR224; reg [31:0] VAR28; reg [31:0] VAR188;
wire [4:0] VAR104; wire [4:0] VAR348;
always @(VAR116 or VAR280)
begin
case (VAR116)
2'b00 : VAR90 <= 16'h0000;
2'b01 : VAR90 <= 16'hFFFF;
2'b10 : VAR90 <= VAR280;
2'b11 : VAR90 <= ~VAR280;
endcase
end
always @(VAR357 or VAR64)
begin
case (VAR357)
2'b00 : VAR11 <= 16'h0000;
2'b01 : VAR11 <= 16'hFFFF;
2'b10 : VAR11 <= VAR64;
2'b11 : VAR11 <= ~VAR64;
endcase
end
always @(VAR280 or VAR116 or VAR285 or VAR53)
begin
case (VAR116)
2'b00 : VAR48 <= 16'h0000;
2'b01 : VAR48 <= VAR285; 2'b10 : VAR48 <= VAR280;
2'b11 : VAR48 <= VAR53; endcase
end
always @(VAR135 or VAR368 or VAR64 or VAR357 or VAR143)
begin
if (VAR135[4]) begin
case ({VAR64[4]&(VAR368[1]|VAR368[0]), VAR64[3]&(VAR368[1]|VAR368[0]), VAR64[2:0]})
5'b00000 : VAR278 <= 16'b0000000000000001 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00001 : VAR278 <= 16'b0000000000000010 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00010 : VAR278 <= 16'b0000000000000100 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00011 : VAR278 <= 16'b0000000000001000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00100 : VAR278 <= 16'b0000000000010000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00101 : VAR278 <= 16'b0000000000100000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00110 : VAR278 <= 16'b0000000001000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b00111 : VAR278 <= 16'b0000000010000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01000 : VAR278 <= 16'b0000000100000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01001 : VAR278 <= 16'b0000001000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01010 : VAR278 <= 16'b0000010000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01011 : VAR278 <= 16'b0000100000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01100 : VAR278 <= 16'b0001000000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01101 : VAR278 <= 16'b0010000000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01110 : VAR278 <= 16'b0100000000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b01111 : VAR278 <= 16'b1000000000000000 & {16{~VAR368[1]}} ^ {16{VAR357[0]}};
5'b10000 : VAR278 <= 16'b0000000000000001 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10001 : VAR278 <= 16'b0000000000000010 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10010 : VAR278 <= 16'b0000000000000100 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10011 : VAR278 <= 16'b0000000000001000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10100 : VAR278 <= 16'b0000000000010000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10101 : VAR278 <= 16'b0000000000100000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10110 : VAR278 <= 16'b0000000001000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b10111 : VAR278 <= 16'b0000000010000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11000 : VAR278 <= 16'b0000000100000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11001 : VAR278 <= 16'b0000001000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11010 : VAR278 <= 16'b0000010000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11011 : VAR278 <= 16'b0000100000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11100 : VAR278 <= 16'b0001000000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11101 : VAR278 <= 16'b0010000000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11110 : VAR278 <= 16'b0100000000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
5'b11111 : VAR278 <= 16'b1000000000000000 & {16{~VAR368[0]}} ^ {16{VAR357[0]}};
endcase
end else begin
case (VAR357)
2'b00 : VAR278 <= 16'h0000;
2'b01 : VAR278 <= VAR143; 2'b10 : VAR278 <= VAR64;
2'b11 : VAR278 <= ~VAR64;
endcase
end
end
always @(VAR135 or VAR289)
begin
case (VAR135[1:0])
2'b00 : begin VAR120 <= 1'b0;
VAR326 <= 1'b0;
end
2'b01 : begin VAR120 <= VAR289;
VAR326 <= VAR289;
end
2'b10 : begin VAR120 <= 1'b1;
VAR326 <= 1'b1;
end
2'b11 : begin VAR120 <= ~VAR289;
VAR326 <= ~VAR289;
end
endcase
end
assign VAR181 = {1'b0, VAR90, VAR120} + {1'b0, VAR11, VAR326};
always @(VAR135 or VAR368 or VAR48 or VAR278)
begin
case (VAR135[1:0])
2'b00 : VAR224[7:0] <= VAR48[7:0] & VAR278[7:0]; 2'b01 : VAR224[7:0] <= VAR48[7:0] | VAR278[7:0]; 2'b10 : VAR224[7:0] <= VAR48[7:0] ^ VAR278[7:0]; 2'b11 : VAR224[7:0] <= ~VAR48[7:0]; endcase
if (VAR368 == 2'b00)
VAR224[15:8] <= VAR48[15:8];
end
else
case (VAR135[1:0])
2'b00 : VAR224[15:8] <= VAR48[15:8] & VAR278[15:8]; 2'b01 : VAR224[15:8] <= VAR48[15:8] | VAR278[15:8]; 2'b10 : VAR224[15:8] <= VAR48[15:8] ^ VAR278[15:8]; 2'b11 : VAR224[15:8] <= ~VAR48[15:8]; endcase
end
always @(VAR368 or VAR280 or VAR64 or VAR289)
begin
case (VAR368)
2'b00 : VAR28 <= { VAR64[15:0], VAR280[15:8], VAR280[6:0], VAR289 }; 2'b01 : VAR28 <= { VAR64[15:0], VAR280[14:0], VAR289 }; default : VAR28 <= { VAR64[14:0], VAR280[15:0], VAR289 }; endcase
end
always @(VAR368 or VAR280 or VAR64 or VAR289)
begin
case (VAR368)
2'b00 : VAR188 <= { VAR64[15:0], VAR280[15:8], VAR289, VAR280[7:1] }; 2'b01 : VAR188 <= { VAR64[15:0], VAR289, VAR280[15:1] }; default : VAR188 <= { VAR289, VAR64[15:0], VAR280[15:1] }; endcase
end
always @(VAR135 or VAR280 or VAR181 or VAR224 or VAR28 or VAR188)
begin
case (VAR135[3:2])
2'b00 : VAR254 <= { VAR280, VAR181[16:1] }; 2'b01 : VAR254 <= { VAR280, VAR224 }; 2'b10 : VAR254 <= VAR28; 2'b11 : VAR254 <= VAR188; endcase
end
assign VAR104[0] = VAR181[9] ^ VAR90[8]
^ VAR11[8] ^ VAR135[1]; assign VAR104[1] = VAR181[17] ^ VAR135[1]; assign VAR104[2] = (VAR280[0] & VAR135[2])
| (VAR280[7] & ~VAR135[2]); assign VAR104[3] = (VAR280[0] & VAR135[2])
| (VAR280[15] & ~VAR135[2]); assign VAR104[4] = (VAR280[0] & VAR135[2])
| (VAR64[15] & ~VAR135[2]); assign VAR348[0] = VAR181[9] ^ VAR181[8]
^ VAR90[8] ^ VAR90[7]
^ VAR11[8] ^ VAR11[7]; assign VAR348[1] = VAR181[17] ^ VAR181[16]
^ VAR90[15] ^ VAR11[15]; assign VAR348[2] = VAR111 | (VAR280[7] ^ VAR280[6]); assign VAR348[3] = VAR111 | (VAR280[15] ^ VAR280[14]); assign VAR348[4] = VAR111 | (VAR64[15] ^ VAR64[14]);
always@(posedge rst or posedge clk)
begin
if (rst) begin
VAR3 <= 5'b00000;
VAR319 <= 5'b00000;
VAR352 <= 32'h00000000;
VAR178 <= 4'b0000;
VAR358 <= 2'b00;
end else if (VAR67) begin
VAR3 <= VAR104;
VAR319 <= VAR348;
VAR352 <= VAR254;
VAR178 <= VAR135[3:0];
VAR358 <= VAR368;
end
end
endmodule
module MODULE1
(
input rst, input clk, input [4:0] VAR3, input [5:0] VAR319, input [31:0] VAR352, input [3:0] VAR178, input [1:0] VAR358, input [15:0] VAR280, input [15:0] VAR64, input [10:0] VAR63, input [3:0] VAR80, output reg [4:0] VAR97, output reg VAR289, output VAR344, output VAR105 );
reg VAR104;
reg VAR348;
reg VAR219;
reg VAR364;
wire [2:0] VAR341;
reg VAR290;
always@(VAR178 or VAR358 or VAR3)
begin
case (VAR178[3:2])
2'b00 : if (VAR358 == 2'b00)
end
VAR104 <= VAR3[0]; else
VAR104 <= VAR3[1]; 2'b01 : VAR104 <= 1'b0;
default : case (VAR358)
2'b00 : VAR104 <= VAR3[2]; 2'b01 : VAR104 <= VAR3[3]; default : VAR104 <= VAR3[4]; endcase
endcase
end
always@(VAR178 or VAR358 or VAR319)
begin
case (VAR178[3:2])
2'b00 : if (VAR358 == 2'b00)
end
VAR348 <= VAR319[0]; else
VAR348 <= VAR319[1]; 2'b10 : case (VAR358)
2'b00 : VAR348 <= VAR319[2]; 2'b01 : VAR348 <= VAR319[3]; default : VAR348 <= VAR319[4]; endcase
2'b11 : VAR348 <= VAR319[5] & VAR178[1];
default : VAR348 <= 1'b0;
endcase
end
assign VAR341[0] = (VAR352[7:0] == 8'h00) ? 1'b1 : 1'b0;
assign VAR341[1] = (VAR352[15:8] == 8'h00) ? 1'b1 : 1'b0;
assign VAR341[2] = (VAR352[31:16] == 16'h0000) ? 1'b1 : 1'b0;
always@(VAR178 or VAR358 or VAR341 or VAR290)
begin
if (VAR178[3]) begin
case (VAR358)
2'b00 : VAR219 <= VAR341[0]; 2'b01 : VAR219 <= VAR341[0] & VAR341[1]; default : VAR219 <= VAR341[0] & VAR341[1] & VAR341[2]; endcase
end else begin
case (VAR358)
2'b00 : VAR219 <= VAR341[0]; 2'b01 : VAR219 <= VAR341[0] & VAR341[1]; default : VAR219 <= VAR341[0] & VAR341[1] & VAR290; endcase
end
end
always@(VAR178 or VAR358 or VAR352)
begin
if (VAR178[3]) begin
case (VAR358)
2'b00 : VAR364 <= VAR352[7]; 2'b01 : VAR364 <= VAR352[15]; default : VAR364 <= VAR352[31]; endcase
end else begin
case (VAR358)
2'b00 : VAR364 <= VAR352[7]; 2'b01 : VAR364 <= VAR352[15]; default : VAR364 <= VAR352[15]; endcase
end
end
always@(posedge rst or posedge clk)
begin
if (rst) begin
VAR97 <= 5'b00100;
VAR290 <= 1'b0;
end
else begin
case (VAR63[1:0])
2'b00 : VAR97[0] <= VAR97[0];
2'b01 : VAR97[0] <= VAR104;
2'b10 : VAR97[0] <= 1'b0;
2'b11 : VAR97[0] <= 1'b1;
endcase
case (VAR63[3:2])
2'b00 : VAR97[1] <= VAR97[1];
2'b01 : VAR97[1] <= VAR348;
2'b10 : VAR97[1] <= 1'b0;
2'b11 : VAR97[1] <= 1'b1;
endcase
case (VAR63[6:4])
3'b000 : VAR97[2] <= VAR97[2];
3'b001 : VAR97[2] <= VAR219;
3'b010 : VAR97[2] <= 1'b0;
3'b011 : VAR97[2] <= 1'b1;
3'b100 : VAR97[2] <= VAR97[2];
3'b101 : VAR97[2] <= VAR219 & VAR97[2];
3'b110 : VAR97[2] <= 1'b0;
3'b111 : VAR97[2] <= 1'b1;
endcase
case (VAR63[8:7])
2'b00 : VAR97[3] <= VAR97[3];
2'b01 : VAR97[3] <= VAR364;
2'b10 : VAR97[3] <= 1'b0;
2'b11 : VAR97[3] <= 1'b1;
endcase
case (VAR63[10:9])
2'b00 : VAR97[4] <= VAR97[4];
2'b01 : VAR97[4] <= VAR104;
2'b10 : VAR97[4] <= 1'b0;
2'b11 : VAR97[4] <= 1'b1;
endcase
if ((!VAR178[3]) && (VAR358 == 2'b01))
VAR290 <= VAR341[0] & VAR341[1];
end
end
assign VAR344 = VAR341[0] & VAR341[1];
assign VAR105 = ~((VAR319[1] ^ VAR352[15]) | (VAR341[0] & VAR341[1]));
always@(posedge rst or posedge clk)
begin
if (rst)
VAR289 <= 1'b0;
end
else
case (VAR80)
4'b0000 : VAR289 <= VAR289; 4'b0001 : VAR289 <= 1'b0; 4'b0010 : VAR289 <= VAR3[1]; 4'b0011 : VAR289 <= VAR104; 4'b0100 : VAR289 <= VAR97[4]; 4'b0101 : VAR289 <= VAR352[7]; 4'b0110 : VAR289 <= VAR352[15]; 4'b0111 : VAR289 <= VAR97[3]; 4'b1000 : VAR289 <= VAR280[0]; 4'b1001 : VAR289 <= VAR280[7]; 4'b1010 : VAR289 <= VAR280[15]; 4'b1100 : VAR289 <= VAR64[0]; 4'b1101 : VAR289 <= VAR64[7]; 4'b1110 : VAR289 <= VAR64[15]; default : VAR289 <= 1'b0;
endcase
end
endmodule
module MODULE6
(
input rst, input clk, input [19:0] VAR309,
input [3:0] VAR40, input [15:0] VAR318, input [15:0] VAR280, input [15:0] VAR238, input [15:0] VAR138, output reg VAR112 );
always@(VAR309 or VAR40 or VAR318 or VAR280 or VAR238 or VAR138)
begin
case (VAR309[15:12])
4'b0000 : VAR112 <= VAR309[11] ^ VAR318[12]; 4'b0001 : VAR112 <= VAR309[11] ^ VAR40[1]; 4'b0010 : VAR112 <= VAR309[11] ^ VAR40[2]; 4'b0011 : VAR112 <= VAR309[11] ^ VAR40[3]; 4'b0100 : VAR112 <= VAR309[11] ^ VAR280[0]; 4'b0101 : VAR112 <= VAR309[11] ^ VAR238[4]; 4'b0110 : VAR112 <= VAR309[11] ^ VAR238[7]; 4'b0111 : VAR112 <= VAR309[11] ^ VAR138[11]; 4'b1000 : VAR112 <= VAR309[11] ^ VAR318[1]; 4'b1001 : VAR112 <= VAR309[11] ^ VAR318[3]; 4'b1010 : VAR112 <= VAR309[11] ^ VAR318[5]; 4'b1011 : VAR112 <= VAR309[11] ^ VAR318[11]; 4'b1100 : VAR112 <= VAR309[11] ^ VAR318[13]; 4'b1101 : VAR112 <= VAR309[11] ^ VAR318[15]; default : VAR112 <= 1'b1; endcase
end
endmodule
module MODULE10
(
input rst, input clk, input [19:0] VAR309,
input VAR222, input [5:0] VAR280, input [10:0] VAR65, output [10:0] VAR308, output reg VAR112, output VAR62, output [3:0] VAR151 );
reg [10:0] VAR334; reg [10:0] VAR168; reg [5:0] VAR103; reg VAR92;
always @(posedge rst or posedge clk)
begin
if (rst) begin
VAR334 <= 11'd0;
VAR168 <= 11'd0;
VAR103 <= 6'd0;
VAR92 <= 1'b0;
VAR112 <= 1'b0;
end else begin
if (VAR309[19:17] == 3'b000) begin
VAR334 = VAR65;
VAR168 = VAR309[10:0];
if (VAR309[11]) begin
VAR103 = VAR280[5:0] - 6'd1;
VAR92 = ~VAR62;
end else begin
VAR103 = 6'd15;
VAR92 = 1'b1;
end
end
if (VAR92) begin
if (VAR222) begin
if (VAR168 == VAR65)
if (VAR103 == 6'd0) begin
VAR112 <= 1'b0;
VAR92 <= 1'b0;
end else begin
VAR112 <= 1'b1;
VAR103 <= VAR103 - 6'd1;
end
else
VAR112 <= 1'b0;
end
end else begin
VAR112 <= 1'b0;
end
end
end
assign VAR308 = VAR334;
assign VAR62 = (VAR280[5:0] == 6'd0) ? VAR309[11] : 1'b0;
assign VAR151 = VAR103[3:0];
endmodule
module MODULE5
(
input rst, input clk, output reg VAR366, output reg VAR274, input VAR184, output reg [1:0] VAR24, output reg [31:0] address, input [15:0] VAR345, output reg [15:0] VAR23, output reg [2:0] VAR297, input [2:0] VAR304, input VAR232, input VAR241, input VAR331, input VAR166, output reg VAR306, output reg [15:0] VAR72, input [15:0] VAR320, input [19:0] VAR309, input VAR67, input [3:0] VAR18, input [15:0] VAR280, input [15:0] VAR64, output reg VAR319, output [15:0] VAR237, output [15:0] VAR138, output [15:0] VAR238, input [4:0] VAR231, output [10:0] VAR303, output reg [10:0] VAR63, input [3:0] VAR129, output reg [5:0] VAR94, output reg VAR253, output reg [1:0] VAR332, output [31:0] VAR286, output VAR313 );
reg [8:0] VAR179; reg [31:0] VAR70; reg [31:0] VAR317; reg [31:0] VAR107; reg [7:0] VAR163; wire [2:0] VAR259; wire VAR296; wire [31:0] VAR292;
reg [15:0] VAR154; reg [31:0] VAR269; wire [31:0] VAR20; wire [31:0] VAR208; wire VAR30;
reg [15:0] VAR282; wire VAR261; reg [15:0] VAR279; wire VAR180; reg [15:0] VAR264; wire VAR255; wire [15:0] VAR185; wire [11:0] VAR1; wire [3:0] VAR12; wire [3:0] VAR26;
reg VAR91; reg VAR14; reg VAR369; reg VAR34; reg [31:0] VAR75; reg [15:0] VAR110; reg [4:0] VAR283; reg [31:0] VAR117; reg [2:0] VAR315; wire VAR164; wire [3:0] VAR240; reg [1:0] VAR19;
assign VAR286 = VAR70;
assign VAR164 = ((VAR282[2:0] == 3'b111) && (VAR309[1:0] == 2'b00)) || ((VAR282[11:9] == 3'b111) && (VAR309[1:0] == 2'b01)) ? 1'b1 : 1'b0;
always@(VAR309 or VAR164 or VAR317 or VAR107 or VAR70 or VAR292)
begin
case (VAR309[1:0]) 2'b00 : VAR117 <= VAR317; 2'b01 : VAR117 <= VAR107; 2'b10 : VAR117 <= VAR70; 2'b11 : VAR117 <= VAR292; endcase
case ({VAR309[10]|VAR309[11], VAR309[3:2]}) 3'b000 : VAR315 <= 3'b000; 3'b001 : if (VAR164)
end
VAR315 <= 3'b010; else
VAR315 <= 3'b001; 3'b010 : if (VAR164)
end
VAR315 <= 3'b110; else
VAR315 <= 3'b111; 3'b011 : if (VAR164)
end
VAR315 <= 3'b010; else
VAR315 <= 3'b001; 3'b100 : VAR315 <= 3'b000; 3'b101 : VAR315 <= 3'b010; 3'b110 : VAR315 <= 3'b110; 3'b111 : VAR315 <= 3'b010; endcase
end
assign VAR292 = { 22'd0, VAR179, 1'b0 };
always@(posedge rst or posedge clk)
begin
if (rst) begin
VAR282 <= 16'h0000;
VAR279 <= 16'h0000;
VAR264 <= 16'h0000;
VAR72 <= 16'h0000;
VAR306 <= 1'b0;
VAR19 <= 2'b00;
end else begin
if (VAR232) begin
case (VAR309[3:0])
4'b0000 : VAR72 <= VAR317[15:0];
4'b0001 : VAR72 <= VAR317[31:16];
4'b0010 : VAR72 <= VAR107[15:0];
4'b0011 : VAR72 <= VAR107[31:16];
4'b0100 : VAR72 <= VAR70[15:0];
4'b0101 : VAR72 <= VAR70[31:16];
4'b0110 :
begin
VAR72 <= { 5'b00000, VAR179[3:1], 8'h00 };
VAR19 <= 2'b00;
end
4'b0111 :
begin
case (VAR19)
2'b00 : VAR72 <= VAR110;
2'b01 : VAR72 <= VAR75[15:0];
2'b10 : VAR72 <= VAR75[31:16];
2'b11 : VAR72 <= {11'b0, VAR283 };
endcase
VAR19 <= VAR19 + 2'd1;
end
4'b1000 : VAR72 <= VAR185;
4'b1001 : VAR72 <= VAR154;
4'b1010 : VAR72 <= VAR269[15:0];
4'b1011 : VAR72 <= VAR269[31:16];
4'b1100 : VAR72 <= { 4'd0, VAR1 };
4'b1101 : VAR72 <= { 12'd0, VAR12 };
4'b1110 : VAR72 <= { 12'd0, VAR26 };
4'b1111 : VAR72 <= { VAR163[7], 1'b0, VAR163[5], 2'b00, VAR163[2:0], 3'b000, VAR231 };
default : VAR72 <= 16'h0000;
endcase
end else if ((VAR14) && (VAR184)) begin
case ({ VAR309[11:10], address[0] })
3'b000 : VAR72 <= { VAR345[7:0], VAR345[15:8] };
3'b001 : VAR72 <= { VAR345[15:8], VAR345[7:0] };
3'b010 : VAR72 <= { VAR345[15:8], VAR345[7:0] };
3'b011 : VAR72 <= { VAR345[15:8], VAR345[7:0] };
3'b100 : VAR72 <= { 8'b00000000, VAR345[15:8] };
3'b101 : VAR72 <= { 8'b00000000, VAR345[7:0] };
3'b110 : VAR72 <= { VAR345[15:8], 8'b00000000 };
3'b111 : VAR72 <= { VAR345[7:0], 8'b00000000 };
endcase
if (VAR309[1:0] == 2'b10)
case (VAR309[3:2])
2'b00 : VAR282 <= VAR345; 2'b01 : VAR279 <= VAR345; default : VAR264 <= VAR345; endcase
end else begin
VAR72 <= 16'h0000;
end
VAR306 <= VAR232 | (VAR14 & VAR184) | (VAR369 & VAR184) | VAR34;
end
end
assign VAR303[10] = VAR163[7]; assign VAR303[9] = 1'b0; assign VAR303[8] = VAR163[5]; assign VAR303[7] = VAR163[4]|VAR34; assign VAR303[6] = VAR163[3]|VAR163[4]|VAR34; assign VAR303[5:3] = VAR163[2:0]; assign VAR303[2:1] = 2'b00; assign VAR303[0] = VAR296;
always@(posedge rst or posedge clk)
begin
if (rst) begin
VAR179 <= 9'd0;
VAR70 <= 32'd0;
VAR317 <= 32'd0;
VAR107 <= 32'd0;
VAR154 <= 16'd0;
VAR269 <= 32'd0;
VAR319 <= 1'b0;
VAR163 <= 8'b00100111;
VAR63 <= 11'b00000000000;
end else begin
if (VAR241) begin
case (VAR309[3:0])
4'b0000 :
begin
VAR317[15:0] <= VAR320;
VAR107[15:0] <= VAR320;
end
4'b0001 :
begin
VAR317[31:16] <= VAR320;
VAR107[31:16] <= VAR320;
end
4'b0010 : VAR107[15:0] <= VAR320;
4'b0011 : VAR107[31:16] <= VAR320;
4'b0100 : VAR70[15:0] <= VAR320;
4'b0101 : VAR70[31:16] <= VAR320;
4'b0110 :
begin
VAR179 <= { VAR320[9:2], 1'b0 };
VAR163[4] <= 1'b0; end
4'b0111 : ; 4'b1001 : VAR154 <= VAR320;
4'b1010 : VAR269[15:0] <= VAR320;
4'b1011 : VAR269[31:16] <= VAR320;
4'b1111 :
begin
if (VAR309[10]) begin
VAR163[7] <= VAR320[15]; VAR163[6] <= 1'b0;
VAR163[5] <= VAR320[13]; VAR163[2:0] <= VAR320[10:8]; end
VAR63[10] <= 1'b1;
VAR63[9] <= VAR320[4]; VAR63[8] <= 1'b1;
VAR63[7] <= VAR320[3]; VAR63[6] <= 1'b0;
VAR63[5] <= 1'b1;
VAR63[4] <= VAR320[2]; VAR63[3] <= 1'b1;
VAR63[2] <= VAR320[1]; VAR63[1] <= 1'b1;
VAR63[0] <= VAR320[0]; end
default : ;
endcase
end else begin
VAR63 <= 11'b00000000000;
if ((VAR331) && (!VAR91)) begin
case (VAR309[1:0]) 2'b00 : VAR317 <= VAR317 + { {29{VAR315[2]}}, VAR315 };
2'b01 : VAR107 <= VAR107 + { {29{VAR315[2]}}, VAR315 };
2'b10 : VAR70 <= VAR70 + 32'd2;
2'b11 : VAR179 <= VAR179 + 9'd1;
endcase
end
if (VAR18[3:1] == 3'b111) begin
if ((VAR18[0]) || (VAR30))
VAR269 <= VAR208;
VAR154 <= { VAR154[14:0], VAR30 };
if (VAR67) VAR319 <= VAR154[15];
end
if (((VAR259 > VAR163[2:0]) || (VAR259 == 3'd7)) && (VAR259 >= VAR179[3:1]) && (VAR179[8:4] == 5'b00011)) begin
VAR163[3] <= 1'b1;
VAR179 <= { 5'b00011, VAR259, 1'b0 };
end else begin
VAR163[3] <= VAR163[4] | VAR163[7]; end
if (VAR34) VAR163[4] <= 1'b1;
end
end
end
assign VAR259 = ~VAR304;
assign VAR20 = (VAR154[15]) || (!VAR18[0]) ? { VAR64, VAR280 } : 32'd0;
MODULE9 MODULE1
(
.VAR119(VAR18[0]),
.VAR246(VAR269),
.VAR205(VAR20),
.VAR266(VAR30),
.VAR254(VAR208)
);
assign VAR313 = (VAR309[3:0] == 4'b0010) ? VAR331 & ~VAR91 : 1'b0;
always@(posedge rst or posedge clk)
begin
if (rst) begin
VAR91 <= 1'b0;
address <= 32'd0;
VAR14 <= 1'b0;
VAR366 <= 1'b0;
VAR369 <= 1'b0;
VAR274 <= 1'b0;
VAR24 <= 2'b00;
VAR34 <= 1'b0;
VAR75 <= 32'd0;
VAR110 <= 16'h0000;
VAR283 <= 5'b00000;
VAR23 <= 16'h0000;
end else begin
VAR91 <= VAR331;
if ((VAR331) && (!VAR91) && ((!VAR309[3]) || (VAR309[1]))) begin
address <= VAR117;
VAR297[2] <= VAR163[5]; case (VAR309[1:0])
2'b00 : VAR297[1:0] <= 2'b01; 2'b01 : VAR297[1:0] <= 2'b01; 2'b10 : VAR297[1:0] <= 2'b10; 2'b11 : VAR297[1:0] <= 2'b11; endcase
case ({ VAR309[11:10], VAR117[0] })
3'b000 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
3'b001 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b010 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b011 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b100 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
3'b101 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b110 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b111 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
endcase
end else if ((VAR91) && (VAR309[3]) && (!VAR309[1])) begin
address <= VAR117; VAR297[2] <= VAR163[5]; case (VAR309[1:0])
2'b00 : VAR297[1:0] <= 2'b01; 2'b01 : VAR297[1:0] <= 2'b01; 2'b10 : VAR297[1:0] <= 2'b10; 2'b11 : VAR297[1:0] <= 2'b11; endcase
case ({ VAR309[11:10], VAR117[0] })
3'b000 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
3'b001 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b010 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b011 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b100 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
3'b101 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b110 : VAR23 <= { VAR320[15:8], VAR320[7:0] };
3'b111 : VAR23 <= { VAR320[7:0], VAR320[15:8] };
endcase
end
if ((VAR331) && (!VAR91)) begin
VAR14 <= VAR309[7];
VAR369 <= VAR309[6];
case ({ VAR309[11:10], VAR117[0] })
3'b000 : VAR24 <= { ~(VAR309[3] & ~VAR309[1]), (VAR309[3] & ~VAR309[1]) };
3'b001 : VAR24 <= { (VAR309[3] & ~VAR309[1]), ~(VAR309[3] & ~VAR309[1]) };
3'b010 : VAR24 <= 2'b11;
3'b011 : begin
VAR24 <= 2'b00; VAR34 <= 1'b1; VAR75 <= VAR117; VAR110 <= VAR282; case (VAR309[1:0])
2'b00 : VAR283[1:0] <= 2'b01; 2'b01 : VAR283[1:0] <= 2'b01; 2'b10 : VAR283[1:0] <= 2'b10; 2'b11 : VAR283[1:0] <= 2'b11; endcase
VAR283[2] <= VAR163[5]; VAR283[3] <= 1'b0; VAR283[4] <= VAR309[7]; end
3'b100 : VAR24 <= 2'b10;
3'b101 : VAR24 <= 2'b01;
3'b110 : VAR24 <= 2'b10;
3'b111 : VAR24 <= 2'b01;
endcase
end
if ((VAR241) && (VAR309[3:1] == 3'b010)) begin
if (VAR309[0])
VAR75[31:16] <= VAR320;
end
else
VAR75[15:0] <= VAR320;
if ((!VAR309[0]) && (VAR320[0])) begin
VAR34 <= 1'b1; VAR110 <= VAR282; VAR283 <= { 2'b10, VAR163[5], 2'b10 };
end
end
if ((VAR184) || (VAR34)) begin
VAR14 <= 1'b0;
VAR366 <= 1'b0;
VAR369 <= 1'b0;
VAR274 <= 1'b0;
VAR24 <= 2'b00;
VAR34 <= 1'b0;
VAR297 <= { VAR163[5], 2'b00 }; end else begin
VAR366 <= (VAR309[7] & (~VAR309[3] | VAR309[1]) & VAR331) | VAR14;
VAR274 <= (VAR309[6] & (~VAR309[3] | VAR309[1]) & VAR331) | VAR369;
end
end
end
assign VAR261 = (VAR309[3:0] == 4'b0010) ? (VAR306 & VAR91) : 1'b0;
assign VAR180 = (VAR309[3:0] == 4'b0110) ? (VAR306 & VAR91) : 1'b0;
assign VAR255 = (VAR309[3:0] == 4'b1010) ? (VAR306 & VAR91) : 1'b0;
MODULE3 MODULE3
(
.rst(rst),
.clk(clk),
.VAR149(VAR261),
.VAR147(VAR282),
.VAR258(VAR180),
.VAR354(VAR279),
.VAR175(VAR255),
.VAR158(VAR264),
.VAR190(~VAR163[5]),
.VAR231(VAR231[3:0]),
.VAR351(VAR1),
.VAR367(VAR12),
.VAR327(VAR26),
.VAR287(VAR185),
.VAR228(VAR238),
.VAR220(VAR296),
.VAR252(),
.VAR98(),
.VAR223()
);
assign VAR237 = VAR282;
assign VAR138 = VAR279;
assign VAR240 = VAR238[4] ? VAR129 : ~VAR129;
always@(VAR166 or VAR309 or VAR282 or VAR279 or VAR240)
begin
case (VAR309[3:0])
4'b0000 : VAR94 <= { 2'b10, VAR282[2:0], VAR309[8] }; 4'b0001 : VAR94 <= { 2'b11, VAR282[2:0], VAR309[8] }; 4'b0010 : VAR94 <= { 1'b1, VAR282[3:0], VAR309[8] }; 4'b0011 : VAR94 <= { 5'b11111, VAR309[8] }; 4'b0100 : VAR94 <= { 2'b10, VAR282[11:9], VAR309[8] }; 4'b0101 : VAR94 <= { 2'b11, VAR282[11:9], VAR309[8] }; 4'b0110 : VAR94 <= { 1'b1, VAR282[6], VAR282[11:9], VAR309[8] }; 4'b0111 : VAR94 <= { 1'b1, VAR240, VAR309[8] }; 4'b1000 : VAR94 <= { 2'b10, VAR279[14:12], VAR309[8] }; 4'b1001 : VAR94 <= { 2'b11, VAR279[14:12], VAR309[8] }; 4'b1010 : VAR94 <= { 1'b1, VAR279[15:12], VAR309[8] }; default : VAR94 <= { 2'b01, VAR309[2:0], VAR309[8] }; endcase
VAR253 <= VAR309[6] & VAR166;
VAR332[0] <= VAR166;
VAR332[1] <= VAR309[10] & VAR166;
end
endmodule
module MODULE3
(
input rst,
input clk,
input VAR149,
input [15:0] VAR147,
input VAR258,
input [15:0] VAR354,
input VAR175,
input [15:0] VAR158,
input VAR190,
input [3:0] VAR231,
output [11:0] VAR351,
output [3:0] VAR367,
output [3:0] VAR327,
output reg [15:0] VAR287,
output [15:0] VAR228,
output reg VAR220,
output VAR252,
output VAR98,
output VAR223
);
wire [15:0] VAR265;
wire [15:0] VAR132; reg [15:0] VAR359;
wire [9:0] VAR268;
wire [3:0] VAR106; reg [3:0] VAR350;
wire [3:0] VAR227; reg [3:0] VAR150;
wire VAR153;
wire VAR206;
wire VAR171;
wire VAR276;
wire VAR324;
wire VAR214;
wire VAR323;
wire VAR245;
wire VAR69;
wire VAR15;
wire VAR221;
wire VAR196;
wire VAR137;
wire VAR225;
wire VAR109;
wire VAR44;
wire VAR142; reg VAR210;
wire VAR74; reg VAR77;
wire VAR263; reg VAR76;
wire VAR356; reg VAR31;
reg VAR126; reg VAR68;
wire VAR114; wire VAR371; reg [1:0] VAR192;
reg [11:0] VAR346;
wire [5:0] VAR83; wire [5:0] VAR161; wire [5:0] VAR55; wire [5:0] VAR58; wire [5:0] VAR249; wire [5:0] VAR61; wire [5:0] VAR50;
wire [7:0] VAR226; wire [35:0] VAR16; reg [15:0] VAR353; reg [5:0] VAR81; reg [11:0] VAR316;
wire [15:0] VAR86; wire [15:0] VAR211; wire [15:0] VAR310; wire [15:0] VAR288; wire [15:0] VAR29;
assign VAR265[0] = (VAR147[15:12] == 4'b0000) ? 1'b1 : 1'b0; assign VAR265[1] = (VAR147[15:12] == 4'b0001) ? 1'b1 : 1'b0; assign VAR265[2] = (VAR147[15:12] == 4'b0010) ? 1'b1 : 1'b0; assign VAR265[3] = (VAR147[15:12] == 4'b0011) ? 1'b1 : 1'b0; assign VAR265[4] = (VAR147[15:12] == 4'b0100) ? 1'b1 : 1'b0; assign VAR265[5] = (VAR147[15:12] == 4'b0101) ? 1'b1 : 1'b0; assign VAR265[6] = (VAR147[15:12] == 4'b0110) ? 1'b1 : 1'b0; assign VAR265[7] = (VAR147[15:12] == 4'b0111) ? 1'b1 : 1'b0; assign VAR265[8] = (VAR147[15:12] == 4'b1000) ? 1'b1 : 1'b0; assign VAR265[9] = (VAR147[15:12] == 4'b1001) ? 1'b1 : 1'b0; assign VAR265[10] = (VAR147[15:12] == 4'b1010) ? 1'b1 : 1'b0; assign VAR265[11] = (VAR147[15:12] == 4'b1011) ? 1'b1 : 1'b0; assign VAR265[12] = (VAR147[15:12] == 4'b1100) ? 1'b1 : 1'b0; assign VAR265[13] = (VAR147[15:12] == 4'b1101) ? 1'b1 : 1'b0; assign VAR265[14] = (VAR147[15:12] == 4'b1110) ? 1'b1 : 1'b0; assign VAR265[15] = (VAR147[15:12] == 4'b1111) ? 1'b1 : 1'b0;
assign VAR132[15] = (VAR147[5:0] == 6'b111111) ? 1'b1 : 1'b0; assign VAR132[14] = (VAR147[5:0] == 6'b111110) ? 1'b1 : 1'b0; assign VAR132[13] = (VAR147[5:0] == 6'b111101) ? 1'b1 : 1'b0; assign VAR132[12] = (VAR147[5:0] == 6'b111100) ? 1'b1 : 1'b0; assign VAR132[11] = (VAR147[5:0] == 6'b111011) ? 1'b1 : 1'b0; assign VAR132[10] = (VAR147[5:0] == 6'b111010) ? 1'b1 : 1'b0; assign VAR132[9] = (VAR147[5:0] == 6'b111001) ? 1'b1 : 1'b0; assign VAR132[8] = (VAR147[5:0] == 6'b111000) ? 1'b1 : 1'b0; assign VAR132[7] = (VAR147[5:4] == 2'b00) ? 1'b1 : 1'b0; assign VAR132[6] = (VAR147[5:3] == 3'b110) ? 1'b1 : 1'b0; assign VAR132[5] = (VAR147[5:3] == 3'b101) ? 1'b1 : 1'b0; assign VAR132[4] = (VAR147[5:3] == 3'b100) ? 1'b1 : 1'b0; assign VAR132[3] = (VAR147[5:3] == 3'b011) ? 1'b1 : 1'b0; assign VAR132[2] = (VAR147[5:3] == 3'b010) ? 1'b1 : 1'b0; assign VAR132[1] = (VAR147[5:3] == 3'b001) ? 1'b1 : 1'b0; assign VAR132[0] = (VAR147[5:3] == 3'b000) ? 1'b1 : 1'b0;
assign VAR268[9] = (VAR147[8:6] == 3'b111)
&& (VAR147[11:10] != 2'b00) ? 1'b1 : 1'b0; assign VAR268[8] = (VAR147[11:6] == 6'b001111) ? 1'b1 : 1'b0; assign VAR268[7] = (VAR147[11:6] == 6'b000111) ? 1'b1 : 1'b0; assign VAR268[6] = (VAR147[8:6] == 3'b110) ? 1'b1 : 1'b0; assign VAR268[5] = (VAR147[8:6] == 3'b101) ? 1'b1 : 1'b0; assign VAR268[4] = (VAR147[8:6] == 3'b100) ? 1'b1 : 1'b0; assign VAR268[3] = (VAR147[8:6] == 3'b011) ? 1'b1 : 1'b0; assign VAR268[2] = (VAR147[8:6] == 3'b010) ? 1'b1 : 1'b0; assign VAR268[1] = (VAR147[8:6] == 3'b001) ? 1'b1 : 1'b0; assign VAR268[0] = (VAR147[8:6] == 3'b000) ? 1'b1 : 1'b0;
assign VAR106[3] = (VAR147[5:3] == 3'b111) ? 1'b1 : 1'b0;
assign VAR106[2:0] = (VAR147[5:3] == 3'b111) ? VAR147[2:0] : VAR147[5:3];
assign VAR227[3] = (VAR147[8:6] == 3'b111) ? 1'b1 : 1'b0;
assign VAR227[2:0] = (VAR147[8:6] == 3'b111) ? VAR147[11:9] : VAR147[8:6];
assign VAR153 = VAR265[0] & ~VAR147[8];
assign VAR206 = (VAR147[15:8] == 8'b00001000) ? 1'b1 : 1'b0;
assign VAR171 = VAR265[0] & VAR147[8];
assign VAR276 = (VAR147[15:6] == 10'b0100111001) ? 1'b1 : 1'b0;
assign VAR324 = VAR265[4] & ~VAR147[8] & ~VAR276;
assign VAR214 = VAR265[4] & VAR147[8];
assign VAR323 = VAR265[5] & ~(VAR147[7] & VAR147[6]);
assign VAR245 = (VAR147[11:8] == 4'b0001) ? 1'b1 : 1'b0;
assign VAR69 = VAR265[14] & VAR147[7] & VAR147[6];
assign VAR15 = VAR265[14] & ~(VAR147[7] & VAR147[6]);
assign VAR221 = (VAR265[4]) && (VAR147[11:6] == 6'b100000) ? 1'b1 : 1'b0;
assign VAR196 = (VAR265[8]) && (VAR147[8:4] == 5'b10000) ? 1'b1 : 1'b0;
assign VAR137 = (VAR265[12]) && (VAR147[8:4] == 5'b10000) ? 1'b1 : 1'b0;
assign VAR225 = (VAR265[4]) && (VAR147[11:8] == 4'b0000) ? 1'b1 : 1'b0;
assign VAR109 = (VAR265[9]) && ((VAR147[8:4] == 5'b10000) ||
(VAR147[8:4] == 5'b10100) ||
(VAR147[8:4] == 5'b11000)) ? 1'b1 : 1'b0;
assign VAR44 = (VAR265[13]) && ((VAR147[8:4] == 5'b10000) ||
(VAR147[8:4] == 5'b10100) ||
(VAR147[8:4] == 5'b11000)) ? 1'b1 : 1'b0;
assign VAR263 = VAR225 | VAR109 | VAR44
| VAR221 | VAR196 | VAR137;
assign VAR356 = VAR171 | VAR206;
assign VAR142 = (VAR265[1] & VAR268[1]) | (VAR265[1] & VAR268[9]) | (VAR265[2] & VAR268[9]) | (VAR265[3] & VAR268[9]) | (VAR265[7] & VAR147[8]) | (VAR265[14] & VAR147[11] & VAR147[7] & VAR147[6]); assign VAR74 = ((VAR265[0]) && (VAR147[6]) && (VAR132[12])) || ((VAR276) && ((VAR147[6:4] == 2'b10) || (VAR147[6:0] == 6'b110000) || (VAR147[6:1] == 6'b11001))) || ((VAR265[4]) && (VAR147[11:6] == 6'b011011)) ? VAR190 : 1'b0;
assign VAR114 = (VAR265[0] & VAR132[0]) | (VAR265[2] & VAR268[1]) | (VAR265[3] & VAR268[1]) | (VAR265[4] & ~VAR276 & VAR132[0]) | (VAR265[6] & VAR245) | (VAR265[8] & VAR132[0]) | (VAR265[9] & VAR132[0]) | (VAR265[12] & VAR132[0]) | (VAR265[13] & VAR132[0]); assign VAR371 = (VAR265[0] & ~VAR147[8] & VAR132[12]) | (VAR265[0] & VAR147[8] & VAR132[1]) | (VAR265[4] & ~VAR276 & VAR132[3]) | (VAR265[4] & ~VAR276 & VAR132[4]) | (VAR265[5] & VAR132[1]) | (VAR265[8] & VAR132[1]) | (VAR265[9] & VAR132[1]) | (VAR265[11] & VAR132[1]) | (VAR265[12] & VAR132[1]) | (VAR265[13] & VAR132[1]);
assign VAR83 = (VAR276)
? VAR147[5:0]
: 6'b000000;
assign VAR161 = (VAR153 | VAR324)
? { VAR147[14], VAR147[11:9], VAR147[7:6] }
: 6'b000000;
assign VAR55 = (VAR15)
? { VAR147[7:6], VAR147[8], VAR147[5:3] }
: 6'b000000;
assign VAR58 = (VAR265[1] | VAR265[2] | VAR265[3] | VAR265[6] | VAR265[7] | VAR265[10] | VAR265[15])
? { 2'b11, VAR147[15:12] }
: 6'b000000;
assign VAR249 = (VAR265[5] | VAR265[8] | VAR265[9] | VAR265[11] | VAR265[12] | VAR265[13])
? { VAR147[14] ^ ~VAR147[15], VAR147[13] ^ ~VAR147[15], VAR147[12] ^ ~VAR147[15], VAR147[8:6] }
: 6'b000000;
assign VAR61 = (VAR69)
? { 3'b110, VAR147[10:8] }
: 6'b000000;
assign VAR50 = (VAR171 | VAR214)
? { 3'b111, VAR147[14], VAR147[7:6] }
: 6'b000000;
assign VAR226[7] = ((VAR147[12] | VAR147[13]) & ~VAR147[15]) | VAR147[15] | VAR171 | VAR214; assign VAR226[6] = VAR265[5] | VAR265[8] | VAR265[9]
| VAR265[11] | VAR265[12] | VAR265[13]
| VAR153 | VAR171 | VAR324
| VAR214 | VAR69;
assign VAR226[5:0] = VAR83 | VAR161 | VAR55
| VAR58 | VAR249 | VAR61
| VAR50;
MODULE2 MODULE10
(
.VAR370(clk),
.address(VAR226),
.VAR123(VAR16)
);
always@(VAR16 or VAR359 or VAR210 or VAR77 or VAR192)
begin
case (VAR16[11:8])
4'b0000 : VAR353 = 16'b0000000000000000; 4'b0001 : VAR353 = 16'b0000001101110101; 4'b0010 : VAR353 = 16'b0000001101111100; 4'b0011 : VAR353 = 16'b0000001101111101; 4'b0100 : VAR353 = 16'b0000001101111110; 4'b0101 : VAR353 = 16'b0000001101111111; 4'b0110 : VAR353 = 16'b0000111101001101; 4'b0111 : VAR353 = 16'b0000111101100100; 4'b1000 : VAR353 = 16'b0000111101100101; 4'b1001 : VAR353 = 16'b0000111101101100; 4'b1010 : VAR353 = 16'b0000111101111101; 4'b1011 : VAR353 = 16'b0001001101111101; 4'b1100 : VAR353 = 16'b0001111101111101; 4'b1101 : VAR353 = 16'b0001111101111111; default : VAR353 = 16'b1111111101111111; endcase
VAR81 = (VAR16[23:18] & {6{VAR192[0]}})
| (VAR16[17:12] & {6{VAR192[1]}});
if (((VAR353 & VAR359) == 16'h0000) || (VAR210)) begin
VAR316 <= VAR291;
VAR126 <= 1'b0;
end else if (VAR77) begin
VAR316 <= VAR95;
VAR126 <= 1'b1;
end else begin
VAR316 <= VAR16[35:24] + { 6'b000000, VAR81 };
VAR126 <= 1'b1;
end
end
always @(posedge rst or posedge clk)
begin
if (rst) begin
VAR350 <= 4'b0000;
VAR150 <= 4'b0000;
VAR210 <= 1'b0;
VAR77 <= 1'b0;
VAR76 <= 1'b0;
VAR31 <= 1'b0;
VAR192 <= 2'b00;
VAR359 <= 16'b000000000000000;
VAR68 <= 1'b0;
VAR346 <= 12'h000;
end else begin
VAR350 <= VAR106;
VAR150 <= VAR227;
VAR210 <= VAR142;
VAR77 <= VAR74;
VAR76 <= VAR263;
VAR31 <= VAR356;
VAR192 <= { VAR371, VAR114 };
VAR359 <= VAR132;
VAR68 <= VAR126;
VAR346 <= VAR316;
end
end
assign VAR223 = VAR68;
assign VAR252 = VAR76;
assign VAR98 = VAR31;
assign VAR351 = VAR346;
assign VAR367 = VAR350;
assign VAR327 = VAR150;
assign VAR228 = VAR359;
assign VAR86[15:4] = 12'h000;
assign VAR86[3] = (VAR147[11:9] == 3'b000) ? (VAR323 | (VAR15 & ~VAR147[5])) : 1'b0;
assign VAR86[2:0] = (VAR323 | (VAR15 & ~VAR147[5])) ? VAR147[11:9] : 3'b000;
assign VAR211[15:8] = 8'h00;
assign VAR211[7:0] = (VAR276) ? {2'b10, VAR147[3:0], 2'b00} : 8'h00;
assign VAR310[15:8] = (VAR265[6] | (VAR265[7] & ~VAR147[8])) ? {8{VAR147[7]}} : 8'h00;
assign VAR310[7:0] = (VAR265[6] | (VAR265[7] & ~VAR147[8])) ? VAR147[7:0] : 8'h00;
assign VAR288[15:5] = 11'b0;
assign VAR288[4:0] = VAR354[4:0];
assign VAR29[15:8] = {8{VAR354[7]}};
assign VAR29[7:0] = VAR354[7:0];
always @(posedge rst or posedge clk)
begin
if (rst) begin
VAR287 <= 16'h0000;
end else begin
if (VAR149) VAR287 <= VAR86 | VAR211 | VAR310;
if (VAR258) begin
if (VAR206)
VAR287 <= VAR288;
end
else
VAR287 <= VAR29;
end
if (VAR175) VAR287 <= VAR158;
end
end
always @(posedge rst or posedge clk)
begin
if (rst)
VAR220 <= 1'b0;
end
else
case (VAR147[11:8])
4'b0000 : VAR220 <= 1'b1; 4'b0001 : VAR220 <= 1'b0; 4'b0010 : VAR220 <= ~(VAR231[0] | VAR231[2]); 4'b0011 : VAR220 <= VAR231[0] | VAR231[2]; 4'b0100 : VAR220 <= ~ VAR231[0]; 4'b0101 : VAR220 <= VAR231[0]; 4'b0110 : VAR220 <= ~ VAR231[2]; 4'b0111 : VAR220 <= VAR231[2]; 4'b1000 : VAR220 <= ~ VAR231[1]; 4'b1001 : VAR220 <= VAR231[1]; 4'b1010 : VAR220 <= ~ VAR231[3]; 4'b1011 : VAR220 <= VAR231[3]; 4'b1100 : VAR220 <= ~(VAR231[1] ^ VAR231[3]); 4'b1101 : VAR220 <= VAR231[1] ^ VAR231[3]; 4'b1110 : VAR220 <= ~((VAR231[1] ^ VAR231[3]) | VAR231[2]); 4'b1111 : VAR220 <= (VAR231[1] ^ VAR231[3]) | VAR231[2]; endcase
end
endmodule
module MODULE4
(
input VAR370,
input VAR366,
input [10:0] VAR167,
output [3:0] VAR42,
input VAR202,
input [10:0] VAR56,
input [3:0] VAR205,
output [3:0] VAR343
);
parameter VAR108 = "VAR124.VAR267";
VAR173 VAR193
(
.VAR84(VAR370),
.VAR274(1'b0),
.VAR202(VAR202),
.VAR167(VAR167),
.VAR56(VAR56),
.VAR246(4'b0000),
.VAR205(VAR205),
.VAR42(VAR42),
.VAR343(VAR343),
.VAR162(1'b0),
.VAR46(1'b0),
.VAR176(~VAR366),
.VAR144(1'b0),
.VAR362(1'b1),
.VAR93(1'b1),
.VAR275(1'b1),
.VAR169(1'b1),
.VAR213(1'b1),
.VAR311(1'b1),
.VAR195(1'b1),
.VAR314(),
.VAR366(1'b1),
.VAR325(1'b1)
);
VAR193.VAR207 = "VAR49",
VAR193.VAR293 = "VAR49",
VAR193.VAR204 = 8,
VAR193.VAR201 = "VAR78",
VAR193.VAR301 = "VAR78",
VAR193.VAR363 = "VAR78",
VAR193.VAR340 = "VAR78",
VAR193.VAR88 = "VAR49",
VAR193.VAR8 = VAR108,
VAR193.VAR43 = "VAR21 VAR79",
VAR193.VAR242 = "VAR173",
VAR193.VAR127 = 2048,
VAR193.VAR9 = 2048,
VAR193.VAR33 = "VAR45",
VAR193.VAR197 = "VAR177",
VAR193.VAR140 = "VAR177",
VAR193.VAR5 = "VAR295",
VAR193.VAR215 = "VAR295",
VAR193.VAR71 = "VAR59",
VAR193.VAR218 = "VAR141",
VAR193.VAR47 = "VAR189",
VAR193.VAR125 = 11,
VAR193.VAR333 = 11,
VAR193.VAR186 = 4,
VAR193.VAR328 = 4,
VAR193.VAR216 = 1,
VAR193.VAR262 = 1,
VAR193.VAR335 = "VAR49";
endmodule
module MODULE9
(
input VAR119,
input [31:0] VAR246,
input [31:0] VAR205,
output VAR266,
output [31:0] VAR254
);
VAR250 VAR35
(
.VAR119(VAR119),
.VAR246(VAR246),
.VAR205(VAR205),
.VAR266(VAR266),
.VAR254(VAR254)
,
.VAR247(),
.VAR289(),
.VAR183(),
.VAR370(),
.VAR277()
);
VAR35.VAR121 = "VAR115",
VAR35.VAR272 = "VAR182=VAR239,VAR212=VAR239",
VAR35.VAR347 = "VAR37",
VAR35.VAR242 = "VAR281",
VAR35.VAR6 = 32;
endmodule
module MODULE2
(
input VAR370,
input [7:0] address,
output [35:0] VAR123
);
VAR173 VAR193
(
.VAR84 (VAR370),
.VAR167 (address),
.VAR42 (VAR123),
.VAR162 (1'b0),
.VAR46 (1'b0),
.VAR56 (1'b1),
.VAR176 (1'b0),
.VAR144 (1'b0),
.VAR362 (1'b1),
.VAR93 (1'b1),
.VAR275 (1'b1),
.VAR169 (1'b1),
.VAR213 (1'b1),
.VAR311 (1'b1),
.VAR195 (1'b1),
.VAR246 ({36{1'b1}}),
.VAR205 (1'b1),
.VAR314 (),
.VAR343 (),
.VAR366 (1'b1),
.VAR325 (1'b1),
.VAR274 (1'b0),
.VAR202 (1'b0));
VAR193.VAR201 = "VAR78",
VAR193.VAR363 = "VAR78",
VAR193.VAR8 = "VAR217.VAR267",
VAR193.VAR43 = "VAR21 VAR79",
VAR193.VAR272 = "VAR321=VAR239",
VAR193.VAR242 = "VAR173",
VAR193.VAR127 = 256,
VAR193.VAR33 = "VAR199",
VAR193.VAR197 = "VAR177",
VAR193.VAR5 = "VAR295",
VAR193.VAR125 = 8,
VAR193.VAR186 = 36,
VAR193.VAR216 = 1;
endmodule
|
gpl-3.0
|
kernelpanics/Grad
|
Expanded-Hyperbolic-CORDIC/Verilog/Exponential/LUT_SHIFT.v
| 3,287 |
module MODULE1 #(parameter VAR1 = 32, parameter VAR6 = 5) (
input wire VAR5,
input wire VAR4,
input wire [VAR6-1:0] VAR2,
output reg [VAR1-1:0] VAR3
);
always @(posedge VAR5)
if (VAR4)
case (VAR2)
5'b00000: VAR3 <= 32'b00111111011111110000000000000000; 5'b00001: VAR3 <= 32'b00111111011111100000000000000000; 5'b00010: VAR3 <= 32'b00111111011111000000000000000000; 5'b00011: VAR3 <= 32'b00111111011110000000000000000000; 5'b00100: VAR3 <= 32'b00111111011100000000000000000000; 5'b00101: VAR3 <= 32'b00111111011000000000000000000000; 5'b00110: VAR3 <= 32'b00111111010000000000000000000000; 5'b00111: VAR3 <= 32'b00111111000000000000000000000000; 5'b01000: VAR3 <= 32'b00111110100000000000000000000000; 5'b01001: VAR3 <= 32'b00111110000000000000000000000000; 5'b01010: VAR3 <= 32'b00111101100000000000000000000000; 5'b01011: VAR3 <= 32'b00111101100000000000000000000000; 5'b01100: VAR3 <= 32'b00111101000000000000000000000000; 5'b01101: VAR3 <= 32'b00111100100000000000000000000000; 5'b01110: VAR3 <= 32'b00111100000000000000000000000000; 5'b01111: VAR3 <= 32'b00111100000000000000000000000000; 5'b10000: VAR3 <= 32'b00111011100000000000000000000000; 5'b10001: VAR3 <= 32'b00111011000000000000000000000000; 5'b10010: VAR3 <= 32'b00111010100000000000000000000000; 5'b10011: VAR3 <= 32'b00111010000000000000000000000000; 5'b10100: VAR3 <= 32'b00111010000000000000000000000000; 5'b10101: VAR3 <= 32'b00111001100000000000000000000000; 5'b10110: VAR3 <= 32'b00111001000000000000000000000000; 5'b10111: VAR3 <= 32'b00111000011111111111111111111110; 5'b11000: VAR3 <= 32'b00111000011111111111111111111110; 5'b11001: VAR3 <= 32'b00110111111111111111111111111100; 5'b11010: VAR3 <= 32'b00110111011111111111111111110110; 5'b11011: VAR3 <= 32'b00110111011111111111111111110110; 5'b11100: VAR3 <= 32'b00110110111111111111111111110110; 5'b11101: VAR3 <= 32'b00110110011111111111111111100000; 5'b11110: VAR3 <= 32'b00110110011111111111111111100000; 5'b11111: VAR3 <= 32'b00110101111111111111111110110100; default: VAR3 <= 32'b00000000000000000000000000000000;
endcase
endmodule
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/encoder_axi_modules/encoder_axi_s_v1_0_S00_AXI.v
| 16,118 |
module MODULE1 #
(
parameter integer VAR1 = 32,
parameter integer VAR39 = 5
)
(
output wire [VAR1-1:0] VAR49,
output wire [VAR1-1:0] VAR16,
output wire [VAR1-1:0] VAR53,
output wire VAR25,
input wire VAR32,
input wire VAR4,
input wire VAR43,
input wire [VAR39-1 : 0] VAR42,
input wire [2 : 0] VAR29,
input wire VAR3,
output wire VAR26,
input wire [VAR1-1 : 0] VAR28,
input wire [(VAR1/8)-1 : 0] VAR36,
input wire VAR9,
output wire VAR47,
output wire [1 : 0] VAR51,
output wire VAR6,
input wire VAR44,
input wire [VAR39-1 : 0] VAR17,
input wire [2 : 0] VAR14,
input wire VAR38,
output wire VAR2,
output wire [VAR1-1 : 0] VAR20,
output wire [1 : 0] VAR50,
output wire VAR21,
input wire VAR22
);
reg [VAR39-1 : 0] VAR7;
reg VAR24;
reg VAR30;
reg [1 : 0] VAR10;
reg VAR33;
reg [VAR39-1 : 0] VAR35;
reg VAR13;
reg [VAR1-1 : 0] VAR31;
reg [1 : 0] VAR52;
reg VAR45;
localparam integer VAR19 = (VAR1/32) + 1;
localparam integer VAR46 = 2;
reg [VAR1-1:0] VAR18;
reg [VAR1-1:0] VAR37;
reg [VAR1-1:0] VAR41;
reg [VAR1-1:0] VAR12;
reg [VAR1-1:0] VAR27;
wire VAR5;
wire VAR15;
reg [VAR1-1:0] VAR48;
integer VAR23;
reg VAR40;
reg [31:0] VAR8;
assign VAR26 = VAR24;
assign VAR47 = VAR30;
assign VAR51 = VAR10;
assign VAR6 = VAR33;
assign VAR2 = VAR13;
assign VAR20 = VAR31;
assign VAR50 = VAR52;
assign VAR21 = VAR45;
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR24 <= 1'b0;
end
else
begin
if (~VAR24 && VAR3 && VAR9)
begin
VAR24 <= 1'b1;
end
else
begin
VAR24 <= 1'b0;
end
end
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR7 <= 0;
end
else
begin
if (~VAR24 && VAR3 && VAR9)
begin
VAR7 <= VAR42;
end
end
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR30 <= 1'b0;
end
else
begin
if (~VAR30 && VAR9 && VAR3)
begin
VAR30 <= 1'b1;
end
else
begin
VAR30 <= 1'b0;
end
end
end
assign VAR15 = VAR30 && VAR9 && VAR24 && VAR3;
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR18 <= 0;
VAR37 <= 0;
VAR41 <= 0;
VAR12 <= 0;
VAR27 <= 0;
end
else begin
VAR27 <= VAR8;
if (VAR15)
begin
case ( VAR7[VAR19+VAR46:VAR19] )
3'h0:
for ( VAR23 = 0; VAR23 <= (VAR1/8)-1; VAR23 = VAR23+1 )
if ( VAR36[VAR23] == 1 ) begin
VAR18[(VAR23*8) +: 8] <= VAR28[(VAR23*8) +: 8];
end
3'h1:
for ( VAR23 = 0; VAR23 <= (VAR1/8)-1; VAR23 = VAR23+1 )
if ( VAR36[VAR23] == 1 ) begin
VAR37[(VAR23*8) +: 8] <= VAR28[(VAR23*8) +: 8];
end
3'h2:
for ( VAR23 = 0; VAR23 <= (VAR1/8)-1; VAR23 = VAR23+1 )
if ( VAR36[VAR23] == 1 ) begin
VAR41[(VAR23*8) +: 8] <= VAR28[(VAR23*8) +: 8];
end
3'h3:
for ( VAR23 = 0; VAR23 <= (VAR1/8)-1; VAR23 = VAR23+1 )
if ( VAR36[VAR23] == 1 ) begin
VAR12[(VAR23*8) +: 8] <= VAR28[(VAR23*8) +: 8];
end
3'h4:
for ( VAR23 = 0; VAR23 <= (VAR1/8)-1; VAR23 = VAR23+1 )
if ( VAR36[VAR23] == 1 ) begin
end
default : begin
VAR18 <= VAR18;
VAR37 <= VAR37;
VAR41 <= VAR41;
VAR12 <= VAR12;
end
endcase
end
end
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR33 <= 0;
VAR10 <= 2'b0;
end
else
begin
if (VAR24 && VAR3 && ~VAR33 && VAR30 && VAR9)
begin
VAR33 <= 1'b1;
VAR10 <= 2'b0; end else
begin
if (VAR44 && VAR33)
begin
VAR33 <= 1'b0;
end
end
end
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR13 <= 1'b0;
VAR35 <= 32'b0;
end
else
begin
if (~VAR13 && VAR38)
begin
VAR13 <= 1'b1;
VAR35 <= VAR17;
end
else
begin
VAR13 <= 1'b0;
end
end
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR45 <= 0;
VAR52 <= 0;
end
else
begin
if (VAR13 && VAR38 && ~VAR45)
begin
VAR45 <= 1'b1;
VAR52 <= 2'b0; end
else if (VAR45 && VAR22)
begin
VAR45 <= 1'b0;
end
end
end
assign VAR5 = VAR13 & VAR38 & ~VAR45;
always @(*)
begin
case ( VAR35[VAR19+VAR46:VAR19] )
3'h0 : VAR48 <= VAR18;
3'h1 : VAR48 <= VAR37;
3'h2 : VAR48 <= VAR41;
3'h3 : VAR48 <= VAR12;
3'h4 : VAR48 <= VAR27;
default : VAR48 <= 0;
endcase
end
always @( posedge VAR4 )
begin
if ( VAR43 == 1'b0 )
begin
VAR31 <= 0;
VAR40 <= 1'b0;
end
else
begin
VAR40 <= 1'b0;
if (VAR5)
begin
VAR31 <= VAR48; end
if (VAR35[VAR19+VAR46:VAR19] == 3'h4) begin
VAR40 <= 1'b1;
end
end
end
assign VAR49 = VAR18;
assign VAR16 = VAR37;
assign VAR53 = VAR41;
reg VAR34, VAR11;
always @( posedge VAR4 ) begin
if ( !VAR43 ) begin
VAR34 <= 0;
VAR11 <= 0;
end else begin
if ( VAR15 && (VAR7[VAR19+VAR46:VAR19] == 4'h3)) begin
VAR34 <= 1;
end else begin
VAR34 <= 0;
end
VAR11 <= VAR34;
end
end
assign VAR25 = ((~VAR11) && VAR34) ? 1 : 0;
always @( posedge VAR4 ) begin
if ( !VAR43 ) begin
VAR8 <= 0;
end else begin
if (VAR40) begin
if (VAR32) begin
VAR8 <= 1;
end else begin
VAR8 <= 0;
end
end else if (VAR32) begin
VAR8 <= VAR8 + 1'b1;
end else begin
VAR8 <= VAR8;
end
end
end
endmodule
|
gpl-3.0
|
olgirard/openmsp430
|
fpga/xilinx_diligent_s3board/rtl/verilog/openMSP430_fpga.v
| 36,843 |
module MODULE1 (
VAR407,
VAR203,
VAR44,
VAR162,
VAR194,
VAR133,
VAR218,
VAR395,
VAR163,
VAR182,
VAR73,
VAR24,
VAR325,
VAR70,
VAR128,
VAR316,
VAR256,
VAR430,
VAR245,
VAR74,
VAR408,
VAR72,
VAR118,
VAR397,
VAR251,
VAR422,
VAR237,
VAR168,
VAR121,
VAR201,
VAR346,
VAR313,
VAR312,
VAR401,
VAR348,
VAR185,
VAR106,
VAR79,
VAR404,
VAR365,
VAR439, VAR177,
VAR370,
VAR278,
VAR443,
VAR148,
VAR429,
VAR187,
VAR205,
VAR336,
VAR306,
VAR328,
VAR34,
VAR438,
VAR178,
VAR184,
VAR198,
VAR229,
VAR158, VAR381,
VAR420, VAR294,
VAR271,
VAR140,
VAR116,
VAR19,
VAR147,
VAR250,
VAR71,
VAR145,
VAR240,
VAR59,
VAR202,
VAR113,
VAR310,
VAR40,
VAR273,
VAR361,
VAR172,
VAR236,
VAR299,
VAR340,
VAR235,
VAR21,
VAR101,
VAR111,
VAR193,
VAR224,
VAR35,
VAR29,
VAR9,
VAR6,
VAR199,
VAR380,
VAR410,
VAR61,
VAR175,
VAR119,
VAR7,
VAR293,
VAR91,
VAR441,
VAR265
);
input VAR407;
input VAR203;
input VAR44;
input VAR162;
input VAR194;
input VAR133;
input VAR218;
input VAR395;
input VAR163;
input VAR182;
input VAR73;
input VAR24;
input VAR325;
input VAR70;
output VAR128;
output VAR316;
output VAR256;
output VAR430;
output VAR245;
output VAR74;
output VAR408;
output VAR72;
output VAR118;
output VAR397;
output VAR251;
output VAR422;
output VAR237;
output VAR168;
output VAR121;
output VAR201;
output VAR346;
output VAR313;
output VAR312;
output VAR401;
input VAR348;
output VAR185;
input VAR106;
output VAR79;
inout VAR404;
output VAR365;
output VAR439; output VAR177;
output VAR370;
output VAR278;
output VAR443;
output VAR148;
output VAR429;
output VAR187;
output VAR205;
output VAR336;
output VAR306;
output VAR328;
output VAR34;
output VAR438;
output VAR178;
output VAR184;
output VAR198;
output VAR229;
output VAR158; output VAR381;
inout VAR420; inout VAR294;
inout VAR271;
inout VAR140;
inout VAR116;
inout VAR19;
inout VAR147;
inout VAR250;
inout VAR71;
inout VAR145;
inout VAR240;
inout VAR59;
inout VAR202;
inout VAR113;
inout VAR310;
inout VAR40;
output VAR273;
output VAR361;
output VAR172;
inout VAR236;
inout VAR299;
inout VAR340;
inout VAR235;
inout VAR21;
inout VAR101;
inout VAR111;
inout VAR193;
inout VAR224;
inout VAR35;
inout VAR29;
inout VAR9;
inout VAR6;
inout VAR199;
inout VAR380;
inout VAR410;
output VAR61;
output VAR175;
output VAR119;
output VAR7;
output VAR293;
output VAR91;
output VAR441;
output VAR265;
wire [13:0] VAR436;
wire [15:0] VAR17;
wire [1:0] VAR412;
wire [VAR314:0] VAR247;
wire [15:0] VAR308;
wire [1:0] VAR307;
wire [VAR333:0] VAR385;
wire [15:0] VAR190;
wire [1:0] VAR67;
wire [13:0] VAR249;
wire [13:0] VAR311;
wire [15:0] VAR125;
wire [15:0] VAR298;
wire [15:0] VAR41;
wire [7:0] VAR357;
wire [7:0] VAR14;
wire [7:0] VAR262;
wire [7:0] VAR392;
wire [7:0] VAR231;
wire [7:0] VAR160;
wire [7:0] VAR197;
wire [7:0] VAR275;
wire [7:0] VAR440;
wire [7:0] VAR53;
wire [7:0] VAR274;
wire [7:0] VAR80;
wire [15:0] VAR366;
wire [15:0] VAR127;
wire [15:0] VAR16;
wire VAR358;
wire VAR226;
wire [15:0] VAR399;
wire VAR320;
wire VAR167;
wire VAR86;
VAR159 VAR432 (.VAR403(VAR122), .VAR85(VAR407));
VAR159 VAR92 (.VAR403(VAR137), .VAR85(VAR203));
VAR374 VAR283 (
.VAR152 (),
.VAR272 (),
.VAR151 (),
.VAR372 (),
.VAR217 (),
.VAR219 (),
.VAR82 (),
.VAR427 (VAR233),
.VAR103 (),
.VAR371 (),
.VAR69 (),
.VAR154 (VAR266),
.VAR287 (VAR122),
.VAR338 (1'b0),
.VAR77 (1'b0),
.VAR321 (1'b0),
.VAR228 (1'b0),
.VAR267 (VAR86),
.VAR347 (1'b0)
);
VAR374 VAR283 (
.VAR82 (VAR233),
.VAR427 (),
.VAR103 (),
.VAR152 (VAR238),
.VAR217 (),
.VAR219 (),
.VAR272 (),
.VAR151 (),
.VAR372 (),
.VAR154 (VAR266),
.VAR371 (),
.VAR69 (),
.VAR338 (VAR62),
.VAR287 (VAR122),
.VAR321 (1'b0),
.VAR77 (1'b0),
.VAR228 (1'b0),
.VAR347 (1'b0),
.VAR267 (VAR86)
);
VAR350 VAR322 (
.VAR85(VAR238),
.VAR403(VAR62)
);
VAR350 VAR171 (.VAR403(VAR426), .VAR85(VAR233));
VAR10 VAR174 (.VAR403(VAR86), .VAR85(VAR73));
wire VAR259 = ~VAR86;
assign VAR234 = VAR259 & VAR266;
wire VAR329;
wire VAR276;
VAR143 VAR105 (.VAR166(VAR426), .VAR68(VAR329), .VAR263(VAR276));
VAR252 VAR180 (
.VAR345 (), .VAR413 (VAR413), .VAR150 (VAR150), .VAR373 (), .VAR186 (VAR186), .VAR138 (), .VAR301 (), .VAR247 (VAR247), .VAR124 (VAR124), .VAR308 (VAR308), .VAR307 (VAR307), .VAR249 (VAR249), .VAR38 (), .VAR300 (), .VAR209 (VAR209), .VAR425 (), .VAR216 (), .VAR363 (), .VAR436 (VAR436), .VAR17 (VAR17), .VAR412 (VAR412), .VAR60 (VAR60), .VAR385 (VAR385), .VAR102 (VAR102), .VAR190 (VAR190), .VAR67 (VAR67), .VAR292 (VAR292), .VAR176 (), .VAR434 (VAR434),
.VAR388 (1'b1), .VAR214 (1'b1), .VAR376 (7'h00), .VAR28 (7'h00), .VAR332 (1'b1), .VAR25 (1'b1), .VAR421 (VAR421), .VAR318 (VAR426), .VAR298 (VAR298), .irq (VAR311), .VAR170 (1'b0), .VAR52 (15'h0000), .VAR210 (16'h0000), .VAR12 (1'b0), .VAR257 (1'b0), .VAR281 (2'b00), .VAR334 (1'b0), .VAR208 (VAR208), .VAR125 (VAR125), .VAR41 (VAR41), .VAR234 (VAR234), .VAR115 (1'b0), .VAR326 (1'b0), .VAR364 (1'b0) );
VAR270 #(.VAR84(1),
.VAR195(1),
.VAR243(1),
.VAR99(0),
.VAR173(0),
.VAR223(0)) VAR58 (
.VAR419 (VAR419), .VAR161 (VAR161), .VAR14 (VAR14), .VAR262 (VAR262), .VAR392 (VAR392), .VAR160 (VAR160), .VAR197 (VAR197), .VAR275 (VAR275), .VAR53 (VAR53), .VAR274 (VAR274), .VAR80 (VAR80), .VAR3 (), .VAR57 (), .VAR442 (), .VAR269 (), .VAR362 (), .VAR15 (), .VAR220 (), .VAR213 (), .VAR351 (), .VAR125 (VAR366),
.VAR209 (VAR209), .VAR357 (VAR357), .VAR231 (VAR231), .VAR440 (VAR440), .VAR39 (8'h00), .VAR204 (8'h00), .VAR95 (8'h00), .VAR436 (VAR436), .VAR17 (VAR17), .VAR60 (VAR60), .VAR412 (VAR412), .VAR292 (VAR292) );
VAR285 VAR302 (
.VAR13 (VAR13), .VAR291 (VAR291), .VAR125 (VAR127), .VAR242 (VAR242), .VAR164 (VAR164), .VAR43 (VAR43), .VAR22 (VAR22), .VAR303 (VAR303), .VAR239 (VAR239),
.VAR413 (VAR413), .VAR150 (VAR150), .VAR94 (VAR94), .VAR126 (VAR249[9]), .VAR209 (VAR209), .VAR436 (VAR436), .VAR17 (VAR17), .VAR60 (VAR60), .VAR412 (VAR412), .VAR292 (VAR292), .VAR434 (VAR434), .VAR406 (VAR406), .VAR352 (VAR352), .VAR359 (VAR359), .VAR305 (1'b0), .VAR117 (VAR117), .VAR206 (1'b0), .VAR156 (VAR156) );
VAR356 VAR232 (
.VAR125 (VAR16), .VAR64 (VAR64), .VAR141 (VAR141), .VAR183 (VAR183), .VAR211 (VAR211), .VAR416 (VAR416), .VAR153 (VAR153), .VAR423 (VAR423), .VAR344 (VAR344), .VAR428 (VAR428), .VAR360 (VAR360), .VAR375 (VAR375), .VAR241 (VAR241),
.VAR209 (VAR209), .VAR436 (VAR436), .VAR17 (VAR17), .VAR60 (VAR60), .VAR412 (VAR412), .VAR292 (VAR292) );
VAR1 #(.VAR398(15'h0080)) VAR144 (
.VAR358 (VAR358), .VAR226 (VAR226), .VAR125 (VAR399), .VAR215 (VAR320),
.VAR209 (VAR209), .VAR436 (VAR436), .VAR17 (VAR17), .VAR60 (VAR60), .VAR412 (VAR412), .VAR292 (VAR292), .VAR434 (VAR434), .VAR368 (VAR167) );
assign VAR125 = VAR366 |
VAR127 |
VAR16 |
VAR399;
assign VAR208 = 1'b0;
assign VAR311 = {1'b0, 1'b0, 1'b0, 1'b0, VAR13, VAR291, VAR358, VAR226, 1'b0, 1'b0, VAR161, VAR419, 1'b0, 1'b0};
wire [7:0] VAR391;
wire [7:0] VAR222;
wire [7:0] VAR55;
wire [7:0] VAR227;
VAR268 VAR317 (
.VAR330 (VAR357),
.VAR323 (VAR14),
.VAR130 (VAR262),
.VAR207 ({VAR391[7],
VAR391[6],
VAR391[5],
VAR391[4],
VAR117,
VAR359,
VAR406,
VAR156
}),
.VAR48 ({VAR303,
VAR43,
VAR242,
(VAR434 & VAR209),
VAR303,
VAR43,
VAR242,
1'b0
}),
.VAR4 ({VAR239,
VAR22,
VAR164,
1'b1,
VAR239,
VAR22,
VAR164,
1'b0
}),
.VAR339 (VAR227),
.VAR65 (VAR222),
.VAR11 (VAR55),
.sel (VAR392)
);
wire [7:0] VAR47;
wire [7:0] VAR5;
wire [7:0] VAR88;
wire [7:0] VAR42;
VAR268 VAR415 (
.VAR330 (VAR231),
.VAR323 (VAR160),
.VAR130 (VAR197),
.VAR207 ({VAR47[7],
VAR47[6],
VAR47[5],
VAR47[4],
VAR47[3],
VAR352,
VAR94,
VAR47[0]
}),
.VAR48 ({1'b0,
1'b0,
1'b0,
VAR303,
VAR43,
1'b0,
1'b0,
(VAR413 & VAR209)
}),
.VAR4 ({1'b0,
1'b0,
1'b0,
VAR239,
VAR22,
1'b0,
1'b0,
1'b1
}),
.VAR339 (VAR42),
.VAR65 (VAR5),
.VAR11 (VAR88),
.sel (VAR275)
);
VAR169 VAR295 (
.addr (VAR247),
.clk (VAR426),
.din (VAR308[15:8]),
.dout (VAR298[15:8]),
.en (VAR124),
.VAR396 (VAR307[1])
);
VAR192 VAR261 (
.addr (VAR247),
.clk (VAR426),
.din (VAR308[7:0]),
.dout (VAR298[7:0]),
.en (VAR124),
.VAR396 (VAR307[0])
);
VAR142 VAR255 (
.addr (VAR385),
.clk (VAR426),
.din (VAR190[15:8]),
.dout (VAR41[15:8]),
.en (VAR102),
.VAR396 (VAR67[1])
);
VAR129 VAR279 (
.addr (VAR385),
.clk (VAR426),
.din (VAR190[7:0]),
.dout (VAR41[7:0]),
.en (VAR102),
.VAR396 (VAR67[0])
);
VAR10 VAR32 (.VAR403(VAR440[7]), .VAR85(VAR44));
VAR10 VAR135 (.VAR403(VAR440[6]), .VAR85(VAR162));
VAR10 VAR90 (.VAR403(VAR440[5]), .VAR85(VAR194));
VAR10 VAR98 (.VAR403(VAR440[4]), .VAR85(VAR133));
VAR10 VAR341 (.VAR403(VAR440[3]), .VAR85(VAR218));
VAR10 VAR444 (.VAR403(VAR440[2]), .VAR85(VAR395));
VAR10 VAR196 (.VAR403(VAR440[1]), .VAR85(VAR163));
VAR10 VAR27 (.VAR403(VAR440[0]), .VAR85(VAR182));
VAR405 VAR309 (.VAR85(VAR53[7] & VAR274[7]), .VAR403(VAR128));
VAR405 VAR435 (.VAR85(VAR53[6] & VAR274[6]), .VAR403(VAR316));
VAR405 VAR367 (.VAR85(VAR53[5] & VAR274[5]), .VAR403(VAR256));
VAR405 VAR93 (.VAR85(VAR53[4] & VAR274[4]), .VAR403(VAR430));
VAR405 VAR400 (.VAR85(VAR53[3] & VAR274[3]), .VAR403(VAR245));
VAR405 VAR246 (.VAR85(VAR53[2] & VAR274[2]), .VAR403(VAR74));
VAR405 VAR112 (.VAR85(VAR53[1] & VAR274[1]), .VAR403(VAR408));
VAR405 VAR87 (.VAR85(VAR53[0] & VAR274[0]), .VAR403(VAR72));
VAR10 VAR393 (.VAR403(), .VAR85(VAR24));
VAR10 VAR289 (.VAR403(), .VAR85(VAR325));
VAR10 VAR382 (.VAR403(), .VAR85(VAR70));
VAR405 VAR258 (.VAR85(VAR64), .VAR403(VAR118));
VAR405 VAR297 (.VAR85(VAR141), .VAR403(VAR397));
VAR405 VAR107 (.VAR85(VAR183), .VAR403(VAR251));
VAR405 VAR389 (.VAR85(VAR211), .VAR403(VAR422));
VAR405 VAR81 (.VAR85(VAR416), .VAR403(VAR237));
VAR405 VAR2 (.VAR85(VAR153), .VAR403(VAR168));
VAR405 VAR349 (.VAR85(VAR423), .VAR403(VAR121));
VAR405 VAR343 (.VAR85(VAR344), .VAR403(VAR201));
VAR405 VAR277 (.VAR85(VAR428), .VAR403(VAR346));
VAR405 VAR337 (.VAR85(VAR360), .VAR403(VAR313));
VAR405 VAR56 (.VAR85(VAR375), .VAR403(VAR312));
VAR405 VAR96 (.VAR85(VAR241), .VAR403(VAR401));
assign VAR227 = 8'h00;
assign VAR42[7:3] = 5'h00;
assign VAR42[1:0] = 2'h0;
wire VAR324 = ({VAR440[1], VAR440[0]}==2'b00) |
({VAR440[1], VAR440[0]}==2'b11);
wire VAR221 = ({VAR440[1], VAR440[0]}==2'b01);
wire VAR49 = ({VAR440[1], VAR440[0]}==2'b10);
wire VAR200 = VAR221 ? VAR222[1] :
VAR49 ? VAR320 : VAR186;
wire VAR260;
assign VAR42[2] = VAR221 ? VAR260 : 1'b1;
assign VAR167 = VAR49 ? VAR260 : 1'b1;
assign VAR421 = VAR324 ? VAR260 : 1'b1;
VAR10 VAR394 (.VAR403(VAR260), .VAR85(VAR348));
VAR405 VAR342 (.VAR85(VAR200), .VAR403(VAR185));
VAR10 VAR212 (.VAR403(), .VAR85(VAR106));
VAR405 VAR414 (.VAR85(1'b0), .VAR403(VAR79));
VAR110 VAR379 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR404));
VAR405 VAR31 (.VAR85(1'b0), .VAR403(VAR365));
VAR405 VAR386 (.VAR85(1'b0), .VAR403(VAR439));
VAR405 VAR63 (.VAR85(1'b0), .VAR403(VAR177));
VAR405 VAR319 (.VAR85(1'b0), .VAR403(VAR370));
VAR405 VAR280 (.VAR85(1'b0), .VAR403(VAR278));
VAR405 VAR431 (.VAR85(1'b0), .VAR403(VAR443));
VAR405 VAR418 (.VAR85(1'b0), .VAR403(VAR148));
VAR405 VAR411 (.VAR85(1'b0), .VAR403(VAR429));
VAR405 VAR146 (.VAR85(1'b0), .VAR403(VAR187));
VAR405 VAR20 (.VAR85(1'b0), .VAR403(VAR205));
VAR405 VAR254 (.VAR85(1'b0), .VAR403(VAR336));
VAR405 VAR354 (.VAR85(1'b0), .VAR403(VAR306));
VAR405 VAR417 (.VAR85(1'b0), .VAR403(VAR328));
VAR405 VAR377 (.VAR85(1'b0), .VAR403(VAR34));
VAR405 VAR30 (.VAR85(1'b0), .VAR403(VAR438));
VAR405 VAR384 (.VAR85(1'b0), .VAR403(VAR178));
VAR405 VAR264 (.VAR85(1'b0), .VAR403(VAR184));
VAR405 VAR335 (.VAR85(1'b0), .VAR403(VAR198));
VAR405 VAR54 (.VAR85(1'b0), .VAR403(VAR229));
VAR405 VAR383 (.VAR85(1'b1), .VAR403(VAR158));
VAR405 VAR230 (.VAR85(1'b1), .VAR403(VAR381));
VAR110 VAR402 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR420));
VAR110 VAR282 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR294));
VAR110 VAR387 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR271));
VAR110 VAR327 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR140));
VAR110 VAR296 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR116));
VAR110 VAR139 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR19));
VAR110 VAR100 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR147));
VAR110 VAR369 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR250));
VAR110 VAR78 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR71));
VAR110 VAR155 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR145));
VAR110 VAR89 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR240));
VAR110 VAR390 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR59));
VAR110 VAR108 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR202));
VAR110 VAR136 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR113));
VAR110 VAR315 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR310));
VAR110 VAR355 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR40));
VAR405 VAR51 (.VAR85(1'b1), .VAR403(VAR273));
VAR405 VAR378 (.VAR85(1'b1), .VAR403(VAR361));
VAR405 VAR33 (.VAR85(1'b1), .VAR403(VAR172));
VAR110 VAR353 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR236));
VAR110 VAR120 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR299));
VAR110 VAR179 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR340));
VAR110 VAR188 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR235));
VAR110 VAR76 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR21));
VAR110 VAR23 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR101));
VAR110 VAR437 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR111));
VAR110 VAR131 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR193));
VAR110 VAR304 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR224));
VAR110 VAR248 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR35));
VAR110 VAR253 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR29));
VAR110 VAR189 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR9));
VAR110 VAR149 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR6));
VAR110 VAR18 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR199));
VAR110 VAR284 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR380));
VAR110 VAR134 (.VAR403(), .VAR85(1'b0), .VAR409(1'b1), .VAR290(VAR410));
VAR405 VAR104 (.VAR85(1'b1), .VAR403(VAR61));
VAR405 VAR26 (.VAR85(1'b1), .VAR403(VAR175));
VAR405 VAR181 (.VAR85(1'b1), .VAR403(VAR119));
VAR405 VAR288 (.VAR85(1'b0), .VAR403(VAR7));
VAR405 VAR46 (.VAR85(1'b0), .VAR403(VAR293));
VAR405 VAR157 (.VAR85(1'b0), .VAR403(VAR91));
VAR405 VAR114 (.VAR85(1'b0), .VAR403(VAR441));
VAR405 VAR424 (.VAR85(1'b0), .VAR403(VAR265));
endmodule
|
bsd-3-clause
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/rxr_engine_classic.v
| 46,425 |
module MODULE2
parameter VAR125 = 128,
parameter VAR204=10
)
(
input VAR60,
input VAR124,
input [VAR125-1:0] VAR49,
input VAR142,
input VAR82,
input [VAR66-1:0] VAR95,
input VAR130,
input [VAR66-1:0] VAR160,
input [VAR14-1:0] VAR139,
output [VAR125-1:0] VAR154,
output VAR85,
output [(VAR125/32)-1:0] VAR131,
output VAR53,
output [VAR138(VAR125/32)-1:0] VAR15,
output VAR113,
output [VAR138(VAR125/32)-1:0] VAR44,
output [VAR110-1:0] VAR55,
output [VAR90-1:0] VAR116,
output [VAR20-1:0] VAR185,
output [VAR164-1:0] VAR216,
output [VAR77-1:0] VAR21,
output [VAR81-1:0] VAR172,
output [VAR79-1:0] VAR71,
output [VAR14-1:0] VAR198,
output [VAR196-1:0] VAR61,
output [VAR33-1:0] VAR111,
output VAR24,
input [(VAR204+1)*VAR125-1:0] VAR103,
input [VAR204:0] VAR157,
input [(VAR204+1)*VAR66-1:0] VAR63,
input [VAR204:0] VAR192,
input [VAR204:0] VAR121
);
localparam VAR128 = (VAR110+VAR90);
localparam VAR86 = 1;
localparam VAR97 = 1; localparam VAR133 = 1;
localparam VAR80 = VAR133 + VAR97 + VAR86;
localparam VAR75 = VAR133 + (VAR96/VAR125) + VAR86;
localparam VAR163 = VAR75;
localparam VAR197 = (VAR106/VAR125) + VAR86;
localparam VAR35 = (VAR96/VAR125) + VAR86;
localparam VAR40 = (VAR226/VAR125) + VAR86;
localparam VAR201 = (VAR42/VAR125) + VAR86;
localparam VAR84 = VAR125*VAR86 + (VAR106%VAR125);
localparam VAR36 = VAR125*VAR86 + (VAR96%VAR125);
localparam VAR88 = VAR125*VAR86 +
VAR125*(VAR35 - VAR40) +
localparam VAR179 = VAR125*VAR86 + (VAR226%VAR125);
localparam VAR211 = VAR125*VAR86 + (VAR42%VAR125);
localparam VAR136 = VAR138(VAR125/32);
localparam VAR205 = 32;
localparam VAR135 = (VAR195 + VAR205)/32;
localparam VAR32 = (64/VAR125);
wire [63:0] VAR18;
wire [63:0] VAR27;
wire [VAR144-1:0] VAR186;
wire [VAR119-1:0] VAR153;
wire VAR168;
wire VAR221;
wire VAR74;
wire [31:0] VAR19[(VAR12 / 32)-1:0];
wire [63:0] VAR210;
wire VAR58;
wire VAR220;
wire [2:0] VAR151;
wire [2:0] VAR46;
wire [(VAR125/32)-1:0] VAR38;
wire VAR102;
wire VAR102;
wire [VAR136-1:0] VAR202;
wire [(VAR125/32)-1:0] VAR48;
wire [3:0] VAR83;
wire VAR219;
wire VAR219;
wire [VAR138(VAR135)-1:0] VAR129;
wire VAR114;
wire VAR182;
wire [VAR125-1:0] VAR109;
wire [VAR79-1:0] VAR189;
wire [63:0] VAR39;
wire VAR45;
wire VAR104; wire VAR206;
wire [VAR136-1:0] VAR169;
wire [(VAR125/32)-1:0] VAR215;
wire VAR184;
wire [VAR136-1:0] VAR176;
wire [VAR204:0] VAR165;
reg VAR155,VAR155;
assign VAR74 = ~VAR103[VAR88];
assign VAR182 = VAR58;
assign VAR18 = {VAR19[~VAR182],VAR19[VAR182]};
assign VAR19[0] = VAR210[31:0];
assign VAR19[1] = VAR210[63:32];
assign VAR151 = {VAR58,~VAR58,~VAR58};
assign VAR46 = {1'b0,1'b1,VAR58};
assign VAR114 = ((VAR58 & VAR221) | (~VAR58 & ~VAR168)) & (VAR152 == "VAR92");
assign VAR129 = (VAR151 + {2'd0,VAR114}); assign VAR202 = VAR46 + VAR114 + VAR153;
assign VAR104 = 1;
assign VAR154 = VAR103[(VAR80)*VAR125 +: VAR125];
assign VAR85 = VAR45;
assign VAR113 = VAR206;
assign VAR44 = VAR169;
assign VAR53 = VAR184;
assign VAR15 = VAR176;
assign VAR198 = 0;
assign VAR111 = VAR39[VAR105];
assign VAR185 = VAR39[VAR120];
assign VAR216 = {VAR39[VAR161], VAR39[VAR93]};
assign VAR172 = VAR91({VAR39[VAR171],VAR39[VAR65]});
assign VAR71 = VAR189;
assign VAR61 = VAR39[VAR177];
assign VAR21 = VAR39[VAR76];
assign VAR55 = VAR39[VAR137];
assign VAR116 = VAR39[VAR22];
assign VAR24 = VAR39[VAR173];
assign VAR102 = VAR157[VAR86];
assign VAR102 = VAR157[VAR86+1];
assign VAR219 = VAR83 != 0;
generate
if(VAR125 == 32) begin
assign VAR83[3] = 0;
assign VAR83[2] = VAR165[VAR86 + 3] & VAR27[VAR62] & ~VAR155; assign VAR83[1] = VAR165[VAR86 + 2] & VAR27[VAR62] & ~VAR27[VAR208]; assign VAR83[0] = VAR165[VAR86 + 2] & ~VAR27[VAR62]; end else if(VAR125 == 64) begin
assign VAR83[3] = 0;
assign VAR83[2] = VAR165[VAR86 + 2] & VAR27[VAR62] & ~VAR155; if(VAR152 == "VAR92") begin
assign VAR83[1] = VAR165[VAR86 + 1] & VAR27[VAR62] & ~VAR27[VAR208] & VAR103[VAR84 + 2]; end else begin
assign VAR83[1] = VAR165[VAR86 + 1] & VAR27[VAR62] & ~VAR27[VAR208]; end
assign VAR83[0] = VAR165[VAR86 + 1] & ~VAR27[VAR62]; end else if (VAR125 == 128) begin
assign VAR83[3] = 0;
assign VAR83[2] = VAR165[VAR86 + 1] & VAR27[VAR62] & ~VAR155; if(VAR152 == "VAR92") begin
assign VAR83[1] = VAR165[VAR86] & VAR103[VAR179 + VAR62] & ~VAR103[VAR179 + VAR208] & VAR103[VAR84 + 2]; end else begin
assign VAR83[1] = VAR165[VAR86] & VAR103[VAR179 + VAR62] & ~VAR103[VAR179 + VAR208];
end
assign VAR83[0] = VAR165[VAR86] & ~VAR103[VAR179 + VAR62]; end else begin assign VAR83[3] = 0;
assign VAR83[2] = 0;
assign VAR83[1] = 0;
assign VAR83[0] = VAR165[VAR86];
end endgenerate
always @(*) begin
VAR155 = VAR155;
if(VAR219) begin
VAR155 = 1'b1;
end else if (VAR102) begin
VAR155 = 1'b0;
end
end
always @(posedge VAR60) begin
if(VAR124) begin
VAR155 <= 1'b0;
end else begin
VAR155 <= VAR155;
end
end
assign VAR48 = {VAR125/32{1'b1}} << ({VAR136{VAR219}}& VAR129[VAR136-1:0]);
VAR73
.VAR193 (VAR125/32)
)
VAR162
(
.VAR123 (VAR38),
.VAR222 (VAR102),
.VAR64 (VAR202[VAR136-1:0])
);
generate
if(VAR97 == 0) begin
assign VAR131 = {VAR38 & VAR48} & {VAR125/32{~VAR155 | ~VAR27[VAR62]}};
end else begin
register
.VAR3 (VAR125/32),
.VAR180 (0)
)
VAR199
( .VAR41 (VAR215),
.VAR124 (~VAR155 | ~VAR27[VAR62]),
.VAR140 (VAR38 & VAR48),
.VAR148 (1),
.VAR60 (VAR60));
VAR87
.VAR54 (VAR97-1),
.VAR3 (VAR125/32),
.VAR214 (0)
)
VAR224
(
.VAR7 (), .VAR41 (VAR131),
.VAR112 (),
.VAR140 (VAR215),
.VAR191 (1),
.VAR174 (1'b1),
.VAR60 (VAR60),
.VAR124 (VAR124));
end
endgenerate
register
.VAR3 (32),
.VAR180 (0)
)
VAR178
(
.VAR41 (VAR27[31:0]),
.VAR140 (VAR103[VAR179 +: 32]),
.VAR148 (VAR165[VAR40]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (32),
.VAR180 (0)
)
VAR108
(
.VAR41 (VAR27[63:32]),
.VAR140 (VAR103[VAR211 +: 32]),
.VAR148 (VAR165[VAR201]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (32),
.VAR180 (0)
)
VAR8
(
.VAR41 (VAR210[31:0]),
.VAR140 (VAR103[VAR84 +: 32]),
.VAR148 (VAR165[VAR197]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (32),
.VAR180 (0)
)
VAR94
(
.VAR41 (VAR210[63:32]),
.VAR140 (VAR103[VAR36 +: 32]),
.VAR148 (VAR165[VAR35]),
.VAR124 (VAR124 | (VAR74 & VAR165[VAR35])),
.VAR60 (VAR60));
register
.VAR3 (2),
.VAR180 (0)
)
VAR59
(
.VAR41 ({VAR220,VAR58}),
.VAR140 (VAR103[VAR16 + VAR125*VAR86 +: 2]),
.VAR148 (VAR165[VAR208/VAR125 + VAR86]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (VAR144),
.VAR180 (0)
)
VAR147
(
.VAR41 (VAR186),
.VAR140 (VAR103[(VAR170) +: VAR144]),
.VAR148 (VAR165[VAR170/VAR125]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (VAR119),
.VAR180 (0)
)
VAR166
(
.VAR41 (VAR153),
.VAR140 (VAR103[(VAR89 + VAR125*VAR86) +: VAR119]),
.VAR148 (VAR165[VAR89/VAR125 + VAR86]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (1),
.VAR180 (0)
)
VAR29
(
.VAR41 (VAR168),
.VAR60 (VAR60),
.VAR124 (VAR124),
.VAR140 (VAR103[(VAR106%VAR125) + 2 + VAR125*VAR86]),
.VAR148 (VAR165[(VAR106/VAR125) + VAR86]));
register
.VAR3 (1),
.VAR180 (0)
)
VAR43
(
.VAR41 (VAR221),
.VAR140 (VAR103[(VAR96%VAR125) + 2 + VAR125*VAR86]),
.VAR148 (VAR165[(VAR96/VAR125) + VAR86]),
.VAR60 (VAR60),
.VAR124 (VAR124));
register
.VAR3 (1),
.VAR180 (0)
)
VAR99
(
.VAR41 (VAR219),
.VAR140 (VAR219),
.VAR148 (1),
.VAR60 (VAR60),
.VAR124 (VAR124));
VAR87
.VAR54 (VAR97),
.VAR3 (VAR195 + 2*(1 + VAR136)),
.VAR214 (0)
)
VAR56
(
.VAR7 (), .VAR41 ({VAR39,VAR189,VAR184,VAR176,VAR206,VAR169}),
.VAR112 (VAR45),
.VAR140 ({VAR27, VAR18, VAR219,VAR129[VAR136-1:0],VAR102,VAR202[VAR136-1:0]}),
.VAR191 (VAR155),
.VAR174 (1'b1),
.VAR60 (VAR60),
.VAR124 (VAR124));
VAR10
.VAR54 (VAR204),
.VAR3 (1'b1)
)
VAR209
(
.VAR41 (VAR165),
.VAR140 (VAR82 & VAR142 & (VAR103[VAR65] == VAR9)),
.VAR60 (VAR60),
.VAR124 (VAR124));
endmodule
module MODULE1
parameter VAR204=10
)
(
input VAR60,
input VAR124,
input [VAR125-1:0] VAR49,
input VAR142,
input VAR82,
input [VAR66-1:0] VAR95,
input VAR130,
input [VAR66-1:0] VAR160,
input [VAR14-1:0] VAR139,
output [VAR125-1:0] VAR154,
output VAR85,
output [(VAR125/32)-1:0] VAR131,
output VAR53,
output [VAR138(VAR125/32)-1:0] VAR15,
output VAR113,
output [VAR138(VAR125/32)-1:0] VAR44,
output [VAR110-1:0] VAR55,
output [VAR90-1:0] VAR116,
output [VAR20-1:0] VAR185,
output [VAR164-1:0] VAR216,
output [VAR77-1:0] VAR21,
output [VAR81-1:0] VAR172,
output [VAR79-1:0] VAR71,
output [VAR14-1:0] VAR198,
output [VAR196-1:0] VAR61,
output [VAR33-1:0] VAR111,
output VAR24,
input [(VAR204+1)*VAR125-1:0] VAR103,
input [VAR204:0] VAR157,
input [(VAR204+1)*VAR66-1:0] VAR63,
input [(VAR204+1)*VAR66-1:0] VAR200,
input [VAR204:0] VAR192,
input [VAR204:0] VAR121
);
localparam VAR128 = (VAR110+VAR90);
localparam VAR86 = 1;
localparam VAR97 = 1;
localparam VAR133 = 1;
localparam VAR26 = 1; localparam VAR80 = VAR133 + VAR97 + VAR86 + VAR26;
localparam VAR136 = VAR138(VAR125/32);
localparam VAR183 = 64;
localparam VAR156 = VAR86 * VAR125;
localparam VAR218 = (VAR125/32) + 2 + VAR138(VAR125/32) + 1 + VAR110 + VAR90 + VAR20 + VAR164 + VAR77 + VAR81 + VAR79 + VAR14 + VAR196 + VAR33;
wire [VAR66-1:0] VAR229;
wire [VAR66-1:0] VAR141;
wire [VAR195-1:0] VAR11;
wire [VAR195-1:0] VAR13;
wire [VAR195-1:0] VAR213;
wire VAR187;
wire [VAR144-1:0] VAR4;
wire [VAR144-1:0] VAR1;
wire VAR70; wire VAR158;
wire VAR25;
wire VAR187;
wire [VAR195-1:0] VAR11;
wire [VAR79-1:0] VAR98;
wire [VAR79-1:0] VAR132;
wire [63:0] VAR51;
wire [VAR144-1:0] VAR101;
wire [VAR119-1:0] VAR203;
wire [2:0] VAR175; wire [VAR66-1:0] VAR23;
wire VAR31;
wire VAR158;
wire VAR70;
wire VAR107;
wire VAR47;
wire VAR149; wire VAR68; wire VAR5;
wire VAR117;
wire VAR127;
wire VAR122;
wire VAR25;
wire VAR126;
wire [2:0] VAR212;
wire [1:0] VAR159;
wire [3:0] VAR30;
wire [3:0] VAR118;
wire VAR107;
wire VAR47;
wire VAR187;
wire [VAR195-1:0] VAR11;
wire [63:0] VAR39;
wire [VAR144-1:0] VAR101;
wire [VAR119-1:0] VAR203;
wire [2:0] VAR37; wire [VAR66-1:0] VAR23; wire VAR149; wire VAR68; wire [1:0] VAR212;
wire [3:0] VAR30;
wire [3:0] VAR118;
wire [VAR125-1:0] VAR109;
wire VAR45;
wire [(VAR125/32)-1:0] VAR215;
wire VAR184;
wire [VAR138(VAR125/32)-1:0] VAR176;
wire VAR206;
wire [VAR138(VAR125/32)-1:0] VAR169;
wire [VAR110-1:0] VAR190;
wire [VAR90-1:0] VAR143;
wire [VAR20-1:0] VAR115;
wire [VAR164-1:0] VAR100;
wire [VAR77-1:0] VAR194;
wire [VAR81-1:0] VAR69;
wire [VAR79-1:0] VAR189;
wire [VAR14-1:0] VAR181;
wire [VAR196-1:0] VAR188;
wire [VAR33-1:0] VAR67;
wire VAR227;
reg VAR17;
reg VAR167;
assign VAR70 = VAR192[VAR86] & ~VAR229[1];
assign VAR158 = VAR192[VAR86] & VAR141[1];
assign VAR213 = VAR103[VAR156 +: VAR125];
assign VAR13 = {VAR103[VAR86*VAR125 +: VAR183],
VAR103[(VAR86+1)*VAR125 + VAR183 +: VAR183 ]};
assign VAR229 = VAR200[VAR66*VAR86 +: VAR66];
assign VAR141 = VAR200[VAR66*(VAR86) +: VAR66];
assign VAR187 = VAR70 | ((VAR17 | VAR167) & VAR121[VAR86]);
assign VAR25 = (VAR11[VAR208] & VAR11[VAR62] & VAR121[VAR86] & VAR31);
assign VAR175 = {VAR11[VAR208],~VAR11[VAR208],~VAR11[VAR208]};
assign VAR212 = {1'b0,VAR158,1'b0} + VAR175;
assign VAR5 = VAR192[VAR86 + VAR26];
assign VAR117 = VAR121[VAR86 + VAR26];
assign VAR122 = VAR11[VAR208] & ~VAR11[VAR62] & VAR70;
assign VAR127 = VAR122 | (VAR25 & VAR117);
assign VAR126 = ~VAR11[VAR208] & VAR70;
assign VAR107 = (VAR126 | VAR127 | VAR158);
assign VAR47 = VAR157[VAR86 + VAR26];
assign VAR159 = VAR63[(VAR86+VAR26)*VAR66 +: VAR136];
assign VAR149 = VAR107 & VAR47 & (VAR11[VAR65] == VAR9);
assign VAR68 = (VAR107 & ~VAR47 & (VAR11[VAR65] == VAR9)) |
(VAR68 & ~VAR47);
assign VAR30 = 4'hf << (VAR107 ? VAR212[1:0] : 0);
assign VAR215 = VAR118 & VAR30 & {4{VAR45}};
assign VAR45 = VAR149 | VAR68;
assign VAR184 = VAR107;
assign VAR206 = VAR47;
assign VAR176 = VAR212;
assign VAR190 = VAR11[VAR137];
assign VAR143 = VAR11[VAR22];
assign VAR115 = VAR11[VAR120];
assign VAR100 = {VAR11[VAR161], VAR11[VAR93]};
assign VAR194 = VAR11[VAR76];
assign VAR189 = VAR11[VAR106 +: VAR12];
assign VAR188 = VAR11[VAR177];
assign VAR67 = VAR11[VAR105];
assign VAR227 = VAR11[VAR173];
assign VAR69 = VAR91({VAR11[VAR171],VAR11[VAR65]});
assign VAR154 = VAR103[VAR125*VAR80 +: VAR125];
assign VAR44 = VAR63[VAR66*(VAR80) +: VAR136];
always @(posedge VAR60) begin
VAR17 <= VAR158;
if(VAR70 | VAR124) begin
VAR167 <=0;
end else begin
VAR167 <= (VAR158 | VAR167) & ~VAR121[VAR86];
end
end
mux
.VAR28 (2),
.VAR2 (1),
.VAR3 (VAR195),
.VAR207 ("VAR223")
)
VAR34
(
.VAR146 (VAR11[VAR195-1:0]),
.VAR225 ({VAR13[VAR195-1:0],
VAR213[VAR195-1:0]}),
.VAR145 (VAR17 | VAR167)
);
register
.VAR3 (64 + 1),
.VAR180 (0)
)
VAR52
(
.VAR41 ({VAR11[VAR183-1:0], VAR187}),
.VAR140 ({VAR11[VAR183-1:0], VAR187}),
.VAR148 (VAR70 | VAR17),
.VAR124 (VAR124),
.VAR60 (VAR60));
register
.VAR3 (3),
.VAR180 (0)
)
VAR57
(
.VAR41 ({VAR25, VAR158,VAR70}),
.VAR140 ({VAR25,VAR17,VAR70}),
.VAR148 (1),
.VAR124 (VAR124),
.VAR60 (VAR60));
register
.VAR3 (1),
.VAR180 (0)
)
VAR6
(
.VAR41 ({VAR31}),
.VAR140 ({VAR70}),
.VAR148 (VAR121[VAR86]),
.VAR124 (VAR124),
.VAR60 (VAR60));
register
.VAR3 (64),
.VAR180 (0)
)
VAR134
(
.VAR41 (VAR11[VAR195-1:VAR183]),
.VAR140 (VAR11[VAR195-1:VAR183]),
.VAR148 (VAR70 | VAR17 | VAR167), .VAR124 (VAR124),
.VAR60 (VAR60));
register
.VAR3 (64 + 4),
.VAR180 (0)
)
VAR228
( .VAR41 ({VAR11[VAR226 +: 64],
VAR107,VAR212,
VAR47}),
.VAR124 (0),
.VAR140 ({VAR11[VAR226 +: 64],
VAR107,VAR212[1:0],
VAR47}),
.VAR148 (1),
.VAR60 (VAR60));
register
.VAR3 (3+8),
.VAR180 (0)
)
VAR150
( .VAR41 ({VAR187,
VAR149, VAR68,
VAR118, VAR30}),
.VAR124 (VAR124),
.VAR140 ({VAR187,
VAR149, VAR68,
VAR118, VAR30}),
.VAR148 (1),
.VAR60 (VAR60));
register
.VAR3 (VAR79/2),
.VAR180 (0)
)
VAR50
( .VAR41 (VAR11[VAR217]),
.VAR124 (~VAR11[VAR208]),
.VAR140 (VAR11[VAR78]), .VAR148 (1),
.VAR60 (VAR60));
register
.VAR3 (VAR79/2),
.VAR180 (0)
)
VAR72
( .VAR41 (VAR11[VAR78]),
.VAR124 (0), .VAR140 (VAR11[VAR208] ? VAR11[VAR217] : VAR11[VAR78]),
.VAR148 (1),
.VAR60 (VAR60));
VAR73
.VAR193 (4)
)
VAR162
(
.VAR123 (VAR118),
.VAR222 (VAR47),
.VAR64 (VAR159)
);
VAR87
.VAR54 (VAR97),
.VAR3 (VAR218), .VAR214 (0)
)
VAR56
(
.VAR7 (), .VAR41 ({VAR131, VAR53, VAR15,
VAR113,
VAR55, VAR116, VAR185,
VAR216, VAR21, VAR172,
VAR71, VAR198, VAR61,
VAR111, VAR24}),
.VAR112 (VAR85),
.VAR140 ({VAR215, VAR184, VAR176,
VAR206,
VAR190, VAR143, VAR115,
VAR100, VAR194, VAR69,
VAR189, VAR181, VAR188,
VAR67, VAR227}),
.VAR191 (VAR45),
.VAR174 (1'b1),
.VAR60 (VAR60),
.VAR124 (VAR124));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/nand4/sky130_fd_sc_ms__nand4.blackbox.v
| 1,281 |
module MODULE1 (
VAR7,
VAR3,
VAR8,
VAR5,
VAR6
);
output VAR7;
input VAR3;
input VAR8;
input VAR5;
input VAR6;
supply1 VAR9;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
bkboggy/MIPS
|
MEM.v
| 1,504 |
module MODULE1(
input clk,
input VAR9,
input VAR18,
input VAR8,
input VAR21,
input [31:0] VAR12,
input [1:0] VAR13,
input [31:0] VAR14,
input [4:0] VAR20,
output VAR11,
output [1:0] VAR22,
output [31:0] VAR10,
output [31:0] VAR15,
output [4:0] VAR7);
wire [31:0] VAR3;
VAR19 VAR2(.clk(clk), .VAR8(VAR8), .VAR21(VAR21),
.VAR1(VAR14), .VAR12(VAR12), .VAR10(VAR3));
VAR4 VAR5(.clk(clk), .VAR13(VAR13), .VAR17(VAR3),
.VAR14(VAR14), .VAR20(VAR20),
.VAR22(VAR22), .VAR10(VAR10),
.VAR15(VAR15), .VAR7(VAR7));
VAR16 VAR6(.VAR9(VAR9), .VAR18(VAR18), .VAR11(VAR11));
endmodule
|
mit
|
travisg/cpu
|
rtl/cpu/nopipeline/cpu.v
| 9,241 |
module MODULE1(
input clk,
input rst,
output VAR14,
output VAR81,
output reg [29:0] VAR30,
input [31:0] VAR28,
output [31:0] VAR4,
output [31:0] VAR42
);
assign VAR4 = (VAR81 && !VAR14) ? VAR43 : 32'VAR6;
assign VAR42 = VAR50;
reg [29:0] VAR50;
reg [29:0] VAR7;
reg [3:0] VAR63;
always @(VAR63 or VAR43 or VAR48 or VAR68 or VAR50)
begin
casex (VAR63)
endcase
end
reg [2:0] state;
reg VAR58;
reg VAR36;
reg [2:0] VAR53;
always @(rst or state or VAR58 or VAR36)
begin
if (rst)
VAR53 = VAR66;
end
else if (state == VAR66 || state == VAR38 || state == VAR44)
VAR53 = VAR35;
else if (state == VAR35)
VAR53 = VAR19;
else if (VAR58)
VAR53 = VAR38;
else if (VAR36)
VAR53 = VAR44;
else
VAR53 = VAR35;
end
assign VAR81 = (VAR53 == VAR44);
assign VAR14 = ((VAR53 == VAR35) || (VAR53 == VAR38));
always @(VAR53 or VAR50 or VAR7 or VAR48)
begin
case (VAR53)
default: VAR30 = 30'VAR64;
endcase
end
always @(posedge clk)
begin
state <= VAR53;
case (VAR53)
VAR50 <= 0;
end
VAR50 <= VAR7;
end
VAR50 <= VAR48[29:0];
VAR56 <= VAR28;
end
endcase
end
reg [3:0] VAR71;
wire [31:0] VAR48;
wire [31:0] VAR5;
wire [31:0] VAR72;
alu VAR17(
.VAR55(VAR71),
.VAR67(VAR5),
.VAR34(VAR72),
.VAR37(VAR48)
);
reg [3:0] VAR15;
reg [3:0] VAR2;
reg [3:0] VAR70;
wire [31:0] VAR68;
wire [31:0] VAR18;
wire [31:0] VAR43;
wire [31:0] VAR13;
reg VAR45;
VAR23 #(32, 4) VAR82(
.clk(clk),
.VAR49(VAR45),
.VAR62(VAR70),
.VAR9(VAR13),
.VAR60(VAR15),
.VAR76(VAR68),
.VAR16(VAR2),
.VAR12(VAR18),
.VAR39(VAR26),
.VAR80(VAR43)
);
reg VAR74;
VAR61 #(32) VAR21(
.sel(VAR74),
.VAR27(VAR68),
.VAR75({ 2'b0, VAR50 }),
.out(VAR5)
);
reg [1:0] VAR52;
VAR24 #(32) VAR78(
.sel(VAR52),
.VAR27(VAR18),
.VAR75(VAR41),
.VAR20(VAR46),
.VAR29(32'd1),
.out(VAR72)
);
reg [1:0] VAR40;
VAR24 #(32) VAR10(
.sel(VAR40),
.VAR27(VAR48),
.VAR75(VAR28),
.VAR20({ VAR50, 2'b0 }),
.VAR29(0),
.out(VAR13)
);
reg [31:0] VAR56;
wire [1:0] VAR11 = VAR56[31:30];
wire [5:0] VAR57 = VAR56[29:24];
wire [3:0] VAR26 = VAR56[27:24];
wire [3:0] VAR79 = VAR56[23:20];
wire [3:0] VAR25 = VAR56[19:16];
wire [3:0] VAR73 = VAR56[15:12];
wire [31:0] VAR41 = (VAR56[15]) ? { 16'b1111111111111111, VAR56[15:0] } : { 16'b0000000000000000, VAR56[15:0] };
wire [31:0] VAR46 = (VAR56[21]) ? { 10'b1111111111, VAR56[21:0] } : { 10'b0000000000, VAR56[21:0] };
always @(VAR56 or state or VAR11 or VAR57 or VAR26 or VAR79 or VAR25 or VAR73 or VAR41 or VAR46)
begin
VAR71 = 4'VAR22;
VAR58 = 0;
VAR36 = 0;
VAR63 = VAR33;
VAR45 = 0;
VAR15 = 4'VAR22;
VAR2 = 4'VAR22;
VAR70 = 4'VAR22;
VAR74 = VAR32;
VAR52 = VAR3;
VAR40 = VAR51;
case (state)
VAR71 = 4'b0000; VAR74 = VAR59;
VAR52 = VAR77;
end
casex (VAR11)
2'b0?: begin
VAR71 = VAR79;
VAR15 = VAR25;
VAR2 = VAR73;
if (VAR11 == 0) begin
VAR74 = VAR65;
VAR52 = VAR1;
end else begin
VAR74 = VAR65;
VAR52 = VAR54;
end
casex (VAR57)
default: begin
VAR45 = 1;
VAR70 = VAR26;
VAR40 = VAR47;
end
6'b01????: begin
VAR58 = 1;
end
6'b10????: begin
VAR36 = 1;
end
endcase
end
2'b10: begin
if (VAR56[29] == 0) begin
VAR71 = 0; VAR63 = { 1'b0, 1'b0, VAR56[23], VAR56[22] };
VAR74 = VAR59;
VAR52 = VAR31;
end else begin
VAR63 = { 1'b0, 1'b1, VAR56[23], VAR56[22] };
VAR15 = VAR25;
end
if (VAR56[28]) begin
VAR70 = 15; VAR40 = VAR8;
VAR45 = 1;
end
end
2'b11: begin
end
endcase
end
VAR45 = 1;
VAR70 = VAR26;
VAR40 = VAR69;
end
default: begin
end
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/probe_p/sky130_fd_sc_hdll__probe_p.behavioral.pp.v
| 1,805 |
module MODULE1 (
VAR6 ,
VAR12 ,
VAR11,
VAR2,
VAR9 ,
VAR8
);
output VAR6 ;
input VAR12 ;
input VAR11;
input VAR2;
input VAR9 ;
input VAR8 ;
wire VAR1 ;
wire VAR7;
buf VAR5 (VAR1 , VAR12 );
VAR10 VAR3 (VAR7, VAR1, VAR11, VAR2);
buf VAR4 (VAR6 , VAR7 );
endmodule
|
apache-2.0
|
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
|
Gaussian_Filter/ip/Gaussian_Filter/vfabric_fmul.v
| 2,798 |
module MODULE1(VAR31, VAR19,
VAR14, VAR22, VAR12,
VAR5, VAR13, VAR3,
VAR17, VAR6, VAR10);
parameter VAR28 = 32;
parameter VAR7 = 6;
parameter VAR18 = 64;
input VAR31, VAR19;
input [VAR28-1:0] VAR14;
input [VAR28-1:0] VAR5;
input VAR22, VAR13;
output VAR12, VAR3;
output [VAR28-1:0] VAR17;
output VAR6;
input VAR10;
reg [VAR7-1:0] VAR9;
wire [VAR28-1:0] VAR15;
wire [VAR28-1:0] VAR24;
wire VAR25;
wire VAR20;
wire VAR8;
wire VAR11;
VAR16 VAR26 ( .VAR31(VAR31), .VAR19(VAR19),
.VAR27(VAR14), .VAR21(VAR15), .VAR34(VAR22),
.VAR33( VAR25 ), .VAR1(VAR11), .VAR32(VAR12) );
VAR16 VAR30 ( .VAR31(VAR31), .VAR19(VAR19),
.VAR27(VAR5), .VAR21(VAR24), .VAR34(VAR13),
.VAR33( VAR20 ), .VAR1(VAR11), .VAR32(VAR3) );
always @(posedge VAR31 or negedge VAR19)
begin
if (~VAR19)
begin
VAR9 <= {VAR7{1'b0}};
end
else
begin
if(~VAR8)
VAR9 <= { VAR25 & VAR20, VAR9[VAR7-1:1] };
end
end
assign VAR8 = (VAR9[0] & VAR10);
assign VAR11 = (VAR9[0] & VAR10) | !(VAR25 & VAR20);
VAR4 VAR2(
.enable(~VAR8), .VAR31(VAR31), .VAR15(VAR15), .VAR24(VAR24), .VAR29(VAR17));
assign VAR6 = VAR9[0];
endmodule
|
mit
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_babasu/spw_babasu/synthesis/submodules/spw_babasu_CURRENTSTATE.v
| 1,913 |
module MODULE1 (
address,
clk,
VAR4,
VAR6,
VAR3
)
;
output [ 31: 0] VAR3;
input [ 1: 0] address;
input clk;
input [ 2: 0] VAR4;
input VAR6;
wire VAR2;
wire [ 2: 0] VAR1;
wire [ 2: 0] VAR5;
reg [ 31: 0] VAR3;
assign VAR2 = 1;
assign VAR5 = {3 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR6)
begin
if (VAR6 == 0)
VAR3 <= 0;
end
else if (VAR2)
VAR3 <= {32'b0 | VAR5};
end
assign VAR1 = VAR4;
endmodule
|
gpl-3.0
|
jotego/jt51
|
hdl/filter/jt51_interpol.v
| 3,666 |
module MODULE1(
input clk, input rst,
input VAR16,
input signed [15:0] VAR14,
input signed [15:0] VAR31,
input signed [15:0] VAR24,
input signed [15:0] VAR10,
output signed [15:0] VAR19,
output signed [15:0] VAR2,
output VAR26
);
parameter VAR30 = 7'd111;
reg [15:0] VAR22, VAR4, VAR28, VAR7;
reg VAR29;
reg VAR6;
reg [2:0] state;
reg [6:0] VAR8;
always @(*)
case( state )
3'd0: { VAR28, VAR7 } <= { VAR14, VAR31};
3'd3: { VAR28, VAR7 } <= { VAR24, VAR10};
default: { VAR28, VAR7 } <= 32'd0;
endcase
always @(posedge clk)
if( rst ) begin
state <= 2'b0;
VAR29 <= 1'b0;
VAR8 <= 6'd0;
end else begin
VAR6 <= ( VAR8==0 || VAR8==28 || VAR8==56 || VAR8==84 );
if( VAR8==VAR30 ) begin
VAR8 <= 6'd0;
state <= state+1'b1;
VAR29 <= 1'b1;
{VAR22,VAR4} <= { VAR28, VAR7 };
end
else begin
VAR8 <= VAR8 + 1'b1;
VAR29 <= 1'b0;
end
end
localparam VAR1=16; localparam VAR12=16; wire [VAR1-1:0] VAR21, VAR17;
wire [VAR12-1:0] VAR25, VAR13;
assign VAR19 = VAR25[15:0];
assign VAR2 = VAR13[15:0];
VAR5 #(.VAR18(16), .VAR27(VAR1)) VAR23 (
.clk ( clk ),
.rst ( rst ),
.VAR9 ( VAR29 ),
.VAR14 ( VAR22 ),
.VAR31 ( VAR4 ),
.VAR20 ( VAR21 ),
.VAR15 ( VAR17 )
);
VAR11 #(.VAR18(16), .VAR27(VAR12)) VAR3 (
.clk ( clk ),
.rst ( rst ),
.VAR9 ( VAR6),
.VAR14 ( VAR21[VAR1-1:VAR1-16] ),
.VAR31 ( VAR17[VAR1-1:VAR1-16] ),
.VAR20 ( VAR25 ),
.VAR15 ( VAR13 ),
.VAR26 ( VAR26 )
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdfsbp/sky130_fd_sc_hd__sdfsbp_2.v
| 2,615 |
module MODULE2 (
VAR3 ,
VAR7 ,
VAR8 ,
VAR13 ,
VAR12 ,
VAR4 ,
VAR10,
VAR9 ,
VAR5 ,
VAR2 ,
VAR1
);
output VAR3 ;
output VAR7 ;
input VAR8 ;
input VAR13 ;
input VAR12 ;
input VAR4 ;
input VAR10;
input VAR9 ;
input VAR5 ;
input VAR2 ;
input VAR1 ;
VAR11 VAR6 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR13(VAR13),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR3 ,
VAR7 ,
VAR8 ,
VAR13 ,
VAR12 ,
VAR4 ,
VAR10
);
output VAR3 ;
output VAR7 ;
input VAR8 ;
input VAR13 ;
input VAR12 ;
input VAR4 ;
input VAR10;
supply1 VAR9;
supply0 VAR5;
supply1 VAR2 ;
supply0 VAR1 ;
VAR11 VAR6 (
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR13(VAR13),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
marqs85/ossc
|
rtl/ossc.v
| 11,464 |
module MODULE1 (
input VAR144,
input VAR135,
inout VAR56,
inout VAR86,
input [1:0] VAR84,
input [7:0] VAR171,
input [7:0] VAR38,
input [7:0] VAR16,
input VAR141,
input VAR129,
input VAR162,
input VAR69,
output VAR117,
output reg [7:0] VAR53,
output reg [7:0] VAR43,
output reg [7:0] VAR49,
output reg VAR87,
output reg VAR50,
output reg VAR66,
input VAR152,
input VAR140,
output VAR175,
output VAR25,
output VAR143,
output VAR34,
output VAR17,
output VAR123,
output VAR151,
inout VAR75,
inout [3:0] VAR26
);
wire [15:0] VAR137;
wire VAR70, VAR164;
wire [31:0] VAR102, VAR72, VAR156, VAR154, VAR48, VAR85;
wire [10:0] VAR11, VAR126;
wire [1:0] VAR13;
wire VAR54, VAR29;
wire [19:0] VAR83;
wire [15:0] VAR112;
wire [7:0] VAR150;
wire [7:0] VAR142, VAR153, VAR37;
wire VAR10;
wire VAR113;
wire VAR170;
wire VAR40;
wire [7:0] VAR22, VAR27, VAR30;
wire VAR58;
wire VAR105;
wire VAR158;
reg [7:0] VAR108 = 0;
reg VAR76 = 1'b0;
wire VAR60;
wire VAR24 = (VAR76 & ~VAR60);
reg [7:0] VAR31, VAR106, VAR92;
reg VAR9, VAR52, VAR110;
reg [1:0] VAR12, VAR3;
reg VAR128, VAR132, VAR32, VAR47, VAR177, VAR116;
wire VAR130 = VAR3[1];
wire VAR20 = VAR137[15];
wire VAR51 = VAR137[14];
wire VAR96 = VAR87 & VAR43[0];
wire [1:0] VAR169 = VAR137[13:12];
wire [1:0] VAR138;
wire [15:0] VAR114;
wire [11:0] VAR65;
wire VAR7;
wire VAR155;
wire VAR95 = VAR137[8];
reg VAR91;
reg [14:0] VAR122, VAR165;
wire VAR100;
wire [1:0] VAR127;
wire VAR131;
wire VAR90 = VAR131 & ~VAR20;
wire [10:0] VAR115, VAR119, VAR99;
wire [10:0] VAR63, VAR55, VAR46;
wire VAR80, VAR174, VAR139, VAR41, VAR78, VAR107, VAR8;
always @(posedge VAR69 or negedge VAR175)
begin
if (!VAR175) begin
VAR31 <= 8'h00;
VAR106 <= 8'h00;
VAR92 <= 8'h00;
VAR9 <= 1'b0;
VAR52 <= 1'b0;
VAR110 <= 1'b0;
end else begin
VAR31 <= VAR171;
VAR106 <= VAR38;
VAR92 <= VAR16;
VAR9 <= VAR162;
VAR52 <= VAR129;
VAR110 <= VAR141;
end
end
always @(posedge VAR144 or negedge VAR76)
begin
if (!VAR76) begin
VAR12 <= 2'b00;
VAR3 <= 2'b00;
VAR128 <= 1'b0;
VAR132 <= 1'b0;
VAR32 <= 1'b0;
VAR47 <= 1'b0;
VAR177 <= 1'b0;
VAR116 <= 1'b0;
end else begin
VAR12 <= VAR84;
VAR3 <= VAR12;
VAR128 <= VAR135;
VAR132 <= VAR128;
VAR32 <= VAR152;
VAR47 <= VAR32;
VAR177 <= VAR140;
VAR116 <= VAR177;
end
end
always @(posedge VAR144)
begin
if (VAR108 == VAR149)
VAR76 <= 1'b1;
end
else
VAR108 <= VAR108 + 1'b1;
end
assign VAR175 = VAR137[0];
assign VAR143 = VAR9;
assign VAR25 = VAR52;
assign VAR143 = VAR20 ? VAR7 : (VAR164|VAR70);
assign VAR25 = VAR20 ? ~VAR130 : (VAR112 == 0);
assign VAR26[3] = VAR137[7]; assign VAR17 = VAR137[6];
assign VAR34 = VAR137[5];
wire VAR172 = VAR137[4]; wire [1:0] VAR146 = VAR137[3:2];
assign VAR123 = VAR172 ? (~VAR100 | VAR20) : 1'b0;
wire VAR1 = VAR137[1];
assign VAR115 = VAR1 ? VAR119 : VAR99;
assign VAR63 = VAR1 ? VAR55 : VAR46;
assign VAR117 = VAR170;
always @(posedge VAR170) begin
if (VAR90) begin
if (VAR127 == 2'h0) begin
{VAR53, VAR43, VAR49} <= 24'h000000;
end else if (VAR127 == 2'h1) begin
{VAR53, VAR43, VAR49} <= 24'h0000ff;
end else if (VAR127 == 2'h2) begin
{VAR53, VAR43, VAR49} <= 24'hffff00;
end else begin
{VAR53, VAR43, VAR49} <= 24'hffffff;
end
end else if (VAR1) begin
{VAR53, VAR43, VAR49} <= {VAR142, VAR153, VAR37};
end else begin
{VAR53, VAR43, VAR49} <= {VAR22, VAR27, VAR30};
end
VAR50 <= VAR1 ? VAR10 : VAR58;
VAR66 <= VAR1 ? VAR113 : VAR105;
VAR87 <= VAR1 ? VAR40 : VAR158;
end
always @(posedge VAR144)
begin
if (VAR95 != VAR91) begin
VAR122 <= 15'd0;
VAR165 <= 15'd0;
end else begin
if (VAR122 == 27000-1) begin
VAR122 <= 0;
if (VAR165 < 15'h7fff)
VAR165 <= VAR165 + 1'b1;
end else begin
VAR122 <= VAR122 + 1'b1;
end
end
case (VAR146)
default: VAR100 <= 0; 2'b01: VAR100 <= (VAR165 >= 3000); 2'b10: VAR100 <= (VAR165 >= 10000); 2'b11: VAR100 <= (VAR165 >= 30000); endcase
VAR91 <= VAR95;
end
VAR93 VAR36(
.VAR166 (VAR144),
.VAR45 (VAR24),
.VAR19 (1'b0),
.VAR101 (1'b1),
.VAR160 (1'b0),
.VAR173 (32'h00010000),
.VAR77 (VAR60),
.VAR147 (VAR56),
.VAR136 (VAR86),
.VAR94 (1'b0),
.VAR148 (VAR151),
.VAR62 (VAR75),
.VAR64 (VAR26[0]),
.VAR81 (VAR137),
.VAR33 ({VAR150, 4'b0000, VAR8, VAR116, VAR3, VAR112}),
.VAR4 ({VAR29, 2'b00, VAR126, VAR13, 4'h0, VAR54, VAR11}),
.VAR145 ({12'h000, VAR83}),
.VAR15 ({VAR155, 3'h0, VAR65, VAR114}),
.VAR157 (VAR102),
.VAR176 (VAR72),
.VAR39 (VAR156),
.VAR35 (VAR154),
.VAR103 (VAR48),
.VAR21 (VAR85),
.VAR161 (VAR170),
.VAR67 (VAR115),
.VAR82 (VAR63),
.VAR124 (VAR131),
.VAR121 (VAR127),
.VAR18 (VAR80),
.VAR89 (VAR174),
.VAR120 (VAR139),
.VAR111 (VAR41),
.VAR61 (VAR78),
.VAR134 (VAR107)
);
VAR23 VAR109 (
.VAR97 (VAR175),
.VAR69 (VAR69),
.VAR144 (VAR144),
.VAR1 (VAR1),
.VAR162 (VAR9),
.VAR129 (VAR52),
.VAR141 (VAR110),
.VAR171 (VAR31),
.VAR38 (VAR106),
.VAR16 (VAR92),
.VAR102 (VAR102),
.VAR72 (VAR72),
.VAR156 (VAR156),
.VAR154 (VAR154),
.VAR48 (VAR48),
.VAR85 (VAR85),
.VAR163 (VAR142),
.VAR104 (VAR153),
.VAR71 (VAR37),
.VAR170 (VAR170),
.VAR42 (VAR10),
.VAR2 (VAR113),
.VAR14 (VAR40),
.VAR70 (VAR70),
.VAR13 (VAR13),
.VAR164 (VAR164),
.VAR11 (VAR11),
.VAR126 (VAR126),
.VAR83 (VAR83),
.VAR54 (VAR54),
.VAR29 (VAR29),
.VAR20 (VAR20),
.VAR169 (VAR138),
.VAR115 (VAR119),
.VAR63 (VAR55),
.VAR80 (VAR80),
.VAR174 (VAR174),
.VAR139 (VAR139),
.VAR41 (VAR41),
.VAR78 (VAR78),
.VAR107 (VAR107),
.VAR8 (VAR8)
);
VAR59 VAR168 (
.VAR144 (VAR144),
.VAR97 (VAR76),
.VAR135 (VAR132),
.VAR112 (VAR112),
.VAR98 (),
.VAR150 (VAR150)
);
VAR6 VAR118 (
.VAR144 (VAR144),
.VAR5 (VAR170),
.VAR167 (VAR20),
.VAR73 (VAR51),
.VAR74 (VAR130),
.VAR28 (VAR96),
.VAR129 (VAR66),
.VAR79 (VAR169),
.VAR68 (VAR138),
.VAR133 (VAR114),
.VAR88 (VAR65),
.VAR159 (VAR7),
.VAR125 (VAR155)
);
VAR44 VAR57 (
.VAR144 (VAR170),
.VAR97 (VAR76 & ~VAR1),
.VAR20 (VAR20),
.VAR169 (VAR138),
.VAR163 (VAR22),
.VAR104 (VAR27),
.VAR71 (VAR30),
.VAR42 (VAR58),
.VAR2 (VAR105),
.VAR14 (VAR158),
.VAR115 (VAR99),
.VAR63 (VAR46)
);
endmodule
|
gpl-3.0
|
everskar2013/PentiumX
|
Hardware/Code/irq_controller.v
| 6,136 |
module MODULE1(
VAR21, VAR2,
VAR12,
VAR19,
VAR20, VAR16,
VAR3, VAR5,
VAR4, VAR11,
VAR27, VAR1,
VAR8, VAR10,
VAR7, VAR14,
VAR23, VAR18,
VAR6, VAR25,
VAR9, VAR24
);
input VAR21, VAR2;
output wire [VAR26 - 1: 0]
VAR12;
input wire VAR19;
input VAR16;
output VAR20;
input VAR3;
output VAR5;
input VAR4;
output VAR11;
input VAR27;
output VAR1;
input VAR8;
output VAR10;
input VAR7;
output VAR14;
input VAR23;
output VAR18;
input VAR6;
output VAR25;
input VAR9;
output VAR24;
wire [VAR22 - 1: 0]
VAR17;
reg VAR28; wire VAR13;
assign VAR5 = VAR13 & VAR12[0];
assign VAR11 = VAR13 & VAR12[1];
assign VAR1 = VAR13 & VAR12[2];
assign VAR10 = VAR13 & VAR12[3];
assign VAR13 = { VAR16 };
assign VAR20 = VAR28 & VAR19;
always @(VAR17,
VAR3,
VAR4,
VAR27,
VAR8)
begin
case(VAR17)
default:VAR28 = 0; endcase
end
assign VAR12[0] = (VAR17 == VAR22'd0);
assign VAR12[1] = (VAR17 == VAR22'd1);
assign VAR12[2] = (VAR17 == VAR22'd2);
assign VAR12[3] = (VAR17 == VAR22'd3);
VAR15 VAR15(
.clk(VAR21),
.rst(VAR2),
.req(
{VAR8,
VAR27,
VAR4,
VAR3}
),
.VAR17(VAR17)
);
endmodule
|
mit
|
andykarpov/radio-86rk-wxeda
|
src/video/rk_video.v
| 3,903 |
module MODULE1(
input clk,
output VAR27,
output VAR2,
output VAR9,
output VAR45,
output VAR38,
output [4:0] VAR44,
output [5:0] VAR24,
output [4:0] VAR16,
input[3:0] VAR1,
input[6:0] VAR33,
input VAR28,
input VAR26,
input VAR50
);
reg[1:0] state;
reg[10:0] VAR31;
reg[10:0] VAR47;
reg[10:0] VAR10;
reg[1:0] VAR32;
reg[2:0] VAR15;
reg[5:0] VAR37;
wire[7:0] VAR35;
reg[17:0] VAR42;
reg[17:0] VAR7;
reg VAR34;
wire VAR3;
VAR41 VAR11(
.VAR19(VAR42),
.VAR39(VAR7),
.VAR18(clk),
.VAR14(VAR34),
.VAR48(),
.VAR40(1'b1),
.VAR36(1'b0),
.VAR46(),
.VAR30(VAR3)
);
assign VAR9 = VAR31 >= 10'd468 && VAR31 < 10'd516 ? 1'b0 : 1'b1; assign VAR45 = VAR47 >= 10'd600 && VAR47 < 10'd620 ? 1'b0 : 1'b1; assign VAR38 = VAR15==3'b000 && state == 2'b01;
VAR13 VAR22(.address({VAR33[6:0],VAR1[2:0]}), .VAR18(clk), .VAR4(VAR35));
always @(posedge clk)
begin
casex (state)
2'b00: state <= 2'b01;
2'b01: state <= 2'b10;
2'VAR8: state <= 2'b00;
endcase
if (state == 2'b00)
begin
if (VAR15==3'b101)
VAR37 <= VAR26 ? 6'h3F : VAR28 ? 6'b0 : VAR35[5:0]^{6{VAR50}};
end
else
VAR37 <= {VAR37[4:0],1'b0};
if (VAR31 >= 60 && VAR31 < 468 && VAR10 >= 0 && VAR10 < 300 && VAR32 == 2'b00)
begin
VAR42 <= VAR31 - 60 + (10'd408*(VAR10 - 0));
VAR34 <= VAR37[5];
end
if (VAR31+1'b1 == 10'd516) begin
VAR31 <= 0;
VAR15 <= 0;
if (VAR47+1'b1 == 10'd620 ) begin
VAR47 <= 0;
VAR10 <= 0;
end
else begin
VAR47 <= VAR47+1'b1;
casex (VAR32)
2'b00: VAR32 <= 2'b01;
2'b01: VAR32 <= 2'b00;
2'VAR8: VAR32 <= 2'b00;
endcase
if (VAR32 == 2'b00)
VAR10 <= VAR10+1'b1;
end
end
else
begin
VAR31 <= VAR31+1'b1;
if (VAR15+1'b1 == 3'b110) VAR15 <= 0;
end
else
VAR15 <= VAR15+1'b1;
end
end
end
wire[10:0] VAR43;
wire[10:0] VAR5;
wire VAR23;
VAR12 VAR29(
.clk(clk),
.VAR20(VAR27),
.VAR6(VAR2),
.VAR25(VAR23),
.VAR43(VAR43),
.VAR5(VAR5)
);
reg[1:0] VAR51;
reg[1:0] VAR17;
reg[10:0] VAR21;
reg[10:0] VAR49;
assign VAR44 = VAR3 && VAR23 ? 5'b10000 : 5'b0;
assign VAR24 = VAR3 && VAR23 ? 6'b100000 : 6'b0;
assign VAR16 = VAR3 && VAR23 ? 5'b10000 : 5'b0;
always @(posedge clk)
begin
if (VAR43 >= 0 && VAR43 < 816 && VAR5 >= 0 && VAR5 < 600) begin
casex (VAR51)
2'b00: VAR51 <= 2'b01;
2'b01: VAR51 <= 2'b00;
endcase
VAR7 <= VAR21 + (VAR49*408);
if (VAR51 == 2'b01)
VAR21 <= VAR21 + 1;
if (VAR43+1 == 816)
begin
VAR21 <= 0;
casex (VAR17)
2'b00: VAR17 <= 2'b01;
2'b01: VAR17 <= 2'b00;
endcase
if (VAR17 == 2'b01)
VAR49 <= VAR49 + 1;
end
if (VAR5+1 == 600)
begin
VAR49 <= 0;
VAR21 <= 0;
VAR17 <= 0;
end
end
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o41a/sky130_fd_sc_hd__o41a_2.v
| 2,411 |
module MODULE1 (
VAR11 ,
VAR5 ,
VAR3 ,
VAR10 ,
VAR2 ,
VAR4 ,
VAR8,
VAR9,
VAR12 ,
VAR1
);
output VAR11 ;
input VAR5 ;
input VAR3 ;
input VAR10 ;
input VAR2 ;
input VAR4 ;
input VAR8;
input VAR9;
input VAR12 ;
input VAR1 ;
VAR6 VAR7 (
.VAR11(VAR11),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR12(VAR12),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR11 ,
VAR5,
VAR3,
VAR10,
VAR2,
VAR4
);
output VAR11 ;
input VAR5;
input VAR3;
input VAR10;
input VAR2;
input VAR4;
supply1 VAR8;
supply0 VAR9;
supply1 VAR12 ;
supply0 VAR1 ;
VAR6 VAR7 (
.VAR11(VAR11),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
mammenx/synesthesia_moksha
|
wxp/dgn/rtl/altera/lpddr2_cntrlr/lpddr2_cntrlr/lpddr2_cntrlr_p0_acv_ldc.v
| 3,417 |
module MODULE1
(
VAR17,
VAR25,
VAR24,
VAR39,
VAR10,
VAR14,
VAR2,
VAR8,
VAR41
);
parameter VAR20 = "";
parameter VAR5 = 0;
parameter VAR1 = "false";
parameter VAR33 = "false";
input VAR17;
input VAR25;
input VAR24;
input [VAR20-1:0] VAR39;
output VAR10;
output VAR14;
output VAR2;
output VAR8;
output VAR41;
wire VAR37;
wire VAR40;
wire VAR12;
wire VAR11;
wire VAR38;
wire VAR27;
generate
if (VAR33 == "true") begin
assign VAR12 = VAR17;
assign VAR40 = VAR25;
assign VAR37 = VAR24;
assign VAR11 = 1'b0;
end else begin
VAR4 VAR45 (
.VAR34 ({VAR17, VAR25, VAR24, 1'b0}),
.VAR7 ({VAR12, VAR40, VAR37, VAR11})
);
end
endgenerate
wire [3:0] VAR6;
wire [3:0] VAR32;
wire VAR35;
VAR16 VAR28 (
.VAR15 (VAR37),
.VAR22 (VAR39),
.VAR42(VAR6)
);
assign VAR10 = VAR6[0];
VAR16 VAR9 (
.VAR15 (VAR12),
.VAR22 (),
.VAR42(VAR32)
);
assign VAR14 = VAR32[0];
VAR3 VAR23 (
.VAR15(VAR6),
.VAR42(VAR8)
);
VAR3 VAR29 (
.VAR30(),
.VAR19(),
.VAR44(),
.VAR15 (VAR32[0]),
.VAR15 (VAR32),
.VAR42 (VAR35)
);
assign VAR41 = VAR35;
generate
if (VAR1 == "true")
begin
assign VAR2 = ~VAR6[VAR5];
end else begin
assign VAR2 = VAR6[VAR5];
end
endgenerate
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o21a/sky130_fd_sc_ls__o21a.pp.blackbox.v
| 1,351 |
module MODULE1 (
VAR5 ,
VAR6 ,
VAR1 ,
VAR2 ,
VAR4,
VAR8,
VAR3 ,
VAR7
);
output VAR5 ;
input VAR6 ;
input VAR1 ;
input VAR2 ;
input VAR4;
input VAR8;
input VAR3 ;
input VAR7 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sleep_pargate_plv/sky130_fd_sc_lp__sleep_pargate_plv_21.v
| 2,174 |
module MODULE2 (
VAR6,
VAR3 ,
VAR1 ,
VAR5 ,
VAR2
);
output VAR6;
input VAR3 ;
input VAR1 ;
input VAR5 ;
input VAR2 ;
VAR4 VAR7 (
.VAR6(VAR6),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR6,
VAR3
);
output VAR6;
input VAR3 ;
supply1 VAR1;
supply1 VAR5 ;
supply0 VAR2 ;
VAR4 VAR7 (
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
jhoward321/pacman
|
usb_system/synthesis/submodules/usb_system_sysid_qsys_0.v
| 1,412 |
module MODULE1 (
address,
VAR2,
VAR1,
VAR3
)
;
output [ 31: 0] VAR3;
input address;
input VAR2;
input VAR1;
wire [ 31: 0] VAR3;
assign VAR3 = address ? 1411627075 : 0;
endmodule
|
mit
|
alankarkotwal/lca-processor
|
USE THESE FILES PRAVEEN/mux.v
| 1,554 |
module MODULE1(VAR3, VAR2, VAR5, VAR9, VAR6, VAR8, VAR4, VAR1, VAR7, out);
output reg [15:0] out;
input [15:0] VAR3, VAR2, VAR5, VAR9, VAR6, VAR8, VAR4, VAR1;
input [2:0] VAR7;
always@(VAR3 or VAR2 or VAR5 or VAR9 or VAR6 or VAR8 or VAR4 or VAR1 or VAR7) begin
case(VAR7)
0: out = VAR3;
1: out = VAR2;
2: out = VAR5;
3: out = VAR9;
4: out = VAR6;
5: out = VAR8;
6: out = VAR4;
7: out = VAR1;
endcase
end
endmodule
module MODULE2(VAR3, VAR2, VAR5, VAR9, VAR7, out);
output reg [15:0] out;
input [15:0] VAR3, VAR2, VAR5, VAR9;
input [1:0] VAR7;
always@(VAR3 or VAR2 or VAR5 or VAR9 or VAR7) begin
case(VAR7)
0: out = VAR3;
1: out = VAR2;
2: out = VAR5;
3: out = VAR9;
endcase
end
endmodule
module MODULE4(VAR3, VAR2, VAR5, VAR9, VAR7, out);
output reg [1:0] out;
input [1:0] VAR3, VAR2, VAR5, VAR9;
input [1:0] VAR7;
always@(VAR3 or VAR2 or VAR5 or VAR9 or VAR7) begin
case(VAR7)
0: out = VAR3;
1: out = VAR2;
2: out = VAR5;
3: out = VAR9;
endcase
end
endmodule
module MODULE3(VAR3, VAR2, VAR7, out);
output reg [15:0] out;
input [15:0] VAR3, VAR2;
input VAR7;
always@(VAR3 or VAR2 or VAR7) begin
case(VAR7)
0: out = VAR3;
1: out = VAR2;
endcase
end
endmodule
|
gpl-2.0
|
The-OpenROAD-Project/asap7
|
asap7sc7p5t_27/Verilog/asap7sc7p5t_SEQ_SRAM_TT_201020.v
| 73,337 |
module MODULE1 (VAR7, VAR26, VAR13, VAR15, VAR5);
output VAR7;
input VAR26, VAR13, VAR15, VAR5;
reg VAR3;
wire VAR18, VAR17, VAR6, VAR12;
wire VAR1, VAR10, VAR4;
not (VAR1, VAR18);
VAR21 (VAR4, VAR12, VAR1, VAR6, VAR17);
VAR20 (VAR10, VAR3, VAR12, VAR1, VAR6, VAR17, VAR4);
buf (VAR7, VAR10);
wire VAR23, VAR19, VAR28;
wire VAR24, VAR9, VAR16;
wire VAR2, VAR8, VAR11;
wire VAR27, VAR14, VAR22;
wire VAR25;
not (VAR25, VAR15);
not (VAR22, VAR13);
and (VAR23, VAR22, VAR25);
not (VAR14, VAR26);
and (VAR19, VAR14, VAR25);
and (VAR28, VAR5, VAR25);
not (VAR27, VAR5);
and (VAR24, VAR27, VAR25);
and (VAR9, VAR26, VAR22);
and (VAR16, VAR5, VAR22);
and (VAR2, VAR27, VAR22);
and (VAR8, VAR26, VAR22, VAR25);
and (VAR11, VAR14, VAR22, VAR25);
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a311o/sky130_fd_sc_ls__a311o.behavioral.v
| 1,567 |
module MODULE1 (
VAR9 ,
VAR1,
VAR3,
VAR13,
VAR4,
VAR2
);
output VAR9 ;
input VAR1;
input VAR3;
input VAR13;
input VAR4;
input VAR2;
supply1 VAR14;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR7 ;
wire VAR11 ;
wire VAR10;
and VAR8 (VAR11 , VAR13, VAR1, VAR3 );
or VAR12 (VAR10, VAR11, VAR2, VAR4);
buf VAR15 (VAR9 , VAR10 );
endmodule
|
apache-2.0
|
gbraad/minimig-de1
|
rtl/or1200/or1200_sb_fifo.v
| 4,883 |
module MODULE1(
VAR9, VAR13, VAR16, VAR15, VAR8, VAR12, VAR6, VAR17
);
parameter VAR3 = 68;
parameter VAR11 = VAR14;
parameter VAR7 = VAR4;
input VAR9; input VAR13; input [VAR3-1:0] VAR16; input VAR15; input VAR8; output [VAR3-1:0] VAR12; output VAR6; output VAR17;
reg [VAR3-1:0] VAR5 [VAR7-1:0];
reg [VAR3-1:0] VAR12;
reg [VAR11+1:0] VAR2;
reg [VAR11-1:0] VAR10;
reg [VAR11-1:0] VAR1;
reg VAR17;
reg VAR6;
always @(posedge VAR9 or posedge VAR13)
if (VAR13) begin
VAR6 <= 1'b0;
VAR17 <= 1'b1;
VAR10 <= {VAR11{1'b0}};
VAR1 <= {VAR11{1'b0}};
VAR2 <= {VAR11+2{1'b0}};
VAR12 <= {VAR3{1'b0}};
end
else if (VAR15 && VAR8) begin VAR5[VAR10] <= VAR16;
if (VAR10 >= VAR7-1)
VAR10 <= {VAR11{1'b0}};
end
else
VAR10 <= VAR10 + 1'b1;
if (VAR17) begin
VAR12 <= VAR16;
end
else begin
VAR12 <= VAR5[VAR1];
end
if (VAR1 >= VAR7-1)
VAR1 <= {VAR11{1'b0}};
else
VAR1 <= VAR1 + 1'b1;
end
else if (VAR15 && !VAR6) begin VAR5[VAR10] <= VAR16;
VAR2 <= VAR2 + 1'b1;
VAR17 <= 1'b0;
if (VAR2 >= (VAR7-1)) begin
VAR6 <= 1'b1;
VAR2 <= VAR7;
end
if (VAR10 >= VAR7-1)
VAR10 <= {VAR11{1'b0}};
end
else
VAR10 <= VAR10 + 1'b1;
end
else if (VAR8 && !VAR17) begin VAR12 <= VAR5[VAR1];
VAR2 <= VAR2 - 1'b1;
VAR6 <= 1'b0;
if (VAR2 <= 1) begin
VAR17 <= 1'b1;
VAR2 <= {VAR11+2{1'b0}};
end
if (VAR1 >= VAR7-1)
VAR1 <= {VAR11{1'b0}};
end
else
VAR1 <= VAR1 + 1'b1;
end
endmodule
|
gpl-3.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_26.v
| 18,571 |
module MODULE3 (
clk,
reset,
VAR158,
VAR38,
VAR20,
VAR15,
VAR10
);
parameter VAR16 = 18;
parameter VAR8 = 26;
parameter VAR157 = 13;
localparam VAR53 = 27;
input clk;
input reset;
input VAR158;
input VAR38;
input [VAR16-1:0] VAR20; output VAR15;
output [VAR16-1:0] VAR10;
localparam VAR155 = 18; localparam VAR56 = 36; localparam VAR102 = 17;
localparam VAR95 = 26;
reg [VAR16-1:0] VAR115;
reg [VAR16-1:0] VAR151;
reg [VAR16-1:0] VAR126;
reg [VAR16-1:0] VAR121;
reg [VAR16-1:0] VAR27;
reg [VAR16-1:0] VAR12;
reg [VAR16-1:0] VAR149;
reg [VAR16-1:0] VAR109;
reg [VAR16-1:0] VAR106;
reg [VAR16-1:0] VAR144;
reg [VAR16-1:0] VAR111;
reg [VAR16-1:0] VAR85;
reg [VAR16-1:0] VAR17;
always@(posedge clk) begin
VAR115 <= 18'd88;
VAR151 <= 18'd0;
VAR126 <= -18'd97;
VAR121 <= -18'd197;
VAR27 <= -18'd294;
VAR12 <= -18'd380;
VAR149 <= -18'd447;
VAR109 <= -18'd490;
VAR106 <= -18'd504;
VAR144 <= -18'd481;
VAR111 <= -18'd420;
VAR85 <= -18'd319;
VAR17 <= -18'd178;
end
reg [VAR53-1:0] VAR83;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR83 <= 0;
end else begin
if(VAR158) begin
VAR83 <= {VAR83[VAR53-2:0], VAR38};
end else begin
VAR83 <= VAR83;
end
end
end
wire [VAR16-1:0] VAR54;
wire [VAR16-1:0] VAR135;
wire [VAR16-1:0] VAR113;
wire [VAR16-1:0] VAR32;
wire [VAR16-1:0] VAR133;
wire [VAR16-1:0] VAR94;
wire [VAR16-1:0] VAR7;
wire [VAR16-1:0] VAR128;
wire [VAR16-1:0] VAR143;
wire [VAR16-1:0] VAR148;
wire [VAR16-1:0] VAR154;
wire [VAR16-1:0] VAR4;
wire [VAR16-1:0] VAR125;
wire [VAR16-1:0] VAR107;
wire [VAR16-1:0] VAR24;
wire [VAR16-1:0] VAR74;
wire [VAR16-1:0] VAR66;
wire [VAR16-1:0] VAR69;
wire [VAR16-1:0] VAR26;
wire [VAR16-1:0] VAR163;
wire [VAR16-1:0] VAR161;
wire [VAR16-1:0] VAR141;
wire [VAR16-1:0] VAR138;
wire [VAR16-1:0] VAR36;
wire [VAR16-1:0] VAR50;
wire [VAR16-1:0] VAR43;
MODULE2 MODULE9(
.clk(clk), .VAR158(VAR158),
.VAR120(VAR20),
.VAR114(VAR54),
.VAR2(VAR135),
.VAR118(VAR113),
.VAR112(VAR32),
.VAR82(VAR133),
.VAR89(VAR94),
.VAR78(VAR7),
.VAR18(VAR128),
.VAR119(VAR143),
.VAR71(VAR148),
.VAR124(VAR154),
.VAR139(VAR4),
.VAR129(VAR125),
.VAR28(VAR107),
.VAR164(VAR24),
.VAR52(VAR74),
.VAR22(VAR66),
.VAR92(VAR69),
.VAR68(VAR26),
.VAR117(VAR163),
.VAR159(VAR161),
.VAR80(VAR141),
.VAR29(VAR138),
.VAR45(VAR36),
.VAR131(VAR50),
.VAR40(VAR43),
.reset(reset) );
wire [VAR16-1:0] VAR41;
wire [VAR16-1:0] VAR19;
wire [VAR16-1:0] VAR67;
wire [VAR16-1:0] VAR60;
wire [VAR16-1:0] VAR42;
wire [VAR16-1:0] VAR84;
wire [VAR16-1:0] VAR142;
wire [VAR16-1:0] VAR11;
wire [VAR16-1:0] VAR100;
wire [VAR16-1:0] VAR75;
wire [VAR16-1:0] VAR76;
wire [VAR16-1:0] VAR55;
wire [VAR16-1:0] VAR123;
MODULE1 VAR77(
.VAR99 (VAR54),
.VAR1 (VAR43),
.VAR23(VAR41)
);
MODULE1 VAR13(
.VAR99 (VAR135),
.VAR1 (VAR50),
.VAR23(VAR19)
);
MODULE1 VAR147(
.VAR99 (VAR113),
.VAR1 (VAR36),
.VAR23(VAR67)
);
MODULE1 VAR134(
.VAR99 (VAR32),
.VAR1 (VAR138),
.VAR23(VAR60)
);
MODULE1 VAR137(
.VAR99 (VAR133),
.VAR1 (VAR141),
.VAR23(VAR42)
);
MODULE1 VAR140(
.VAR99 (VAR94),
.VAR1 (VAR161),
.VAR23(VAR84)
);
MODULE1 VAR162(
.VAR99 (VAR7),
.VAR1 (VAR163),
.VAR23(VAR142)
);
MODULE1 VAR81(
.VAR99 (VAR128),
.VAR1 (VAR26),
.VAR23(VAR11)
);
MODULE1 VAR57(
.VAR99 (VAR143),
.VAR1 (VAR69),
.VAR23(VAR100)
);
MODULE1 VAR165(
.VAR99 (VAR148),
.VAR1 (VAR66),
.VAR23(VAR75)
);
MODULE1 VAR105(
.VAR99 (VAR154),
.VAR1 (VAR74),
.VAR23(VAR76)
);
MODULE1 VAR73(
.VAR99 (VAR4),
.VAR1 (VAR24),
.VAR23(VAR55)
);
MODULE1 VAR62(
.VAR99 (VAR125),
.VAR1 (VAR107),
.VAR23(VAR123)
);
wire [VAR16-1:0] VAR44;
wire [VAR16-1:0] VAR98;
wire [VAR16-1:0] VAR152;
wire [VAR16-1:0] VAR103;
wire [VAR16-1:0] VAR63;
wire [VAR16-1:0] VAR150;
wire [VAR16-1:0] VAR31;
wire [VAR16-1:0] VAR70;
wire [VAR16-1:0] VAR136;
wire [VAR16-1:0] VAR79;
wire [VAR16-1:0] VAR35;
wire [VAR16-1:0] VAR47;
wire [VAR16-1:0] VAR116;
MODULE4 VAR30(
.VAR99 (VAR41),
.VAR1 (VAR115),
.VAR23(VAR44)
);
MODULE4 VAR3(
.VAR99 (VAR19),
.VAR1 (VAR151),
.VAR23(VAR98)
);
MODULE4 VAR108(
.VAR99 (VAR67),
.VAR1 (VAR126),
.VAR23(VAR152)
);
MODULE4 VAR132(
.VAR99 (VAR60),
.VAR1 (VAR121),
.VAR23(VAR103)
);
MODULE4 VAR65(
.VAR99 (VAR42),
.VAR1 (VAR27),
.VAR23(VAR63)
);
MODULE4 VAR101(
.VAR99 (VAR84),
.VAR1 (VAR12),
.VAR23(VAR150)
);
MODULE4 VAR130(
.VAR99 (VAR142),
.VAR1 (VAR149),
.VAR23(VAR31)
);
MODULE4 VAR25(
.VAR99 (VAR11),
.VAR1 (VAR109),
.VAR23(VAR70)
);
MODULE4 VAR146(
.VAR99 (VAR100),
.VAR1 (VAR106),
.VAR23(VAR136)
);
MODULE4 VAR33(
.VAR99 (VAR75),
.VAR1 (VAR144),
.VAR23(VAR79)
);
MODULE4 VAR90(
.VAR99 (VAR76),
.VAR1 (VAR111),
.VAR23(VAR35)
);
MODULE4 VAR14(
.VAR99 (VAR55),
.VAR1 (VAR85),
.VAR23(VAR47)
);
MODULE4 VAR91(
.VAR99 (VAR123),
.VAR1 (VAR17),
.VAR23(VAR116)
);
wire [VAR16-1:0] VAR37;
wire [VAR16-1:0] VAR64;
wire [VAR16-1:0] VAR72;
wire [VAR16-1:0] VAR88;
wire [VAR16-1:0] VAR96;
wire [VAR16-1:0] VAR160;
wire [VAR16-1:0] VAR153;
MODULE1 VAR127(
.VAR99 (VAR44),
.VAR1 (VAR98),
.VAR23(VAR37)
);
MODULE1 VAR145(
.VAR99 (VAR152),
.VAR1 (VAR103),
.VAR23(VAR64)
);
MODULE1 VAR46(
.VAR99 (VAR63),
.VAR1 (VAR150),
.VAR23(VAR72)
);
MODULE1 VAR21(
.VAR99 (VAR31),
.VAR1 (VAR70),
.VAR23(VAR88)
);
MODULE1 VAR48(
.VAR99 (VAR136),
.VAR1 (VAR79),
.VAR23(VAR96)
);
MODULE1 VAR86(
.VAR99 (VAR35),
.VAR1 (VAR47),
.VAR23(VAR160)
);
MODULE5 VAR6(
.VAR99 (VAR116),
.VAR23(VAR153)
);
wire [VAR16-1:0] VAR87;
wire [VAR16-1:0] VAR59;
wire [VAR16-1:0] VAR110;
wire [VAR16-1:0] VAR9;
MODULE1 VAR51(
.VAR99 (VAR37),
.VAR1 (VAR64),
.VAR23(VAR87)
);
MODULE1 VAR58(
.VAR99 (VAR72),
.VAR1 (VAR88),
.VAR23(VAR59)
);
MODULE1 VAR61(
.VAR99 (VAR96),
.VAR1 (VAR160),
.VAR23(VAR110)
);
MODULE5 VAR93(
.VAR99 (VAR153),
.VAR23(VAR9)
);
wire [VAR16-1:0] VAR156;
wire [VAR16-1:0] VAR34;
MODULE1 VAR104(
.VAR99 (VAR87),
.VAR1 (VAR59),
.VAR23(VAR156)
);
MODULE1 VAR39(
.VAR99 (VAR110),
.VAR1 (VAR9),
.VAR23(VAR34)
);
wire [VAR16-1:0] VAR49;
MODULE1 VAR122(
.VAR99 (VAR156),
.VAR1 (VAR34),
.VAR23(VAR49)
);
reg [17:0] VAR10;
always @(posedge clk) begin
if(VAR158) begin
VAR10 <= VAR49;
end
end
assign VAR15 = VAR83[VAR53-1];
endmodule
module MODULE2 (
clk,
VAR158,
VAR120,
VAR114,
VAR2,
VAR118,
VAR112,
VAR82,
VAR89,
VAR78,
VAR18,
VAR119,
VAR71,
VAR124,
VAR139,
VAR129,
VAR28,
VAR164,
VAR52,
VAR22,
VAR92,
VAR68,
VAR117,
VAR159,
VAR80,
VAR29,
VAR45,
VAR131,
VAR40,
reset);
parameter VAR5 = 1;
input clk;
input VAR158;
input [VAR5-1:0] VAR120;
output [VAR5-1:0] VAR114;
output [VAR5-1:0] VAR2;
output [VAR5-1:0] VAR118;
output [VAR5-1:0] VAR112;
output [VAR5-1:0] VAR82;
output [VAR5-1:0] VAR89;
output [VAR5-1:0] VAR78;
output [VAR5-1:0] VAR18;
output [VAR5-1:0] VAR119;
output [VAR5-1:0] VAR71;
output [VAR5-1:0] VAR124;
output [VAR5-1:0] VAR139;
output [VAR5-1:0] VAR129;
output [VAR5-1:0] VAR28;
output [VAR5-1:0] VAR164;
output [VAR5-1:0] VAR52;
output [VAR5-1:0] VAR22;
output [VAR5-1:0] VAR92;
output [VAR5-1:0] VAR68;
output [VAR5-1:0] VAR117;
output [VAR5-1:0] VAR159;
output [VAR5-1:0] VAR80;
output [VAR5-1:0] VAR29;
output [VAR5-1:0] VAR45;
output [VAR5-1:0] VAR131;
output [VAR5-1:0] VAR40;
reg [VAR5-1:0] VAR114;
reg [VAR5-1:0] VAR2;
reg [VAR5-1:0] VAR118;
reg [VAR5-1:0] VAR112;
reg [VAR5-1:0] VAR82;
reg [VAR5-1:0] VAR89;
reg [VAR5-1:0] VAR78;
reg [VAR5-1:0] VAR18;
reg [VAR5-1:0] VAR119;
reg [VAR5-1:0] VAR71;
reg [VAR5-1:0] VAR124;
reg [VAR5-1:0] VAR139;
reg [VAR5-1:0] VAR129;
reg [VAR5-1:0] VAR28;
reg [VAR5-1:0] VAR164;
reg [VAR5-1:0] VAR52;
reg [VAR5-1:0] VAR22;
reg [VAR5-1:0] VAR92;
reg [VAR5-1:0] VAR68;
reg [VAR5-1:0] VAR117;
reg [VAR5-1:0] VAR159;
reg [VAR5-1:0] VAR80;
reg [VAR5-1:0] VAR29;
reg [VAR5-1:0] VAR45;
reg [VAR5-1:0] VAR131;
reg [VAR5-1:0] VAR40;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR114 <= 0;
VAR2 <= 0;
VAR118 <= 0;
VAR112 <= 0;
VAR82 <= 0;
VAR89 <= 0;
VAR78 <= 0;
VAR18 <= 0;
VAR119 <= 0;
VAR71 <= 0;
VAR124 <= 0;
VAR139 <= 0;
VAR129 <= 0;
VAR28 <= 0;
VAR164 <= 0;
VAR52 <= 0;
VAR22 <= 0;
VAR92 <= 0;
VAR68 <= 0;
VAR117 <= 0;
VAR159 <= 0;
VAR80 <= 0;
VAR29 <= 0;
VAR45 <= 0;
VAR131 <= 0;
VAR40 <= 0;
end else begin
if(VAR158) begin
VAR114 <= VAR120;
VAR2 <= VAR114;
VAR118 <= VAR2;
VAR112 <= VAR118;
VAR82 <= VAR112;
VAR89 <= VAR82;
VAR78 <= VAR89;
VAR18 <= VAR78;
VAR119 <= VAR18;
VAR71 <= VAR119;
VAR124 <= VAR71;
VAR139 <= VAR124;
VAR129 <= VAR139;
VAR28 <= VAR129;
VAR164 <= VAR28;
VAR52 <= VAR164;
VAR22 <= VAR52;
VAR92 <= VAR22;
VAR68 <= VAR92;
VAR117 <= VAR68;
VAR159 <= VAR117;
VAR80 <= VAR159;
VAR29 <= VAR80;
VAR45 <= VAR29;
VAR131 <= VAR45;
VAR40 <= VAR131;
end end
end
endmodule
module MODULE1 (
VAR99,
VAR1,
VAR23);
input clk;
input VAR158;
input [17:0] VAR99;
input [17:0] VAR1;
output [17:0] VAR23;
assign VAR23 = VAR99 + VAR1;
endmodule
module MODULE4 (
VAR99,
VAR1,
VAR23);
input clk;
input VAR158;
input [17:0] VAR99;
input [17:0] VAR1;
output [17:0] VAR23;
assign VAR23 = VAR99 * VAR1;
endmodule
module MODULE5 (
VAR99,
VAR23);
input clk;
input VAR158;
input [17:0] VAR99;
output [17:0] VAR23;
assign VAR23 = VAR99;
endmodule
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.