repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and2/sky130_fd_sc_lp__and2.behavioral.pp.v
1,783
module MODULE1 ( VAR7 , VAR11 , VAR6 , VAR10, VAR2, VAR12 , VAR1 ); output VAR7 ; input VAR11 ; input VAR6 ; input VAR10; input VAR2; input VAR12 ; input VAR1 ; wire VAR3 ; wire VAR9; and VAR4 (VAR3 , VAR11, VAR6 ); VAR13 VAR8 (VAR9, VAR3, VAR10, VAR2); buf VAR5 (VAR7 , VAR9 ); endmodule
apache-2.0
monotone-RK/FACE
MCSoC-15/8-way_8-parallel/src/vivado_ip_dram/controller/mig_7series_v2_3_arb_mux.v
19,765
module MODULE1 # ( parameter VAR34 = 100, parameter VAR44 = "VAR104", parameter VAR66 = "1T", parameter VAR61 = 11, parameter VAR49 = 3, parameter VAR122 = "8", parameter VAR101 = 4, parameter VAR90 = 5, parameter VAR57 = 5, parameter VAR117 = 31, parameter VAR89 = 8, parameter VAR84 = "VAR12", parameter VAR95 = "VAR79", parameter VAR20 = "VAR104", parameter VAR69 = "VAR104", parameter VAR55 = 4, parameter VAR123 = 2, parameter VAR26 = 1, parameter VAR10 = 37500, parameter VAR102 = 12500, parameter VAR97 = 2, parameter VAR87 = 6, parameter VAR96 = 1, parameter VAR86 = 15, parameter VAR50 = 2, parameter VAR72 = 63, parameter VAR80 = 16, parameter VAR39 = "40", parameter VAR94 = "120", parameter VAR110 = 8'b00000101, parameter VAR42 = 8'b00001010 ) ( output [VAR80-1:0] VAR24, output [VAR49-1:0] VAR5, output [VAR89-1:0] VAR6, output VAR18, output [VAR50-1:0] VAR38, output VAR73, output VAR32, output [VAR80-1:0] VAR41, output VAR100, output [VAR89-1:0] VAR76, output wire [VAR123-1:0] VAR43, output wire [VAR123-1:0] VAR9, output wire [VAR123-1:0] VAR93, output wire [VAR123*VAR80-1:0] VAR2, output wire [VAR123*VAR49-1:0] VAR67, output wire [VAR101*VAR26*VAR123-1:0] VAR65, output wire [1:0] VAR4, output wire [VAR123-1:0] VAR83, output wire [3:0] VAR88, output wire [3:0] VAR59, output [2:0] VAR14, output [5:0] VAR62, output [5:0] VAR51, output [5:0] VAR45, output [1:0] VAR47, output [VAR50-1:0] VAR99, output VAR48, output [VAR55-1:0] VAR33, output [VAR55-1:0] VAR64, output VAR81, output VAR52, output VAR103, output [VAR55-1:0] VAR108, output VAR63, output VAR82, output VAR25, input clk, input rst, input VAR114, input [6*VAR96-1:0] VAR37, input [6*VAR96-1:0] VAR106, input [6*VAR96-1:0] VAR121, input [VAR72:0] VAR118, input [VAR55-1:0] VAR71, input VAR23, input [VAR50-1:0] VAR27, input VAR1, input VAR115, input VAR111, input [VAR55-1:0] VAR13, input [VAR61:0] VAR113, input [VAR55-1:0] VAR46, input [VAR117:0] VAR19, input [VAR55-1:0] VAR116, input [VAR86:0] VAR35, input [VAR55-1:0] VAR11, input [VAR72:0] VAR120, input [VAR55-1:0] VAR77, input [VAR55-1:0] VAR91, input [VAR72:0] VAR60, input [VAR55-1:0] VAR70, input [VAR55-1:0] VAR105, input [VAR55-1:0] VAR85, input [VAR55-1:0] VAR56, input [VAR55-1:0] VAR58, input [7:0] VAR31, input [7:0] VAR54 ); wire VAR29; wire VAR75; wire [VAR55-1:0] VAR16; wire [VAR55-1:0] VAR40; wire [VAR55-1:0] VAR21; wire [VAR55-1:0] VAR36; wire [VAR55-1:0] VAR109; wire VAR112; wire VAR7; wire VAR53; wire VAR78; wire VAR92; wire VAR15; wire VAR107; wire VAR28; wire [5:0] VAR98; wire VAR8; wire VAR68; wire VAR3; assign VAR81 = VAR8; VAR74 # ( .VAR34 (VAR34), .VAR66 (VAR66), .VAR57 (VAR57), .VAR20 (VAR20), .VAR55 (VAR55), .VAR123 (VAR123), .VAR10 (VAR10), .VAR102 (VAR102), .VAR87 (VAR87)) VAR119 ( .VAR36 (VAR36[VAR55-1:0]), .VAR109 (VAR109[VAR55-1:0]), .VAR103 (VAR103), .VAR33 (VAR33[VAR55-1:0]), .VAR64 (VAR64[VAR55-1:0]), .VAR21 (VAR21[VAR55-1:0]), .VAR63 (VAR63), .VAR25 (VAR25), .VAR48 (VAR48), .VAR16 (VAR16[VAR55-1:0]), .VAR108 (VAR108[VAR55-1:0]), .VAR81 (VAR8), .VAR52 (VAR52), .VAR40 (VAR40[VAR55-1:0]), .VAR112 (VAR112), .VAR7 (VAR7), .VAR53 (VAR53), .VAR78 (VAR78), .VAR92 (VAR92), .VAR15 (VAR15), .VAR107 (VAR107), .VAR28 (VAR28), .VAR98 (VAR98), .VAR29 (VAR29), .VAR75 (VAR75), .VAR68 (VAR68), .VAR3 (VAR3), .VAR82 (VAR82), .clk (clk), .rst (rst), .VAR56 (VAR56[VAR55-1:0]), .VAR58 (VAR58[VAR55-1:0]), .VAR23 (VAR23), .VAR85 (VAR85[VAR55-1:0]), .VAR105 (VAR105[VAR55-1:0]), .VAR71 (VAR71[VAR55-1:0])); VAR30 # ( .VAR34 (VAR34), .VAR44 (VAR44), .VAR66 (VAR66), .VAR61 (VAR61), .VAR49 (VAR49), .VAR122 (VAR122), .VAR101 (VAR101), .VAR90 (VAR90), .VAR57 (VAR57), .VAR117 (VAR117), .VAR89 (VAR89), .VAR84 (VAR84), .VAR20 (VAR20), .VAR69 (VAR69), .VAR95 (VAR95), .VAR55 (VAR55), .VAR123 (VAR123), .VAR26 (VAR26), .VAR97 (VAR97), .VAR96 (VAR96), .VAR86 (VAR86), .VAR50 (VAR50), .VAR72 (VAR72), .VAR80 (VAR80), .VAR39 (VAR39), .VAR94 (VAR94), .VAR110 (VAR110), .VAR42 (VAR42)) VAR22 ( .VAR18 (VAR18), .VAR38 (VAR38[VAR50-1:0]), .VAR5 (VAR5[VAR49-1:0]), .VAR24 (VAR24[VAR80-1:0]), .VAR73 (VAR73), .VAR32 (VAR32), .VAR100 (VAR100), .VAR41 (VAR41[VAR80-1:0]), .VAR6 (VAR6[VAR89-1:0]), .VAR76 (VAR76[VAR89-1:0]), .VAR67 (VAR67), .VAR2 (VAR2), .VAR43 (VAR43), .VAR9 (VAR9), .VAR93 (VAR93), .VAR65 (VAR65), .VAR4 (VAR4), .VAR83 (VAR83), .VAR88 (VAR88), .VAR59 (VAR59), .VAR14 (VAR14), .VAR62 (VAR62), .VAR51 (VAR51), .VAR45 (VAR45), .VAR47 (VAR47), .VAR98 (VAR98), .VAR99 (VAR99), .clk (clk), .rst (rst), .VAR114 (VAR114), .VAR37 (VAR37), .VAR106 (VAR106), .VAR121 (VAR121), .VAR35 (VAR35[VAR86:0]), .VAR113 (VAR113[VAR61:0]), .VAR11 (VAR11[VAR55-1:0]), .VAR46 (VAR46[VAR55-1:0]), .VAR91 (VAR91[VAR55-1:0]), .VAR36 (VAR36[VAR55-1:0]), .VAR109 (VAR109[VAR55-1:0]), .VAR60 (VAR60[VAR72:0]), .VAR70 (VAR70[VAR55-1:0]), .VAR82 (VAR82), .VAR1 (VAR1), .VAR115 (VAR115), .VAR111 (VAR111), .VAR27 (VAR27[VAR50-1:0]), .VAR116 (VAR116[VAR55-1:0]), .VAR77 (VAR77[VAR55-1:0]), .VAR13 (VAR13[VAR55-1:0]), .VAR120 (VAR120[VAR72:0]), .VAR118 (VAR118[VAR72:0]), .VAR19 (VAR19[VAR117:0]), .VAR16 (VAR16[VAR55-1:0]), .VAR40 (VAR40[VAR55-1:0]), .VAR112 (VAR112), .VAR7 (VAR7), .VAR53 (VAR53), .VAR78 (VAR78), .VAR92 (VAR92), .VAR15 (VAR15), .VAR107 (VAR107), .VAR28 (VAR28), .VAR81 (VAR44 == "VAR17" ? VAR52 : VAR81), .VAR29 (VAR29), .VAR75 (VAR75), .VAR68 (VAR68), .VAR3 (VAR3), .VAR21 (VAR21[VAR55-1:0]), .VAR63 (VAR63), .VAR31 (VAR31[7:0]), .VAR54 (VAR54[7:0])); endmodule
mit
hoangt/multiported-ram
mpram_lvt_1ht.v
7,819
module MODULE1 localparam VAR27 = VAR17(VAR33 ); reg [VAR27 -1:0] VAR35 [VAR6-1:0] ; reg [VAR5 -1:0] VAR16 [VAR6-1:0] ; wire [VAR5*VAR21 -1:0] VAR31 [VAR6-1:0] ; reg [VAR5 -1:0] VAR24 [VAR6-1:0][VAR21-1:0]; reg [VAR5 -1:0] VAR3 [VAR6-1:0][VAR21-1:0]; wire [VAR5 -1:0] VAR1 [VAR21-1:0] ; wire [VAR6*VAR21-1:0] VAR22 ; reg [VAR6 -1:0] VAR18 [VAR21-1:0] ; VAR4; always @* begin end VAR32 #( .VAR33 (VAR33 ), .VAR21(VAR21 ), .VAR6(VAR6 ), .VAR2 (VAR2 ), .VAR19 (VAR19 ), .VAR26 (VAR26 ), .VAR36 (VAR12!=""), .VAR12 ("" )) VAR25 ( .clk (clk ), .VAR8 (VAR8 ), .VAR9 (VAR9 ), .VAR34 (VAR34 ), .VAR22 (VAR22 )); genvar VAR30,VAR10; generate for (VAR30=0 ; VAR30<VAR6 ; VAR30=VAR30+1) begin: VAR15 VAR7 #( .VAR33 (VAR33 ), .VAR5 (VAR5 ), .VAR21(VAR21 ), .VAR28 (VAR26 ), .VAR36 (0 ), .VAR12 (VAR30?"":VAR12 )) VAR20 ( .clk (clk ), .VAR8 (VAR8[VAR30] ), .VAR9 (VAR35[VAR30] ), .VAR13 (VAR16[VAR30] ), .VAR34 (VAR34 ), .VAR14 (VAR31[VAR30])); end for (VAR10=0 ; VAR10<VAR21 ; VAR10=VAR10+1) begin: VAR29 for (VAR30=0 ; VAR30<VAR6 ; VAR30=VAR30+1) begin: VAR11 assign VAR1[VAR10] = VAR18[VAR10][VAR30] ? VAR24[VAR30][VAR10] : {VAR5{1'VAR23}}; end end endgenerate endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlxtp/sky130_fd_sc_hd__dlxtp.symbol.v
1,339
module MODULE1 ( input VAR6 , output VAR7 , input VAR1 ); supply1 VAR4; supply0 VAR3; supply1 VAR2 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4b/sky130_fd_sc_lp__and4b.functional.pp.v
1,988
module MODULE1 ( VAR3 , VAR16 , VAR9 , VAR12 , VAR1 , VAR17, VAR10, VAR6 , VAR7 ); output VAR3 ; input VAR16 ; input VAR9 ; input VAR12 ; input VAR1 ; input VAR17; input VAR10; input VAR6 ; input VAR7 ; wire VAR13 ; wire VAR2 ; wire VAR14; not VAR11 (VAR13 , VAR16 ); and VAR5 (VAR2 , VAR13, VAR9, VAR12, VAR1 ); VAR4 VAR15 (VAR14, VAR2, VAR17, VAR10); buf VAR8 (VAR3 , VAR14 ); endmodule
apache-2.0
CospanDesign/nysa-sdio-device
rtl/generic/crc7.v
2,288
module MODULE1 #( parameter VAR3 = 8'h09, parameter VAR2 = 8'h00 )( input clk, input rst, input bit, output [6:0] VAR1, input VAR4 ); reg [7:0] VAR5; assign VAR1 = VAR5[6:0]; always @ (posedge clk) begin if (rst) begin VAR5 <= VAR2; end else begin if (!VAR4) VAR5[7:0] <= bit ? ({VAR5[6:0], 1'b0} ^ VAR3) : {VAR5[6:0], 1'b0}; end end endmodule
mit
DougFirErickson/parallella-hw
boards/archive/gen1.1/fpga/hdl/ewrapper_io_rx_slow.v
8,448
module MODULE1 ( VAR15, VAR19, VAR9, VAR40, VAR5, VAR32, VAR1, VAR24, VAR10 ); input VAR9; input VAR40; input VAR5; input VAR32; input [8:0] VAR1; input [8:0] VAR24; input VAR10; output VAR15; output [71:0] VAR19; reg [1:0] VAR45; reg [8:0] VAR8; reg [8:0] VAR14; reg [8:0] VAR30; reg [8:0] VAR7; reg [8:0] VAR54; reg [8:0] VAR22; reg [8:0] VAR16; reg [8:0] VAR2; reg [8:0] VAR46; reg [8:0] VAR47; reg [71:0] VAR6; reg VAR56; reg VAR43; reg [71:0] VAR17; wire reset; wire VAR42; wire VAR59; wire [71:0] VAR55; wire VAR27; wire [8:0] VAR50; wire [8:0] VAR41; wire [8:0] VAR44; assign reset = VAR32; assign VAR19[71:0] = VAR17[71:0]; assign VAR15 = VAR42; genvar VAR29; generate for (VAR29 = 0; VAR29 < 9; VAR29 = VAR29 + 1) begin: VAR23 VAR25 VAR53 (.VAR37 (VAR1[VAR29]), .VAR36 (VAR24[VAR29]), .VAR35 (VAR50[VAR29])); end endgenerate VAR26 VAR31 (.VAR37 (VAR9), .VAR36 (VAR40), .VAR35 (VAR59)); VAR33 .VAR3("4")) VAR18 (.VAR35 (VAR42), .VAR11(1'b1), .VAR4(VAR5), .VAR37 (VAR59)); always @ (posedge VAR59 or posedge reset) if(reset) VAR45[1:0] <= 2'b00; else if(VAR27) VAR45[1:0] <= 2'b11; else VAR45[1:0] <= VAR45[1:0] + 2'b01; always @ (negedge VAR59) VAR56 <= VAR42; always @ (negedge VAR59) VAR43 <= VAR56; assign VAR27 = VAR56 & ~VAR43; always @ (posedge VAR59 or posedge reset) if(reset) VAR6[71:0] <= {(72){1'b0}}; else VAR6[71:0] <= VAR55[71:0]; always @ (posedge VAR42 or posedge reset) if(reset) VAR17[71:0] <= {(72){1'b0}}; else VAR17[71:0] <= VAR6[71:0]; genvar VAR51; generate for (VAR51 = 0; VAR51 < 9; VAR51 = VAR51 + 1) begin: VAR21 VAR34 #( .VAR48 ("VAR39"), .VAR28 ("VAR58")) VAR13 ( .VAR12 (VAR41[VAR51]), .VAR20 (VAR44[VAR51]), .VAR57 (VAR59), .VAR11 (1'b1), .VAR52 (VAR50[VAR51]), .VAR49 (reset), .VAR38 (1'b0)); end endgenerate always @ (posedge VAR59 or posedge reset) if(reset) begin VAR8[8:0] <= {(8){1'b0}}; VAR14[8:0] <= {(8){1'b0}}; end else begin VAR8[8:0] <= VAR41[8:0]; VAR14[8:0] <= VAR44[8:0]; end always @ (posedge VAR59 or posedge reset) if(reset) VAR30[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b00) VAR30[8:0] <= VAR8[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR7[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b01) VAR7[8:0] <= VAR8[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR54[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b10) VAR54[8:0] <= VAR8[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR22[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b11) VAR22[8:0] <= VAR8[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR16[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b00) VAR16[8:0] <= VAR14[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR2[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b01) VAR2[8:0] <= VAR14[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR46[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b10) VAR46[8:0] <= VAR14[8:0]; always @ (posedge VAR59 or posedge reset) if(reset) VAR47[8:0] <= {(8){1'b0}}; else if(VAR45[1:0] == 2'b11) VAR47[8:0] <= VAR14[8:0]; assign VAR55[71:64]={VAR30[8],VAR16[8],VAR7[8],VAR2[8], VAR54[8],VAR46[8],VAR22[8],VAR47[8]}; assign VAR55[63:56]={VAR30[7],VAR16[7],VAR7[7],VAR2[7], VAR54[7],VAR46[7],VAR22[7],VAR47[7]}; assign VAR55[55:48]={VAR30[6],VAR16[6],VAR7[6],VAR2[6], VAR54[6],VAR46[6],VAR22[6],VAR47[6]}; assign VAR55[47:40]={VAR30[5],VAR16[5],VAR7[5],VAR2[5], VAR54[5],VAR46[5],VAR22[5],VAR47[5]}; assign VAR55[39:32]={VAR30[4],VAR16[4],VAR7[4],VAR2[4], VAR54[4],VAR46[4],VAR22[4],VAR47[4]}; assign VAR55[31:24]={VAR30[3],VAR16[3],VAR7[3],VAR2[3], VAR54[3],VAR46[3],VAR22[3],VAR47[3]}; assign VAR55[23:16]={VAR30[2],VAR16[2],VAR7[2],VAR2[2], VAR54[2],VAR46[2],VAR22[2],VAR47[2]}; assign VAR55[15:8] ={VAR30[1],VAR16[1],VAR7[1],VAR2[1], VAR54[1],VAR46[1],VAR22[1],VAR47[1]}; assign VAR55[7:0] ={VAR30[0],VAR16[0],VAR7[0],VAR2[0], VAR54[0],VAR46[0],VAR22[0],VAR47[0]}; endmodule
gpl-3.0
SiLab-Bonn/fe65_p2
firmware/src/fe65p2_mio.v
12,195
module MODULE1 ( input wire VAR126, inout wire [7:0] VAR86, input wire [15:0] VAR136, input wire VAR78, input wire VAR175, inout wire [7:0] VAR14, input wire VAR52, input wire VAR98, input wire VAR184, output wire [19:0] VAR156, inout wire [15:0] VAR9, output wire VAR138, output wire VAR62, output wire VAR101, output wire VAR44, output wire VAR177, input wire [2:0] VAR15, output wire [2:0] VAR165, inout wire VAR140, inout wire VAR69, output wire [4:0] VAR1, output wire [1:0] VAR173, output wire VAR134, output wire VAR91, output wire VAR152, output wire VAR162, output wire VAR164, output wire VAR81, output wire VAR155, input wire VAR59, input wire VAR46, output wire VAR171, output wire VAR122, input wire VAR113 ); localparam VAR28 = 16'h0000; localparam VAR63 = 16'h1000-1; localparam VAR183 = 16'h1000; localparam VAR33 = 16'h2000-1; localparam VAR54 = 16'h2000; localparam VAR61 = 16'h3000-1; localparam VAR10 = 16'h3000; localparam VAR181 = 16'h4000-1; localparam VAR67 = 16'h4000; localparam VAR60 = 16'h5000-1; localparam VAR49 = 16'h5000; localparam VAR107 = 16'h6000-1; localparam VAR163 = 16'h6000; localparam VAR180 = 16'h7000-1; localparam VAR137 = 16'h8000; localparam VAR75 = 16'h9000-1; localparam VAR117 = 16'h9000; localparam VAR57 = 16'ha000-1; wire VAR158; wire VAR13; wire VAR89; wire VAR64; wire VAR139; wire VAR68; wire VAR35; VAR36 #( .VAR17(8) ) VAR26 ( .VAR40(VAR68), .VAR141(1'b0), .VAR124(), .VAR99(VAR35) ); wire VAR103; VAR157 VAR167( .VAR43(VAR126), .VAR139(VAR139), .VAR25(VAR68), .VAR130(VAR89), .VAR74(VAR64), .VAR102(VAR13), .VAR160(VAR158), .VAR20(VAR103) ); wire VAR23; VAR50 VAR55(.VAR40(VAR139), .VAR66(VAR23)); wire [15:0] VAR125; wire VAR22, VAR30; VAR93 VAR119 ( .VAR136(VAR136), .VAR78(VAR78), .VAR175(VAR175), .VAR139(VAR139), .VAR125(VAR125), .VAR22(VAR22), .VAR30(VAR30) ); wire [7:0] VAR97; VAR48 .VAR150(VAR28), .VAR53(VAR63), .VAR104(8), .VAR176(8'hff) ) VAR4 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86[7:0]), .VAR22(VAR22), .VAR30(VAR30), .VAR127(VAR97) ); wire VAR172, VAR121; assign VAR173 = VAR97[1:0]; VAR2 VAR169(.VAR88(VAR97[2]), .VAR3(1'b0), .VAR96(VAR89), .VAR124(1'b1), .VAR185(1'b0), .VAR77(1'b0), .VAR182(VAR134) ); VAR2 VAR116(.VAR88(VAR97[6]), .VAR3(1'b0), .VAR96(VAR13), .VAR124(1'b1), .VAR185(1'b0), .VAR77(1'b0), .VAR182(VAR122) ); assign VAR171 = VAR97[3]; wire VAR84; assign VAR84 = VAR97[4]; assign VAR172 = VAR97[5]; assign VAR121 = VAR97[7]; wire VAR92, VAR79, VAR159, VAR47, VAR82; VAR16 .VAR150(VAR183), .VAR53(VAR33), .VAR179(512) ) VAR6 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86[7:0]), .VAR22(VAR22), .VAR30(VAR30), .VAR115(VAR68), .VAR92(VAR92), .VAR79(VAR79), .VAR159(VAR159), .VAR47(VAR47), .VAR82(VAR82) ); reg [2:0] VAR108; always@(posedge VAR35) if(VAR23) VAR108 <= 0; else if(VAR47) VAR108 <= 3'b111; else if(VAR108 != 0) VAR108 <= VAR108 - 1; wire VAR154; assign VAR159 = VAR59; assign VAR155 = VAR79; assign VAR162 = VAR92; assign VAR164 = VAR84; assign VAR81 = (VAR82 & !VAR172) | VAR154 | VAR121; VAR151 .VAR150(VAR67), .VAR53(VAR60) ) VAR90 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86[7:0]), .VAR22(VAR22), .VAR30(VAR30), .VAR128(~VAR89), .VAR100(VAR82), .VAR72(VAR152) ); VAR151 .VAR150(VAR49), .VAR53(VAR107) ) VAR112 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86[7:0]), .VAR22(VAR22), .VAR30(VAR30), .VAR128(~VAR89), .VAR100(VAR82), .VAR72(VAR154) ); VAR151 .VAR150(VAR10), .VAR53(VAR181) ) VAR21 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86[7:0]), .VAR22(VAR22), .VAR30(VAR30), .VAR128(~VAR89), .VAR100(VAR154 | VAR152), .VAR72(VAR91) ); wire VAR131, VAR123; wire [31:0] VAR161; wire VAR147; wire VAR19; wire [31:0] VAR31; wire VAR24; wire VAR111; wire [31:0] VAR133; VAR109 .VAR174(2) ) VAR178 ( .VAR66(VAR23), .VAR40(VAR139), .VAR7({~VAR19, ~VAR111}), .VAR145({2'b0}), .VAR65({VAR31, VAR133}), .VAR39({VAR147, VAR24}), .VAR42(VAR131), .VAR41(VAR123), .VAR120(VAR161) ); wire VAR18, VAR87, VAR168, VAR149; VAR135 #( .VAR150(VAR163), .VAR53(VAR180), .VAR146(10), .VAR94(0) ) VAR143 ( .VAR5(VAR13), .VAR71(VAR158), .VAR73(VAR64), .VAR38(VAR113), .VAR18(VAR18), .VAR87(VAR87), .VAR168(VAR168), .VAR58(VAR147), .VAR70(VAR19), .VAR32(VAR31), .VAR149(VAR149), .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86), .VAR22(VAR22), .VAR30(VAR30) ); VAR51 #( .VAR150(VAR117), .VAR53(VAR57), .VAR148(4), .VAR94(4'b0100), .VAR110(1), .VAR144(1) ) VAR132 ( .VAR158(VAR158), .VAR13(VAR13), .VAR118(VAR89), .VAR56(VAR46), .VAR27(VAR165[0]), .VAR85(VAR15[0]), .VAR142(), .VAR58(VAR24), .VAR70(VAR111), .VAR32(VAR133), .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86), .VAR22(VAR22), .VAR30(VAR30), .VAR34(1'b0), .VAR105(1'b0), .VAR29(16'b0) ); assign VAR165[1] = VAR152; assign VAR165[2] = 1'b0; wire VAR80; assign VAR80 = VAR52 & VAR98; wire VAR12; VAR8 #( .VAR150(VAR137), .VAR53(VAR75) ) VAR45 ( .VAR139(VAR139), .VAR23(VAR23), .VAR125(VAR125), .VAR86(VAR86), .VAR22(VAR22), .VAR30(VAR30), .VAR156(VAR156), .VAR9(VAR9), .VAR138(VAR138), .VAR62(VAR62), .VAR101(VAR101), .VAR44(VAR44), .VAR177(VAR177), .VAR80(VAR80), .VAR83(VAR14), .VAR166(VAR131), .VAR114(!VAR123), .VAR32(VAR161), .VAR37(), .VAR12(VAR12), .VAR106(), .VAR95() ); assign VAR140 = 1'VAR11; assign VAR69 = 1'VAR11; wire VAR170; VAR36 #( .VAR17(13333333) ) VAR129 ( .VAR40(VAR89), .VAR141(1'b0), .VAR124(), .VAR99(VAR170) ); wire VAR153; VAR36 #( .VAR17(40000000) ) VAR76 ( .VAR40(VAR89), .VAR141(1'b0), .VAR124(), .VAR99(VAR153) ); assign VAR1[2:0] = 3'b000; assign VAR1[3] = VAR18 & ((VAR87? VAR170 : VAR153) | VAR168 | VAR149); assign VAR1[4] = (VAR153 | VAR12) & VAR103; endmodule
gpl-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/output_queues/sram_rr_output_queues/src/remove_pkt.v
18,834
module MODULE1 parameter VAR73 = 64, parameter VAR75=VAR73/8, parameter VAR98 = 8, parameter VAR89 = 13, parameter VAR83 = 6, parameter VAR57 = 4, parameter VAR38 = VAR78, parameter VAR82 = 11, parameter VAR61 = VAR82-VAR35(VAR75), parameter VAR34 = VAR35(VAR98) ) ( VAR27, VAR5, VAR64, VAR55, VAR85, VAR21, VAR97, VAR47, VAR101, VAR26, VAR71, VAR12, VAR41, VAR49, VAR20, VAR30, VAR122, VAR84, VAR112, VAR91, VAR46, VAR13, VAR16, VAR118, VAR102, VAR24, VAR87, VAR17, VAR108, VAR77, VAR43, VAR62, VAR70, VAR81, VAR66, VAR14, VAR36, VAR10, VAR42, VAR52, VAR40, VAR111, VAR119, VAR59, VAR28, VAR56, VAR69, VAR53, VAR76, VAR6, clk, reset ); input VAR27; input [VAR73+VAR75-1:0] VAR5; input VAR64; output reg [VAR89-1:0] VAR55; output reg VAR85; output reg [VAR34-1:0] VAR21; output reg VAR97; input [VAR89-1:0] VAR47; input [VAR89-1:0] VAR101; input [VAR89-1:0] VAR26; input [VAR98-1:0] VAR71; output [VAR89-1:0] VAR12; output reg VAR41; output reg [VAR82-1:0] VAR49; output reg [VAR75-1:0] VAR20; output reg [VAR61-1:0] VAR30; output reg [VAR34-1:0] VAR122; input [VAR98-1:0] VAR84; output [VAR73-1:0] VAR112; output [VAR75-1:0] VAR91; input VAR46; output reg VAR13; output [VAR73-1:0] VAR16; output [VAR75-1:0] VAR118; input VAR102; output reg VAR24; output [VAR73-1:0] VAR87; output [VAR75-1:0] VAR17; input VAR108; output reg VAR77; output [VAR73-1:0] VAR43; output [VAR75-1:0] VAR62; input VAR70; output reg VAR81; output [VAR73-1:0] VAR66; output [VAR75-1:0] VAR14; input VAR36; output reg VAR10; output [VAR73-1:0] VAR42; output [VAR75-1:0] VAR52; output reg VAR40; input VAR111; output [VAR73-1:0] VAR119; output [VAR75-1:0] VAR59; output reg VAR28; input VAR56; output [VAR73-1:0] VAR69; output [VAR75-1:0] VAR53; output reg VAR76; input VAR6; input clk; input reset; function integer VAR35; input integer VAR4; begin VAR35=0; while(2**VAR35<VAR4) begin VAR35=VAR35+1; end end endfunction parameter VAR93= 4; parameter VAR100 = 1; parameter VAR74 = 2; parameter VAR79 = 4; parameter VAR80 = 8; parameter VAR22 = 1; parameter VAR99 = 2; parameter VAR96 = 4; parameter VAR90 = 0; parameter VAR114 = 1; parameter VAR104 = 4; reg [VAR93-1:0] VAR32; reg [VAR93-1:0] VAR23; wire [VAR34-1:0] VAR44; reg [VAR34-1:0] VAR121; reg [VAR89-1:0] VAR1; wire [VAR89-1:0] VAR106; wire [VAR75-1:0] VAR113; wire [VAR73-1:0] VAR58; reg [VAR61-1:0] VAR9; reg VAR105; reg VAR3; reg VAR18; reg VAR109; reg [VAR98-1:0] VAR88; reg [VAR34-1:0] VAR103; reg VAR25; reg [VAR98-1:0] VAR7; wire [VAR98-1:0] VAR51; wire [VAR98-1:0] VAR92; wire [VAR98-1:0] VAR60; wire [VAR73+VAR75-1:0] VAR65[0:VAR98-1]; reg [VAR89-1:0] VAR116; reg [VAR89-1:0] VAR95; reg [VAR89-1:0] VAR67; reg [VAR89-1:0] VAR68; generate genvar VAR86; if(VAR73==32) begin:VAR48 for(VAR86=0; VAR86<VAR98; VAR86=VAR86+1) begin: VAR29 VAR72 VAR50 ( .VAR31 ( reset ), .VAR117 ( clk ), .VAR120 ( VAR5 ), .VAR15 ( VAR51[VAR86] ), .VAR110 ( VAR7[VAR86] ), .VAR54 ( VAR60[VAR86] ), .VAR19 ( ), .VAR33 ( VAR92[VAR86] ), .VAR11 ( ), .VAR107 ( VAR65[VAR86] ), .VAR94 ( ) ); end end else if(VAR73==64) begin: VAR45 for(VAR86=0; VAR86<VAR98; VAR86=VAR86+1) begin: VAR29 VAR37 VAR50 ( .VAR31 ( reset ), .VAR117 ( clk ), .VAR120 ( VAR5 ), .VAR15 ( VAR51[VAR86] ), .VAR110 ( VAR7[VAR86] ), .VAR54 ( VAR60[VAR86] ), .VAR33 ( VAR92[VAR86] ), .VAR11 ( ), .VAR107 ( VAR65[VAR86] ) ); end end endgenerate assign {VAR113, VAR58} = VAR5; assign VAR44 = (VAR21==VAR98-1) ? 0 : VAR21 + 1; assign VAR106 = (VAR55 == VAR67) ? VAR116 : VAR55 + 1; assign VAR12 = VAR55; assign VAR51[0] = !VAR92[0] && VAR46; assign VAR51[1] = !VAR92[1] && VAR102; assign VAR51[2] = !VAR92[2] && VAR108; assign VAR51[3] = !VAR92[3] && VAR70; assign VAR51[4] = !VAR92[4] && VAR36; assign VAR51[5] = !VAR92[5] && VAR111; assign VAR51[6] = !VAR92[6] && VAR56; assign VAR51[7] = !VAR92[7] && VAR6; assign {VAR91, VAR112} = VAR65[0]; assign {VAR118, VAR16} = VAR65[1]; assign {VAR17, VAR87} = VAR65[2]; assign {VAR62, VAR43} = VAR65[3]; assign {VAR14, VAR66} = VAR65[4]; assign {VAR52, VAR42} = VAR65[5]; assign {VAR59, VAR119} = VAR65[6]; assign {VAR53, VAR69} = VAR65[7]; always @(posedge clk) begin if(reset) begin VAR13 <= 0; VAR24 <= 0; VAR77 <= 0; VAR81 <= 0; VAR10 <= 0; VAR40 <= 0; VAR28 <= 0; VAR76 <= 0; end else begin VAR13 <= VAR51[0]; VAR24 <= VAR51[1]; VAR77 <= VAR51[2]; VAR81 <= VAR51[3]; VAR10 <= VAR51[4]; VAR40 <= VAR51[5]; VAR28 <= VAR51[6]; VAR76 <= VAR51[7]; end end always @ begin VAR3 = VAR105; VAR18 = 0; VAR109 = 0; VAR7 = 0; case(VAR105) VAR90: begin if(VAR64) begin VAR7 = VAR88; end if(VAR64 & VAR113 == VAR38) begin VAR18 = 1; end if(VAR64 & VAR113 == VAR38) begin VAR109 = 1; VAR7 = VAR58[VAR8 + VAR98 - 1:VAR8] & VAR92; end if(VAR64 & VAR113 == 0) begin VAR3 = VAR114; end end VAR114: begin if(VAR64) begin VAR7 = VAR88; if(VAR113!=0) begin VAR3 = VAR90; end end end default: begin end endcase end always @(posedge clk) begin if(reset) begin VAR105 <= VAR90; VAR9 <= 0; VAR88 <= 0; end else begin VAR105 <= VAR3; if(VAR18) begin VAR9 <= VAR58[VAR61+VAR63:VAR63] + 'h1; end else if(VAR27) begin VAR9 <= VAR9 - 1; end if(VAR109) begin VAR88 <= VAR58[VAR8 + VAR98 - 1:VAR8] & VAR92; end end end always @(posedge clk) begin if(reset) begin VAR49 <= 0; VAR20 <= 0; VAR30 <= 0; end else begin if(VAR18) begin VAR49 <= VAR58[VAR82+VAR2:VAR2]; VAR20 <= VAR75; VAR30 <= VAR58[VAR61+VAR63:VAR63] + 1; end end end integer VAR115; reg VAR39; always @(posedge clk) begin if(VAR18) begin VAR115 <= VAR58[VAR61+VAR63:VAR63]; end else if(VAR64) begin VAR115 <= VAR115 - 1; end if (reset) VAR39 <= 1'b0; end else if (!VAR39 && VAR64 & VAR113 == 0) VAR39 <= 1'b1; end else if(VAR39 && VAR64 & VAR113 != 0) begin VAR39 <= 1'b0; if (VAR115 != 1) begin end end end endmodule
mit
olajep/oh
src/common/hdl/oh_8b10b_encode.v
7,025
module MODULE1( input clk, input VAR6, input VAR58, input [7:0] VAR20, input VAR49, output VAR37, output [9:0] VAR50 ); reg VAR31; reg VAR30; reg [7:0] VAR17; reg [9:0] VAR29; reg VAR5; wire [9:0] VAR34; wire VAR32; wire [7:0] VAR23; wire VAR12; wire [9:0] VAR28; wire [4:0] VAR19; wire [2:0] VAR1; wire VAR8,VAR7,VAR39,VAR27,VAR43,VAR55,VAR56,VAR3; wire VAR9,VAR11,VAR13,VAR44,VAR15,VAR24,VAR21,VAR22,VAR10,VAR40,VAR48; wire VAR26,VAR53,VAR54,VAR42,VAR59; wire [2:0] VAR52; wire [2:0] VAR14; wire [5:0] VAR57; wire [3:0] VAR33; wire VAR45; wire VAR4; wire VAR47; wire VAR38; wire VAR18; wire VAR36, VAR46; wire VAR35; wire VAR51; assign VAR37 = VAR5; assign VAR50 = VAR29; assign VAR23 = VAR17; assign VAR12 = VAR31; assign VAR32 = VAR30; assign VAR34 = VAR28; assign VAR28 = {VAR57,VAR33}; assign VAR19 = VAR23[4:0]; assign VAR1 = VAR23[7:5]; assign VAR51 = (VAR23[4:0] == 5'b11100); assign VAR35 = (VAR23[7:5] == 3'b111); assign VAR47 = ((VAR19 == 'd0) | (VAR19 == 'd1) | (VAR19 == 'd2) | (VAR19 == 'd4) | (VAR19 == 'd7) | (VAR19 == 'd8) | (VAR19 == 'd15) | (VAR19 == 'd16) | (VAR19 == 'd23) | (VAR19 == 'd24) | (VAR19 == 'd27) | (VAR19 == 'd29) | (VAR19 == 'd30) | (VAR19 == 'd31)); assign VAR38 = ((VAR1 == 'd0) | (VAR1 == 'd3) | (VAR1 == 'd4) | (VAR1 == 'd7)); assign VAR18 = ((VAR19 == 'd0) | (VAR19 == 'd1) | (VAR19 == 'd2) | (VAR19 == 'd4) | (VAR19 == 'd8) | (VAR19 == 'd15) | (VAR19 == 'd16) | (VAR19 == 'd23) | (VAR19 == 'd24) | (VAR19 == 'd27) | (VAR19 == 'd29) | (VAR19 == 'd30) | (VAR19 == 'd31)); assign VAR36 = ((VAR23 == 'hEB) | (VAR23 == 'hED) | (VAR23 == 'hEE)); assign VAR46 = ((VAR23 == 'hF1) | (VAR23 == 'hF2) | (VAR23 == 'hF4)); assign VAR52 = (VAR57[5] + VAR57[4] + VAR57[3] + VAR57[2] + VAR57[1] + VAR57[0]); assign VAR14 = (VAR33[3] + VAR33[2] + VAR33[1] + VAR33[0]); assign VAR45 = (VAR52 > 'd3) & (VAR52 != 'd3); assign VAR4 = (VAR14 > 'd2) & (VAR14 != 'd2); assign VAR16 = (VAR52 == 'd3 & VAR14 == 'd2) ? VAR32 : ((VAR14 == 'd2) ? VAR45 : VAR4); assign VAR57[5] = VAR12 ? (VAR32 ? VAR26 : VAR8 ): ((VAR32 & VAR47) ? ~VAR9 : VAR9); assign VAR57[4] = VAR12 ? (VAR32 ? VAR53 : VAR7) : ((VAR32 & VAR47) ? ~VAR11 : VAR11); assign VAR57[3] = VAR12 ? (VAR32 ? VAR54 : VAR39) : ((VAR32 & VAR47) ? ~VAR13 : VAR13); assign VAR57[2] = VAR12 ? (VAR32 ? VAR42 : VAR27) : ((VAR32 & VAR47) ? ~VAR44 : VAR44); assign VAR57[1] = VAR12 ? (VAR32 ? VAR59 : VAR43) : ((VAR32 & VAR47) ? ~VAR15 : VAR15); assign VAR57[0] = VAR12 ? (VAR32 ? ~VAR51 : VAR51) : ((VAR32 & VAR47) ? VAR24: VAR21); assign VAR33[3] = (VAR32) ? ((VAR35 & VAR12) ? 'b0 : (VAR12 ? ~VAR22 : (VAR36 ? 'b1 : ((VAR38) ? (VAR18 ? ~VAR22 : VAR22): VAR22)))) : ((VAR35 & VAR12) ? 'b1 : (VAR12 ? VAR22 :(VAR46 ? 'b0 :((VAR38) ? (VAR18 ? VAR22 : ~VAR22) : VAR22)))); assign VAR33[2] = (VAR32) ? ((VAR35 & VAR12) ? 'b1 : (VAR12 ? ~VAR10 :(VAR36 ? 'b0 : ((VAR38) ? (VAR18 ? ~VAR10 : VAR10) : VAR10)))) : ((VAR35 & VAR12) ? 'b0 : (VAR12 ? VAR10 :(VAR46 ? 'b1 :((VAR38) ? (VAR18 ? VAR10 : ~VAR10) : VAR10)))); assign VAR33[1] = (VAR32) ? ((VAR35 & VAR12) ? 'b1 : (VAR12 ? ~VAR40 :(VAR36 ? 'b0 : ((VAR38) ? (VAR18? ~VAR40 : VAR40) : VAR40)))) : ((VAR35 & VAR12) ? 'b0 : (VAR12 ? VAR40 :(VAR46 ? 'b1 :((VAR38) ? (VAR18 ? VAR40 : ~VAR40) : VAR40)))); assign VAR33[0] = (VAR32) ? ((VAR35 & VAR12) ? 'b1 : (VAR12 ? ~VAR48 :(VAR36 ? 'b0 : ((VAR38) ? (VAR18 ? ~VAR48 : VAR48): VAR48)))) : ((VAR35 & VAR12) ? 'b0 : (VAR12 ? VAR48 :(VAR46 ? 'b1 :((VAR38) ? (VAR18 ? VAR48 : ~VAR48) : VAR48)))); assign VAR8 = VAR23[0]; assign VAR7 = VAR23[1]; assign VAR39 = VAR23[2]; assign VAR27 = VAR23[3]; assign VAR43 = VAR23[4]; assign VAR55 = VAR23[5]; assign VAR56 = VAR23[6]; assign VAR3 = VAR23[7]; assign VAR26 = ~VAR8; assign VAR53 = ~VAR7; assign VAR54 = ~VAR39; assign VAR42 = ~VAR27; assign VAR59 = ~VAR43; assign VAR2 = ~VAR55; assign VAR41 = ~VAR56; assign VAR25 = ~VAR3; assign VAR9 = (VAR43 & VAR8) | (VAR59 & VAR42 & VAR53 & VAR26) | (VAR59 & VAR27 & VAR53 & VAR8) | (VAR59 & VAR42 & VAR7 & VAR8) | (VAR59 & VAR42 & VAR54 & VAR7) | (VAR39 & VAR53 & VAR8) | (VAR54 & VAR7 & VAR8) | (VAR54 & VAR53 & VAR27); assign VAR11 = (VAR43 & VAR7 & VAR26) | (VAR42 & VAR7 & VAR8)| (VAR59 & VAR27 & VAR7) |(VAR27 & VAR54 & VAR7) |(VAR59 & VAR39 & VAR7) |(VAR59 & VAR42 & VAR39 & VAR26) |(VAR59 & VAR42 & VAR54 & VAR8) |(VAR54 & VAR53 & VAR26 & VAR27) |(VAR54 & VAR53 & VAR26 & VAR43) ; assign VAR13 = (VAR59 & VAR42 & VAR7 & VAR26) | (VAR59 & VAR42 & VAR53 & VAR8) | (VAR59 & VAR27 & VAR53 & VAR26) | (VAR43 & VAR42 & VAR53 & VAR26) | (VAR59 & VAR42 & VAR39 & VAR7) | (VAR43 & VAR39 & VAR7) | (VAR39 & VAR53 & VAR8) | (VAR43 & VAR39 & VAR53) | (VAR39 & VAR7 & VAR26); assign VAR44 = (VAR59 & VAR42 & VAR53 & VAR26) | (VAR59 & VAR42 & VAR54 & VAR53) | (VAR59 & VAR54 & VAR7 & VAR26) | (VAR27 & VAR53 & VAR8) | (VAR27 & VAR7 & VAR26) | (VAR59 & VAR27 & VAR7) | (VAR43 & VAR27 & VAR54 & VAR7) | (VAR27 & VAR39 & VAR53 & VAR26); assign VAR15 = VAR43 | (VAR42 & VAR54 & VAR53 & VAR26) | (VAR27 & VAR39 & VAR7 & VAR8); assign VAR21 = (VAR59 & VAR53 & VAR26) | (VAR42 & VAR53 & VAR26) | (VAR59 & VAR42 & VAR7 & VAR26) | (VAR59 & VAR42 & VAR53) | (VAR27 & VAR39 & VAR7 & VAR8) | (VAR42 & VAR54 & VAR26) | (VAR43 & VAR42 & VAR54 & VAR53) | (VAR59 & VAR54 & VAR53 & VAR8) | (VAR54 & VAR53 & VAR26) | (VAR59 & VAR42 & VAR54) | (VAR59 & VAR54 & VAR7 & VAR26); assign VAR24 = (VAR59 & VAR42 & ((VAR7 & VAR8) | (VAR39 & VAR7) | (VAR39 & VAR8))) | (VAR54 & VAR7 & VAR26 & (VAR43 ^ VAR27)) | (VAR43 & VAR27 & VAR39 & (VAR7 ^ VAR8)) | (VAR43 & VAR42 & VAR39 & ((VAR7 & VAR8) | (VAR53 & VAR26))) | (VAR59 & VAR27 & VAR54 & VAR53 & VAR8) | (VAR43 & VAR27 & VAR54 & VAR7 & VAR8) | (VAR43 & VAR42 & VAR54 & VAR53 & VAR8) | (VAR59 & VAR27 & VAR39 & VAR53 & VAR26); assign VAR22 = (VAR41 & VAR55); assign VAR10 = (VAR2 & (VAR25 | VAR56)); assign VAR40 = (VAR3 & (VAR41 | VAR2)) | (VAR25 & VAR56 & VAR55); assign VAR48 = (VAR25 & (VAR55 | VAR56)) | (VAR56 & VAR55); always@(posedge clk or negedge VAR6) begin if(~VAR6) begin VAR31 <= 1'd0; VAR30 <= 1'd0; VAR17 <= 8'd0; VAR5 <= 1'd0; VAR29 <= 10'd0; end else begin VAR31 <= VAR58; VAR17 <= VAR20; VAR30 <= VAR49; VAR5 <= VAR16; VAR29 <= VAR34; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o221a/sky130_fd_sc_lp__o221a.pp.blackbox.v
1,428
module MODULE1 ( VAR9 , VAR10 , VAR2 , VAR8 , VAR6 , VAR5 , VAR3, VAR7, VAR1 , VAR4 ); output VAR9 ; input VAR10 ; input VAR2 ; input VAR8 ; input VAR6 ; input VAR5 ; input VAR3; input VAR7; input VAR1 ; input VAR4 ; endmodule
apache-2.0
ultraembedded/altor32
rtl/soc/soc.v
8,207
module MODULE1 ( VAR36, VAR38, VAR87, VAR14, VAR11, VAR97, VAR66, VAR76, VAR46, VAR56, VAR45, VAR82 ); parameter [31:0] VAR34 = 12288; parameter [31:0] VAR98 = 1; parameter VAR102 = 115200; parameter VAR71 = 1; parameter VAR94 = "VAR79"; parameter VAR89 = "VAR79"; input VAR36 ; input VAR38 ; input [(VAR98 - 1):0] VAR87 ; output VAR14 ; output VAR11 ; input VAR97 ; input [31:0] VAR66 ; input [31:0] VAR76 ; output [31:0] VAR46 ; input VAR56 ; input VAR45 ; output VAR82 ; wire [7:0] VAR31; wire [31:0] VAR105; wire [31:0] VAR26; wire VAR69; wire VAR23; wire VAR37; wire [7:0] VAR106; wire [31:0] VAR2; wire [31:0] VAR33; wire VAR86; wire VAR8; wire VAR27; wire VAR59; wire [7:0] VAR75; wire [31:0] VAR51; wire [31:0] VAR22; wire VAR5; wire VAR49; VAR52 VAR92 ( .VAR36(VAR36), .VAR38(VAR38), .VAR66(VAR66), .VAR76(VAR76), .VAR46(VAR46), .VAR56(VAR56), .VAR45(VAR45), .VAR82(VAR82), .VAR54(VAR31), .VAR15(VAR105), .VAR21(VAR26), .VAR42(VAR69), .VAR4(VAR23), .VAR17(VAR106), .VAR91(VAR2), .VAR61(VAR33), .VAR85(VAR86), .VAR39(VAR8), .VAR40(VAR75), .VAR74(VAR51), .VAR53(VAR22), .VAR70(VAR5), .VAR95(VAR49), .VAR43(), .VAR65(), .VAR67(32'h00000000), .VAR55(), .VAR16(), .VAR32(), .VAR60(), .VAR57(32'h00000000), .VAR78(), .VAR18(), .VAR48(), .VAR81(), .VAR84(32'h00000000), .VAR7(), .VAR101(), .VAR72(), .VAR3(), .VAR13(32'h00000000), .VAR77(), .VAR19(), .VAR20(), .VAR73(), .VAR83(32'h00000000), .VAR90(), .VAR10() ); VAR28 .VAR44(((VAR34 * 1000) / VAR102)) ) VAR41 ( .VAR36(VAR36), .VAR38(VAR38), .VAR14(VAR37), .VAR80(VAR31), .VAR58(VAR26), .VAR35(VAR105), .VAR64(VAR69), .VAR29(VAR23), .VAR6(VAR97), .VAR9(VAR11) ); VAR104 .VAR34(VAR34), .VAR71(VAR71), .VAR94(VAR94), .VAR89(VAR89) ) VAR93 ( .VAR36(VAR36), .VAR38(VAR38), .VAR50(VAR27), .VAR30(VAR59), .VAR80(VAR106), .VAR58(VAR33), .VAR35(VAR2), .VAR64(VAR86), .VAR29(VAR8) ); VAR25 .VAR98(VAR98) ) VAR62 ( .VAR36(VAR36), .VAR38(VAR38), .VAR14(VAR14), .VAR68(VAR37), .VAR47(VAR27), .VAR103(VAR59), .VAR99(1'b0), .VAR1(1'b0), .VAR63(1'b0), .VAR88(1'b0), .VAR12(1'b0), .VAR24(VAR87), .VAR80(VAR75), .VAR58(VAR22), .VAR35(VAR51), .VAR64(VAR5), .VAR29(VAR49) ); function [0:0] VAR100; VAR100 = VAR23 & VAR69; endfunction function [7:0] VAR96; VAR96 = VAR105[7:0]; endfunction endmodule
lgpl-3.0
lfmunoz/vhdl
ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/axi_traffic_gen_v2_0/hdl/src/verilog/axi_traffic_gen_v2_0_regslice.v
5,440
module MODULE1 parameter VAR10 = 64, parameter VAR16 = 64, parameter VAR8 = 3 , parameter VAR2 = 2 ) ( input [VAR10-1:0 ] din , output [VAR10-1:0 ] dout , output [VAR10-1:0 ] VAR18 , input [VAR16-1:0] VAR11 , output [VAR16-1:0] VAR13 , output VAR6 , output reg VAR1, output VAR15 , input clk , input reset ); reg [VAR10-1:0] VAR3 [0:VAR8-1]; reg [VAR16-1:0] VAR14 [0:VAR2-1]; reg [VAR8-1:0] VAR19 ; reg [VAR2-1:0] VAR4 ; integer VAR7; wire VAR5 = (din != VAR3[VAR8-1]); wire VAR9 = (VAR11 != VAR14[VAR2-1]); always @(posedge clk) begin if(reset) begin for(VAR7 = 0; VAR7 <VAR8 ; VAR7 = VAR7 + 1) VAR3[VAR7] <= 0; for(VAR7 = 0; VAR7 <VAR2 ; VAR7 = VAR7 + 1) VAR14[VAR7] <= 0; VAR4 <= 0; VAR19 <= 0; end else begin VAR3[VAR8-1] <= din; VAR14[VAR2-1] <= VAR11; VAR19[VAR8-1] <= VAR5; VAR4[VAR2-1] <= VAR9; for(VAR7 = 0; VAR7 <VAR8-1 ; VAR7 = VAR7 + 1) VAR3[VAR7] <= VAR3[VAR7+1]; for(VAR7 = 0; VAR7 <VAR8-1 ; VAR7 = VAR7 + 1) VAR19[VAR7] <= VAR19[VAR7+1]; for(VAR7 = 0; VAR7 <VAR2-1 ; VAR7 = VAR7 + 1) VAR14[VAR7] <= VAR14[VAR7+1]; for(VAR7 = 0; VAR7 <VAR2-1 ; VAR7 = VAR7 + 1) VAR4[VAR7] <= VAR4[VAR7+1]; VAR1 <= VAR6; end end generate if (VAR8 > 1) begin : VAR12 assign VAR18 = VAR3[1]; end else begin : VAR17 assign VAR18 = 0; end endgenerate assign dout = VAR3[0]; assign VAR13 = VAR14[0]; assign VAR6 = (VAR4 == 0) && (VAR9==0); assign VAR15 = (VAR19 == 0) && (VAR19 == 0); endmodule
mit
chris-wood/yield
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axi_dwidth_converter_v2_1/hdl/verilog/axi_dwidth_converter_v2_1_b_downsizer.v
10,782
module MODULE1 # ( parameter VAR3 = "none", parameter integer VAR23 = 1 ) ( input wire VAR32, input wire VAR28, input wire VAR6, input wire VAR20, input wire [8-1:0] VAR27, output wire VAR34, input wire [VAR23-1:0] VAR9, output wire [VAR23-1:0] VAR29, output wire [2-1:0] VAR21, output wire VAR7, input wire VAR25, input wire [2-1:0] VAR5, input wire VAR19, output wire VAR2 ); localparam [2-1:0] VAR4 = 2'b00; localparam [2-1:0] VAR30 = 2'b01; localparam [2-1:0] VAR18 = 2'b10; localparam [2-1:0] VAR13 = 2'b11; wire VAR1; wire VAR15; wire VAR11; reg [8-1:0] VAR17; reg [8-1:0] VAR36; wire [8-1:0] VAR8; reg VAR24; wire VAR12; wire VAR31; wire VAR26; reg [2-1:0] VAR35; wire VAR14; wire [VAR23-1:0] VAR33; reg [2-1:0] VAR10; wire VAR22; wire VAR16; assign VAR14 = ~VAR11; assign VAR2 = VAR14; assign VAR22 = VAR19 & VAR12; assign VAR15 = VAR19 & VAR14; assign VAR1 = VAR6 & VAR15 & VAR12; assign VAR34 = VAR1; assign VAR11 = (~VAR16 & VAR12); assign VAR31 = (VAR20 & VAR24); assign VAR26 = ( VAR5 > VAR35 ); always @ * begin if ( VAR20 ) begin if ( VAR31 || VAR26 ) begin VAR10 = VAR5; end else begin VAR10 = VAR35; end end else begin VAR10 = VAR5; end end always @ (posedge VAR28) begin if (VAR32) begin VAR35 <= VAR4; end else begin if ( VAR15 ) begin VAR35 <= VAR10; end end end assign VAR12 = ( ( VAR36 == 8'b0 ) & ~VAR24 ) | ~VAR20; always @ * begin if ( VAR24 ) begin VAR17 = VAR27; end else begin VAR17 = VAR36; end end assign VAR8 = VAR17 - 2'b01; always @ (posedge VAR28) begin if (VAR32) begin VAR36 <= 8'b0; VAR24 <= 1'b1; end else begin if ( VAR15 ) begin VAR36 <= VAR8; VAR24 <= VAR12; end end end assign VAR33 = VAR9; assign VAR29 = VAR33; assign VAR21 = VAR10; assign VAR7 = VAR22; assign VAR16 = VAR25; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/bushold/sky130_fd_sc_lp__bushold.symbol.v
1,392
module MODULE1 ( inout VAR6 , input VAR4 ); supply1 VAR5; supply0 VAR3; supply1 VAR2 ; supply0 VAR1 ; endmodule
apache-2.0
hoglet67/CoPro6502
src/m32632/example.v
4,683
module MODULE1 ( VAR73, VAR49, VAR60, VAR14, VAR19, VAR32); input VAR73; input VAR49; input VAR60; input VAR14; input [7:0] VAR19; output [7:0] VAR32; reg VAR27,VAR26; wire VAR51; wire VAR16; wire VAR23; wire VAR59; wire [11:2] VAR33; wire [31:0] VAR18; wire [2:0] VAR57; wire [2:0] VAR20; wire VAR63; wire [27:0] VAR43; wire VAR69; wire VAR35; wire [27:0] VAR21; wire [35:0] VAR17; wire [31:0] VAR13; wire [31:0] VAR53; wire [3:0] VAR41; wire VAR70; wire VAR58; wire VAR54; wire VAR50; wire [31:0] VAR38; wire VAR29; wire VAR67; wire [31:0] VAR1; wire [31:0] VAR8; wire [7:0] VAR61; wire [7:0] VAR64; wire VAR68; wire [23:0] VAR48; wire [127:0] VAR5; wire VAR3; wire [63:0] VAR42; VAR2 VAR6( .VAR56(VAR73), .VAR66(~VAR73), .VAR37(1'b1), .VAR11(VAR67), .VAR60(VAR27), .VAR14(VAR26), .VAR4(), .VAR28(), .VAR64(VAR64), .VAR58(VAR58), .VAR70(VAR70), .VAR13(VAR13), .VAR41(VAR41), .VAR53(VAR53), .VAR38(VAR38), .VAR54(VAR54), .VAR50(VAR50), .VAR51(VAR51), .VAR16(VAR16), .VAR23(VAR23), .VAR59(VAR59), .VAR33(VAR33), .VAR18(VAR18), .VAR20(VAR20), .VAR57(VAR57), .VAR63(VAR63), .VAR43(VAR43), .VAR69(VAR69), .VAR35(VAR35), .VAR21(VAR21), .VAR17(VAR17), .VAR52(1'b1), .VAR39(), .VAR7(1'b0), .VAR12(24'd0), .VAR68(VAR68), .VAR48(VAR48), .VAR5(VAR5), .VAR3(VAR3), .VAR42(VAR42)); VAR44 VAR30( .VAR73(VAR73), .VAR49(VAR49), .VAR67(VAR67), .VAR50(VAR50), .VAR58(VAR58), .VAR70(VAR70), .VAR13(VAR13[31:28]), .VAR41(VAR41), .VAR38(VAR38), .VAR54(VAR54), .VAR29(VAR29), .VAR61(VAR61), .VAR1(VAR1), .VAR8(VAR8)); VAR45 VAR31( .VAR73(VAR73), .VAR19(VAR19), .VAR61(VAR61)); VAR34 VAR10( .VAR73(VAR73), .VAR32(VAR32), .VAR29(VAR29), .VAR40(VAR53)); VAR71 VAR65( .VAR73(VAR73), .VAR46(VAR13[9:2]), .VAR47(VAR1)); VAR36 VAR25( .VAR73(VAR73), .VAR67(VAR67), .VAR64(VAR64), .VAR46(VAR13[4:2]), .VAR47(VAR8)); VAR62 VAR24( .VAR73(VAR73), .VAR68(VAR68), .VAR48(VAR48), .VAR9(VAR5), .VAR3(VAR3), .VAR15(VAR42)); VAR55 VAR72( .VAR66(VAR73), .VAR67(VAR67), .VAR63(VAR63), .VAR43(VAR43), .VAR69(VAR69), .VAR35(VAR35), .VAR21(VAR21), .VAR17(VAR17), .VAR51(VAR51), .VAR16(VAR16), .VAR23(VAR23), .VAR59(VAR59), .VAR33(VAR33), .VAR22(VAR18), .VAR20(VAR20), .VAR57(VAR57) ); always @(posedge VAR73) begin VAR27 <= VAR60; VAR26 <= VAR14; end endmodule
gpl-3.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
Gaussian_Filter/ip/Gaussian_Filter/acl_fp_convert_from_half.v
3,542
module MODULE1(VAR9, VAR4, VAR10, VAR6, VAR14, VAR7, VAR13, VAR20, enable); parameter VAR2 = 1; input VAR9, VAR4; input [15:0] VAR10; output [31:0] VAR6; input VAR14, VAR13, enable; output VAR7, VAR20; wire VAR18; reg VAR5; wire VAR15; reg [7:0] VAR8; reg [22:0] VAR3; reg VAR21; assign VAR18 = (VAR2 == 1) ? (~VAR5 | ~VAR15) : enable; assign VAR20 = VAR5 & VAR15; wire [3:0] VAR1; wire [9:0] VAR12; MODULE2 MODULE1(.VAR16(VAR10[9:0]), .VAR1(VAR1)); assign VAR12 = VAR10[9:0] << VAR1; always@(posedge VAR9 or negedge VAR4) begin if(~VAR4) begin VAR5 <= 1'b0; VAR8 <= 8'VAR17; VAR3 <= 23'VAR17; VAR21 <= 1'VAR19; end else if (VAR18) begin VAR5 <= VAR14; VAR21 <= VAR10[15]; if (&VAR10[14:10]) begin VAR8 <= 8'hff; VAR3 <= {VAR10[9:0], 13'd0}; end else if (~(|VAR10[14:10])) begin if (~|VAR10[9:0]) begin VAR8 <= 8'd0; VAR3 <= 23'd0; end else begin VAR8 <= 8'd112 - {1'b0, VAR1}; VAR3 <= {VAR12[8:0], 14'd0}; end end else begin VAR8 <= 8'd112 + {1'b0, VAR10[14:10]}; VAR3 <= {VAR10[9:0], 13'd0}; end end end assign VAR6 = {VAR21, VAR8, VAR3}; assign VAR7 = VAR5; assign VAR15 = VAR13; endmodule module MODULE2(VAR16, VAR1); input [9:0] VAR16; output [3:0] VAR1; assign VAR1[3] = ~|VAR16[9:2]; assign VAR1[2] = |VAR16[9:2] & ~|VAR16[9:6]; assign VAR1[1] = VAR1[3] & ~|VAR16[1:0] | ~VAR1[3] & (~VAR1[2] & ~|VAR16[9:8] | VAR1[2] & ~|VAR16[5:4]); assign VAR1[0] = (VAR16[9:8] == 2'b01) | (VAR16[9:6] == 4'b0001) | (VAR16[9:4] == 6'b000001) | (VAR16[9:2] == 8'b00000001) | (VAR16 == 10'b0000000001); endmodule
mit
open-power/snap
actions/hdl_helloworld/hw/hdl/memcpy_engine.v
5,513
module MODULE1 #( parameter VAR32 = 64, parameter VAR2 = 512 ) ( input clk , input VAR24 , input [VAR32 - 1:0] VAR8, input [VAR32 - 1:0] VAR30, input [063:0] VAR9 , input VAR34 , output VAR28 , input VAR22 , output VAR27 , output [VAR32 - 1:0] VAR4 , output [007:0] VAR31 , input VAR16 , output reg VAR33 , output reg [VAR2 - 1:0] VAR10 , output reg VAR35 , input VAR13 , output VAR5 , output [VAR32 - 1:0] VAR11 , output [007:0] VAR17 , input VAR3 , output reg VAR38 , input VAR23 , input [VAR2 - 1:0] VAR18 , input VAR12 ); wire VAR39, VAR7; reg [7:0] VAR19; reg VAR21; always@(posedge clk or negedge VAR24) if (~VAR24) VAR38 <= 1'b0; else if (VAR39 | VAR7) begin if ((VAR12) | ((VAR19 == VAR31 - 8'd1) & VAR38)) VAR38 <= 1'b0; end else VAR38 <= VAR3 & VAR16; end else VAR38 <= 1'b0; always@(posedge clk or negedge VAR24) if (~VAR24) begin VAR33 <= 1'b0; VAR10 <= 'd0; end else begin VAR33 <= VAR23; VAR10 <= VAR18; end always@(posedge clk or negedge VAR24) if (~VAR24) VAR19 <= 8'd0; else if (VAR39) begin if (VAR38) VAR19 <= VAR19 + 8'd1; end else VAR19 <= 8'd0; always@(posedge clk or negedge VAR24) if (~VAR24) begin VAR21 <= 1'b0; VAR35 <= 1'b0; end else begin VAR21 <= ((VAR19 == VAR31 - 8'd1) & VAR38); VAR35 <= VAR21; end VAR26 VAR37( .clk (clk ), .VAR24 (VAR24 ), .VAR34 (VAR34 ), .VAR9 (VAR9 ), .VAR40 (VAR8), .VAR14 (VAR13 ), .VAR6 (VAR5 ), .VAR25 (VAR17 ), .VAR20 (VAR11 ), .VAR15 (VAR7 ), .VAR41 (VAR12 ), .VAR28 (VAR1 ) ); VAR26 VAR29( .clk (clk ), .VAR24 (VAR24 ), .VAR34 (VAR34 ), .VAR9 (VAR9 ), .VAR40 (VAR30), .VAR14 (VAR22 ), .VAR6 (VAR27 ), .VAR25 (VAR31 ), .VAR20 (VAR4 ), .VAR15 (VAR39 ), .VAR41 (VAR35 ), .VAR28 (VAR36 ) ); assign VAR28 = VAR36 && VAR1; endmodule
apache-2.0
RECS-Tsukuba/fpga-filter-hardware
filter_unit.v
4,056
module MODULE1 #( parameter VAR29 = 2, parameter VAR20 = 2'd0, parameter VAR9 = 2'd1, parameter VAR17 = 2'd2, parameter VAR21 = 2'd3, parameter VAR27 = 3, parameter VAR1 = 8 + VAR29 )( input [VAR1-1:0] VAR18, input [9:0] VAR15, input clk, input rst, input VAR26, output [VAR1-1:0] VAR2 ); integer VAR34,VAR32; reg [VAR1-1:0] VAR16[VAR27-1:0][VAR27-1:0]; reg [9:0] VAR13,VAR28; wire [VAR1-1:0] VAR12[VAR27-1:0]; wire [VAR1*VAR27*VAR27-1:0] VAR33; genvar VAR19,VAR25; generate for(VAR25 = 0; VAR25 < VAR27; VAR25 = VAR25 + 1) begin: VAR10 for(VAR19 = 0; VAR19 < VAR27; VAR19 = VAR19 + 1) begin: VAR6 assign VAR33[(((VAR25*VAR27)+VAR19)*VAR1)+:VAR1] = VAR16[VAR25][VAR19]; end end endgenerate assign VAR12[VAR27-1] = VAR18; always @(posedge clk) begin if(rst|VAR26) begin VAR13 <= 0; VAR28 <= 0; for(VAR34 = 0; VAR34 < VAR27; VAR34 = VAR34 + 1) begin for(VAR32 = 0; VAR32 < VAR27; VAR32 = VAR32 + 1) begin VAR16[VAR34][VAR32] <= 0; end end end else begin for(VAR34 = 0; VAR34 < VAR27; VAR34 = VAR34 + 1) begin for(VAR32 = 0; VAR32 < VAR27; VAR32 = VAR32 + 1) begin if(VAR32==VAR27-1) begin VAR16[VAR34][VAR32]<=VAR12[VAR34]; end else begin VAR16[VAR34][VAR32] <= VAR16[VAR34][VAR32+1]; end end end VAR28 <= VAR13; if(VAR13 == VAR15-9'h1) VAR13 <= 0; end else VAR13 <= VAR13 + 1; end end VAR24 #( .VAR29(VAR29), .VAR20(VAR20), .VAR9(VAR9), .VAR17(VAR17), .VAR21(VAR21), .VAR27(VAR27) )VAR23( .VAR33(VAR33), .clk (clk), .rst (rst), .VAR26(VAR26), .out (VAR2) ); generate for(VAR25 = 0; VAR25 < VAR27-1; VAR25 = VAR25 + 1) begin: VAR5 VAR14 VAR8 ( .VAR22(VAR28), .VAR3(VAR13), .VAR11(clk), .VAR4(clk), .VAR31(VAR12[VAR25+1]), .VAR30(VAR12[VAR25]), .VAR7(1'b1) ); end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/inputiso1p/sky130_fd_sc_hdll__inputiso1p.behavioral.pp.v
1,742
module MODULE1 ( VAR7 , VAR11 , VAR8, VAR5 , VAR1 , VAR3 , VAR2 ); output VAR7 ; input VAR11 ; input VAR8; input VAR5 ; input VAR1 ; input VAR3 ; input VAR2 ; wire VAR10; or VAR6 (VAR10, VAR11, VAR8 ); VAR9 VAR4 (VAR7 , VAR10, VAR5, VAR1); endmodule
apache-2.0
aquaxis/FPGAMAG18
modules/cache_v1/src/fmrv32im_cache.v
7,312
module MODULE1 parameter VAR8 = 0, parameter VAR22 = 0, parameter VAR50 = "" ) ( input VAR52, input VAR21, output VAR46, input VAR20, input [31:0] VAR54, output [31:0] VAR29, output VAR17, output VAR18, input VAR47, input [3:0] VAR42, input [31:0] VAR53, input [31:0] VAR7, output [31:0] VAR24, output VAR9, output VAR37, output [31:0] VAR14, output [15:0] VAR31, input VAR40, input [9:0] VAR41, output [31:0] VAR5, output VAR32, output [31:0] VAR6, output [15:0] VAR48, input VAR33, input VAR11, input [9:0] VAR39, input [31:0] VAR34 ); wire VAR12, VAR38; assign VAR12 = (VAR20 & (VAR54[31:30] == 2'b00)); assign VAR38 = (VAR20 & (VAR54[31:30] != 2'b00)); wire VAR35, VAR13; assign VAR35 = (VAR47 & (VAR53[31:30] == 2'b00)); assign VAR13 = (VAR47 & (VAR53[31:30] != 2'b00)); reg [31:0] VAR2 [0:1023]; reg [31:0] VAR28 [0:1023]; reg [31:0] VAR51, VAR4; wire VAR45, VAR23; reg VAR15, VAR25; generate if( VAR22 == 0 ) begin assign VAR45 = VAR20 & VAR12 & ~(|(VAR54[29:12] == VAR51[29:12])); assign VAR23 = VAR47 & VAR35 & ~(|(VAR53[29:12] == VAR4[29:12])); end else begin assign VAR45 = VAR20 & VAR12 & ~(|(VAR54[29:12] == VAR51[29:12])) | ~VAR15; assign VAR23 = VAR47 & VAR35 & ~(|(VAR53[29:12] == VAR4[29:12])) | ~VAR25; end endgenerate assign VAR46 = VAR45; assign VAR18 = VAR23; assign VAR17 = VAR38 & ~VAR45; assign VAR9 = VAR13 & ~VAR23; localparam VAR16 = 4'd0; localparam VAR30 = 4'd1; localparam VAR36 = 4'd2; localparam VAR26 = 4'd3; localparam VAR43 = 4'd4; reg [3:0] state; reg [15:0] VAR19; reg VAR1; reg [31:0] VAR27; always @(posedge VAR21) begin if(!VAR52) begin state <= VAR16; VAR1 <= 0; VAR19 <= 0; VAR27 <= 0; VAR51 <= 0; VAR4 <= 0; VAR15 <= 0; VAR25 <= 0; end else begin case(state) VAR16: begin if(VAR45) begin if(VAR33) begin state <= VAR26; VAR1 <= 1'b0; VAR19 <= 16'd4096; VAR27 <= {VAR54[31:12], 12'd0}; end end else if(VAR23) begin if(VAR40 & VAR25) begin state <= VAR30; VAR1 <= 1'b1; VAR19 <= 16'd4096; VAR27 <= {VAR4[31:12], 12'd0}; end else if(VAR33 & ~VAR25) begin state <= VAR26; VAR1 <= 1'b1; VAR19 <= 16'd4096; VAR27 <= {VAR53[31:12], 12'd0}; end end end VAR30: begin state <= VAR36; end VAR36: begin if(VAR40) begin state <= VAR26; VAR1 <= 1'b1; VAR19 <= 16'd4096; VAR27 <= {VAR53[31:12], 12'd0}; end end VAR26: begin state <= VAR43; end VAR43: begin if(VAR33) begin state <= VAR16; if(VAR1) begin VAR4 <= VAR27; VAR25 <= 1; end else begin VAR51 <= VAR27; VAR15 <= 1; end end end endcase end end assign VAR37 = (state == VAR30); assign VAR14 = VAR27; assign VAR31 = VAR19; assign VAR32 = (state == VAR26); assign VAR6 = VAR27; assign VAR48 = VAR19; wire [9:0] VAR49; assign VAR49 = VAR41 | VAR39; generate if( VAR8 == 0 ) begin reg [31:0] VAR10; always @(posedge VAR21) begin if(!VAR1 & VAR11) VAR2[VAR49] <= VAR34; end always @(posedge VAR21) begin VAR10 <= VAR2[VAR54[11:2]]; end assign VAR29 = VAR10; reg [31:0] VAR44, VAR3; always @(posedge VAR21) begin if(VAR1 & VAR11) VAR28[VAR49] <= VAR34; VAR44 <= VAR28[VAR49]; end assign VAR5 = VAR44; always @(posedge VAR21) begin if(~VAR23 & VAR42[0]) VAR28[VAR53[11:2]][7:0] <= VAR7[7:0]; if(~VAR23 & VAR42[1]) VAR28[VAR53[11:2]][15:8] <= VAR7[15:8]; if(~VAR23 & VAR42[2]) VAR28[VAR53[11:2]][23:16] <= VAR7[23:16]; if(~VAR23 & VAR42[3]) VAR28[VAR53[11:2]][31:24] <= VAR7[31:24]; VAR3 <= VAR28[VAR53[11:2]]; end assign VAR24 = VAR3;
mit
trivoldus28/pulsarch-verilog
design/sys/iop/cmp/rtl/dram_ddr_rptr.v
4,410
module MODULE1( VAR9, VAR16, VAR17, VAR24, VAR8, VAR13, VAR18, VAR23, VAR7, VAR10, VAR22, VAR3, VAR5, VAR31, VAR30, VAR15, VAR32, VAR11, VAR19, VAR26, VAR36, VAR12, VAR27, VAR14, VAR2, VAR29, VAR34, VAR35, VAR25, VAR28, VAR21, VAR4, VAR20, VAR6, VAR1, VAR33 ); output VAR9; output [31:0] VAR16; output [255:0] VAR17; output VAR24; output VAR8; output VAR13; output VAR18; output VAR23; output VAR7; output VAR10; output VAR22; output VAR3; output VAR5; output [14:0] VAR31; output [2:0] VAR30; output [3:0] VAR15; output [287:0] VAR32; output [4:0] VAR11; input VAR19; input [31:0] VAR26; input [255:0] VAR36; input VAR12; input VAR27; input VAR14; input VAR2; input VAR29; input VAR34; input VAR35; input VAR25; input VAR28; input VAR21; input [14:0] VAR4; input [2:0] VAR20; input [3:0] VAR6; input [287:0] VAR1; input [4:0] VAR33; assign VAR17 = VAR36[255:0]; assign VAR9 = VAR19; assign VAR16 = VAR26[31:0]; assign VAR31 = VAR4[14:0]; assign VAR30 = VAR20[2:0]; assign VAR24 = VAR12; assign VAR8 = VAR27; assign VAR13 = VAR14; assign VAR18 = VAR2; assign VAR15 = VAR6[3:0]; assign VAR32 = VAR1[287:0]; assign VAR23 = VAR29; assign VAR7 = VAR34; assign VAR10 = VAR35; assign VAR22 = VAR25; assign VAR11 = VAR33[4:0]; assign VAR3 = VAR28; assign VAR5 = VAR21; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or3b/sky130_fd_sc_hdll__or3b.blackbox.v
1,299
module MODULE1 ( VAR6 , VAR1 , VAR3 , VAR4 ); output VAR6 ; input VAR1 ; input VAR3 ; input VAR4; supply1 VAR2; supply0 VAR7; supply1 VAR8 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and4b/sky130_fd_sc_hdll__and4b_1.v
2,316
module MODULE1 ( VAR6 , VAR5 , VAR7 , VAR9 , VAR1 , VAR8, VAR2, VAR3 , VAR11 ); output VAR6 ; input VAR5 ; input VAR7 ; input VAR9 ; input VAR1 ; input VAR8; input VAR2; input VAR3 ; input VAR11 ; VAR10 VAR4 ( .VAR6(VAR6), .VAR5(VAR5), .VAR7(VAR7), .VAR9(VAR9), .VAR1(VAR1), .VAR8(VAR8), .VAR2(VAR2), .VAR3(VAR3), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR6 , VAR5, VAR7 , VAR9 , VAR1 ); output VAR6 ; input VAR5; input VAR7 ; input VAR9 ; input VAR1 ; supply1 VAR8; supply0 VAR2; supply1 VAR3 ; supply0 VAR11 ; VAR10 VAR4 ( .VAR6(VAR6), .VAR5(VAR5), .VAR7(VAR7), .VAR9(VAR9), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/einvp/sky130_fd_sc_hvl__einvp.pp.blackbox.v
1,293
module MODULE1 ( VAR1 , VAR5 , VAR6 , VAR3, VAR2, VAR4 , VAR7 ); output VAR1 ; input VAR5 ; input VAR6 ; input VAR3; input VAR2; input VAR4 ; input VAR7 ; endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/cf_iqcor.v
4,896
module MODULE1 ( clk, VAR5, VAR22, VAR26, VAR6, VAR15, VAR8, VAR9, VAR7, VAR19, VAR13, VAR20); input clk; input [15:0] VAR5; input [15:0] VAR22; output [15:0] VAR26; output [15:0] VAR6; input VAR15; input [15:0] VAR8; input [15:0] VAR9; input [15:0] VAR7; input [15:0] VAR19; input [15:0] VAR13; input [15:0] VAR20; reg VAR2 = 'd0; reg VAR17 = 'd0; reg VAR27 = 'd0; reg VAR14 = 'd0; reg [15:0] VAR10 = 'd0; reg [15:0] VAR1 = 'd0; reg [15:0] VAR12 = 'd0; reg [15:0] VAR11 = 'd0; reg [15:0] VAR18 = 'd0; reg [15:0] VAR4 = 'd0; always @(posedge clk) begin VAR2 <= VAR15; VAR17 <= VAR2; VAR27 <= VAR17; VAR14 <= VAR27; if ((VAR27 == 1'b0) && (VAR17 == 1'b1)) begin VAR10 <= VAR8; VAR1 <= VAR9; VAR12 <= VAR7; VAR11 <= VAR19; VAR18 <= VAR13; VAR4 <= VAR20; end end VAR28 #(.VAR24(0)) VAR25 ( .clk (clk), .VAR5 (VAR5), .VAR22 (VAR22), .VAR21 (VAR26), .VAR14 (VAR14), .VAR3 (VAR10), .VAR23 (VAR1), .VAR18 (VAR18), .VAR4 (VAR4)); VAR28 #(.VAR24(1)) VAR16 ( .clk (clk), .VAR5 (VAR5), .VAR22 (VAR22), .VAR21 (VAR6), .VAR14 (VAR14), .VAR3 (VAR12), .VAR23 (VAR11), .VAR18 (VAR18), .VAR4 (VAR4)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv5sd1/sky130_fd_sc_hs__clkdlyinv5sd1.symbol.v
1,321
module MODULE1 ( input VAR4, output VAR3 ); supply1 VAR1; supply0 VAR2; endmodule
apache-2.0
ptracton/wb_soc_template
rtl/uart16550/rtl/verilog/uart_top.v
14,032
module MODULE1 ( VAR10, VAR45, VAR12, VAR20, VAR40, VAR42, VAR43, VAR1, VAR13, VAR34, VAR38, VAR28, VAR33, VAR3, VAR24, VAR7, VAR44, VAR25, VAR5 , VAR39 ); parameter VAR15 = VAR49; parameter VAR26 = VAR36; parameter VAR14 = 1; input VAR10; input VAR45; input [VAR26-1:0] VAR12; input [VAR15-1:0] VAR20; output [VAR15-1:0] VAR40; input VAR42; input VAR43; input VAR1; input [3:0] VAR34; output VAR13; output VAR38; input VAR33; output VAR28; output VAR3; input VAR24; output VAR7; input VAR44; input VAR25; input VAR5; output VAR39; wire VAR28; wire VAR3; wire VAR7; wire [VAR26-1:0] VAR12; wire [VAR15-1:0] VAR20; wire [VAR15-1:0] VAR40; wire [7:0] VAR11; wire [7:0] VAR41; wire [31:0] VAR52; wire [3:0] VAR34; wire [VAR26-1:0] VAR16; wire VAR30; wire VAR17; wire [3:0] VAR29; wire [3:0] VAR48; wire [1:0] VAR2; wire [4:0] VAR23; wire [7:0] VAR18; wire [7:0] VAR51; wire [7:0] VAR50; wire [VAR37-1:0] VAR35; wire [VAR37-1:0] VAR47; wire [2:0] VAR9; wire [3:0] VAR22; VAR8 VAR21( .clk( VAR10 ), .VAR45( VAR45 ), .VAR20(VAR20), .VAR40(VAR40), .VAR11(VAR11), .VAR41(VAR41), .VAR52(32'b0), .VAR34(4'b0), .VAR42( VAR42 ), .VAR43( VAR43 ), .VAR1( VAR1 ), .VAR13( VAR13 ), .VAR12(VAR12), .VAR16(VAR16), .VAR30( VAR30 ), .VAR17(VAR17) ); VAR8 VAR21( .clk( VAR10 ), .VAR45( VAR45 ), .VAR20(VAR20), .VAR40(VAR40), .VAR11(VAR11), .VAR41(VAR41), .VAR34(VAR34), .VAR52(VAR52), .VAR42( VAR42 ), .VAR43( VAR43 ), .VAR1( VAR1 ), .VAR13( VAR13 ), .VAR12(VAR12), .VAR16(VAR16), .VAR30( VAR30 ), .VAR17(VAR17) ); VAR6 VAR4( .clk( VAR10 ), .VAR45( VAR45 ), .VAR19( VAR16 ), .VAR20( VAR11 ), .VAR40( VAR41 ), .VAR42( VAR30 ), .VAR27(VAR17), .VAR46( {VAR24, VAR44, VAR25, VAR5} ), .VAR28( VAR28 ), .VAR33( VAR33 ), .VAR29(VAR29), .VAR48(VAR48), .VAR2(VAR2), .VAR23(VAR23), .VAR18(VAR18), .VAR51(VAR51), .VAR50(VAR50), .VAR35(VAR35), .VAR47(VAR47), .VAR9(VAR9), .VAR22(VAR22), .VAR3( VAR3 ), .VAR7( VAR7 ), .VAR38( VAR38 ) , .VAR39(VAR39) ); VAR31 VAR32( .VAR52 (VAR52[31:0]), .VAR12 (VAR16[VAR36-1:0]), .VAR29 (VAR29[3:0]), .VAR48 (VAR48[3:0]), .VAR2 (VAR2[1:0]), .VAR23 (VAR23[4:0]), .VAR18 (VAR18[7:0]), .VAR51 (VAR51[7:0]), .VAR50 (VAR50[7:0]), .VAR35 (VAR35[VAR37-1:0]), .VAR47 (VAR47[VAR37-1:0]), .VAR9 (VAR9[2:0]), .VAR22 (VAR22[3:0])); begin
mit
MeshSr/onetswitch30
ons30-app52-ref_ofshw/vivado/onets_7030_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/queue_splitter.v
17,471
module MODULE1 #( parameter VAR12 = 64, parameter VAR52=VAR12/8, parameter VAR72 = 2, parameter VAR106 = 4, parameter VAR23 = 8 )( output [VAR12-1:0] VAR51, output [VAR52-1:0] VAR99, input VAR101, output reg VAR96, output [VAR12-1:0] VAR3, output [VAR52-1:0] VAR59, input VAR91, output reg VAR69, output [VAR12-1:0] VAR81, output [VAR52-1:0] VAR49, input VAR71, output reg VAR98, output [VAR12-1:0] VAR87, output [VAR52-1:0] VAR16, input VAR19, output reg VAR63, output [VAR12-1:0] VAR67, output [VAR52-1:0] VAR11, input VAR93, output reg VAR40, input [VAR12-1:0] VAR41, input [VAR52-1:0] VAR13, output VAR57, input VAR53, input clk, input reset, output reg[31:0] VAR21, output reg[31:0] VAR18, output reg[31:0] VAR107, output reg[31:0] VAR27, output reg[31:0] VAR25, output reg[31:0] VAR36, output reg[31:0] VAR90, output reg[31:0] VAR75, output reg[31:0] VAR80, output reg[31:0] VAR62, output reg[31:0] VAR14, output reg[31:0] VAR45, output reg[31:0] VAR66, output reg[31:0] VAR32, output reg[31:0] VAR15, output reg[31:0] VAR47, output reg[31:0] VAR95, output reg[31:0] VAR22, output reg[31:0] VAR48, output reg[31:0] VAR64 ); function integer VAR43; input integer VAR103; begin VAR43=0; while(2**VAR43<VAR103) begin VAR43=VAR43+1; end end endfunction localparam VAR46 = VAR43(VAR106); localparam VAR35 = 11; localparam VAR82 = VAR35-VAR43(VAR52); localparam VAR50 = 2048; localparam VAR105 = VAR43(VAR50); localparam VAR10 = VAR43(VAR50/VAR52); wire VAR78; wire VAR61; wire [VAR12-1:0] VAR86; wire [VAR52-1:0] VAR77; reg [VAR12-1:0] VAR100; reg [VAR52-1:0] VAR68; wire VAR58; wire [VAR12+VAR52-1:0] VAR17[VAR106-1 : 0]; reg VAR5; reg [VAR106-1:0] VAR6; wire [VAR106-1:0] VAR56; wire [VAR106-1:0] VAR65; wire [VAR106-1:0] VAR8; reg [VAR106-1:0] VAR38; reg [VAR106-1:0] VAR84; generate genvar VAR76; for(VAR76=0; VAR76<VAR106; VAR76=VAR76+1) begin: VAR55 VAR85 VAR104 ( .din ({VAR77, VAR86}), .VAR70 (VAR6[VAR76]), .VAR44 (VAR56[VAR76]), .dout (VAR17[VAR76]), .VAR2 (), .VAR54 (), .VAR34 (), .VAR60 (VAR8[VAR76]), .VAR29 (VAR65[VAR76]), .reset (reset), .clk (clk) ); end endgenerate assign VAR57 = !VAR58; assign VAR78 = !VAR61; assign VAR56[0] = !VAR65[0] && VAR101; assign VAR56[1] = !VAR65[1] && VAR91; assign VAR56[2] = !VAR65[2] && VAR71; assign VAR56[3] = !VAR65[3] && VAR19; assign VAR56[4] = !VAR65[4] && VAR93; assign {VAR99,VAR51} = VAR17[0]; assign {VAR59,VAR3} = VAR17[1]; assign {VAR49,VAR81} = VAR17[2]; assign {VAR16,VAR87} = VAR17[3]; assign {VAR11,VAR67} = VAR17[4]; always @(posedge clk) begin if(reset) begin VAR96 <= 0; VAR69 <= 0; VAR98 <= 0; VAR63 <= 0; VAR40 <= 0; end else begin VAR96 <= VAR56[0]; VAR69 <= VAR56[1]; VAR98 <= VAR56[2]; VAR63 <= VAR56[3]; VAR40 <= VAR56[4]; end end reg [7:0]VAR73; reg VAR89; reg [7:0] VAR97; reg [7:0]VAR94; reg [4:0]VAR30; always@(posedge clk) if(reset) VAR94<=0; else VAR94<=VAR97; VAR31 #(.VAR20(VAR12+VAR52),.VAR24(3)) VAR102 ( .din ({VAR13, VAR41}), .VAR70 (VAR53), .VAR44 (VAR89), .dout ({VAR77, VAR86}), .VAR34 (), .VAR60 (), .VAR54 (VAR58), .VAR29 (VAR61), .reset (reset), .clk (clk) ); localparam VAR1=0; localparam VAR9=1; localparam VAR42=2; localparam VAR26=3; localparam VAR83=4; reg [3:0]VAR39,VAR28; always@(posedge clk) if(reset) VAR39<=0; else VAR39<=VAR28; always@ begin VAR79=0; case(VAR74) VAR37: if(VAR89 && |(VAR97 & (~VAR8[4:0]))) VAR79=VAR33; end else if(VAR89) VAR79=VAR4; VAR33: if(VAR77==0) VAR79=VAR88; else VAR79=VAR33; VAR4: if(VAR77==0) VAR79=VAR92; else VAR79=VAR4; VAR92: if(VAR77!=0) VAR79=VAR7; else VAR79=VAR92; VAR88: if(VAR77!=0) VAR79=VAR7; else VAR79=VAR88; VAR7:VAR79=VAR37; default:VAR79=VAR37; endcase end always@(*) if(reset) VAR6=0; else if(VAR74==VAR33 | VAR74==VAR88)VAR6= VAR94; else VAR6=0; begin always@(posedge clk) if(reset) begin VAR21<=0; VAR18<=0; VAR107<=0; VAR27<=0; VAR25<=0; end else if(VAR74==VAR37 && VAR89 && |(VAR97 & (~VAR8[4:0]))) case(VAR97) 1: VAR21<=VAR21+1; 2: VAR18<=VAR18+1; 4: VAR107<=VAR107+1; 8: VAR27<=VAR27+1; 16:VAR25<=VAR25+1; endcase always@(posedge clk) if(reset) begin VAR14<=0; VAR45<=0; VAR66<=0; VAR32<=0; VAR15<=0; end else if(VAR74==VAR37 && VAR89 && (!(|(VAR97 & (~VAR8[4:0]))))) case(VAR97) 1: VAR14<=VAR14+1; 2: VAR45<=VAR45+1; 4: VAR66<=VAR66+1; 8: VAR32<=VAR32+1; 16:VAR15<=VAR15+1; endcase always@(posedge clk) if(reset) begin VAR36<=0; VAR90<=0; VAR75<=0; VAR80<=0; VAR62<=0; end else if(VAR74==VAR88 | (VAR74==VAR33 && VAR77==0)) case(VAR97) 1: VAR36<=VAR36+1; 2: VAR90<=VAR90+1; 4: VAR75<=VAR75+1; 8: VAR80<=VAR80+1; 16:VAR62<=VAR62+1; endcase always@(posedge clk) if(reset) begin VAR47<=0; VAR95<=0; VAR22<=0; VAR48<=0; VAR64<=0; end else if(VAR74==VAR92 | (VAR74==VAR4 && VAR77==0)) case(VAR97) 1: VAR47<=VAR47+1; 2: VAR95<=VAR95+1; 4: VAR22<=VAR22+1; 8: VAR48<=VAR48+1; 16:VAR64<=VAR64+1; endcase end begin always@(posedge clk) if(reset) begin VAR21<=0; VAR18<=0; VAR107<=0; VAR27<=0; VAR25<=0; end else if(VAR74==VAR37 && VAR89 && |(VAR97 & (~VAR8[4:0]))) case(VAR97) 1: VAR21<=VAR21+1; 2: VAR18<=VAR18+1; 4: VAR107<=VAR107+1; 8: VAR27<=VAR27+1; 16:VAR25<=VAR25+1; endcase always@(posedge clk) if(reset) begin VAR14<=0; VAR45<=0; VAR66<=0; VAR32<=0; VAR15<=0; end else if(VAR74==VAR37 && VAR89 && (!(|(VAR97 & (~VAR8[4:0]))))) case(VAR97) 1: VAR14<=VAR14+1; 2: VAR45<=VAR45+1; 4: VAR66<=VAR66+1; 8: VAR32<=VAR32+1; 16:VAR15<=VAR15+1; endcase always@(posedge clk) if(reset) begin VAR36<=0; VAR90<=0; VAR75<=0; VAR80<=0; VAR62<=0; end else if(VAR74==VAR88 | (VAR74==VAR33 && VAR77==0)) case(VAR97) 1: VAR36<=VAR36+1; 2: VAR90<=VAR90+1; 4: VAR75<=VAR75+1; 8: VAR80<=VAR80+1; 16:VAR62<=VAR62+1; endcase always@(posedge clk) if(reset) begin VAR47<=0; VAR95<=0; VAR22<=0; VAR48<=0; VAR64<=0; end else if(VAR74==VAR92 | (VAR74==VAR4 && VAR77==0)) case(VAR97) 1: VAR47<=VAR47+1; 2: VAR95<=VAR95+1; 4: VAR22<=VAR22+1; 8: VAR48<=VAR48+1; 16:VAR64<=VAR64+1; endcase end endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/diode/sky130_fd_sc_ls__diode.blackbox.v
1,214
module MODULE1 ( VAR4 ); input VAR4; supply1 VAR5; supply0 VAR1; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v5_mig34/mig_v3_4/user_design/rtl/ddr2_phy_dq_iob.v
21,882
module MODULE1 # ( parameter VAR37 = "VAR3", parameter VAR5 = "VAR49", parameter VAR23 = 2 ) ( input VAR78, input VAR55, input VAR40, input VAR52, input VAR86, input VAR21, input VAR90, input [1:0] VAR25, input VAR76, input VAR63, input VAR61, input VAR74, input VAR83, output VAR26, output VAR27, inout VAR87 ); wire VAR41; wire VAR22; wire VAR77; wire VAR1; wire VAR31; wire VAR17; wire VAR53; wire VAR16; wire VAR89; wire VAR47; wire VAR34; wire VAR54; wire VAR68; wire VAR82; wire VAR7; wire VAR13; wire VAR85; wire VAR57; wire VAR73; VAR66 VAR39 ( .VAR81 (VAR31), .VAR35 (VAR1), .VAR67 (VAR87), .VAR14 (VAR77) ); VAR12 # ( .VAR20("VAR32"), .VAR45("VAR84") ) VAR29 ( .VAR10 (VAR31), .VAR42 (VAR55), .VAR4 (1'b1), .VAR33 (VAR74), .VAR24 (VAR83), .VAR46 (1'b0), .VAR43 (1'b0) ); VAR12 # ( .VAR20("VAR88"), .VAR45("VAR84") ) VAR2 ( .VAR10 (VAR1), .VAR42 (VAR55), .VAR4 (1'b1), .VAR33 (VAR25[0]), .VAR24 (VAR25[1]), .VAR46 (1'b0), .VAR43 (VAR52) ); VAR62 # ( .VAR59 ("VAR81"), .VAR8 ("VAR28"), .VAR37 (VAR37), .VAR71 (0), .VAR64 (0) ) VAR6 ( .VAR44 (VAR22), .VAR42 (VAR40), .VAR4 (VAR21), .VAR60 (), .VAR18 (VAR77), .VAR58 (VAR86), .VAR19 (), .VAR38 (VAR90), .VAR35 () ); assign VAR41 = ~VAR76; generate if (VAR23 == 3) begin: VAR56 VAR48 # ( .VAR45 ("VAR84") ) VAR51 ( .VAR80 (VAR7), .VAR30 (VAR82), .VAR42 (VAR41), .VAR4 (VAR63), .VAR11 (VAR22), .VAR46 (1'b0), .VAR43 (1'b0) ); VAR72 VAR50 ( .VAR10 (VAR17), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR7), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR36 ( .VAR10 (VAR53), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR82), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR9 ( .VAR10 (VAR54), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR16), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR15 ( .VAR10 (VAR68), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR89), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR79 ( .VAR10 (VAR16), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR7), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR69 ( .VAR10 (VAR89), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR82), .VAR46 (1'b0), .VAR43 (1'b0) ) ; end else if (VAR23 == 2) begin: VAR70 VAR48 # ( .VAR45 ("VAR84") ) VAR51 ( .VAR80 (VAR85), .VAR30 (VAR13), .VAR42 (VAR41), .VAR4 (VAR63), .VAR11 (VAR22), .VAR46 (1'b0), .VAR43 (1'b0) ); VAR72 VAR50 ( .VAR10 (VAR17), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR85), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR36 ( .VAR10 (VAR53), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR13), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR9 ( .VAR10 (VAR54), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR16), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR15 ( .VAR10 (VAR68), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR89), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR79 ( .VAR10 (VAR16), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR85), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR69 ( .VAR10 (VAR89), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR13), .VAR46 (1'b0), .VAR43 (1'b0) ) ; end else if (VAR23 == 1) begin: VAR75 VAR48 # ( .VAR45 ("VAR84") ) VAR51 ( .VAR80 (VAR73), .VAR30 (VAR57), .VAR42 (VAR41), .VAR4 (VAR63), .VAR11 (VAR22), .VAR46 (1'b0), .VAR43 (1'b0) ); VAR72 VAR50 ( .VAR10 (VAR17), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR73), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR36 ( .VAR10 (VAR53), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR57), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR9 ( .VAR10 (VAR54), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR16), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR72 VAR15 ( .VAR10 (VAR68), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR89), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR79 ( .VAR10 (VAR16), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR73), .VAR46 (1'b0), .VAR43 (1'b0) ) ; VAR65 VAR69 ( .VAR10 (VAR89), .VAR42 (VAR78), .VAR4 (1'b1), .VAR11 (VAR57), .VAR46 (1'b0), .VAR43 (1'b0) ) ; end endgenerate assign VAR34 = VAR53; assign VAR47 = VAR17; assign VAR26 = (VAR61) ? VAR34 : VAR68; assign VAR27 = (VAR61) ? VAR47 : VAR54; endmodule
lgpl-3.0
asicguy/gplgpu
hdl/vga/vga.v
26,878
module MODULE1 ( input [22:0] VAR98, input [3:0] VAR1, input VAR16, input VAR14, input VAR75, input VAR59, input VAR57, input VAR164, input VAR222, input VAR90, input VAR11, input [31:0] VAR173, input [31:0] VAR129, input VAR53, input VAR110, output [31:0] VAR151, output [31:0] VAR172, output VAR121, output VAR34, output VAR211, output VAR135, output VAR140, output [7:0] VAR2, output [20:3] VAR31, output [7:0] VAR52, output VAR134, output [5:0] VAR232, output VAR28 ); wire VAR197; wire VAR193; wire VAR177; wire VAR199; wire VAR148; wire VAR103; wire VAR96; wire VAR51; wire [22:0] VAR6; wire [15:0] VAR113; wire VAR105; wire VAR167; wire VAR153; wire VAR29; wire VAR178; wire VAR202; wire VAR133; wire VAR163; wire VAR5; wire VAR102; wire VAR74; wire VAR194; wire VAR4; wire VAR116; wire VAR217; wire VAR225; wire VAR210; wire VAR234; wire VAR120; wire VAR70; wire VAR171; wire VAR48; wire VAR123; wire VAR162; wire VAR32; wire VAR111; wire VAR45; wire VAR66; wire VAR158; wire VAR89; wire VAR209; wire VAR94; wire VAR117; wire VAR212; wire VAR65; wire VAR81; wire VAR108; wire VAR137; wire VAR219; wire VAR91; wire VAR86; wire VAR130; wire VAR71; wire VAR23; wire VAR226; wire VAR181; wire VAR141; wire VAR175; wire VAR138; wire VAR97; wire VAR19; wire VAR207; wire VAR144; wire VAR64; wire VAR228; wire VAR233; wire VAR231; wire [4:0] VAR40; wire VAR109; wire VAR154; wire [36:0] VAR204; wire VAR101; wire VAR106; wire VAR83; wire VAR26; wire [31:0] VAR124; wire [31:0] VAR174; wire [3:0] VAR77; wire VAR189; wire VAR160; wire VAR218; wire VAR30; wire VAR122; wire VAR165; wire [3:0] VAR13; wire [2:0] VAR224; wire VAR145; wire VAR115; wire VAR182; wire VAR107; wire VAR168; wire [15:0] VAR7; wire [7:0] VAR147; wire VAR176; wire [7:0] VAR169; wire [19:0] VAR119; wire VAR24; wire VAR114; wire VAR20; wire VAR183; wire VAR185; wire VAR61; wire VAR21; wire [31:0] VAR93; wire [31:0] VAR67; wire VAR150; wire [3:0] VAR41; wire VAR155; wire VAR223; wire VAR60; wire VAR50; wire VAR39; wire VAR161; wire VAR49; wire VAR187; wire [7:0] VAR205; wire [7:0] VAR126; wire [7:0] VAR104; wire [7:0] VAR216; wire [7:0] VAR166; wire [5:0] VAR56; wire [7:0] VAR100; wire [7:0] VAR80; wire [7:0] VAR76; wire [7:0] VAR146; wire [7:0] VAR227; wire [7:0] VAR184; wire [7:0] VAR36; wire [7:0] VAR201; wire [7:0] VAR203; wire [7:0] VAR190; wire [7:0] VAR188; wire [7:0] VAR230; wire [7:0] VAR99; wire [7:0] VAR157; wire [7:0] VAR208; wire [7:0] VAR156; wire [7:0] VAR17; wire [7:0] VAR38; wire [7:0] VAR142; wire [7:0] VAR37; wire [7:0] VAR118; wire [7:0] VAR12; wire [7:0] VAR186; wire [7:0] VAR131; wire [7:0] VAR18; wire [7:0] VAR46; wire [7:0] VAR180; wire [7:0] VAR25; wire [7:0] VAR191; wire [7:0] VAR112; wire [7:0] VAR125; wire [7:0] VAR95; wire [7:0] VAR44; wire [7:0] VAR139; wire [7:0] VAR79; wire [7:0] VAR72; wire [7:0] VAR235; wire [7:0] VAR27; wire [7:0] VAR73; wire [7:0] VAR88; wire [7:0] VAR9; wire [7:0] VAR84; wire [7:0] VAR85; wire [7:0] VAR229; wire [7:0] VAR149; wire [7:0] VAR54; wire [7:0] VAR195; wire [7:0] VAR69; wire VAR179; wire VAR87; wire VAR63; wire [10:0] VAR92; VAR132 VAR200 ( .VAR155 (VAR155), .VAR223 (VAR223), .VAR60 (VAR60), .VAR50 (VAR50), .VAR49 (VAR49), .VAR187 (VAR187), .VAR161 (VAR161), .VAR98 (VAR98), .VAR1 (VAR1), .VAR16 (VAR16), .VAR14 (VAR14), .VAR75 (VAR75), .VAR59 (VAR59), .VAR57 (VAR57), .VAR193 (VAR193), .VAR177 (VAR177), .VAR199 (VAR199), .VAR148 (VAR148), .VAR103 (VAR103), .VAR24 (VAR24), .VAR114 (VAR114), .VAR20 (VAR20), .VAR173 (VAR173), .VAR93 (VAR93), .VAR205 (VAR205), .VAR126 (VAR126), .VAR104 (VAR104), .VAR216 (VAR216), .VAR166 (VAR166), .VAR56 (VAR56), .VAR100 (VAR100), .VAR80 (VAR80), .VAR76 (VAR76), .VAR146 (VAR146), .VAR227 (VAR227), .VAR184 (VAR184), .VAR36 (VAR36), .VAR201 (VAR201), .VAR203 (VAR203), .VAR190 (VAR190), .VAR188 (VAR188), .VAR230 (VAR230), .VAR99 (VAR99), .VAR157 (VAR157), .VAR208 (VAR208), .VAR156 (VAR156), .VAR17 (VAR17), .VAR38 (VAR38), .VAR142 (VAR142), .VAR37 (VAR37), .VAR118 (VAR118), .VAR12 (VAR12), .VAR186 (VAR186), .VAR131 (VAR131), .VAR18 (VAR18), .VAR46 (VAR46), .VAR180 (VAR180), .VAR25 (VAR25), .VAR147 (VAR147), .VAR191 (VAR191), .VAR112 (VAR112), .VAR125 (VAR125), .VAR95 (VAR95), .VAR44 (VAR44), .VAR139 (VAR139), .VAR79 (VAR79), .VAR72 (VAR72), .VAR235 (VAR235), .VAR27 (VAR27), .VAR73 (VAR73), .VAR88 (VAR88), .VAR9 (VAR9), .VAR84 (VAR84), .VAR85 (VAR85), .VAR229 (VAR229), .VAR149 (VAR149), .VAR54 (VAR54), .VAR195 (VAR195), .VAR69 (VAR69), .VAR7 (VAR7), .VAR151 (VAR151), .VAR67 (VAR67), .VAR96 (VAR96), .VAR51 (VAR51), .VAR140 (VAR140), .VAR6 (VAR6), .VAR113 (VAR113), .VAR105 (VAR105), .VAR167 (VAR167), .VAR153 (VAR153), .VAR29 (VAR29), .VAR178 (VAR178), .VAR202 (VAR202), .VAR133 (VAR133), .VAR41 (VAR41), .VAR163 (VAR163) ); VAR10 VAR170 ( .VAR113 (VAR113), .VAR153 (VAR153), .VAR29 (VAR29), .VAR178 (VAR178), .VAR105 (VAR105), .VAR167 (VAR167), .VAR68 (VAR75), .VAR202 (VAR202), .VAR133 (VAR133), .VAR5 (VAR59), .VAR164 (VAR164), .VAR102 (VAR102), .VAR74 (VAR74), .VAR194 (VAR194), .VAR4 (VAR4), .VAR116 (VAR116), .VAR217 (VAR217), .VAR225 (VAR225), .VAR210 (VAR210), .VAR222 (VAR222), .VAR234 (VAR234), .VAR120 (VAR120), .VAR70 (VAR70), .VAR171 (VAR171), .VAR48 (VAR48), .VAR185 (VAR185), .VAR123 (VAR123), .VAR162 (VAR162), .VAR32 (VAR32), .VAR111 (VAR111), .VAR7 (VAR7), .VAR53 (VAR53), .VAR76 (VAR76), .VAR146 (VAR146), .VAR227 (VAR227), .VAR184 (VAR184), .VAR36 (VAR36), .VAR201 (VAR201), .VAR203 (VAR203), .VAR190 (VAR190), .VAR188 (VAR188), .VAR230 (VAR230), .VAR99 (VAR99), .VAR157 (VAR157), .VAR208 (VAR208), .VAR156 (VAR156), .VAR17 (VAR17), .VAR38 (VAR38), .VAR142 (VAR142), .VAR37 (VAR37), .VAR118 (VAR118), .VAR12 (VAR12), .VAR186 (VAR186), .VAR131 (VAR131), .VAR18 (VAR18), .VAR46 (VAR46), .VAR180 (VAR180), .VAR25 (VAR25), .VAR45 (VAR45), .VAR66 (VAR66), .VAR158 (VAR158), .VAR89 (VAR89), .VAR209 (VAR209), .VAR117 (VAR117), .VAR212 (VAR212), .VAR65 (VAR65), .VAR81 (VAR81), .VAR108 (VAR108), .VAR137 (VAR137), .VAR219 (VAR219), .VAR91 (VAR91), .VAR130 (VAR130), .VAR192 (), .VAR86 (VAR86), .VAR71 (VAR71), .VAR177 (VAR177), .VAR121 (VAR121), .VAR23 (VAR23), .VAR34 (VAR34), .VAR226 (VAR226), .VAR181 (VAR181), .VAR141 (VAR141), .VAR175 (VAR175), .VAR138 (VAR138), .VAR211 (VAR211), .VAR97 (VAR97), .VAR19 (VAR19), .VAR207 (VAR207), .VAR144 (VAR144), .VAR64 (VAR64), .VAR135 (VAR135), .VAR228 (VAR228), .VAR233 (VAR233), .VAR231 (VAR231), .VAR40 (VAR40), .VAR109 (VAR109), .VAR154 (VAR154), .VAR94 (VAR94), .VAR60 (VAR60), .VAR50 (VAR50), .VAR197 (VAR197), .VAR92 (VAR92) ); VAR220 VAR159 ( .VAR68 (VAR75), .VAR113 (VAR113), .VAR29 (VAR29), .VAR133 (VAR133), .VAR202 (VAR202), .VAR5 (VAR59), .VAR175 (VAR175), .VAR138 (VAR138), .VAR97 (VAR97), .VAR181 (VAR181), .VAR158 (VAR158), .VAR204 (VAR204), .VAR101 (VAR101), .VAR45 (VAR45), .VAR66 (VAR66), .VAR228 (VAR228), .VAR135 (VAR135), .VAR86 (VAR86), .VAR117 (VAR117), .VAR212 (VAR212), .VAR65 (VAR65), .VAR106 (VAR106), .VAR83 (VAR83), .VAR164 (VAR164), .VAR105 (VAR105), .VAR7 (VAR7), .VAR197 (VAR197), .VAR42 (VAR205), .VAR58 (VAR126), .VAR33 (VAR104), .VAR213 (VAR216), .VAR55 (VAR166), .VAR78 (VAR56), .VAR128 (VAR100), .VAR198 (VAR80), .VAR193 (VAR193), .VAR210 (VAR210), .VAR225 (VAR225), .VAR217 (VAR217), .VAR123 (VAR123), .VAR162 (VAR162), .VAR32 (VAR32), .VAR111 (VAR111), .VAR234 (VAR234), .VAR120 (VAR120), .VAR70 (VAR70), .VAR2 (VAR2), .VAR49 (VAR49), .VAR187 (VAR187), .VAR179 (VAR179) ); VAR15 VAR136 ( .VAR11 (VAR11), .VAR59 (VAR59), .VAR164 (VAR164), .VAR90 (VAR90), .VAR94 (VAR94), .VAR207 (VAR207), .VAR144 (VAR144), .VAR19 (VAR19), .VAR109 (VAR109), .VAR108 (VAR108), .VAR137 (VAR137), .VAR219 (VAR219), .VAR91 (VAR91), .VAR81 (VAR81), .VAR40 (VAR40), .VAR226 (VAR226), .VAR181 (VAR181), .VAR64 (VAR64), .VAR141 (VAR141), .VAR71 (VAR71), .VAR231 (VAR231), .VAR233 (VAR233), .VAR154 (VAR154), .VAR87 (VAR87), .VAR68 (VAR75), .VAR202 (VAR202), .VAR133 (VAR133), .VAR105 (VAR105), .VAR113 (VAR113), .VAR163 (VAR163), .VAR119 (VAR119), .VAR169 (VAR169), .VAR124 (VAR124), .VAR174 (VAR174), .VAR77 (VAR77), .VAR189 (VAR189), .VAR160 (VAR160), .VAR218 (VAR218), .VAR21 (VAR21), .VAR61 (VAR61), .VAR7 (VAR7), .VAR214 (VAR209), .VAR17 (VAR17[5:0]), .VAR38 (VAR38), .VAR43 (VAR146), .VAR179 (VAR179), .VAR53 (VAR53), .VAR110 (VAR110), .VAR82 (VAR191), .VAR35 (VAR112), .VAR3 (VAR125), .VAR47 (VAR95), .VAR143 (VAR44), .VAR127 (VAR139), .VAR206 (VAR79), .VAR215 (VAR72), .VAR62 (VAR235), .VAR22 (VAR27), .VAR196 (VAR73), .VAR31 (VAR31), .VAR129 (VAR129), .VAR172 (VAR172), .VAR52 (VAR52), .VAR134 (VAR134), .VAR204 (VAR204), .VAR30 (VAR30), .VAR122 (VAR122), .VAR165 (VAR165), .VAR13 (VAR13), .VAR101 (VAR101), .VAR4 (VAR4), .VAR194 (VAR194), .VAR74 (VAR74), .VAR102 (VAR102), .VAR116 (VAR116), .VAR145 (VAR145), .VAR115 (VAR115), .VAR182 (VAR182), .VAR107 (VAR107), .VAR148 (VAR148), .VAR171 (VAR171), .VAR48 (VAR48), .VAR176 (VAR176), .VAR24 (VAR24), .VAR28 (VAR28), .VAR185 (VAR185), .VAR63 (VAR63), .VAR150 (VAR150), .VAR155 (VAR155), .VAR223 (VAR223) ); VAR8 VAR152 ( .VAR16 (VAR16), .VAR57 (VAR57), .VAR150 (VAR150), .VAR68 (VAR75), .VAR59 (VAR59), .VAR11 (VAR11), .VAR90 (VAR90), .VAR163 (VAR163), .VAR96 (VAR96), .VAR51 (VAR51), .VAR41 (VAR41), .VAR63 (VAR63), .VAR30 (VAR30), .VAR145 (VAR145), .VAR182 (VAR182), .VAR107 (VAR107), .VAR176 (VAR176), .VAR6 (VAR6), .VAR89 (VAR89), .VAR202 (VAR202), .VAR133 (VAR133), .VAR105 (VAR105), .VAR29 (VAR29), .VAR113 (VAR113), .VAR7 (VAR7[15:8]), .VAR209 (VAR209), .VAR94 (VAR94), .VAR130 (VAR130), .VAR38 (VAR38), .VAR101 (VAR101), .VAR148 (VAR148), .VAR13 (VAR13), .VAR88 (VAR88), .VAR9 (VAR9), .VAR84 (VAR84), .VAR85 (VAR85), .VAR229 (VAR229), .VAR149 (VAR149), .VAR54 (VAR54), .VAR195 (VAR195), .VAR69 (VAR69), .VAR103 (VAR103), .VAR189 (VAR189), .VAR106 (VAR106), .VAR83 (VAR83), .VAR218 (VAR218), .VAR160 (VAR160), .VAR168 (VAR168), .VAR199 (VAR199), .VAR221 (VAR232), .VAR93 (VAR93), .VAR67 (VAR67), .VAR124 (VAR124), .VAR174 (VAR174), .VAR147 (VAR147), .VAR87 (VAR87), .VAR119 (VAR119), .VAR169 (VAR169), .VAR20 (VAR20), .VAR114 (VAR114), .VAR61 (VAR61), .VAR21 (VAR21), .VAR161 (VAR161) ); endmodule
gpl-3.0
cafe-alpha/wascafe
v13/r07c_de10_20201010_abus3/wasca/synthesis/submodules/wasca_mm_interconnect_0_avalon_st_adapter_003.v
6,161
module MODULE1 #( parameter VAR9 = 34, parameter VAR1 = 0, parameter VAR8 = 34, parameter VAR19 = 0, parameter VAR23 = 0, parameter VAR7 = 0, parameter VAR6 = 1, parameter VAR24 = 1, parameter VAR16 = 0, parameter VAR12 = 34, parameter VAR15 = 0, parameter VAR5 = 1, parameter VAR13 = 0, parameter VAR17 = 1, parameter VAR14 = 1, parameter VAR21 = 0 ) ( input wire VAR18, input wire VAR2, input wire [33:0] VAR22, input wire VAR4, output wire VAR25, output wire [33:0] VAR11, output wire VAR10, input wire VAR3, output wire [0:0] VAR20 ); generate if (VAR9 != 34) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o2111a/sky130_fd_sc_ls__o2111a.behavioral.v
1,588
module MODULE1 ( VAR12 , VAR11, VAR15, VAR4, VAR8, VAR3 ); output VAR12 ; input VAR11; input VAR15; input VAR4; input VAR8; input VAR3; supply1 VAR14; supply0 VAR5; supply1 VAR7 ; supply0 VAR10 ; wire VAR13 ; wire VAR1; or VAR9 (VAR13 , VAR15, VAR11 ); and VAR6 (VAR1, VAR4, VAR8, VAR13, VAR3); buf VAR2 (VAR12 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/srsdfrtp/sky130_fd_sc_lp__srsdfrtp.functional.pp.v
2,712
module MODULE1 ( VAR8 , VAR20 , VAR24 , VAR14 , VAR16 , VAR22, VAR9, VAR23 , VAR17 , VAR7 , VAR6 , VAR5 ); output VAR8 ; input VAR20 ; input VAR24 ; input VAR14 ; input VAR16 ; input VAR22; input VAR9; input VAR23 ; input VAR17 ; input VAR7 ; input VAR6 ; input VAR5 ; wire VAR19 ; wire VAR18 ; wire VAR3 ; wire VAR4; not VAR21 (VAR19 , VAR22 ); VAR10 VAR25 (VAR18 , VAR24, VAR14, VAR16 ); VAR11 VAR13 VAR15 (VAR3 , VAR18, VAR20, VAR19, VAR9, , VAR23, VAR7, VAR17); VAR1 VAR2 (VAR4, VAR3, VAR17, VAR7 ); buf VAR12 (VAR8 , VAR4 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnq/gf180mcu_fd_sc_mcu7t5v0__dffnq_4.behavioral.v
2,148
module MODULE1( VAR3, VAR5, VAR6 ); input VAR3, VAR5; output VAR6; reg VAR8; VAR7 VAR9(.VAR3(VAR3),.VAR5(VAR5),.VAR6(VAR6),.VAR8(VAR8)); VAR7 VAR2(.VAR3(VAR3),.VAR5(VAR5),.VAR6(VAR6),.VAR8(VAR8)); not VAR1(VAR4,VAR5); buf VAR11(VAR10,VAR5);
apache-2.0
olgirard/openmsp430
fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp_synth.v
4,230
module MODULE1 ( VAR2, VAR1, VAR4, VAR3, VAR5, VAR6 ); input VAR2; input VAR1; input [1 : 0] VAR4; input [9 : 0] VAR3; input [15 : 0] VAR5; output [15 : 0] VAR6; endmodule
bsd-3-clause
kevintownsend/convey_spmv
rtl/mac/mac.v
2,150
module MODULE1(clk, rst, wr, VAR30, VAR32, VAR18, VAR23, VAR17, VAR10, VAR14, VAR25); parameter VAR2 = 1024; parameter VAR19 = VAR11(VAR2 - 1); input clk, rst, wr; input [VAR19 - 1:0] VAR30; input [63:0] VAR32, VAR18; output VAR23; output [63:0] VAR17; input VAR10; output VAR14; input VAR25; wire [65:0] VAR35, VAR6; wire VAR12; VAR37 VAR5(clk, wr, VAR32, VAR12, VAR35); VAR37 VAR24(clk, wr, VAR18, , VAR6); reg [VAR19 - 1:0] VAR31; always @(posedge clk) VAR31 <= VAR30; wire [65:0] VAR27; wire [VAR19 - 1:0] VAR34; wire VAR29; VAR8 #(VAR19) VAR26(clk, VAR12, VAR31, VAR35, VAR6, VAR29, VAR34, VAR27); wire VAR21; wire [VAR19 - 1:0] VAR4; wire [65:0] VAR7; wire VAR3; wire [VAR19 - 1:0] VAR22; wire [65:0] VAR13; wire [65:0] VAR36; wire VAR33; wire [65:0] VAR9; VAR1 #(VAR2) VAR28(clk, rst, VAR29, VAR34, VAR27, VAR21, VAR4, VAR7, VAR3, VAR22, VAR13, VAR36, VAR33, VAR9, VAR10, VAR14, VAR25); VAR38 #(VAR19) VAR15(clk, VAR3, VAR22, VAR13, VAR36, VAR21, VAR4, VAR7); VAR16 VAR20(clk, VAR33, VAR9, VAR23, VAR17); endmodule
apache-2.0
thinkoco/de1_soc_opencl
de1soc_sharedonly_vga/system/system_bb.v
4,723
module MODULE1 ( VAR60, VAR33, VAR38, VAR5, VAR16, VAR14, VAR24, VAR48, VAR19, VAR57, VAR36, VAR30, VAR9, VAR62, VAR7, VAR28, VAR42, VAR18, VAR45, VAR10, VAR29, VAR35, VAR41, VAR2, VAR52, VAR23, VAR43, VAR46, VAR15, VAR65, VAR22, VAR58, VAR37, VAR26, VAR63, VAR50, VAR55, VAR59, VAR49, VAR66, VAR56, VAR34, VAR39, VAR3, VAR17, VAR13, VAR53, VAR21, VAR32, VAR8, VAR11, VAR54, VAR40, VAR64, VAR27, VAR51, VAR44, VAR20, VAR25, VAR1, VAR31, VAR6, VAR47, VAR4, VAR12, VAR61); input VAR60; output VAR33; output [14:0] VAR38; output [2:0] VAR5; output VAR16; output VAR14; output VAR24; output VAR48; output VAR19; output VAR57; output VAR36; output VAR30; inout [31:0] VAR9; inout [3:0] VAR62; inout [3:0] VAR7; output VAR28; output [3:0] VAR42; input VAR18; output VAR45; output VAR10; output VAR29; output VAR35; output VAR41; input VAR2; inout VAR52; output VAR23; input VAR43; output VAR46; input VAR15; input VAR65; input VAR22; input VAR58; inout VAR37; inout VAR26; inout VAR63; output VAR50; inout VAR55; inout VAR59; inout VAR49; inout VAR66; inout VAR56; inout VAR34; inout VAR39; inout VAR3; inout VAR17; inout VAR13; input VAR53; output VAR21; input VAR32; input VAR8; input VAR11; output VAR54; inout VAR40; inout VAR64; inout VAR27; input VAR51; input VAR44; output [31:0] VAR20; output VAR25; output VAR1; output VAR31; output VAR6; output VAR47; output VAR4; output VAR12; input VAR61; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor3/sky130_fd_sc_lp__nor3.blackbox.v
1,288
module MODULE1 ( VAR6, VAR2, VAR5, VAR8 ); output VAR6; input VAR2; input VAR5; input VAR8; supply1 VAR7; supply0 VAR3; supply1 VAR4 ; supply0 VAR1 ; endmodule
apache-2.0
SoCdesign/audiomixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_hdmi_tx_16b_v1_00_a/hdl/verilog/cf_csc_1.v
4,299
module MODULE1 ( clk, VAR31, VAR18, VAR1, VAR17, VAR6, VAR8, VAR4, VAR25, VAR26, VAR12, VAR30, VAR2); input clk; input VAR31; input VAR18; input VAR1; input [23:0] VAR17; input [16:0] VAR6; input [16:0] VAR8; input [16:0] VAR4; input [24:0] VAR25; output VAR26; output VAR12; output VAR30; output [ 7:0] VAR2; wire [24:0] VAR28; wire [ 2:0] VAR9; wire [24:0] VAR20; wire [ 2:0] VAR13; wire [24:0] VAR24; wire [ 2:0] VAR14; wire [ 2:0] VAR33; assign VAR33 = VAR9 & VAR13 & VAR14; VAR10 #(.VAR29(3)) VAR15 ( .clk (clk), .VAR35 (VAR6), .VAR22 (VAR17[23:16]), .VAR21 (VAR28), .VAR23 ({VAR31, VAR18, VAR1}), .VAR34 (VAR9)); VAR10 #(.VAR29(3)) VAR5 ( .clk (clk), .VAR35 (VAR8), .VAR22 (VAR17[15:8]), .VAR21 (VAR20), .VAR23 ({VAR31, VAR18, VAR1}), .VAR34 (VAR13)); VAR10 #(.VAR29(3)) VAR7 ( .clk (clk), .VAR35 (VAR4), .VAR22 (VAR17[7:0]), .VAR21 (VAR24), .VAR23 ({VAR31, VAR18, VAR1}), .VAR34 (VAR14)); VAR32 #(.VAR29(3)) VAR27 ( .clk (clk), .VAR11 (VAR28), .VAR16 (VAR20), .VAR3 (VAR24), .VAR19 (VAR25), .VAR21 (VAR2), .VAR23 (VAR33), .VAR34 ({VAR26, VAR12, VAR30})); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai222/gf180mcu_fd_sc_mcu9t5v0__oai222_1.functional.v
1,909
module MODULE1( VAR6, VAR22, VAR24, VAR11, VAR7, VAR12, VAR4 ); input VAR4, VAR12, VAR11, VAR7, VAR22, VAR6; output VAR24; wire VAR20; not VAR21( VAR20, VAR4 ); wire VAR16; not VAR5( VAR16, VAR12 ); wire VAR23; and VAR19( VAR23, VAR20, VAR16 ); wire VAR9; not VAR18( VAR9, VAR11 ); wire VAR25; not VAR8( VAR25, VAR7 ); wire VAR2; and VAR26( VAR2, VAR9, VAR25 ); wire VAR1; not VAR10( VAR1, VAR22 ); wire VAR3; not VAR15( VAR3, VAR6 ); wire VAR14; and VAR17( VAR14, VAR1, VAR3 ); or VAR13( VAR24, VAR23, VAR2, VAR14 ); endmodule
apache-2.0
chris-wood/yield
sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ip/zc702_get_0_0/synth/zc702_get_0_0.v
11,197
module MODULE1 ( VAR68, VAR10, VAR12, VAR13, VAR25, VAR36, VAR65, VAR11, VAR61, VAR66, VAR1, VAR31, VAR29, VAR24, VAR52, VAR9, VAR72, VAR34, VAR27, VAR57, VAR71, VAR5, VAR35, VAR21, VAR63, VAR54, VAR39, VAR8, VAR58, VAR40, VAR33, VAR4, VAR67, VAR37, VAR7, VAR20, VAR30, VAR32, VAR44, VAR38, VAR26, VAR14, VAR62, VAR19, VAR46, VAR16 ); output wire VAR68; input wire VAR10; input wire VAR12; input wire VAR13; output wire VAR25; output wire VAR36; output wire VAR65; output wire [31 : 0] VAR11; output wire [31 : 0] VAR61; output wire [7 : 0] VAR66; output wire [2 : 0] VAR1; output wire [1 : 0] VAR31; output wire [1 : 0] VAR29; output wire [3 : 0] VAR24; output wire [3 : 0] VAR52; output wire [2 : 0] VAR9; output wire [3 : 0] VAR72; output wire VAR34; input wire VAR27; output wire [31 : 0] VAR57; output wire [3 : 0] VAR71; output wire VAR5; output wire VAR35; input wire VAR21; input wire [1 : 0] VAR63; input wire VAR54; output wire VAR39; output wire [31 : 0] VAR8; output wire [7 : 0] VAR58; output wire [2 : 0] VAR40; output wire [1 : 0] VAR33; output wire [1 : 0] VAR4; output wire [3 : 0] VAR67; output wire [3 : 0] VAR37; output wire [2 : 0] VAR7; output wire [3 : 0] VAR20; output wire VAR30; input wire VAR32; input wire [31 : 0] VAR44; input wire [1 : 0] VAR38; input wire VAR26; input wire VAR14; output wire VAR62; input wire [31 : 0] VAR19; input wire [31 : 0] VAR46; output wire [31 : 0] VAR16; VAR22 #( .VAR73(1), .VAR48(32), .VAR56(32), .VAR42(1), .VAR23(1), .VAR6(1), .VAR3(1), .VAR17(1), .VAR53('VAR18), .VAR28('VAR2), .VAR43('VAR51) ) VAR45 ( .VAR68(VAR68), .VAR10(VAR10), .VAR12(VAR12), .VAR13(VAR13), .VAR25(VAR25), .VAR36(VAR36), .VAR65(VAR65), .VAR11(VAR11), .VAR50(), .VAR61(VAR61), .VAR66(VAR66), .VAR1(VAR1), .VAR31(VAR31), .VAR29(VAR29), .VAR24(VAR24), .VAR52(VAR52), .VAR9(VAR9), .VAR72(VAR72), .VAR41(), .VAR34(VAR34), .VAR27(VAR27), .VAR55(), .VAR57(VAR57), .VAR71(VAR71), .VAR5(VAR5), .VAR15(), .VAR35(VAR35), .VAR21(VAR21), .VAR59(1'VAR64), .VAR63(VAR63), .VAR70(1'VAR64), .VAR54(VAR54), .VAR39(VAR39), .VAR69(), .VAR8(VAR8), .VAR58(VAR58), .VAR40(VAR40), .VAR33(VAR33), .VAR4(VAR4), .VAR67(VAR67), .VAR37(VAR37), .VAR7(VAR7), .VAR20(VAR20), .VAR47(), .VAR30(VAR30), .VAR32(VAR32), .VAR49(1'VAR64), .VAR44(VAR44), .VAR38(VAR38), .VAR26(VAR26), .VAR60(1'VAR64), .VAR14(VAR14), .VAR62(VAR62), .VAR19(VAR19), .VAR46(VAR46), .VAR16(VAR16) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/mux2i/sky130_fd_sc_hdll__mux2i.symbol.v
1,352
module MODULE1 ( input VAR4, input VAR5, output VAR1 , input VAR7 ); supply1 VAR3; supply0 VAR8; supply1 VAR6 ; supply0 VAR2 ; endmodule
apache-2.0
osecpu/fpga
osecpu.v
2,339
module MODULE1(clk, reset, VAR50, VAR32, VAR51); input clk; input reset; output reg [31:0] VAR50 = 0; output [15:0] VAR51; output [7:0] VAR32; wire [31:0] VAR59, VAR29, VAR26; wire [3:0] VAR20; wire VAR55; wire [5:0] VAR61, VAR5, VAR17; wire [31:0] VAR28, VAR46, VAR8; wire VAR3; wire [5:0] VAR52, VAR38, VAR24; wire [11:0] VAR16, VAR39, VAR36; wire [15:0] VAR12, VAR57, VAR7; wire VAR27, VAR15; wire [ 5:0] VAR58; wire [15:0] VAR43; wire [11:0] VAR10; wire [15:0] VAR45; wire VAR49; wire [11:0] VAR9; wire [ 5:0] VAR47; wire [15:0] VAR18; wire [15:0] VAR6; wire VAR14; wire [15:0] VAR30; wire [31:0] VAR53; wire [31:0] VAR42; reg VAR54; wire [31:0] VAR19; wire [31:0] VAR22; wire [3:0] VAR33; wire [15:0] VAR51; VAR31 VAR35(clk, reset, VAR53, VAR30, VAR28[0], VAR19, VAR22, VAR33, VAR32, VAR51, VAR15, VAR45); VAR37 alu(VAR59, VAR29, VAR26, VAR20, VAR55); VAR56 VAR44(clk, VAR5, VAR17, VAR61, VAR28, VAR46, VAR8, VAR3); VAR2 VAR41(clk, VAR52, VAR38, VAR24, VAR16, VAR39, VAR36, VAR12, VAR57, VAR7, VAR27, VAR15); VAR23 VAR60(clk, VAR58, VAR43, VAR10, VAR45, VAR49, VAR9, VAR47, VAR18, VAR6, VAR14); VAR21 VAR34(clk, VAR30, VAR42, VAR54, VAR53); VAR48 VAR1( VAR19, VAR22, VAR33, VAR59, VAR29, VAR26, VAR20, VAR55, VAR5, VAR17, VAR61, VAR3, VAR28, VAR46, VAR8, VAR9, VAR47, VAR18, VAR6, VAR14, VAR52, VAR38, VAR24, VAR16, VAR39, VAR36, VAR12, VAR57, VAR7, VAR27, VAR58, VAR43, VAR10, VAR45, VAR49); wire [7:0] VAR40; assign VAR40 = VAR19[31:24]; always @(posedge clk) begin if(VAR40 == 8'hD3) begin case (VAR33) VAR50 = VAR28; end endcase end end endmodule
mit
euryecetelecom/euryspace
hw/rtl/euryspace_soc/wb_intercon.v
36,197
module MODULE1 (input VAR18, input VAR73, input [31:0] VAR126, input [31:0] VAR339, input [3:0] VAR277, input VAR111, input VAR87, input VAR257, input [2:0] VAR187, input [1:0] VAR357, output [31:0] VAR3, output VAR181, output VAR43, output VAR396, input [31:0] VAR86, input [31:0] VAR275, input [3:0] VAR183, input VAR160, input VAR83, input VAR222, input [2:0] VAR255, input [1:0] VAR144, output [31:0] VAR299, output VAR353, output VAR343, output VAR283, input [31:0] VAR381, input [31:0] VAR46, input [3:0] VAR161, input VAR344, input VAR35, input VAR284, input [2:0] VAR29, input [1:0] VAR235, output [31:0] VAR328, output VAR301, output VAR258, output VAR194, output [31:0] VAR94, output [31:0] VAR51, output [3:0] VAR293, output VAR189, output VAR415, output VAR52, output [2:0] VAR327, output [1:0] VAR286, input [31:0] VAR90, input VAR168, input VAR105, input VAR379, output [31:0] VAR356, output [31:0] VAR302, output [3:0] VAR143, output VAR234, output VAR132, output VAR45, output [2:0] VAR323, output [1:0] VAR78, input [31:0] VAR120, input VAR4, input VAR202, input VAR84, output [31:0] VAR227, output [7:0] VAR96, output [3:0] VAR359, output VAR334, output VAR268, output VAR159, output [2:0] VAR114, output [1:0] VAR145, input [7:0] VAR309, input VAR77, input VAR248, input VAR363, output [31:0] VAR337, output [7:0] VAR210, output [3:0] VAR360, output VAR118, output VAR218, output VAR82, output [2:0] VAR61, output [1:0] VAR170, input [7:0] VAR123, input VAR374, input VAR240, input VAR261, output [31:0] VAR272, output [7:0] VAR107, output [3:0] VAR209, output VAR85, output VAR391, output VAR138, output [2:0] VAR250, output [1:0] VAR401, input [7:0] VAR392, input VAR321, input VAR270, input VAR113, output [31:0] VAR201, output [7:0] VAR60, output [3:0] VAR196, output VAR163, output VAR311, output VAR278, output [2:0] VAR341, output [1:0] VAR182, input [7:0] VAR99, input VAR267, input VAR106, input VAR12, output [31:0] VAR193, output [31:0] VAR88, output [3:0] VAR236, output VAR273, output VAR58, output VAR265, output [2:0] VAR276, output [1:0] VAR34, input [31:0] VAR26, input VAR44, input VAR342, input VAR345, output [31:0] VAR125, output [31:0] VAR48, output [3:0] VAR197, output VAR175, output VAR10, output VAR237, output [2:0] VAR117, output [1:0] VAR177, input [31:0] VAR254, input VAR115, input VAR158, input VAR280); wire [31:0] VAR366; wire [31:0] VAR221; wire [3:0] VAR108; wire VAR174; wire VAR6; wire VAR185; wire [2:0] VAR154; wire [1:0] VAR165; wire [31:0] VAR124; wire VAR390; wire VAR102; wire VAR410; wire [31:0] VAR140; wire [31:0] VAR322; wire [3:0] VAR89; wire VAR310; wire VAR148; wire VAR23; wire [2:0] VAR9; wire [1:0] VAR64; wire [31:0] VAR186; wire VAR387; wire VAR171; wire VAR150; wire [31:0] VAR204; wire [31:0] VAR259; wire [3:0] VAR232; wire VAR420; wire VAR157; wire VAR11; wire [2:0] VAR93; wire [1:0] VAR370; wire [31:0] VAR231; wire VAR200; wire VAR246; wire VAR338; wire [31:0] VAR239; wire [31:0] VAR412; wire [3:0] VAR333; wire VAR336; wire VAR172; wire VAR112; wire [2:0] VAR417; wire [1:0] VAR406; wire [31:0] VAR362; wire VAR152; wire VAR400; wire VAR72; wire [31:0] VAR414; wire [31:0] VAR199; wire [3:0] VAR300; wire VAR176; wire VAR53; wire VAR241; wire [2:0] VAR211; wire [1:0] VAR119; wire [31:0] VAR369; wire VAR372; wire VAR65; wire VAR179; wire [31:0] VAR142; wire [31:0] VAR228; wire [3:0] VAR24; wire VAR348; wire VAR198; wire VAR385; wire [2:0] VAR217; wire [1:0] VAR361; wire [31:0] VAR251; wire VAR290; wire VAR229; wire VAR324; wire [31:0] VAR116; wire [31:0] VAR149; wire [3:0] VAR156; wire VAR151; wire VAR80; wire VAR139; wire [2:0] VAR146; wire [1:0] VAR32; wire [31:0] VAR329; wire VAR49; wire VAR394; wire VAR169; wire [31:0] VAR332; wire [31:0] VAR2; wire [3:0] VAR355; wire VAR289; wire VAR306; wire VAR55; wire [2:0] VAR162; wire [1:0] VAR178; wire [31:0] VAR66; wire VAR16; wire VAR36; wire VAR314; wire [31:0] VAR325; wire [31:0] VAR127; wire [3:0] VAR377; wire VAR383; wire VAR67; wire VAR110; wire [2:0] VAR208; wire [1:0] VAR97; wire [31:0] VAR413; wire VAR335; wire VAR71; wire VAR320; wire [31:0] VAR252; wire [31:0] VAR253; wire [3:0] VAR68; wire VAR40; wire VAR128; wire VAR213; wire [2:0] VAR41; wire [1:0] VAR371; wire [31:0] VAR137; wire VAR386; wire VAR103; wire VAR206; wire [31:0] VAR393; wire [31:0] VAR203; wire [3:0] VAR28; wire VAR13; wire VAR42; wire VAR226; wire [2:0] VAR288; wire [1:0] VAR404; wire [31:0] VAR62; wire VAR147; wire VAR223; wire VAR70; wire [31:0] VAR292; wire [31:0] VAR260; wire [3:0] VAR263; wire VAR405; wire VAR298; wire VAR346; wire [2:0] VAR56; wire [1:0] VAR135; wire [31:0] VAR173; wire VAR17; wire VAR402; wire VAR164; wire [31:0] VAR295; wire [31:0] VAR21; wire [3:0] VAR316; wire VAR376; wire VAR195; wire VAR191; wire [2:0] VAR57; wire [1:0] VAR22; wire [31:0] VAR282; wire VAR76; wire VAR91; wire VAR133; wire [31:0] VAR92; wire [31:0] VAR315; wire [3:0] VAR109; wire VAR397; wire VAR243; wire VAR305; wire [2:0] VAR225; wire [1:0] VAR384; wire [31:0] VAR220; wire VAR247; wire VAR351; wire VAR155; wire [31:0] VAR37; wire [31:0] VAR389; wire [3:0] VAR134; wire VAR214; wire VAR238; wire VAR365; wire [2:0] VAR130; wire [1:0] VAR411; wire [31:0] VAR216; wire VAR416; wire VAR347; wire VAR131; wire [31:0] VAR31; wire [31:0] VAR30; wire [3:0] VAR205; wire VAR408; wire VAR349; wire VAR245; wire [2:0] VAR367; wire [1:0] VAR192; wire [31:0] VAR307; wire VAR388; wire VAR287; wire VAR407; wire [31:0] VAR101; wire [31:0] VAR380; wire [3:0] VAR1; wire VAR25; wire VAR47; wire VAR395; wire [2:0] VAR364; wire [1:0] VAR190; wire [31:0] VAR317; wire VAR244; wire VAR233; wire VAR331; wire [31:0] VAR281; wire [31:0] VAR98; wire [3:0] VAR224; wire VAR207; wire VAR409; wire VAR219; wire [2:0] VAR50; wire [1:0] VAR304; wire [31:0] VAR318; wire VAR166; wire VAR167; wire VAR271; wire [31:0] VAR264; wire [31:0] VAR262; wire [3:0] VAR141; wire VAR378; wire VAR104; wire VAR5; wire [2:0] VAR308; wire [1:0] VAR399; wire [31:0] VAR215; wire VAR303; wire VAR375; wire VAR279; wire [31:0] VAR269; wire [31:0] VAR20; wire [3:0] VAR403; wire VAR256; wire VAR8; wire VAR319; wire [2:0] VAR312; wire [1:0] VAR249; wire [31:0] VAR59; wire VAR350; wire VAR296; wire VAR180; VAR184 .VAR294 ({32'h00000000, 32'hf0000000}), .VAR69 ({32'hfe000000, 32'hfffffc00})) VAR136 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 (VAR126), .VAR352 (VAR339), .VAR15 (VAR277), .VAR27 (VAR111), .VAR297 (VAR87), .VAR358 (VAR257), .VAR230 (VAR187), .VAR19 (VAR357), .VAR129 (VAR3), .VAR291 (VAR181), .VAR7 (VAR43), .VAR121 (VAR396), .VAR100 ({VAR366, VAR140}), .VAR242 ({VAR221, VAR322}), .VAR418 ({VAR108, VAR89}), .VAR153 ({VAR174, VAR310}), .VAR326 ({VAR6, VAR148}), .VAR368 ({VAR185, VAR23}), .VAR266 ({VAR154, VAR9}), .VAR354 ({VAR165, VAR64}), .VAR54 ({VAR124, VAR186}), .VAR63 ({VAR390, VAR387}), .VAR313 ({VAR102, VAR171}), .VAR212 ({VAR410, VAR150})); VAR184 .VAR294 ({32'h00000000, 32'h90000000, 32'h91000000, 32'hb0000000, 32'ha0000000, 32'hc0000000}), .VAR69 ({32'hfe000000, 32'hffffffe0, 32'hfffffffe, 32'hfffffff8, 32'hfffffff8, 32'hfffffff0})) VAR419 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 (VAR86), .VAR352 (VAR275), .VAR15 (VAR183), .VAR27 (VAR160), .VAR297 (VAR83), .VAR358 (VAR222), .VAR230 (VAR255), .VAR19 (VAR144), .VAR129 (VAR299), .VAR291 (VAR353), .VAR7 (VAR343), .VAR121 (VAR283), .VAR100 ({VAR204, VAR239, VAR414, VAR142, VAR116, VAR332}), .VAR242 ({VAR259, VAR412, VAR199, VAR228, VAR149, VAR2}), .VAR418 ({VAR232, VAR333, VAR300, VAR24, VAR156, VAR355}), .VAR153 ({VAR420, VAR336, VAR176, VAR348, VAR151, VAR289}), .VAR326 ({VAR157, VAR172, VAR53, VAR198, VAR80, VAR306}), .VAR368 ({VAR11, VAR112, VAR241, VAR385, VAR139, VAR55}), .VAR266 ({VAR93, VAR417, VAR211, VAR217, VAR146, VAR162}), .VAR354 ({VAR370, VAR406, VAR119, VAR361, VAR32, VAR178}), .VAR54 ({VAR231, VAR362, VAR369, VAR251, VAR329, VAR66}), .VAR63 ({VAR200, VAR152, VAR372, VAR290, VAR49, VAR16}), .VAR313 ({VAR246, VAR400, VAR65, VAR229, VAR394, VAR36}), .VAR212 ({VAR338, VAR72, VAR179, VAR324, VAR169, VAR314})); VAR184 .VAR294 ({32'h00000000, 32'h00000000, 32'hf0000000, 32'h90000000, 32'h91000000, 32'hb0000000, 32'ha0000000, 32'hc0000000}), .VAR69 ({32'hfe000000, 32'hfe000000, 32'hfffffc00, 32'hffffffe0, 32'hfffffffe, 32'hfffffff8, 32'hfffffff8, 32'hfffffff0})) VAR382 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 (VAR381), .VAR352 (VAR46), .VAR15 (VAR161), .VAR27 (VAR344), .VAR297 (VAR35), .VAR358 (VAR284), .VAR230 (VAR29), .VAR19 (VAR235), .VAR129 (VAR328), .VAR291 (VAR301), .VAR7 (VAR258), .VAR121 (VAR194), .VAR100 ({VAR325, VAR252, VAR393, VAR292, VAR295, VAR92, VAR37, VAR31}), .VAR242 ({VAR127, VAR253, VAR203, VAR260, VAR21, VAR315, VAR389, VAR30}), .VAR418 ({VAR377, VAR68, VAR28, VAR263, VAR316, VAR109, VAR134, VAR205}), .VAR153 ({VAR383, VAR40, VAR13, VAR405, VAR376, VAR397, VAR214, VAR408}), .VAR326 ({VAR67, VAR128, VAR42, VAR298, VAR195, VAR243, VAR238, VAR349}), .VAR368 ({VAR110, VAR213, VAR226, VAR346, VAR191, VAR305, VAR365, VAR245}), .VAR266 ({VAR208, VAR41, VAR288, VAR56, VAR57, VAR225, VAR130, VAR367}), .VAR354 ({VAR97, VAR371, VAR404, VAR135, VAR22, VAR384, VAR411, VAR192}), .VAR54 ({VAR413, VAR137, VAR62, VAR173, VAR282, VAR220, VAR216, VAR307}), .VAR63 ({VAR335, VAR386, VAR147, VAR17, VAR76, VAR247, VAR416, VAR388}), .VAR313 ({VAR71, VAR103, VAR223, VAR402, VAR91, VAR351, VAR347, VAR287}), .VAR212 ({VAR320, VAR206, VAR70, VAR164, VAR133, VAR155, VAR131, VAR407})); VAR33 VAR74 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR204, VAR325}), .VAR352 ({VAR259, VAR127}), .VAR15 ({VAR232, VAR377}), .VAR27 ({VAR420, VAR383}), .VAR297 ({VAR157, VAR67}), .VAR358 ({VAR11, VAR110}), .VAR230 ({VAR93, VAR208}), .VAR19 ({VAR370, VAR97}), .VAR129 ({VAR231, VAR413}), .VAR291 ({VAR200, VAR335}), .VAR7 ({VAR246, VAR71}), .VAR121 ({VAR338, VAR320}), .VAR100 (VAR94), .VAR242 (VAR51), .VAR418 (VAR293), .VAR153 (VAR189), .VAR326 (VAR415), .VAR368 (VAR52), .VAR266 (VAR327), .VAR354 (VAR286), .VAR54 (VAR90), .VAR63 (VAR168), .VAR313 (VAR105), .VAR212 (VAR379)); VAR33 VAR95 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR366, VAR252}), .VAR352 ({VAR221, VAR253}), .VAR15 ({VAR108, VAR68}), .VAR27 ({VAR174, VAR40}), .VAR297 ({VAR6, VAR128}), .VAR358 ({VAR185, VAR213}), .VAR230 ({VAR154, VAR41}), .VAR19 ({VAR165, VAR371}), .VAR129 ({VAR124, VAR137}), .VAR291 ({VAR390, VAR386}), .VAR7 ({VAR102, VAR103}), .VAR121 ({VAR410, VAR206}), .VAR100 (VAR356), .VAR242 (VAR302), .VAR418 (VAR143), .VAR153 (VAR234), .VAR326 (VAR132), .VAR368 (VAR45), .VAR266 (VAR323), .VAR354 (VAR78), .VAR54 (VAR120), .VAR63 (VAR4), .VAR313 (VAR202), .VAR212 (VAR84)); VAR33 VAR398 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR239, VAR292}), .VAR352 ({VAR412, VAR260}), .VAR15 ({VAR333, VAR263}), .VAR27 ({VAR336, VAR405}), .VAR297 ({VAR172, VAR298}), .VAR358 ({VAR112, VAR346}), .VAR230 ({VAR417, VAR56}), .VAR19 ({VAR406, VAR135}), .VAR129 ({VAR362, VAR173}), .VAR291 ({VAR152, VAR17}), .VAR7 ({VAR400, VAR402}), .VAR121 ({VAR72, VAR164}), .VAR100 (VAR101), .VAR242 (VAR380), .VAR418 (VAR1), .VAR153 (VAR25), .VAR326 (VAR47), .VAR368 (VAR395), .VAR266 (VAR364), .VAR354 (VAR190), .VAR54 (VAR317), .VAR63 (VAR244), .VAR313 (VAR233), .VAR212 (VAR331)); VAR188 .VAR122 (32), .VAR373 (8)) VAR81 (.VAR330 (VAR101), .VAR352 (VAR380), .VAR15 (VAR1), .VAR27 (VAR25), .VAR297 (VAR47), .VAR358 (VAR395), .VAR230 (VAR364), .VAR19 (VAR190), .VAR129 (VAR317), .VAR291 (VAR244), .VAR7 (VAR233), .VAR121 (VAR331), .VAR100 (VAR227), .VAR242 (VAR96), .VAR153 (VAR334), .VAR326 (VAR268), .VAR368 (VAR159), .VAR266 (VAR114), .VAR354 (VAR145), .VAR54 (VAR309), .VAR63 (VAR77), .VAR313 (VAR248), .VAR212 (VAR363)); VAR33 VAR39 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR414, VAR295}), .VAR352 ({VAR199, VAR21}), .VAR15 ({VAR300, VAR316}), .VAR27 ({VAR176, VAR376}), .VAR297 ({VAR53, VAR195}), .VAR358 ({VAR241, VAR191}), .VAR230 ({VAR211, VAR57}), .VAR19 ({VAR119, VAR22}), .VAR129 ({VAR369, VAR282}), .VAR291 ({VAR372, VAR76}), .VAR7 ({VAR65, VAR91}), .VAR121 ({VAR179, VAR133}), .VAR100 (VAR281), .VAR242 (VAR98), .VAR418 (VAR224), .VAR153 (VAR207), .VAR326 (VAR409), .VAR368 (VAR219), .VAR266 (VAR50), .VAR354 (VAR304), .VAR54 (VAR318), .VAR63 (VAR166), .VAR313 (VAR167), .VAR212 (VAR271)); VAR188 .VAR122 (32), .VAR373 (8)) VAR274 (.VAR330 (VAR281), .VAR352 (VAR98), .VAR15 (VAR224), .VAR27 (VAR207), .VAR297 (VAR409), .VAR358 (VAR219), .VAR230 (VAR50), .VAR19 (VAR304), .VAR129 (VAR318), .VAR291 (VAR166), .VAR7 (VAR167), .VAR121 (VAR271), .VAR100 (VAR337), .VAR242 (VAR210), .VAR153 (VAR118), .VAR326 (VAR218), .VAR368 (VAR82), .VAR266 (VAR61), .VAR354 (VAR170), .VAR54 (VAR123), .VAR63 (VAR374), .VAR313 (VAR240), .VAR212 (VAR261)); VAR33 VAR79 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR116, VAR37}), .VAR352 ({VAR149, VAR389}), .VAR15 ({VAR156, VAR134}), .VAR27 ({VAR151, VAR214}), .VAR297 ({VAR80, VAR238}), .VAR358 ({VAR139, VAR365}), .VAR230 ({VAR146, VAR130}), .VAR19 ({VAR32, VAR411}), .VAR129 ({VAR329, VAR216}), .VAR291 ({VAR49, VAR416}), .VAR7 ({VAR394, VAR347}), .VAR121 ({VAR169, VAR131}), .VAR100 (VAR264), .VAR242 (VAR262), .VAR418 (VAR141), .VAR153 (VAR378), .VAR326 (VAR104), .VAR368 (VAR5), .VAR266 (VAR308), .VAR354 (VAR399), .VAR54 (VAR215), .VAR63 (VAR303), .VAR313 (VAR375), .VAR212 (VAR279)); VAR188 .VAR122 (32), .VAR373 (8)) VAR285 (.VAR330 (VAR264), .VAR352 (VAR262), .VAR15 (VAR141), .VAR27 (VAR378), .VAR297 (VAR104), .VAR358 (VAR5), .VAR230 (VAR308), .VAR19 (VAR399), .VAR129 (VAR215), .VAR291 (VAR303), .VAR7 (VAR375), .VAR121 (VAR279), .VAR100 (VAR272), .VAR242 (VAR107), .VAR153 (VAR85), .VAR326 (VAR391), .VAR368 (VAR138), .VAR266 (VAR250), .VAR354 (VAR401), .VAR54 (VAR392), .VAR63 (VAR321), .VAR313 (VAR270), .VAR212 (VAR113)); VAR33 VAR14 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR142, VAR92}), .VAR352 ({VAR228, VAR315}), .VAR15 ({VAR24, VAR109}), .VAR27 ({VAR348, VAR397}), .VAR297 ({VAR198, VAR243}), .VAR358 ({VAR385, VAR305}), .VAR230 ({VAR217, VAR225}), .VAR19 ({VAR361, VAR384}), .VAR129 ({VAR251, VAR220}), .VAR291 ({VAR290, VAR247}), .VAR7 ({VAR229, VAR351}), .VAR121 ({VAR324, VAR155}), .VAR100 (VAR269), .VAR242 (VAR20), .VAR418 (VAR403), .VAR153 (VAR256), .VAR326 (VAR8), .VAR368 (VAR319), .VAR266 (VAR312), .VAR354 (VAR249), .VAR54 (VAR59), .VAR63 (VAR350), .VAR313 (VAR296), .VAR212 (VAR180)); VAR188 .VAR122 (32), .VAR373 (8)) VAR38 (.VAR330 (VAR269), .VAR352 (VAR20), .VAR15 (VAR403), .VAR27 (VAR256), .VAR297 (VAR8), .VAR358 (VAR319), .VAR230 (VAR312), .VAR19 (VAR249), .VAR129 (VAR59), .VAR291 (VAR350), .VAR7 (VAR296), .VAR121 (VAR180), .VAR100 (VAR201), .VAR242 (VAR60), .VAR153 (VAR163), .VAR326 (VAR311), .VAR368 (VAR278), .VAR266 (VAR341), .VAR354 (VAR182), .VAR54 (VAR99), .VAR63 (VAR267), .VAR313 (VAR106), .VAR212 (VAR12)); VAR33 VAR75 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR332, VAR31}), .VAR352 ({VAR2, VAR30}), .VAR15 ({VAR355, VAR205}), .VAR27 ({VAR289, VAR408}), .VAR297 ({VAR306, VAR349}), .VAR358 ({VAR55, VAR245}), .VAR230 ({VAR162, VAR367}), .VAR19 ({VAR178, VAR192}), .VAR129 ({VAR66, VAR307}), .VAR291 ({VAR16, VAR388}), .VAR7 ({VAR36, VAR287}), .VAR121 ({VAR314, VAR407}), .VAR100 (VAR193), .VAR242 (VAR88), .VAR418 (VAR236), .VAR153 (VAR273), .VAR326 (VAR58), .VAR368 (VAR265), .VAR266 (VAR276), .VAR354 (VAR34), .VAR54 (VAR26), .VAR63 (VAR44), .VAR313 (VAR342), .VAR212 (VAR345)); VAR33 VAR340 (.VAR18 (VAR18), .VAR73 (VAR73), .VAR330 ({VAR140, VAR393}), .VAR352 ({VAR322, VAR203}), .VAR15 ({VAR89, VAR28}), .VAR27 ({VAR310, VAR13}), .VAR297 ({VAR148, VAR42}), .VAR358 ({VAR23, VAR226}), .VAR230 ({VAR9, VAR288}), .VAR19 ({VAR64, VAR404}), .VAR129 ({VAR186, VAR62}), .VAR291 ({VAR387, VAR147}), .VAR7 ({VAR171, VAR223}), .VAR121 ({VAR150, VAR70}), .VAR100 (VAR125), .VAR242 (VAR48), .VAR418 (VAR197), .VAR153 (VAR175), .VAR326 (VAR10), .VAR368 (VAR237), .VAR266 (VAR117), .VAR354 (VAR177), .VAR54 (VAR254), .VAR63 (VAR115), .VAR313 (VAR158), .VAR212 (VAR280)); endmodule
mit
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_jaxa/jaxa/synthesis/submodules/hps_sdram_p0_clock_pair_generator.v
4,009
module MODULE1 ( VAR22, VAR4, VAR3) ; input [0:0] VAR22; output [0:0] VAR4; output [0:0] VAR3; wire [0:0] VAR35; wire [0:0] VAR6; wire [0:0] VAR19; wire [0:0] VAR8; wire [0:0] VAR2; wire [0:0] VAR33; wire [0:0] VAR5; wire [0:0] VAR27; wire [0:0] VAR26; wire [0:0] VAR25; VAR12 VAR17 ( .VAR14(VAR33), .VAR30(VAR35[0:0]), .VAR31(), .VAR18(VAR6[0:0]) , .VAR9(1'b0), .VAR23({16{1'b0}}), .VAR28({16{1'b0}}) , .VAR7(1'b1) ); VAR17.VAR13 = "false", VAR17.VAR10 = "false", VAR17.VAR15 = "VAR12"; assign VAR6 = {(~ VAR5[0])}; VAR12 VAR21 ( .VAR14(VAR2), .VAR30(VAR19[0:0]), .VAR31(), .VAR18(VAR8[0:0]) , .VAR9(1'b0), .VAR23({16{1'b0}}), .VAR28({16{1'b0}}) , .VAR7(1'b1) ); VAR21.VAR13 = "false", VAR21.VAR10 = "false", VAR21.VAR15 = "VAR12"; assign VAR8 = {(~ VAR26[0])}; VAR1 VAR16 ( .VAR29(), .VAR32(), .VAR14(VAR22), .VAR30(VAR2[0:0]), .VAR31(VAR33[0:0]), .VAR24(VAR5[0:0]), .VAR11(VAR27[0:0]), .VAR34(VAR26[0:0]) , .VAR20(1'b0) ); assign VAR27 = {(~ VAR25[0])}; assign VAR4 = VAR19, VAR3 = VAR35, VAR25 = 1'b1; endmodule
gpl-3.0
KorotkiyEugene/Netmaker_vc_router_syn_quartus
NW_pipereg.v
1,756
typedef VAR8 VAR4; module MODULE1 (VAR5, VAR7, VAR6, VAR1, ready, valid, clk, VAR2); input VAR5, VAR7, clk, VAR2; input VAR4 VAR6; output VAR4 VAR1; output valid, ready; logic valid; VAR4 VAR3; always@(posedge clk) begin if (!VAR2) begin valid<=1'b0; end else begin end assert (!(VAR5 & !ready)) else if (VAR7) begin end if (VAR5) begin VAR3 <= VAR6; valid<=1'b1; end else begin if (VAR7) begin valid<=1'b0; end end end end assign ready = !valid || VAR7 ; assign VAR1 = VAR3; endmodule
gpl-2.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/system_0_clock_0.v
23,542
module MODULE2 ( VAR19, VAR33, VAR15, VAR2 ) ; output VAR2; input VAR19; input VAR33; input VAR15; reg VAR50; wire VAR2; always @(posedge VAR19 or negedge VAR15) begin if (VAR15 == 0) VAR50 <= 0; end else VAR50 <= VAR33; end assign VAR2 = VAR33 ^ VAR50; endmodule module MODULE5 ( VAR68, VAR13, VAR27, VAR42, VAR57, VAR41, VAR31, VAR60, VAR58 ) ; output VAR31; output VAR60; output VAR58; input VAR68; input VAR13; input VAR27; input VAR42; input VAR57; input VAR41; reg VAR8; reg [ 2: 0] VAR36; reg VAR59; reg VAR31; reg [ 2: 0] VAR47; reg VAR60; reg VAR58; always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR31 <= 0; end else if (1) VAR31 <= VAR8; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR58 <= 0; end else if (1) VAR58 <= VAR59; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR47 <= 3'b001; end else if (1) VAR47 <= VAR36; end always @(VAR68 or VAR13 or VAR42 or VAR31 or VAR47 or VAR41 or VAR58) begin case (VAR47) 3'b001: begin if (VAR42) begin VAR36 = 3'b010; VAR60 = 1; VAR8 = !VAR31; VAR59 = VAR58; end else if (VAR41) begin VAR36 = 3'b100; VAR60 = 1; VAR8 = VAR31; VAR59 = !VAR58; end else begin VAR36 = VAR47; VAR60 = 0; VAR8 = VAR31; VAR59 = VAR58; end end 3'b010: begin if (VAR68) begin VAR36 = 3'b001; VAR60 = 0; end else begin VAR36 = 3'b010; VAR60 = 1; end VAR8 = VAR31; VAR59 = VAR58; end 3'b100: begin if (VAR13) begin VAR36 = 3'b001; VAR60 = 0; end else begin VAR36 = 3'b100; VAR60 = 1; end VAR8 = VAR31; VAR59 = VAR58; end default: begin VAR36 = 3'b001; VAR60 = 0; VAR8 = VAR31; VAR59 = VAR58; end endcase end endmodule module MODULE1 ( VAR44, VAR53, VAR10, VAR61, VAR11, VAR32, VAR18, VAR37, VAR12 ) ; output VAR32; output VAR18; output VAR37; output VAR12; input VAR44; input VAR53; input VAR10; input VAR61; input VAR11; reg VAR32; reg VAR18; reg [ 2: 0] VAR35; reg VAR37; reg VAR12; reg VAR49; reg VAR28; reg [ 2: 0] VAR22; reg VAR16; reg VAR63; always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR18 <= 0; end else if (1) VAR18 <= VAR28; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR12 <= 0; end else if (1) VAR12 <= VAR63; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR32 <= 0; end else if (1) VAR32 <= VAR49; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR37 <= 0; end else if (1) VAR37 <= VAR16; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR35 <= 3'b001; end else if (1) VAR35 <= VAR22; end always @(VAR32 or VAR18 or VAR35 or VAR10 or VAR37 or VAR12 or VAR61 or VAR11) begin case (VAR35) 3'b001: begin if (VAR61) begin VAR22 = 3'b010; VAR49 = 1; VAR16 = 0; end else if (VAR11) begin VAR22 = 3'b100; VAR49 = 0; VAR16 = 1; end else begin VAR22 = VAR35; VAR49 = 0; VAR16 = 0; end VAR28 = VAR18; VAR63 = VAR12; end 3'b010: begin if (!VAR10) begin VAR22 = 3'b001; VAR28 = !VAR18; VAR49 = 0; end else begin VAR22 = 3'b010; VAR28 = VAR18; VAR49 = VAR32; end VAR63 = VAR12; VAR16 = 0; end 3'b100: begin if (!VAR10) begin VAR22 = 3'b001; VAR16 = 0; VAR63 = !VAR12; end else begin VAR22 = 3'b100; VAR16 = VAR37; VAR63 = VAR12; end VAR28 = VAR18; VAR49 = 0; end default: begin VAR22 = 3'b001; VAR16 = 0; VAR63 = VAR12; VAR49 = 0; VAR28 = VAR18; end endcase end endmodule module MODULE3 ( VAR39, VAR34, VAR33, VAR64, VAR55, VAR2 ) ; output VAR2; input VAR39; input VAR34; input VAR33; input VAR64; input VAR55; reg VAR50 ; reg VAR2 ; always @(posedge VAR39 or negedge VAR64) begin if (VAR64 == 0) VAR50 <= 0; end else VAR50 <= VAR33; end always @(posedge VAR34 or negedge VAR55) begin if (VAR55 == 0) VAR2 <= 0; end else VAR2 <= VAR50; end endmodule module MODULE4 ( VAR44, VAR20, VAR17, VAR53, VAR10, VAR65, VAR4, VAR27, VAR48, VAR42, VAR57, VAR41, VAR66, VAR30, VAR26, VAR52, VAR32, VAR37, VAR43, VAR71, VAR51, VAR60 ) ; output [ 22: 0] VAR30; output [ 1: 0] VAR26; output [ 21: 0] VAR52; output VAR32; output VAR37; output [ 15: 0] VAR43; output VAR71; output [ 15: 0] VAR51; output VAR60; input VAR44; input VAR20; input [ 15: 0] VAR17; input VAR53; input VAR10; input [ 22: 0] VAR65; input [ 1: 0] VAR4; input VAR27; input [ 21: 0] VAR48; input VAR42; input VAR57; input VAR41; input [ 15: 0] VAR66; reg [ 22: 0] VAR30 ; reg [ 1: 0] VAR26 ; reg [ 21: 0] VAR52 ; wire VAR32; wire VAR18; wire VAR56; wire VAR68; wire VAR37; wire VAR12; wire VAR6; wire VAR13; reg [ 15: 0] VAR43 ; reg [ 22: 0] VAR38 ; reg [ 1: 0] VAR67 ; wire VAR71; reg [ 21: 0] VAR70 ; wire VAR31; wire VAR69; wire VAR61; reg [ 15: 0] VAR51 ; reg [ 15: 0] VAR62; wire VAR60; wire VAR58; wire VAR14; wire VAR11; reg [ 15: 0] VAR29 ; VAR25 VAR24 ( .clk (VAR27), .din (VAR18), .dout (VAR56), .VAR15 (VAR57) ); VAR25 VAR40 ( .clk (VAR27), .din (VAR12), .dout (VAR6), .VAR15 (VAR57) ); MODULE2 MODULE7 ( .VAR19 (VAR27), .VAR33 (VAR56), .VAR2 (VAR68), .VAR15 (VAR57) ); MODULE2 MODULE2 ( .VAR19 (VAR27), .VAR33 (VAR6), .VAR2 (VAR13), .VAR15 (VAR57) ); MODULE5 VAR1 ( .VAR68 (VAR68), .VAR13 (VAR13), .VAR27 (VAR27), .VAR42 (VAR42), .VAR31 (VAR31), .VAR57 (VAR57), .VAR60 (VAR60), .VAR41 (VAR41), .VAR58 (VAR58) ); VAR25 VAR54 ( .clk (VAR44), .din (VAR31), .dout (VAR69), .VAR15 (VAR53) ); VAR25 VAR45 ( .clk (VAR44), .din (VAR58), .dout (VAR14), .VAR15 (VAR53) ); MODULE2 MODULE4 ( .VAR19 (VAR44), .VAR33 (VAR69), .VAR2 (VAR61), .VAR15 (VAR53) ); MODULE2 MODULE6 ( .VAR19 (VAR44), .VAR33 (VAR14), .VAR2 (VAR11), .VAR15 (VAR53) ); MODULE1 VAR9 ( .VAR44 (VAR44), .VAR32 (VAR32), .VAR18 (VAR18), .VAR53 (VAR53), .VAR10 (VAR10), .VAR37 (VAR37), .VAR12 (VAR12), .VAR61 (VAR61), .VAR11 (VAR11) ); MODULE3 MODULE1 ( .VAR39 (VAR27), .VAR34 (VAR44), .VAR33 (VAR20), .VAR2 (VAR71), .VAR64 (VAR57), .VAR55 (VAR53) ); always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR62 <= 0; end else if (VAR32 & ~VAR10) VAR62 <= VAR17; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR51 <= 0; end else VAR51 <= VAR62; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR29 <= 0; end else VAR29 <= VAR66; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR43 <= 0; end else VAR43 <= VAR29; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR38 <= 0; end else VAR38 <= VAR65; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR30 <= 0; end else VAR30 <= VAR38; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR70 <= 0; end else VAR70 <= VAR48; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR52 <= 0; end else VAR52 <= VAR70; end always @(posedge VAR27 or negedge VAR57) begin if (VAR57 == 0) VAR67 <= 0; end else VAR67 <= VAR4; end always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR26 <= 0; end else VAR26 <= VAR67; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o22ai/sky130_fd_sc_hd__o22ai.pp.blackbox.v
1,393
module MODULE1 ( VAR3 , VAR7 , VAR5 , VAR8 , VAR1 , VAR2, VAR6, VAR4 , VAR9 ); output VAR3 ; input VAR7 ; input VAR5 ; input VAR8 ; input VAR1 ; input VAR2; input VAR6; input VAR4 ; input VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a211o/sky130_fd_sc_ms__a211o_4.v
2,348
module MODULE2 ( VAR10 , VAR2 , VAR11 , VAR9 , VAR8 , VAR7, VAR1, VAR5 , VAR4 ); output VAR10 ; input VAR2 ; input VAR11 ; input VAR9 ; input VAR8 ; input VAR7; input VAR1; input VAR5 ; input VAR4 ; VAR6 VAR3 ( .VAR10(VAR10), .VAR2(VAR2), .VAR11(VAR11), .VAR9(VAR9), .VAR8(VAR8), .VAR7(VAR7), .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR10 , VAR2, VAR11, VAR9, VAR8 ); output VAR10 ; input VAR2; input VAR11; input VAR9; input VAR8; supply1 VAR7; supply0 VAR1; supply1 VAR5 ; supply0 VAR4 ; VAR6 VAR3 ( .VAR10(VAR10), .VAR2(VAR2), .VAR11(VAR11), .VAR9(VAR9), .VAR8(VAR8) ); endmodule
apache-2.0
CMU-SAFARI/NOCulator
hring/hw/buffered/src/c_lfsr.v
3,706
module MODULE1 (clk, reset, VAR23, VAR21, VAR22, VAR20, VAR7, VAR19); parameter VAR15 = 32; parameter VAR5 = 0; parameter [VAR5:(VAR5+VAR15)-1] VAR10 = {VAR15{1'b1}}; parameter VAR16 = 1; parameter VAR18 = VAR11; input clk; input reset; input VAR23; input VAR21; input [0:VAR15-1] VAR22; input VAR20; input [VAR5:(VAR5+VAR15)-1] VAR7; output [VAR5:(VAR5+VAR15)-1] VAR19; wire [VAR5:(VAR5+VAR15)-1] VAR19; wire [VAR5:(VAR5+VAR15)-1] VAR9; wire [0:VAR15-1] VAR1; VAR3 .VAR16(VAR16)) VAR4 (.VAR22(VAR22), .VAR20(VAR20), .VAR8(VAR9), .VAR17(VAR1)); wire [VAR5:(VAR5+VAR15)-1] VAR2; assign VAR2 = {VAR15{~VAR23}} & VAR1; wire [VAR5:(VAR5+VAR15)-1] VAR12; assign VAR12 = VAR2 ^ VAR7; wire [VAR5:(VAR5+VAR15)-1] VAR14; generate if(VAR15 == 1) begin assign VAR14 = 1'b0; end else begin assign VAR14 = {1'b0, {(VAR15-1){~VAR23}} & VAR9[VAR5:(VAR5+VAR15-1)-1]}; end endgenerate wire [VAR5:(VAR5+VAR15)-1] VAR13; assign VAR13 = (VAR23 | VAR21) ? (VAR14 ^ VAR12) : VAR9; VAR6 .VAR5(VAR5), .VAR18(VAR18), .VAR10(VAR10)) VAR9 (.clk(clk), .reset(reset), .VAR7(VAR13), .VAR19(VAR9)); assign VAR19 = VAR9; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/mux2/sky130_fd_sc_lp__mux2.symbol.v
1,322
module MODULE1 ( input VAR8, input VAR6, output VAR1 , input VAR4 ); supply1 VAR3; supply0 VAR5; supply1 VAR2 ; supply0 VAR7 ; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/ecc/ecc_buf.v
6,156
module MODULE1 parameter VAR27 = 100, parameter VAR31 = 64, parameter VAR32 = 4, parameter VAR21 = 1, parameter VAR44 = 64 ) ( VAR45, clk, rst, VAR15, VAR18, VAR37, VAR7, VAR13, VAR2 ); input clk; input rst; input [VAR32-1:0] VAR15; input [VAR21-1:0] VAR18; wire [4:0] VAR23; input [VAR32-1:0] VAR37; input [VAR21-1:0] VAR7; reg [4:0] VAR6; generate if (VAR32 >= 4) begin : VAR20 always @(posedge clk) assign VAR23 = {VAR15[3:0], VAR18}; end else begin : VAR28 always @(posedge clk) VAR37[VAR32-1:0], VAR7}; assign VAR23 = {{4-VAR32{1'b0}}, VAR15[VAR32-1:0], VAR18}; end endgenerate input [4*VAR31-1:0] VAR13; reg [4*VAR44-1:0] VAR42; integer VAR47; always @(VAR13) for (VAR47=0; VAR47<4; VAR47=VAR47+1) VAR42[VAR47*VAR44+:VAR44] = VAR13[VAR47*VAR31+:VAR44]; input VAR2; localparam VAR34 = 4*VAR44; localparam VAR1 = (VAR34/6); localparam VAR33 = VAR34 % 6; localparam VAR14 = VAR1 + ((VAR33 == 0 ) ? 0 : 1); localparam VAR24 = (VAR14*6); wire [VAR24-1:0] VAR36; generate begin : VAR3 wire [VAR24-1:0] VAR38; if (VAR33 == 0) assign VAR38 = VAR42; end else assign VAR38 = {{6-VAR33{1'b0}}, VAR42}; genvar VAR30; for (VAR30=0; VAR30<VAR14; VAR30=VAR30+1) begin : VAR26 VAR29 .VAR12(64'h0000000000000000), .VAR10(64'h0000000000000000), .VAR19(64'h0000000000000000) ) VAR22 ( .VAR46(VAR36[((VAR30*6)+4)+:2]), .VAR40(VAR36[((VAR30*6)+2)+:2]), .VAR35(VAR36[((VAR30*6)+0)+:2]), .VAR4(), .VAR17(VAR38[((VAR30*6)+4)+:2]), .VAR39(VAR38[((VAR30*6)+2)+:2]), .VAR25(VAR38[((VAR30*6)+0)+:2]), .VAR8(2'b0), .VAR5(VAR6), .VAR16(VAR6), .VAR9(VAR6), .VAR11(VAR23), .VAR41(VAR2), .VAR43(clk) ); end end endgenerate output wire [4*VAR44-1:0] VAR45; assign VAR45 = VAR36[4*VAR44-1:0]; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a2bb2oi/sky130_fd_sc_lp__a2bb2oi_2.v
2,477
module MODULE1 ( VAR6 , VAR4, VAR8, VAR9 , VAR2 , VAR7, VAR3, VAR1 , VAR11 ); output VAR6 ; input VAR4; input VAR8; input VAR9 ; input VAR2 ; input VAR7; input VAR3; input VAR1 ; input VAR11 ; VAR10 VAR5 ( .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8), .VAR9(VAR9), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3), .VAR1(VAR1), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR6 , VAR4, VAR8, VAR9 , VAR2 ); output VAR6 ; input VAR4; input VAR8; input VAR9 ; input VAR2 ; supply1 VAR7; supply0 VAR3; supply1 VAR1 ; supply0 VAR11 ; VAR10 VAR5 ( .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8), .VAR9(VAR9), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux2i/sky130_fd_sc_ls__mux2i.behavioral.v
1,654
module MODULE1 ( VAR2 , VAR1, VAR5, VAR11 ); output VAR2 ; input VAR1; input VAR5; input VAR11 ; supply1 VAR8; supply0 VAR6; supply1 VAR4 ; supply0 VAR7 ; wire VAR9; VAR3 VAR10 (VAR9, VAR1, VAR5, VAR11 ); buf VAR12 (VAR2 , VAR9); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/muxb16to1/sky130_fd_sc_hdll__muxb16to1.functional.pp.v
8,443
module MODULE1 ( VAR44 , VAR7 , VAR24 , VAR9, VAR29, VAR3 , VAR16 ); output VAR44 ; input [15:0] VAR7 ; input [15:0] VAR24 ; input VAR9; input VAR29; input VAR3 ; input VAR16 ; wire VAR67 ; wire VAR31 ; wire VAR14 ; wire VAR79 ; wire VAR5 ; wire VAR57 ; wire VAR84 ; wire VAR50 ; wire VAR43 ; wire VAR71 ; wire VAR53 ; wire VAR40 ; wire VAR38 ; wire VAR78 ; wire VAR13 ; wire VAR23 ; wire VAR83 ; wire VAR22 ; wire VAR76 ; wire VAR10 ; wire VAR36; wire VAR32; wire VAR21; wire VAR62; wire VAR65; wire VAR6; wire VAR27; wire VAR56; wire VAR85; wire VAR86; wire VAR8; wire VAR19; VAR35 VAR60 (VAR67 , VAR7[0], VAR9, VAR29 ); VAR35 VAR45 (VAR31 , VAR24[0], VAR9, VAR29 ); bufif1 VAR82 (VAR44 , !VAR67, VAR31 ); VAR35 VAR58 (VAR14 , VAR7[1], VAR9, VAR29 ); VAR35 VAR15 (VAR79 , VAR24[1], VAR9, VAR29 ); bufif1 VAR69 (VAR44 , !VAR14, VAR79 ); VAR35 VAR70 (VAR5 , VAR7[2], VAR9, VAR29 ); VAR35 VAR28 (VAR57 , VAR24[2], VAR9, VAR29 ); bufif1 VAR46 (VAR44 , !VAR5, VAR57 ); VAR35 VAR47 (VAR84 , VAR7[3], VAR9, VAR29 ); VAR35 VAR61 (VAR50 , VAR24[3], VAR9, VAR29 ); bufif1 VAR59 (VAR44 , !VAR84, VAR50 ); VAR35 VAR77 (VAR43 , VAR7[4], VAR9, VAR29 ); VAR35 VAR4 (VAR71 , VAR24[4], VAR9, VAR29 ); bufif1 VAR88 (VAR44 , !VAR43, VAR71 ); VAR35 VAR17 (VAR53 , VAR7[5], VAR9, VAR29 ); VAR35 VAR39 (VAR40 , VAR24[5], VAR9, VAR29 ); bufif1 VAR55 (VAR44 , !VAR53, VAR40 ); VAR35 VAR73 (VAR38 , VAR7[6], VAR9, VAR29 ); VAR35 VAR72 (VAR78 , VAR24[6], VAR9, VAR29 ); bufif1 VAR33 (VAR44 , !VAR38, VAR78 ); VAR35 VAR81 (VAR13 , VAR7[7], VAR9, VAR29 ); VAR35 VAR48 (VAR23 , VAR24[7], VAR9, VAR29 ); bufif1 VAR42 (VAR44 , !VAR13, VAR23 ); VAR35 VAR52 (VAR83 , VAR7[8], VAR9, VAR29 ); VAR35 VAR20 (VAR22 , VAR24[8], VAR9, VAR29 ); bufif1 VAR66 (VAR44 , !VAR83, VAR22 ); VAR35 VAR26 (VAR76 , VAR7[9], VAR9, VAR29 ); VAR35 VAR87 (VAR10 , VAR24[9], VAR9, VAR29 ); bufif1 VAR25 (VAR44 , !VAR76, VAR10 ); VAR35 VAR68 (VAR36, VAR7[10], VAR9, VAR29 ); VAR35 VAR1 (VAR32, VAR24[10], VAR9, VAR29 ); bufif1 VAR54 (VAR44 , !VAR36, VAR32); VAR35 VAR74 (VAR21, VAR7[11], VAR9, VAR29 ); VAR35 VAR37 (VAR62, VAR24[11], VAR9, VAR29 ); bufif1 VAR63 (VAR44 , !VAR21, VAR62); VAR35 VAR11 (VAR65, VAR7[12], VAR9, VAR29 ); VAR35 VAR49 (VAR6, VAR24[12], VAR9, VAR29 ); bufif1 VAR12 (VAR44 , !VAR65, VAR6); VAR35 VAR75 (VAR27, VAR7[13], VAR9, VAR29 ); VAR35 VAR18 (VAR56, VAR24[13], VAR9, VAR29 ); bufif1 VAR30 (VAR44 , !VAR27, VAR56); VAR35 VAR34 (VAR85, VAR7[14], VAR9, VAR29 ); VAR35 VAR64 (VAR86, VAR24[14], VAR9, VAR29 ); bufif1 VAR2 (VAR44 , !VAR85, VAR86); VAR35 VAR80 (VAR8, VAR7[15], VAR9, VAR29 ); VAR35 VAR51 (VAR19, VAR24[15], VAR9, VAR29 ); bufif1 VAR41 (VAR44 , !VAR8, VAR19); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfxbp/sky130_fd_sc_hd__dfxbp.pp.blackbox.v
1,314
module MODULE1 ( VAR1 , VAR5 , VAR3 , VAR7 , VAR8, VAR4, VAR2 , VAR6 ); output VAR1 ; output VAR5 ; input VAR3 ; input VAR7 ; input VAR8; input VAR4; input VAR2 ; input VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and4/sky130_fd_sc_hs__and4_4.v
2,115
module MODULE1 ( VAR7 , VAR5 , VAR9 , VAR2 , VAR6 , VAR4, VAR8 ); output VAR7 ; input VAR5 ; input VAR9 ; input VAR2 ; input VAR6 ; input VAR4; input VAR8; VAR3 VAR1 ( .VAR7(VAR7), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR7, VAR5, VAR9, VAR2, VAR6 ); output VAR7; input VAR5; input VAR9; input VAR2; input VAR6; supply1 VAR4; supply0 VAR8; VAR3 VAR1 ( .VAR7(VAR7), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/fillcap/gf180mcu_fd_sc_mcu9t5v0__fillcap_4.behavioral.pp.v
1,084
module MODULE1( VAR1, VAR3 ); inout VAR1, VAR3; VAR4 VAR5(.VAR1(VAR1),.VAR3(VAR3)); VAR4 VAR2(.VAR1(VAR1),.VAR3(VAR3));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlclkp/sky130_fd_sc_lp__dlclkp.functional.v
1,648
module MODULE1 ( VAR11, VAR2, VAR7 ); output VAR11; input VAR2; input VAR7 ; wire VAR3 ; wire VAR5 ; wire VAR6 ; wire VAR10; not VAR4 (VAR5 , VAR7 ); VAR1 VAR12 VAR8 (VAR3 , VAR2, VAR5 ); and VAR9 (VAR11 , VAR3, VAR7 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_ddr_rptr_a.v
6,235
module MODULE1(VAR20 ,VAR91 ,VAR18 ,VAR40 ,VAR48 ,VAR83 ,VAR86 ,VAR47 ,VAR49 ,VAR38 ,VAR79 ,VAR56 ,VAR75 ,VAR15 ,VAR6 ,VAR57 ,VAR31 , VAR23 ,VAR9 ,VAR4 ,VAR84 ,VAR78 ,VAR11 ,VAR61 ,VAR71 ,VAR19 ,VAR30 ,VAR43 , VAR39 ,VAR66 ,VAR22 ,VAR2 ,VAR28 ,VAR81 ,VAR50 ,VAR35 ,VAR64 ,VAR80 ,VAR95 ,VAR72 ,VAR54 ,VAR27 ,VAR14 ,VAR52 ,VAR10 ,VAR62 ,VAR33 ,VAR8 ,VAR55 ); output [8:1] VAR47 ; output [8:1] VAR49 ; output [1:0] VAR79 ; output [1:0] VAR56 ; output [1:0] VAR57 ; output [4:0] VAR39 ; output [7:0] VAR80 ; input [8:1] VAR19 ; input [8:1] VAR30 ; input [1:0] VAR22 ; input [7:0] VAR64 ; input [4:0] VAR54 ; input [1:0] VAR27 ; input [1:0] VAR14 ; output VAR20 ; output VAR91 ; output VAR18 ; output VAR40 ; output VAR48 ; output VAR83 ; output VAR86 ; output VAR38 ; output VAR75 ; output VAR15 ; output VAR6 ; output VAR31 ; output VAR23 ; output VAR66 ; output VAR28 ; output VAR50 ; output VAR52 ; input VAR9 ; input VAR4 ; input VAR84 ; input VAR78 ; input VAR11 ; input VAR61 ; input VAR71 ; input VAR43 ; input VAR2 ; input VAR81 ; input VAR35 ; input VAR95 ; input VAR72 ; input VAR10 ; input VAR62 ; input VAR33 ; input VAR8 ; input VAR55 ; VAR96 VAR45 ( .VAR90 (VAR56[1] ), .VAR25 (VAR14[1] ) ); VAR96 VAR67 ( .VAR90 (VAR23 ), .VAR25 (VAR95 ) ); VAR96 VAR68 ( .VAR90 (VAR31 ), .VAR25 (VAR10 ) ); VAR96 VAR13 ( .VAR90 (VAR39[2] ), .VAR25 (VAR54[2] ) ); VAR96 VAR76 ( .VAR90 (VAR15 ), .VAR25 (VAR8 ) ); VAR96 VAR46 ( .VAR90 (VAR6 ), .VAR25 (VAR72 ) ); VAR96 VAR98 ( .VAR90 (VAR49[1] ), .VAR25 (VAR30[1] ) ); VAR96 VAR34 ( .VAR90 (VAR52 ), .VAR25 (VAR62 ) ); VAR96 VAR58 ( .VAR90 (VAR47[7] ), .VAR25 (VAR19[7] ) ); VAR96 VAR12 ( .VAR90 (VAR38 ), .VAR25 (VAR43 ) ); VAR96 VAR87 ( .VAR90 (VAR66 ), .VAR25 (VAR33 ) ); VAR96 VAR32 ( .VAR90 (VAR39[3] ), .VAR25 (VAR54[3] ) ); VAR96 VAR41 ( .VAR90 (VAR49[2] ), .VAR25 (VAR30[2] ) ); VAR96 VAR21 ( .VAR90 (VAR47[8] ), .VAR25 (VAR19[8] ) ); VAR96 VAR59 ( .VAR90 (VAR39[4] ), .VAR25 (VAR54[4] ) ); VAR96 VAR1 ( .VAR90 (VAR49[3] ), .VAR25 (VAR30[3] ) ); VAR96 VAR3 ( .VAR90 (VAR47[1] ), .VAR25 (VAR19[1] ) ); VAR96 VAR63 ( .VAR90 (VAR79[0] ), .VAR25 (VAR22[0] ) ); VAR96 VAR44 ( .VAR90 (VAR75 ), .VAR25 (VAR55 ) ); VAR96 VAR60 ( .VAR90 (VAR49[4] ), .VAR25 (VAR30[4] ) ); VAR96 VAR24 ( .VAR90 (VAR47[2] ), .VAR25 (VAR19[2] ) ); VAR96 VAR5 ( .VAR90 (VAR79[1] ), .VAR25 (VAR22[1] ) ); VAR96 VAR89 ( .VAR90 (VAR49[5] ), .VAR25 (VAR30[5] ) ); VAR96 VAR97 ( .VAR90 (VAR47[3] ), .VAR25 (VAR19[3] ) ); VAR96 VAR88 ( .VAR90 (VAR57[0] ), .VAR25 (VAR27[0] ) ); VAR96 VAR82 ( .VAR90 (VAR28 ), .VAR25 (VAR2 ) ); VAR96 VAR29 ( .VAR90 (VAR49[6] ), .VAR25 (VAR30[6] ) ); VAR96 VAR26 ( .VAR90 (VAR47[4] ), .VAR25 (VAR19[4] ) ); VAR96 VAR37 ( .VAR90 (VAR86 ), .VAR25 (VAR71 ) ); VAR96 VAR93 ( .VAR90 (VAR83 ), .VAR25 (VAR61 ) ); VAR96 VAR73 ( .VAR90 (VAR48 ), .VAR25 (VAR11 ) ); VAR96 VAR16 ( .VAR90 (VAR18 ), .VAR25 (VAR84 ) ); VAR96 VAR74 ( .VAR90 (VAR50 ), .VAR25 (VAR81 ) ); VAR96 VAR85 ( .VAR90 (VAR39[0] ), .VAR25 (VAR54[0] ) ); VAR96 VAR94 ( .VAR90 (VAR57[1] ), .VAR25 (VAR27[1] ) ); VAR96 VAR77 ( .VAR90 (VAR40 ), .VAR25 (VAR78 ) ); VAR96 VAR69 ( .VAR90 (VAR91 ), .VAR25 (VAR4 ) ); VAR96 VAR92 ( .VAR90 (VAR20 ), .VAR25 (VAR9 ) ); VAR36 VAR7 ( .out ({VAR80 } ), .in ({VAR64 } ), .VAR35 (VAR35 ) ); VAR96 VAR65 ( .VAR90 (VAR49[7] ), .VAR25 (VAR30[7] ) ); VAR96 VAR51 ( .VAR90 (VAR47[5] ), .VAR25 (VAR19[5] ) ); VAR96 VAR70 ( .VAR90 (VAR56[0] ), .VAR25 (VAR14[0] ) ); VAR96 VAR53 ( .VAR90 (VAR39[1] ), .VAR25 (VAR54[1] ) ); VAR96 VAR42 ( .VAR90 (VAR49[8] ), .VAR25 (VAR30[8] ) ); VAR96 VAR17 ( .VAR90 (VAR47[6] ), .VAR25 (VAR19[6] ) ); endmodule
gpl-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/synthesis/submodules/Video_System_Video_DMA.v
9,426
module MODULE1 ( clk, reset, VAR14, VAR5, VAR16, VAR33, VAR2, VAR3, VAR39, VAR10, VAR4, VAR30, VAR12, VAR8, VAR40, VAR15, VAR44, VAR41 ); parameter VAR36 = 15; parameter VAR11 = 0; parameter VAR29 = 320; parameter VAR35 = 240; parameter VAR31 = 16; parameter VAR22 = 8; parameter VAR1 = 7; parameter VAR18 = 15; parameter VAR32 = 32'd0; parameter VAR38 = 32'd0; parameter VAR9 = 16'd2057; parameter VAR28 = 4'd15; parameter VAR43 = 2'd0; input clk; input reset; input [VAR36: 0] VAR14; input VAR5; input VAR16; input [VAR11: 0] VAR33; input VAR2; input VAR3; input [ 1: 0] VAR39; input [ 3: 0] VAR10; input VAR4; input VAR30; input [31: 0] VAR12; output VAR8; output [31: 0] VAR40; output VAR15; output [VAR18:0] VAR44; output [31: 0] VAR41; wire VAR37; wire VAR42; wire [31: 0] VAR27; reg [VAR22: 0] VAR21; reg [VAR1: 0] VAR24; always @(posedge clk) begin if (reset) begin VAR21 <= 'h0; VAR24 <= 'h0; end else if (VAR42) begin VAR21 <= 'h0; VAR24 <= 'h0; end else if (VAR37) begin if (VAR21 == (VAR29 - 1)) begin VAR21 <= 'h0; VAR24 <= VAR24 + 1; end else VAR21 <= VAR21 + 1; end end assign VAR40 = VAR27 + {VAR24, VAR21, 1'b0}; VAR13 VAR23 ( .clk (clk), .reset (reset), .address (VAR39), .VAR17 (VAR10), .read (VAR4), .write (VAR30), .VAR19 (VAR12), .VAR34 (VAR42), .VAR6 (VAR41), .VAR26 (VAR27) ); VAR23.VAR32 = VAR32, VAR23.VAR38 = VAR38, VAR23.VAR29 = VAR29, VAR23.VAR35 = VAR35, VAR23.VAR9 = VAR9, VAR23.VAR28 = VAR28, VAR23.VAR43 = VAR43, VAR23.VAR7 = 1'b0; VAR20 VAR25 ( .clk (clk), .reset (reset), .VAR14 (VAR14), .VAR5 (VAR5), .VAR16 (VAR16), .VAR33 (VAR33), .VAR2 (VAR2), .VAR3 (VAR3), .VAR8 (VAR8), .VAR15 (VAR15), .VAR44 (VAR44), .VAR37 (VAR37), .VAR42 (VAR42) ); VAR25.VAR36 = VAR36, VAR25.VAR11 = VAR11, VAR25.VAR18 = VAR18; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfxtp/sky130_fd_sc_lp__sdfxtp.functional.v
1,752
module MODULE1 ( VAR11 , VAR7, VAR13 , VAR2, VAR3 ); output VAR11 ; input VAR7; input VAR13 ; input VAR2; input VAR3; wire VAR6 ; wire VAR1; VAR4 VAR5 (VAR1, VAR13, VAR2, VAR3 ); VAR9 VAR8 VAR12 (VAR6 , VAR1, VAR7 ); buf VAR10 (VAR11 , VAR6 ); endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v
8,220
module MODULE1 , parameter VAR44 = 0 , parameter VAR23(VAR1) , parameter VAR26 = 0 , parameter VAR23(VAR20) , parameter VAR23(VAR25) , parameter VAR23(VAR31) , parameter VAR17 = VAR44 + VAR1 + VAR26 + VAR20 ) (input VAR52 , input VAR45 , input [VAR65-1:0] VAR48 , input VAR35 , output VAR24 , output [VAR17-1:0] VAR15 , output VAR59 , input VAR3 , input [VAR31-1:0] VAR8 , output [VAR25-1:0] VAR68 , output VAR51 , input VAR30 ); wire VAR77, VAR64; localparam [VAR1-1:0] VAR7 = VAR71(VAR17, VAR65); logic VAR12, VAR22; assign VAR12 = VAR77 & VAR35; VAR6 ,.VAR75(VAR7) ) VAR56 (.VAR52(VAR52) ,.VAR45(VAR45) ,.VAR57(VAR48) ,.VAR34(VAR12) ,.VAR40(VAR22) ,.VAR68(VAR15) ,.VAR18(VAR59) ,.VAR53(VAR3) ); logic VAR5, VAR78; assign VAR5 = VAR64 & VAR35; if (VAR65 > VAR25) begin : VAR4 localparam [VAR1-1:0] VAR58 = VAR71(VAR65, VAR25); localparam VAR41 = VAR70(VAR58); localparam [VAR41-1:0] VAR32 = VAR58 - 1; logic VAR46; logic [VAR41-1:0] VAR14; logic [VAR31-1:0] VAR43; wire VAR29 = (VAR43 == '0); VAR21 ,.VAR28('0) ,.VAR27(0) ) VAR2 (.VAR52(VAR52) ,.VAR45(VAR45) ,.VAR74(VAR59 & VAR3) ,.VAR50(VAR8) ,.VAR66(VAR51 & VAR30 & ~VAR29) ,.VAR13(VAR43) ); assign VAR14 = (VAR43 >= VAR32) ? VAR32 : VAR41'(VAR43); VAR72 ,.VAR69(VAR58) ) VAR38 (.VAR52(VAR52) ,.VAR45(VAR45) ,.VAR57(VAR48) ,.VAR34(VAR5) ,.VAR40(VAR78) ,.VAR68(VAR68) ,.VAR18(VAR51) ,.VAR53(VAR30) ,.VAR39(VAR46) ,.VAR62(VAR14) ); end else begin : VAR55 localparam [VAR1-1:0] VAR60 = VAR71(VAR25, VAR65); VAR6 ,.VAR75(VAR60) ) VAR11 (.VAR52(VAR52) ,.VAR45(VAR45) ,.VAR57(VAR48) ,.VAR34(VAR5) ,.VAR40(VAR78) ,.VAR68(VAR68) ,.VAR18(VAR51) ,.VAR53(VAR30) ); end VAR63 ,.VAR19(VAR7) ) VAR16 (.VAR52(VAR52) ,.VAR45(VAR45) ,.VAR62(VAR48[VAR44+:VAR1]) ,.VAR76(VAR24 & VAR35) ,.VAR33(VAR77) ,.VAR10(VAR64) ); assign VAR24 = VAR77 ? VAR22 : VAR78; if (VAR17 % VAR65 != 0) ("VAR49 VAR37: %VAR67 VAR9 VAR54 VAR61 VAR73 VAR42 VAR37: %VAR67", VAR17, VAR65); if ((VAR25 % VAR65 != 0) && (VAR65 % VAR25 != 0)) ("VAR36 VAR47 VAR37: %VAR67 VAR9 VAR54 VAR61 VAR73 VAR42 VAR37: %VAR67", VAR25, VAR65); endmodule
bsd-3-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_8.behavioral.pp.v
1,167
module MODULE1( VAR4, VAR6, VAR3, VAR2 ); input VAR4; inout VAR3, VAR2; output VAR6; VAR1 VAR7(.VAR4(VAR4),.VAR6(VAR6),.VAR3(VAR3),.VAR2(VAR2)); VAR1 VAR5(.VAR4(VAR4),.VAR6(VAR6),.VAR3(VAR3),.VAR2(VAR2));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfbbn/sky130_fd_sc_lp__sdfbbn.functional.v
2,393
module MODULE1 ( VAR17 , VAR16 , VAR22 , VAR23 , VAR7 , VAR12 , VAR20 , VAR14 ); output VAR17 ; output VAR16 ; input VAR22 ; input VAR23 ; input VAR7 ; input VAR12 ; input VAR20 ; input VAR14; wire VAR2 ; wire VAR11 ; wire VAR18 ; wire VAR8 ; wire VAR19; not VAR10 (VAR2 , VAR14 ); not VAR9 (VAR11 , VAR20 ); not VAR6 (VAR18 , VAR12 ); VAR3 VAR15 (VAR19, VAR22, VAR23, VAR7 ); VAR4 VAR1 VAR13 (VAR8 , VAR11, VAR2, VAR18, VAR19); buf VAR5 (VAR17 , VAR8 ); not VAR21 (VAR16 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a22oi/sky130_fd_sc_lp__a22oi_2.v
2,352
module MODULE2 ( VAR10 , VAR8 , VAR11 , VAR7 , VAR3 , VAR5, VAR6, VAR4 , VAR2 ); output VAR10 ; input VAR8 ; input VAR11 ; input VAR7 ; input VAR3 ; input VAR5; input VAR6; input VAR4 ; input VAR2 ; VAR9 VAR1 ( .VAR10(VAR10), .VAR8(VAR8), .VAR11(VAR11), .VAR7(VAR7), .VAR3(VAR3), .VAR5(VAR5), .VAR6(VAR6), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR10 , VAR8, VAR11, VAR7, VAR3 ); output VAR10 ; input VAR8; input VAR11; input VAR7; input VAR3; supply1 VAR5; supply0 VAR6; supply1 VAR4 ; supply0 VAR2 ; VAR9 VAR1 ( .VAR10(VAR10), .VAR8(VAR8), .VAR11(VAR11), .VAR7(VAR7), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/or4b/sky130_fd_sc_ms__or4b_2.v
2,291
module MODULE1 ( VAR8 , VAR9 , VAR1 , VAR7 , VAR10 , VAR6, VAR2, VAR11 , VAR3 ); output VAR8 ; input VAR9 ; input VAR1 ; input VAR7 ; input VAR10 ; input VAR6; input VAR2; input VAR11 ; input VAR3 ; VAR5 VAR4 ( .VAR8(VAR8), .VAR9(VAR9), .VAR1(VAR1), .VAR7(VAR7), .VAR10(VAR10), .VAR6(VAR6), .VAR2(VAR2), .VAR11(VAR11), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR8 , VAR9 , VAR1 , VAR7 , VAR10 ); output VAR8 ; input VAR9 ; input VAR1 ; input VAR7 ; input VAR10; supply1 VAR6; supply0 VAR2; supply1 VAR11 ; supply0 VAR3 ; VAR5 VAR4 ( .VAR8(VAR8), .VAR9(VAR9), .VAR1(VAR1), .VAR7(VAR7), .VAR10(VAR10) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_pe_pp_pg/sky130_fd_sc_hs__udp_dff_pe_pp_pg.blackbox.v
1,386
module MODULE1 ( VAR2 , VAR3 , VAR6 , VAR1, VAR4 , VAR5 ); output VAR2 ; input VAR3 ; input VAR6 ; input VAR1; input VAR4 ; input VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfrbp/sky130_fd_sc_lp__sdfrbp_1.v
2,695
module MODULE1 ( VAR9 , VAR7 , VAR1 , VAR10 , VAR5 , VAR12 , VAR6, VAR11 , VAR4 , VAR8 , VAR2 ); output VAR9 ; output VAR7 ; input VAR1 ; input VAR10 ; input VAR5 ; input VAR12 ; input VAR6; input VAR11 ; input VAR4 ; input VAR8 ; input VAR2 ; VAR3 VAR13 ( .VAR9(VAR9), .VAR7(VAR7), .VAR1(VAR1), .VAR10(VAR10), .VAR5(VAR5), .VAR12(VAR12), .VAR6(VAR6), .VAR11(VAR11), .VAR4(VAR4), .VAR8(VAR8), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR9 , VAR7 , VAR1 , VAR10 , VAR5 , VAR12 , VAR6 ); output VAR9 ; output VAR7 ; input VAR1 ; input VAR10 ; input VAR5 ; input VAR12 ; input VAR6; supply1 VAR11; supply0 VAR4; supply1 VAR8 ; supply0 VAR2 ; VAR3 VAR13 ( .VAR9(VAR9), .VAR7(VAR7), .VAR1(VAR1), .VAR10(VAR10), .VAR5(VAR5), .VAR12(VAR12), .VAR6(VAR6) ); endmodule
apache-2.0
hacktoberfest17/programming
computer_architecture/Unspecified/mux.v
1,399
module MODULE1(VAR8,VAR6,VAR10,VAR12,VAR4,VAR11,VAR2,VAR3,VAR1,VAR5,VAR7,VAR9); input VAR8,VAR6,VAR10,VAR12,VAR4,VAR11,VAR2,VAR3,VAR1,VAR5,VAR7; output VAR9; assign VAR9=((VAR8&(!VAR1)&(!VAR5)&(!VAR7))|(VAR6&(!VAR1)&(!VAR5)&(VAR7))|(VAR10&(!VAR1)&(VAR5)&(!VAR7))|(VAR12&(!VAR1)&(VAR5)&(VAR7))|(VAR4&(VAR1)&(!VAR5)&(!VAR7))|(VAR11&(VAR1)&(!VAR5)&(VAR7))|(VAR2&(VAR1)&(VAR5)&(!VAR7))|(VAR3&(VAR1)&(VAR5)&(VAR7))); endmodule module MODULE2(VAR8,VAR6,VAR10,VAR12,VAR4,VAR11,VAR2,VAR3,VAR1,VAR5,VAR7,VAR9); output reg VAR1,VAR5,VAR7,VAR8,VAR6,VAR10,VAR12,VAR4,VAR11,VAR2,VAR3; input VAR9;
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/xnor2/gf180mcu_fd_sc_mcu7t5v0__xnor2_1.functional.v
1,158
module MODULE1( VAR3, VAR5, VAR10 ); input VAR5, VAR3; output VAR10; wire VAR1; and VAR6( VAR1, VAR5, VAR3 ); wire VAR8; not VAR4( VAR8, VAR5 ); wire VAR9; not VAR7( VAR9, VAR3 ); wire VAR11; and VAR2( VAR11, VAR8, VAR9 ); or VAR12( VAR10, VAR1, VAR11 ); endmodule
apache-2.0
jaechoon2/FPGA-Imaging-Library
BoardInit_AXI/hdl/BoardInit_AXI_v1_0_S00_AXI.v
31,230
module MODULE1 # ( parameter integer VAR100 = 9, parameter integer VAR3 = 8, parameter integer VAR50 = 8, parameter integer VAR96 = 32, parameter integer VAR28 = 7 ) ( input wire VAR48, input wire VAR10, output wire VAR89, output wire VAR92, output wire[VAR3 - 1 : 0] VAR54, output wire[VAR3 - 1 : 0] VAR64, output wire[23 : 0] VAR82, output wire signed[VAR3 : 0] VAR58, output wire[3 : 0] VAR85, output wire VAR14, output wire[VAR50 * VAR50 - 1 : 0] VAR63, output wire[VAR50 * VAR50 - 1 : 0] VAR1, output wire[VAR100 - 1 : 0] VAR11,VAR60,VAR19,VAR75, output wire[1 : 0] VAR101, output wire signed[VAR100 : 0] VAR30, VAR83, output wire [23 : 0] VAR70, VAR46, output wire signed[24 : 0] VAR66, VAR76, output wire[8 : 0] VAR79, output wire[31 : 0] VAR6, input wire VAR57, input wire VAR39, input wire [VAR28-1 : 0] VAR61, input wire [2 : 0] VAR52, input wire VAR24, output wire VAR42, input wire [VAR96-1 : 0] VAR72, input wire [(VAR96/8)-1 : 0] VAR59, input wire VAR53, output wire VAR9, output wire [1 : 0] VAR67, output wire VAR31, input wire VAR49, input wire [VAR28-1 : 0] VAR73, input wire [2 : 0] VAR91, input wire VAR90, output wire VAR44, output wire [VAR96-1 : 0] VAR23, output wire [1 : 0] VAR65, output wire VAR86, input wire VAR35 ); reg [VAR28-1 : 0] VAR93; reg VAR25; reg VAR51; reg [1 : 0] VAR40; reg VAR5; reg [VAR28-1 : 0] VAR81; reg VAR88; reg [VAR96-1 : 0] VAR41; reg [1 : 0] VAR87; reg VAR32; localparam integer VAR13 = (VAR96/32) + 1; localparam integer VAR7 = 4; reg [VAR96-1:0] VAR43; reg [VAR96-1:0] VAR56; reg [VAR96-1:0] VAR22; reg [VAR96-1:0] VAR27; reg [VAR96-1:0] VAR47; reg [VAR96-1:0] VAR45; reg [VAR96-1:0] VAR33; reg [VAR96-1:0] VAR17; reg [VAR96-1:0] VAR95; reg [VAR96-1:0] VAR21; reg [VAR96-1:0] VAR80; reg [VAR96-1:0] VAR98; reg [VAR96-1:0] VAR18; reg [VAR96-1:0] VAR84; reg [VAR96-1:0] VAR38; reg [VAR96-1:0] VAR94; reg [VAR96-1:0] VAR37; reg [VAR96-1:0] VAR15; reg [VAR96-1:0] VAR97; reg [VAR96-1:0] VAR12; reg [VAR96-1:0] VAR77; reg [VAR96-1:0] VAR78; reg [VAR96-1:0] VAR8; reg [VAR96-1:0] VAR99; reg [VAR96-1:0] VAR4; reg [VAR96-1:0] VAR36; reg [VAR96-1:0] VAR74; reg [VAR96-1:0] VAR2; reg [VAR96-1:0] VAR68; reg [VAR96-1:0] VAR62; reg [VAR96-1:0] VAR69; reg [VAR96-1:0] VAR20; wire VAR34; wire VAR26; reg [VAR96-1:0] VAR71; integer VAR16; assign VAR42 = VAR25; assign VAR9 = VAR51; assign VAR67 = VAR40; assign VAR31 = VAR5; assign VAR44 = VAR88; assign VAR23 = VAR41; assign VAR65 = VAR87; assign VAR86 = VAR32; always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR25 <= 1'b0; end else begin if (~VAR25 && VAR24 && VAR53) begin VAR25 <= 1'b1; end else begin VAR25 <= 1'b0; end end end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR93 <= 0; end else begin if (~VAR25 && VAR24 && VAR53) begin VAR93 <= VAR61; end end end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR51 <= 1'b0; end else begin if (~VAR51 && VAR53 && VAR24) begin VAR51 <= 1'b1; end else begin VAR51 <= 1'b0; end end end assign VAR26 = VAR51 && VAR53 && VAR25 && VAR24; always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR43 <= 0; VAR56 <= 0; VAR22 <= 0; VAR27 <= 0; VAR47 <= 0; VAR45 <= 0; VAR33 <= 0; VAR17 <= 0; VAR95 <= 0; VAR21 <= 0; VAR80 <= 0; VAR98 <= 0; VAR18 <= 0; VAR84 <= 0; VAR38 <= 0; VAR94 <= 0; VAR37 <= 0; VAR15 <= 0; VAR97 <= 0; VAR12 <= 0; VAR77 <= 0; VAR78 <= 0; VAR8 <= 0; VAR99 <= 0; VAR4 <= 0; VAR36 <= 0; VAR74 <= 0; VAR2 <= 0; VAR68 <= 0; VAR62 <= 0; VAR69 <= 0; VAR20 <= 0; end else begin if (VAR26) begin case ( VAR93[VAR13+VAR7:VAR13] ) 5'h00: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR43[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h01: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR56[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h02: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR22[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h03: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR27[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h04: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR47[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h05: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR45[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h06: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR33[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h07: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR17[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h08: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR95[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h09: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR21[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0A: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR80[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0B: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR98[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0C: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR18[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0D: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR84[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0E: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR38[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h0F: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR94[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h10: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR37[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h11: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR15[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h12: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR97[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h13: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR12[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h14: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR77[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h15: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR78[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h16: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR8[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h17: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR99[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h18: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR4[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h19: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR36[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1A: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR74[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1B: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR2[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1C: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR68[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1D: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR62[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1E: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR69[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end 5'h1F: for ( VAR16 = 0; VAR16 <= (VAR96/8)-1; VAR16 = VAR16+1 ) if ( VAR59[VAR16] == 1 ) begin VAR20[(VAR16*8) +: 8] <= VAR72[(VAR16*8) +: 8]; end default : begin VAR43 <= VAR43; VAR56 <= VAR56; VAR22 <= VAR22; VAR27 <= VAR27; VAR47 <= VAR47; VAR45 <= VAR45; VAR33 <= VAR33; VAR17 <= VAR17; VAR95 <= VAR95; VAR21 <= VAR21; VAR80 <= VAR80; VAR98 <= VAR98; VAR18 <= VAR18; VAR84 <= VAR84; VAR38 <= VAR38; VAR94 <= VAR94; VAR37 <= VAR37; VAR15 <= VAR15; VAR97 <= VAR97; VAR12 <= VAR12; VAR77 <= VAR77; VAR78 <= VAR78; VAR8 <= VAR8; VAR99 <= VAR99; VAR4 <= VAR4; VAR36 <= VAR36; VAR74 <= VAR74; VAR2 <= VAR2; VAR68 <= VAR68; VAR62 <= VAR62; VAR69 <= VAR69; VAR20 <= VAR20; end endcase end end end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR5 <= 0; VAR40 <= 2'b0; end else begin if (VAR25 && VAR24 && ~VAR5 && VAR51 && VAR53) begin VAR5 <= 1'b1; VAR40 <= 2'b0; end else begin if (VAR49 && VAR5) begin VAR5 <= 1'b0; end end end end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR88 <= 1'b0; VAR81 <= 32'b0; end else begin if (~VAR88 && VAR90) begin VAR88 <= 1'b1; VAR81 <= VAR73; end else begin VAR88 <= 1'b0; end end end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR32 <= 0; VAR87 <= 0; end else begin if (VAR88 && VAR90 && ~VAR32) begin VAR32 <= 1'b1; VAR87 <= 2'b0; end else if (VAR32 && VAR35) begin VAR32 <= 1'b0; end end end assign VAR34 = VAR88 & VAR90 & ~VAR32; always @(*) begin case ( VAR81[VAR13+VAR7:VAR13] ) 5'h00 : VAR71 <= VAR43; 5'h01 : VAR71 <= VAR56; 5'h02 : VAR71 <= VAR22; 5'h03 : VAR71 <= VAR27; 5'h04 : VAR71 <= VAR47; 5'h05 : VAR71 <= VAR45; 5'h06 : VAR71 <= VAR33; 5'h07 : VAR71 <= VAR17; 5'h08 : VAR71 <= VAR95; 5'h09 : VAR71 <= VAR21; 5'h0A : VAR71 <= VAR80; 5'h0B : VAR71 <= VAR98; 5'h0C : VAR71 <= VAR18; 5'h0D : VAR71 <= VAR84; 5'h0E : VAR71 <= VAR38; 5'h0F : VAR71 <= VAR94; 5'h10 : VAR71 <= VAR37; 5'h11 : VAR71 <= VAR15; 5'h12 : VAR71 <= VAR97; 5'h13 : VAR71 <= VAR12; 5'h14 : VAR71 <= VAR77; 5'h15 : VAR71 <= VAR78; 5'h16 : VAR71 <= VAR8; 5'h17 : VAR71 <= VAR99; 5'h18 : VAR71 <= VAR4; 5'h19 : VAR71 <= VAR36; 5'h1A : VAR71 <= VAR74; 5'h1B : VAR71 <= VAR2; 5'h1C : VAR71 <= VAR68; 5'h1D : VAR71 <= VAR62; 5'h1E : VAR71 <= VAR69; 5'h1F : VAR71 <= VAR20; default : VAR71 <= 0; endcase end always @( posedge VAR57 ) begin if ( VAR39 == 1'b0 ) begin VAR41 <= 0; end else begin if (VAR34) begin VAR41 <= VAR71; end end end assign VAR92 = VAR56; assign VAR54 = VAR22; assign VAR64 = VAR27; assign VAR82 = VAR47; assign VAR58 = VAR45; assign VAR85 = VAR33; assign VAR14 = VAR17; assign VAR63 = VAR95; assign VAR1 = VAR21; assign VAR11 = VAR80; assign VAR60 = VAR98; assign VAR19 = VAR18; assign VAR75 = VAR84; assign VAR101 = VAR38; assign VAR30 = VAR94; assign VAR83 = VAR37; assign VAR70 = VAR15; assign VAR46 = VAR97; assign VAR66 = VAR12; assign VAR76 = VAR77; assign VAR79 = VAR78; assign VAR6 = VAR20; reg[15 : 0] VAR55; VAR29 VAR55 = 0; always @(posedge VAR57 or negedge VAR39 or negedge VAR48 or negedge VAR10) begin if(~VAR48 || ~VAR10 || ~VAR39) VAR55 <= 0; end else if(VAR55 == VAR43) VAR55 <= VAR55; end else VAR55 <= VAR55 + 1; end assign VAR89 = VAR55 == VAR43 ? 1 : 0; endmodule
lgpl-2.1
gbraad/minimig-de1
rtl/or1200/or1200_sprs.v
14,160
module MODULE1( clk, rst, VAR25, VAR29, flag, VAR73, VAR39, VAR14, VAR84, VAR59, VAR70, VAR23, VAR56, VAR85, VAR52, VAR63, VAR36, VAR18, VAR19, VAR53, VAR72, VAR8, VAR20, VAR28, VAR30, VAR60, VAR76, VAR86, VAR49, VAR41, VAR2, VAR1, VAR15, VAR44, VAR68, VAR40, VAR5, VAR55, VAR12, VAR51, VAR62, VAR82, VAR81, VAR6, VAR42 ); parameter VAR83 = VAR58; input clk; input rst; input VAR25; input VAR29; output flag; input VAR73; input VAR39; output VAR14; input [VAR83-1:0] VAR84; input [15:0] VAR59; input [VAR83-1:0] VAR70; input [VAR50-1:0] VAR23; input [VAR75-1:0] VAR56; input [VAR83-1:0] VAR85; input [VAR83-1:0] VAR52; input [VAR35-1:0] VAR63; input VAR36; output [VAR83-1:0] VAR18; output VAR19; output VAR53; output VAR72; output VAR8; output VAR20; output [VAR35-1:0] VAR28; output [VAR35-1:0] VAR30; input [31:0] VAR60; input [31:0] VAR76; input [31:0] VAR86; input [31:0] VAR49; input [31:0] VAR41; input [31:0] VAR2; input [31:0] VAR1; input [31:0] VAR15; input [31:0] VAR44; input [31:0] VAR68; input [31:0] VAR40; output [31:0] VAR5; output [31:0] VAR55; output [31:0] VAR12; output VAR51; input [VAR83-1:0] VAR62; input [VAR83-1:0] VAR82; input VAR81; input VAR6; output [VAR83-1:0] VAR42; reg [VAR35-1:0] VAR30; reg VAR38; reg VAR67; reg [VAR83-1:0] VAR18; wire VAR46; wire VAR21; wire VAR57; wire VAR47; wire VAR3; wire VAR77; wire VAR34; wire VAR24; wire [31:0] VAR65; wire VAR4; wire [VAR50-1:0] VAR16; reg [31:0] VAR33; assign VAR4 = VAR81 | VAR6; assign VAR16 = VAR6 ? VAR11 : VAR81 ? VAR26 : VAR23; assign VAR5 = VAR4 ? VAR62 : VAR84 | {16'h0000, VAR59}; assign VAR55 = VAR6 ? VAR82 : VAR70; assign VAR42 = VAR6 ? VAR82 : VAR81 ? VAR18 : VAR70; assign VAR51 = VAR6 | VAR38; assign VAR12 = VAR33 & {32{VAR67 | VAR38}}; always @(VAR5) case (VAR5[VAR31]) VAR80'd00: VAR33 = 32'b00000000000000000000000000000001; endcase assign VAR28[VAR37:VAR71] = (VAR56 == VAR22) ? VAR63[VAR37:VAR71] : (VAR38 && VAR3) ? {1'b1, VAR55[VAR37-1:VAR71]}: VAR30[VAR37:VAR71]; assign VAR28[VAR32] = (VAR56 == VAR22) ? VAR63[VAR32] : VAR39 ? VAR73 : (VAR38 && VAR3) ? VAR55[VAR32] : VAR30[VAR32]; assign VAR28[VAR54] = (VAR56 == VAR22) ? VAR63[VAR54] : VAR29 ? VAR25 : (VAR38 && VAR3) ? VAR55[VAR54] : VAR30[VAR54]; assign VAR28[VAR27:VAR64] = (VAR56 == VAR22) ? VAR63[VAR27:VAR64] : (VAR38 && VAR3) ? VAR55[VAR27:VAR64]: VAR30[VAR27:VAR64]; assign VAR46 = (VAR12[VAR17] && (VAR5[10:4] == VAR13)); assign VAR21 = (VAR12[VAR17] && (VAR5[10:5] == VAR69)); assign VAR57 = (VAR12[VAR17] && (VAR5[10:0] == VAR79)); assign VAR47 = (VAR12[VAR17] && (VAR5[10:0] == VAR87)); assign VAR3 = (VAR12[VAR17] && (VAR5[10:0] == VAR66)); assign VAR77 = (VAR12[VAR17] && (VAR5[10:0] == VAR43)); assign VAR34 = (VAR12[VAR17] && (VAR5[10:0] == VAR74)); assign VAR24 = (VAR12[VAR17] && (VAR5[10:0] == VAR48)); assign VAR20 = (VAR38 && VAR3) | (VAR56 == VAR22) | VAR29 | VAR39; assign VAR8 = (VAR38 && (VAR57 | VAR47)); assign VAR19 = (VAR38 && VAR77); assign VAR53 = (VAR38 && VAR34); assign VAR72 = (VAR38 && VAR24); assign VAR65 = (VAR60 & {32{VAR67 & VAR46}}) | (VAR76 & {32{VAR67 & VAR21}}) | (VAR86 & {32{VAR67 & VAR57}}) | (VAR49 & {32{VAR67 & VAR47}}) | ({{32-VAR35{1'b0}},VAR30} & {32{VAR67 & VAR3}}) | (VAR85 & {32{VAR67 & VAR77}}) | (VAR52 & {32{VAR67 & VAR34}}) | ({{32-VAR35{1'b0}},VAR63} & {32{VAR67 & VAR24}}); assign flag = VAR30[VAR54]; assign VAR14 = VAR30[VAR32]; always @(posedge clk or posedge rst) if (rst) VAR30 <= {1'b1, VAR45, {VAR35-3{1'b0}}, 1'b1}; else if (VAR36) begin VAR30[VAR64] <= 1'b1; VAR30[VAR9] <= 1'b0; VAR30[VAR10] <= 1'b0; VAR30[VAR7] <= 1'b0; VAR30[VAR61] <= 1'b0; end else if (VAR20) VAR30 <= VAR28[VAR35-1:0]; always @(VAR16 or VAR5 or VAR65 or VAR41 or VAR2 or VAR15 or VAR44 or VAR68 or VAR40 or VAR1) begin case (VAR16) VAR11 : begin VAR38 = 1'b1; VAR67 = 1'b0; VAR18 = 32'b0; end casex (VAR5[VAR31]) VAR78: VAR18 = VAR1; VAR18 = VAR2; VAR18 = VAR15; VAR18 = VAR44; VAR18 = VAR68; VAR18 = VAR41; VAR18 = VAR40; VAR18 = VAR65; default: VAR18 = 32'b0; endcase VAR38 = 1'b0; VAR67 = 1'b1; end default : begin VAR38 = 1'b0; VAR67 = 1'b0; VAR18 = 32'b0; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o211a/sky130_fd_sc_hdll__o211a_1.v
2,364
module MODULE1 ( VAR3 , VAR1 , VAR5 , VAR4 , VAR6 , VAR10, VAR2, VAR9 , VAR11 ); output VAR3 ; input VAR1 ; input VAR5 ; input VAR4 ; input VAR6 ; input VAR10; input VAR2; input VAR9 ; input VAR11 ; VAR8 VAR7 ( .VAR3(VAR3), .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6), .VAR10(VAR10), .VAR2(VAR2), .VAR9(VAR9), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR3 , VAR1, VAR5, VAR4, VAR6 ); output VAR3 ; input VAR1; input VAR5; input VAR4; input VAR6; supply1 VAR10; supply0 VAR2; supply1 VAR9 ; supply0 VAR11 ; VAR8 VAR7 ( .VAR3(VAR3), .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6) ); endmodule
apache-2.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/imports/Sources-On_Sat/Cache/L1/Level_1_Cache.v
3,865
module MODULE1( input VAR45, input VAR76, input [29:0] VAR42, input [3:0] VAR19, input [31:0] VAR68, output [31:0] VAR26, input VAR33, output VAR50, input VAR46, output VAR56, output [29:0] VAR60, output VAR6, input [4095:0] VAR39, output [4095:0] VAR85, output VAR32, input VAR63, output VAR84 ); wire [3:0] VAR23, VAR8; wire [7:0] VAR54, VAR28; wire [6:0] VAR58; wire [18:0] VAR75; VAR51 VAR37 ( .VAR42(VAR42), .VAR19(VAR19), .VAR33(VAR33), .VAR50(VAR50), .VAR46(VAR46), .VAR24(VAR56), .VAR60(VAR60), .VAR6(VAR6), .VAR32(VAR32), .VAR63(VAR63), .VAR49(VAR23), .VAR35(VAR58), .VAR47(VAR8), .VAR86(VAR22), .VAR64(VAR11), .VAR83(VAR21), .VAR17(VAR2), .VAR52(VAR75), .VAR29(VAR69), .VAR78(VAR79), .VAR7(VAR84), .VAR4(VAR34), .VAR12(VAR28), .VAR55(VAR1), .VAR43(VAR73), .VAR88(VAR54), .VAR61(VAR74), .VAR41(VAR27), .VAR45(VAR45), .VAR76(VAR76), .VAR40(VAR66), .VAR89(VAR48) ); VAR31 VAR25 ( .VAR53(VAR58), .VAR3(VAR23), .VAR68(VAR68), .VAR39(VAR39), .VAR45(VAR45), .VAR80(VAR8), .VAR26(VAR26), .VAR85(VAR85), .VAR77(VAR48) ); VAR15 VAR87 ( .VAR45(VAR45), .VAR76(VAR66 || VAR76), .VAR3(VAR23), .VAR86(VAR11), .VAR44(VAR21), .VAR64(VAR22), .VAR12(VAR28), .VAR10(VAR2) ); VAR72 VAR36 ( .VAR3(VAR23), .VAR52(VAR42[29:11]), .VAR45(VAR45), .VAR62(VAR69), .VAR59(VAR75) ); VAR38 VAR9 ( .VAR45(VAR45), .VAR76(VAR66 || VAR76), .VAR3(VAR23), .VAR57(VAR79), .VAR18(VAR69), .VAR78(VAR65), .VAR12(VAR28), .VAR82(VAR84) ); VAR67 VAR16 ( .VAR5(VAR42[29:11]), .VAR70(VAR75), .VAR81(VAR65), .VAR20(VAR34) ); VAR28 VAR71 ( .VAR45(VAR45), .VAR13(VAR73), .VAR76(VAR1), .VAR14(VAR28) ); VAR28 VAR30 ( .VAR45(VAR45), .VAR13(VAR27), .VAR76(VAR74), .VAR14(VAR54) ); endmodule
lgpl-3.0
deepakcu/maestro
fpga/DE4_Ethernet_0/float_mega/float_add_sub/float_add_sub.v
110,547
module MODULE1 ( VAR8, VAR5, VAR2, VAR11, VAR3, VAR12) ; input VAR8; input VAR5; input VAR2; input [25:0] VAR11; input [4:0] VAR3; output [25:0] VAR12; tri0 VAR8; tri1 VAR5; tri0 VAR2; reg [0:0] VAR10; reg [25:0] VAR14; wire [5:0] VAR4; wire VAR13; wire [15:0] VAR6; wire [155:0] VAR9; wire [4:0] VAR7; wire [129:0] VAR1;
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/einvn/sky130_fd_sc_ls__einvn.functional.pp.v
1,872
module MODULE1 ( VAR11 , VAR2 , VAR1, VAR7, VAR3, VAR5 , VAR13 ); output VAR11 ; input VAR2 ; input VAR1; input VAR7; input VAR3; input VAR5 ; input VAR13 ; wire VAR12 ; wire VAR8; VAR10 VAR9 (VAR12 , VAR2, VAR7, VAR3 ); VAR10 VAR6 (VAR8, VAR1, VAR7, VAR3 ); notif0 VAR4 (VAR11 , VAR12, VAR8); endmodule
apache-2.0
valkwarble/finalProject
source/zbt_6111.v
2,879
module MODULE1(clk, VAR5, VAR11, addr, VAR3, VAR2, VAR7, VAR6, VAR4, VAR9, VAR8); input clk; input VAR5; input VAR11; input [18:0] addr; input [35:0] VAR3; output [35:0] VAR2; output VAR7; output VAR6; output [18:0] VAR4; inout [35:0] VAR9; output VAR8; wire VAR8 = ~VAR5; reg [1:0] VAR1; always @(posedge clk) VAR1 <= VAR5 ? {VAR1[0],VAR11} : VAR1; reg [35:0] VAR12; reg [35:0] VAR10; always @(posedge clk) if (VAR5) {VAR10, VAR12} <= {VAR12, VAR3}; assign VAR6 = ~VAR11; assign VAR7 = 1'b0; assign VAR4 = addr; assign VAR9 = VAR1[1] ? VAR10 : {36{1'VAR13}}; assign VAR2 = VAR9; endmodule
gpl-2.0
pwwu/FPGA
VGAbased/final/study_text.v
4,783
module MODULE1 ( input wire clk, input wire [9:0] VAR13, VAR5, output wire [3:0] VAR12, output reg [2:0] VAR18 ); wire [10:0] VAR16; reg [6:0] VAR11, VAR10; reg [3:0] VAR15; reg [2:0] VAR14; wire [7:0] VAR7; wire VAR8, VAR6; wire [5:0] VAR9; wire [3:0] VAR2; wire [2:0] VAR4; VAR17 VAR3 (.clk(clk), .addr(VAR16), .VAR1(VAR7)); assign VAR8 = (VAR13[9:7]==2) && (VAR5[9:6]==2); assign VAR2 = VAR5[3:0]; assign VAR4 = VAR13[2:0]; assign VAR9 = {VAR5[5:4], VAR13[6:3]}; always @* case (VAR9) 6'h00: VAR10 = 7'h62; 6'h01: VAR10 = 7'h6f; 6'h02: VAR10 = 7'h79; 6'h03: VAR10 = 7'h20; 6'h04: VAR10 = 7'h20; 6'h05: VAR10 = 7'h20; 6'h06: VAR10 = 7'h20; 6'h07: VAR10 = 7'h20; 6'h08: VAR10 = 7'h20; 6'h09: VAR10 = 7'h20; 6'h0A: VAR10 = 7'h20; 6'h0B: VAR10 = 7'h20; 6'h0C: VAR10 = 7'h20; 6'h0D: VAR10 = 7'h20; 6'h0E: VAR10 = 7'h20; 6'h0F: VAR10 = 7'h20; 6'h10: VAR10 = 7'h67; 6'h11: VAR10 = 7'h69; 6'h12: VAR10 = 7'h72; 6'h13: VAR10 = 7'h6c; 6'h14: VAR10 = 7'h20; 6'h15: VAR10 = 7'h20; 6'h16: VAR10 = 7'h20; 6'h17: VAR10 = 7'h20; 6'h18: VAR10 = 7'h20; 6'h19: VAR10 = 7'h20; 6'h1A: VAR10 = 7'h20; 6'h1B: VAR10 = 7'h20; 6'h1C: VAR10 = 7'h20; 6'h1D: VAR10 = 7'h20; 6'h1E: VAR10 = 7'h20; 6'h1F: VAR10 = 7'h20; 6'h20: VAR10 = 7'h63; 6'h21: VAR10 = 7'h61; 6'h22: VAR10 = 7'h74; 6'h23: VAR10 = 7'h20; 6'h24: VAR10 = 7'h20; 6'h25: VAR10 = 7'h20; 6'h26: VAR10 = 7'h20; 6'h27: VAR10 = 7'h20; 6'h28: VAR10 = 7'h20; 6'h29: VAR10 = 7'h20; 6'h2A: VAR10 = 7'h20; 6'h2B: VAR10 = 7'h20; 6'h2C: VAR10 = 7'h20; 6'h2D: VAR10 = 7'h20; 6'h2E: VAR10 = 7'h20; 6'h2F: VAR10 = 7'h20; 6'h30: VAR10 = 7'h20; 6'h31: VAR10 = 7'h20; 6'h32: VAR10 = 7'h20; 6'h33: VAR10 = 7'h20; 6'h34: VAR10 = 7'h20; 6'h35: VAR10 = 7'h20; 6'h36: VAR10 = 7'h20; 6'h37: VAR10 = 7'h20; 6'h38: VAR10 = 7'h20; 6'h39: VAR10 = 7'h20; 6'h3A: VAR10 = 7'h20; 6'h3B: VAR10 = 7'h20; 6'h3C: VAR10 = 7'h20; 6'h3D: VAR10 = 7'h20; 6'h3E: VAR10 = 7'h20; 6'h3F: VAR10 = 7'h20; endcase always @* begin VAR18 = 3'b110; if (VAR8) begin VAR11 = VAR10; VAR15 = VAR2; VAR14 = VAR4; if (VAR6) VAR18 = 3'b001; end end assign VAR12 = VAR8; assign VAR16 = {VAR11, VAR15}; assign VAR6 = VAR7[~VAR14]; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/decaphe/sky130_fd_sc_ls__decaphe.blackbox.v
1,210
module MODULE1 (); supply1 VAR1; supply0 VAR4; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a22oi/sky130_fd_sc_hdll__a22oi.symbol.v
1,379
module MODULE1 ( input VAR6, input VAR5, input VAR8, input VAR1, output VAR9 ); supply1 VAR2; supply0 VAR7; supply1 VAR4 ; supply0 VAR3 ; endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Convert_Data_Type.v
1,113
module MODULE1 ( VAR1, VAR3 ); input signed [35:0] VAR1; output signed [35:0] VAR3; wire signed [35:0] VAR2; assign VAR2 = {VAR1[35], VAR1[35:1]}; assign VAR3 = VAR2; endmodule
gpl-3.0
Anirudh94/Connect4-FPGA
Connect4/redDiskWin.v
6,235
module MODULE1 ( address, VAR16, VAR19); input [7:0] address; input VAR16; output [2:0] VAR19; tri1 VAR16; wire [2:0] VAR5; wire [2:0] VAR19 = VAR5[2:0]; VAR50 VAR40 ( .VAR6 (address), .VAR7 (VAR16), .VAR38 (VAR5), .VAR35 (1'b0), .VAR10 (1'b0), .VAR23 (1'b1), .VAR8 (1'b0), .VAR28 (1'b0), .VAR24 (1'b1), .VAR46 (1'b1), .VAR21 (1'b1), .VAR34 (1'b1), .VAR48 (1'b1), .VAR17 (1'b1), .VAR26 (1'b1), .VAR15 ({3{1'b1}}), .VAR45 (1'b1), .VAR49 (), .VAR44 (), .VAR2 (1'b1), .VAR18 (1'b1), .VAR31 (1'b0), .VAR11 (1'b0)); VAR40.VAR3 = "VAR41", VAR40.VAR14 = "VAR41", VAR40.VAR25 = "MODULE1.VAR36", VAR40.VAR13 = "VAR12 VAR33", VAR40.VAR39 = "VAR1=VAR27", VAR40.VAR47 = "VAR50", VAR40.VAR4 = 225, VAR40.VAR30 = "VAR29", VAR40.VAR9 = "VAR22", VAR40.VAR42 = "VAR37", VAR40.VAR43 = 8, VAR40.VAR32 = 3, VAR40.VAR20 = 1; endmodule
mit
CospanDesign/nysa-verilog
verilog/wishbone/slave/wb_seeed_tft/rtl/seeed_tft.v
6,125
module MODULE1 #( parameter VAR6 = 12 )( input rst, input clk, output [31:0] VAR11, input VAR34, input [7:0] VAR47, input [31:0] VAR44, input [31:0] VAR50, input [7:0] VAR42, input VAR20, input VAR30, input VAR5, input VAR33, input VAR27, input VAR60, input VAR23, input VAR9, input [7:0] VAR3, output [7:0] VAR46, output VAR53, input VAR4, input VAR18, input [31:0] VAR21, output [1:0] VAR25, input [1:0] VAR22, input VAR38, output [23:0] VAR49, input [31:0] VAR12, output VAR24, output VAR28, output VAR43, output VAR59, inout [7:0] VAR15, output VAR37, output VAR39, input VAR45, output VAR29 ); wire [7:0] VAR14; wire [7:0] VAR48; wire VAR31; wire VAR40; wire [7:0] VAR17; wire VAR55; wire VAR19; wire VAR7; wire VAR56; wire VAR57; wire [7:0] VAR10; wire VAR61; wire VAR54; wire VAR13; VAR35 VAR32 ( .rst (rst ), .clk (clk ), .VAR30 (VAR30 ), .VAR60 (VAR60 ), .VAR23 (VAR23 ), .VAR9 (VAR9 ), .VAR3 (VAR3 ), .VAR46 (VAR46 ), .VAR52 (VAR19 ), .VAR53 (VAR53 ), .VAR41 (VAR55 ), .VAR58 (VAR31 ), .VAR36 (VAR40 ), .VAR16 (VAR17 ), .VAR8 (VAR48 ) ); VAR2 #( .VAR6 (VAR6 ) )VAR26( .rst (rst ), .clk (clk ), .VAR11 (VAR11 ), .VAR34 (VAR34 ), .VAR47 (VAR47 ), .VAR44 (VAR44 ), .VAR50 (VAR50 ), .VAR42 (VAR42 ), .VAR20 (VAR20 ), .VAR30 (VAR30 ), .VAR27 (VAR27 ), .VAR21 (VAR21 ), .VAR25 (VAR25 ), .VAR22 (VAR22 ), .VAR38 (VAR38 ), .VAR49 (VAR49 ), .VAR12 (VAR12 ), .VAR45 (VAR45 ), .VAR51 (VAR56 ), .VAR41 (VAR57 ), .VAR16 (VAR10 ), .VAR8 (VAR48 ), .VAR58 (VAR61 ), .VAR36 (VAR54 ), .VAR52 (VAR13 ) ); assign VAR24 = VAR4; assign VAR29 = VAR30; assign VAR39 = ~VAR5; assign VAR48 = VAR15; assign VAR37 = (VAR33) ? ~VAR56 : ~VAR18; assign VAR28 = (VAR33) ? VAR57 : VAR55; assign VAR43 = (VAR33) ? ~VAR61 : ~VAR31; assign VAR59 = (VAR33) ? ~VAR54 : ~VAR40; assign VAR7 = (VAR33) ? VAR13 : VAR19; assign VAR15 = (VAR7) ? (VAR33) ? VAR10 : VAR17 : 8'VAR1; endmodule
mit
rkrajnc/minimig-mist
rtl/minimig/paula_intcontroller.v
4,459
module MODULE1 ( input clk, input VAR23, input reset, input [8:1] VAR7, input [15:0] VAR12, output [15:0] VAR26, input VAR17, input VAR9, input VAR1, input VAR15, input VAR19, input VAR21, input VAR16, input VAR18, input [3:0] VAR20, output [3:0] VAR25, output VAR11, output reg [2:0] VAR22 ); parameter VAR8 = 9'h01c; parameter VAR3 = 9'h01e; parameter VAR2 = 9'h09a; parameter VAR24 = 9'h09c; reg [14:0] VAR5; reg [15:0] VAR6; reg [14:0] VAR13; reg [15:0] VAR4; assign VAR11 = VAR13[11]; assign VAR25[3:0] = VAR13[10:7]; assign VAR26 = VAR6 | VAR4; always @(posedge clk) begin if (VAR23) begin if (reset) VAR5 <= 0; end else if (VAR7[8:1]==VAR2[8:1]) begin if (VAR12[15]) VAR5[14:0] <= VAR5[14:0] | VAR12[14:0]; end else VAR5[14:0] <= VAR5[14:0] & (~VAR12[14:0]); end end end always @ begin if (VAR7[8:1]==VAR3[8:1]) VAR4[15:0] = {1'b0,VAR13[14:0]}; end else VAR4 = 16'd0; end reg [14:0]VAR10; always @ begin if (VAR5[14]) VAR14[14:0] = VAR13[14:0] & VAR5[14:0]; end else VAR14[14:0] = 15'b000000000000000; end always @(posedge clk) begin if (VAR23) begin casez (VAR14[14:0]) 15'b1?????????????? : VAR22 <= 1; 15'b01????????????? : VAR22 <= 1; 15'b001???????????? : VAR22 <= 2; 15'b0001??????????? : VAR22 <= 2; 15'b00001?????????? : VAR22 <= 3; 15'b000001????????? : VAR22 <= 3; 15'b0000001???????? : VAR22 <= 3; 15'b00000001??????? : VAR22 <= 3; 15'b000000001?????? : VAR22 <= 4; 15'b0000000001????? : VAR22 <= 4; 15'b00000000001???? : VAR22 <= 4; 15'b000000000001??? : VAR22 <= 5; 15'b0000000000001?? : VAR22 <= 6; 15'b00000000000001? : VAR22 <= 6; 15'b000000000000001 : VAR22 <= 6; 15'b000000000000000 : VAR22 <= 7; default: VAR22 <= 7; endcase end end endmodule
gpl-3.0
jacgoudsmit/P8X32A_Emulation
P8X32A_Nexys4/src/hub_mem.v
2,747
module MODULE1 ( input VAR1, input VAR4, input VAR5, input [3:0] VAR8, input [13:0] VAR3, input [31:0] VAR6, output [31:0] VAR10 ); reg [7:0] VAR7 [16*1024-1:0]; reg [7:0] VAR9 [16*1024-1:0]; reg [7:0] VAR11 [16*1024-1:0]; reg [7:0] VAR2 [16*1024-1:0]; begin begin begin begin begin
gpl-3.0
aj-michael/Digital-Systems
Pong/Phase3/TermProjectPhase3/CRTClock.v
1,033
module MODULE1(VAR2, VAR3, VAR1, VAR6, VAR7); parameter VAR5=10; input [VAR5-1:0] VAR2; input [VAR5-1:0] VAR3; output VAR1; input VAR6; input VAR7; reg [VAR5-1:0] counter; wire [VAR5-1:0] VAR4; assign VAR4 = (VAR2 / VAR3) - 1; assign VAR1 = counter > (VAR4 >> 1); always @ (posedge VAR7 or posedge VAR6) if (VAR6) counter <= 0; else begin if (counter == VAR4) counter <= 0; end else counter <= counter + 1'd1; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dfrbp/sky130_fd_sc_hs__dfrbp.blackbox.v
1,338
module MODULE1 ( VAR5, VAR3 , VAR7 , VAR4 , VAR6 ); input VAR5; input VAR3 ; input VAR7 ; output VAR4 ; output VAR6 ; supply1 VAR1; supply0 VAR2; endmodule
apache-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/system/synthesis/submodules/system_mm_interconnect_1.v
16,432
module MODULE1 ( input wire VAR54, input wire VAR91, input wire [29:0] VAR27, output wire VAR63, input wire [0:0] VAR26, input wire [7:0] VAR74, input wire VAR61, output wire [63:0] VAR25, output wire VAR23, input wire VAR98, input wire [63:0] VAR1, input wire VAR92, output wire [3:0] VAR88, output wire VAR59, output wire VAR22, input wire [63:0] VAR72, output wire [63:0] VAR95, output wire [7:0] VAR94, input wire VAR11, input wire VAR43 ); wire VAR17; wire [3:0] VAR4; wire [63:0] VAR96; wire [29:0] VAR49; wire VAR51; wire VAR97; wire VAR15; wire [63:0] VAR40; wire VAR80; wire [7:0] VAR13; wire VAR83; VAR87 #( .VAR69 (30), .VAR52 (64), .VAR53 (1), .VAR41 (8), .VAR58 (30), .VAR21 (4), .VAR76 (1), .VAR33 (1), .VAR20 (0), .VAR75 (0), .VAR31 (0), .VAR16 (1), .VAR65 (1), .VAR78 (1), .VAR30 (0), .VAR64 (0), .VAR70 (8), .VAR18 (1), .VAR89 (0), .VAR14 (0), .VAR32 (0), .VAR86 (0), .VAR24 (0) ) VAR62 ( .clk (VAR54), .reset (VAR91), .VAR100 (VAR49), .VAR19 (VAR4), .VAR93 (VAR15), .VAR73 (VAR97), .VAR6 (VAR17), .VAR103 (VAR83), .VAR48 (VAR13), .VAR84 (VAR40), .VAR42 (VAR96), .VAR71 (VAR51), .VAR3 (VAR80), .VAR44 (VAR27), .VAR81 (VAR63), .VAR85 (VAR26), .VAR50 (VAR74), .VAR12 (VAR61), .VAR67 (VAR25), .VAR68 (VAR23), .VAR47 (VAR98), .VAR2 (VAR1), .VAR34 (VAR92), .VAR39 (1'b0), .VAR5 (1'b0), .VAR66 (1'b0), .VAR10 (1'b0), .VAR79 (), .VAR57 (1'b1), .VAR55 (2'b00), .VAR9 (), .VAR36 (), .VAR77 (1'b0), .VAR29 (1'b0), .VAR46 () ); VAR101 #( .VAR69 (4), .VAR52 (64), .VAR90 (64), .VAR53 (1), .VAR41 (8), .VAR60 (8), .VAR58 (30), .VAR21 (4), .VAR102 (0), .VAR65 (1), .VAR78 (1), .VAR28 (0), .VAR30 (0), .VAR64 (0), .VAR70 (8), .VAR18 (0), .VAR89 (0), .VAR14 (0), .VAR32 (0), .VAR45 (0), .VAR37 (0), .VAR35 (1), .VAR7 (0), .VAR82 (0), .VAR56 (0) ) VAR99 ( .clk (VAR54), .reset (VAR91), .VAR100 (VAR49), .VAR19 (VAR4), .VAR93 (VAR15), .VAR73 (VAR97), .VAR6 (VAR17), .VAR103 (VAR83), .VAR48 (VAR13), .VAR84 (VAR40), .VAR42 (VAR96), .VAR71 (VAR51), .VAR3 (VAR80), .VAR44 (VAR88), .VAR47 (VAR59), .VAR12 (VAR22), .VAR67 (VAR72), .VAR2 (VAR95), .VAR50 (VAR94), .VAR68 (VAR11), .VAR81 (VAR43), .VAR5 (), .VAR39 (), .VAR85 (), .VAR38 (), .VAR10 (), .VAR66 (), .VAR57 (), .VAR79 (1'b0), .VAR34 (), .VAR8 (), .VAR55 (), .VAR9 (2'b00), .VAR36 (1'b0), .VAR77 (), .VAR29 (), .VAR46 (1'b0) ); endmodule
mit
freecores/logicprobe
src/fpga/LogicProbe.v
6,429
module MODULE2(VAR1, reset, VAR20, VAR31, VAR3, VAR8); input VAR1; input reset; input VAR20; input VAR31; input [127:0] VAR3; output VAR8; wire VAR16; reg [12:0] VAR23; wire [7:0] VAR25; reg write; wire ready; reg VAR21; reg state; MODULE3 MODULE3(VAR1, reset, VAR20, VAR31, VAR3, VAR16, VAR23, VAR25); MODULE4 MODULE2(VAR1, reset, write, ready, VAR25, VAR8); always @(posedge VAR1) begin if (reset == 1) begin VAR23 <= 13'd0; write <= 0; VAR21 <= 0; state <= 0; end else begin if (VAR16 == 1 && VAR21 == 0) begin if (state == 0) begin if (ready == 1) begin state <= 1; write <= 1; end end else begin if (VAR23 == 13'd8191) begin VAR21 <= 1; end state <= 0; write <= 0; VAR23 <= VAR23 + 1; end end end end endmodule module MODULE3(VAR1, reset, VAR20, VAR31, VAR15, VAR16, VAR23, VAR18); input VAR1; input reset; input VAR20; input VAR31; input [127:0] VAR15; output reg VAR16; input [12:0] VAR23; output reg [7:0] VAR18; reg [31:0] VAR9[0:511]; reg [31:0] VAR22[0:511]; reg [31:0] VAR5[0:511]; reg [31:0] VAR2[0:511]; reg [8:0] VAR4; wire [8:0] addr; reg [31:0] VAR30; reg [31:0] VAR6; reg [31:0] VAR10; reg [31:0] VAR28; reg [3:0] VAR7; reg VAR27; assign addr = (VAR16 == 0) ? VAR4: VAR23[12:4]; always @(posedge VAR1) begin VAR7 <= VAR23[3:0]; end always @(*) begin case (VAR7) 4'h0: VAR18 = VAR30[31:24]; 4'h1: VAR18 = VAR30[23:16]; 4'h2: VAR18 = VAR30[15: 8]; 4'h3: VAR18 = VAR30[ 7: 0]; 4'h4: VAR18 = VAR6[31:24]; 4'h5: VAR18 = VAR6[23:16]; 4'h6: VAR18 = VAR6[15: 8]; 4'h7: VAR18 = VAR6[ 7: 0]; 4'h8: VAR18 = VAR10[31:24]; 4'h9: VAR18 = VAR10[23:16]; 4'hA: VAR18 = VAR10[15: 8]; 4'hB: VAR18 = VAR10[ 7: 0]; 4'hC: VAR18 = VAR28[31:24]; 4'hD: VAR18 = VAR28[23:16]; 4'hE: VAR18 = VAR28[15: 8]; 4'hF: VAR18 = VAR28[ 7: 0]; endcase end always @(posedge VAR1) begin if (VAR16 == 0) begin VAR9[addr] <= VAR15[127:96]; VAR22[addr] <= VAR15[ 95:64]; VAR5[addr] <= VAR15[ 63:32]; VAR2[addr] <= VAR15[ 31: 0]; end VAR30 <= VAR9[addr]; VAR6 <= VAR22[addr]; VAR10 <= VAR5[addr]; VAR28 <= VAR2[addr]; end always @(posedge VAR1) begin if (reset == 1) begin VAR4 <= 9'd0; VAR27 <= 0; VAR16 <= 0; end else begin if (VAR27 == 1) begin if (VAR31 == 1) begin if (VAR4 == 9'd511) begin VAR16 <= 1; end else begin VAR4 <= VAR4 + 1; end end end else begin if (VAR20 == 1) begin VAR27 <= 1; if (VAR31 == 1) begin VAR4 <= VAR4 + 1; end end end end end endmodule module MODULE4(VAR1, reset, write, ready, VAR15, VAR8); input VAR1; input reset; input write; output reg ready; input [7:0] VAR15; output VAR8; reg [1:0] state; reg [7:0] VAR13; reg VAR29; wire VAR19; MODULE1 MODULE1(VAR1, reset, VAR29, VAR19, VAR13, VAR8); always @(posedge VAR1) begin if (reset == 1) begin state <= 2'b00; ready <= 1; VAR29 <= 0; end else begin case (state) 2'b00: begin if (write == 1) begin state <= 2'b01; VAR13 <= VAR15; ready <= 0; VAR29 <= 1; end end 2'b01: begin state <= 2'b10; ready <= 1; VAR29 <= 0; end 2'b10: begin if (VAR19 == 1 && write == 0) begin state <= 2'b00; ready <= 1; VAR29 <= 0; end else if (VAR19 == 1 && write == 1) begin state <= 2'b01; VAR13 <= VAR15; ready <= 0; VAR29 <= 1; end else if (VAR19 == 0 && write == 1) begin state <= 2'b11; VAR13 <= VAR15; ready <= 0; VAR29 <= 0; end end 2'b11: begin if (VAR19 == 1) begin state <= 2'b01; ready <= 0; VAR29 <= 1; end end endcase end end endmodule module MODULE1(VAR1, reset, VAR29, VAR19, VAR24, VAR8); input VAR1; input reset; input VAR29; output reg VAR19; input [7:0] VAR24; output VAR8; reg [3:0] state; reg [8:0] VAR17; reg [10:0] VAR14; assign VAR8 = VAR17[0]; always @(posedge VAR1) begin if (reset == 1) begin state <= 4'h0; VAR17 <= 9'b111111111; VAR19 <= 1; end else begin if (state == 4'h0) begin if (VAR29 == 1) begin state <= 4'h1; VAR17 <= { VAR24, 1'b0 }; VAR14 <= 1302; VAR19 <= 0; end end else if (state == 4'hb) begin state <= 4'h0; VAR19 <= 1; end else begin if (VAR14 == 0) begin state <= state + 1; VAR17[8:0] <= { 1'b1, VAR17[8:1] }; VAR14 <= 1302; end else begin VAR14 <= VAR14 - 1; end end end end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21bo/sky130_fd_sc_hdll__a21bo_2.v
2,334
module MODULE1 ( VAR3 , VAR7 , VAR8 , VAR1, VAR6, VAR2, VAR9 , VAR4 ); output VAR3 ; input VAR7 ; input VAR8 ; input VAR1; input VAR6; input VAR2; input VAR9 ; input VAR4 ; VAR10 VAR5 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR1(VAR1), .VAR6(VAR6), .VAR2(VAR2), .VAR9(VAR9), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR3 , VAR7 , VAR8 , VAR1 ); output VAR3 ; input VAR7 ; input VAR8 ; input VAR1; supply1 VAR6; supply0 VAR2; supply1 VAR9 ; supply0 VAR4 ; VAR10 VAR5 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR1(VAR1) ); endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_sgmii/altera_tse_rgmii_in1.v
5,071
module MODULE1 ( VAR7, VAR22, VAR4, VAR18, VAR17); input VAR7; input VAR22; input VAR4; output VAR18; output VAR17; wire [0:0] VAR8; wire [0:0] VAR19; wire [0:0] VAR2 = VAR8[0:0]; wire VAR18 = VAR2; wire [0:0] VAR14 = VAR19[0:0]; wire VAR17 = VAR14; wire VAR10 = VAR22; wire VAR5 = VAR10; VAR9 VAR16 ( .VAR22 (VAR5), .VAR4 (VAR4), .VAR7 (VAR7), .VAR18 (VAR8), .VAR17 (VAR19), .VAR11 (1'b0), .VAR13 (1'b1)); VAR16.VAR12 = "VAR6 VAR21", VAR16.VAR20 = "VAR1", VAR16.VAR15 = "VAR9", VAR16.VAR3 = 1; endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/pipeline/fifo/ram_352_64.v
11,235
module MODULE1 ( VAR35, VAR9, VAR31, VAR42, VAR54, VAR60, VAR58, VAR50, VAR11, VAR1, VAR33); input [5:0] VAR35; input [5:0] VAR9; input VAR31; input [351:0] VAR42; input [351:0] VAR54; input VAR60; input VAR58; input VAR50; input VAR11; output [351:0] VAR1; output [351:0] VAR33; tri1 VAR31; tri1 VAR60; tri1 VAR58; tri0 VAR50; tri0 VAR11; wire [351:0] VAR46; wire [351:0] VAR14; wire [351:0] VAR1 = VAR46[351:0]; wire [351:0] VAR33 = VAR14[351:0]; VAR34 VAR28 ( .VAR18 (VAR31), .VAR50 (VAR50), .VAR9 (VAR9), .VAR54 (VAR54), .VAR60 (VAR60), .VAR11 (VAR11), .VAR35 (VAR35), .VAR42 (VAR42), .VAR58 (VAR58), .VAR1 (VAR46), .VAR33 (VAR14), .VAR23 (1'b0), .VAR26 (1'b0), .VAR51 (1'b0), .VAR57 (1'b0), .VAR7 (1'b1), .VAR41 (1'b1), .VAR48 (1'b1), .VAR17 (1'b1), .VAR64 (1'b1), .VAR55 (1'b1), .VAR62 (1'b1), .VAR61 ()); VAR28.VAR16 = "VAR3", VAR28.VAR12 = "VAR40", VAR28.VAR56 = "VAR40", VAR28.VAR47 = "VAR40", VAR28.VAR36 = "VAR40", VAR28.VAR45 = "VAR3", VAR28.VAR44 = "VAR43 VAR37 VAR38", VAR28.VAR19 = "VAR34", VAR28.VAR10 = 64, VAR28.VAR29 = 64, VAR28.VAR15 = "VAR49", VAR28.VAR5 = "VAR21", VAR28.VAR59 = "VAR21", VAR28.VAR52 = "VAR3", VAR28.VAR8 = "VAR3", VAR28.VAR63 = "VAR4", VAR28.VAR2 = "VAR13", VAR28.VAR39 = "VAR27", VAR28.VAR20 = "VAR27", VAR28.VAR24 = 6, VAR28.VAR53 = 6, VAR28.VAR32 = 352, VAR28.VAR25 = 352, VAR28.VAR30 = 1, VAR28.VAR6 = 1, VAR28.VAR22 = "VAR3"; endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/acl_fp_custom_add_op.v
2,484
module MODULE1( VAR5, VAR19, VAR11, VAR7, VAR8, VAR15, VAR6, VAR9, VAR1, VAR12, VAR2, VAR20, VAR14, VAR17, enable); parameter VAR21 = 1; input VAR5, VAR19, VAR8, VAR15; input [26:0] VAR11; input [26:0] VAR7; input [8:0] VAR6; input VAR2, VAR14, enable; output reg [27:0] VAR9; output reg [8:0] VAR1; output reg VAR12; output reg VAR20; output VAR17; wire VAR16 = (VAR21==1) ? (~VAR20 | ~VAR14) : enable; wire VAR13 = VAR15 ^ VAR8; assign VAR17 = VAR20 & VAR14; wire [27:0] VAR10 = VAR11 + ({28{VAR13}} ^ VAR7) + VAR13; always@(posedge VAR5 or negedge VAR19) begin if (~VAR19) begin VAR9 <= 28'VAR3; VAR1 <= 9'VAR4; VAR12 <= 1'VAR18; VAR20 <= 1'b0; end else if (VAR16) begin VAR20 <= VAR2; VAR9 <= VAR10; VAR1 <= VAR6; VAR12 <= VAR8; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand2/sky130_fd_sc_hdll__nand2_1.v
2,113
module MODULE2 ( VAR1 , VAR7 , VAR5 , VAR9, VAR2, VAR6 , VAR3 ); output VAR1 ; input VAR7 ; input VAR5 ; input VAR9; input VAR2; input VAR6 ; input VAR3 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR1, VAR7, VAR5 ); output VAR1; input VAR7; input VAR5; supply1 VAR9; supply0 VAR2; supply1 VAR6 ; supply0 VAR3 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/xor3/sky130_fd_sc_hdll__xor3_2.v
2,215
module MODULE2 ( VAR7 , VAR4 , VAR5 , VAR2 , VAR9, VAR10, VAR1 , VAR8 ); output VAR7 ; input VAR4 ; input VAR5 ; input VAR2 ; input VAR9; input VAR10; input VAR1 ; input VAR8 ; VAR6 VAR3 ( .VAR7(VAR7), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR10(VAR10), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR7, VAR4, VAR5, VAR2 ); output VAR7; input VAR4; input VAR5; input VAR2; supply1 VAR9; supply0 VAR10; supply1 VAR1 ; supply0 VAR8 ; VAR6 VAR3 ( .VAR7(VAR7), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor2/sky130_fd_sc_lp__nor2.pp.symbol.v
1,263
module MODULE1 ( input VAR1 , input VAR4 , output VAR6 , input VAR5 , input VAR7, input VAR3, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlymetal6s2s/sky130_fd_sc_ls__dlymetal6s2s.behavioral.v
1,438
module MODULE1 ( VAR2, VAR6 ); output VAR2; input VAR6; supply1 VAR4; supply0 VAR9; supply1 VAR5 ; supply0 VAR3 ; wire VAR7; buf VAR1 (VAR7, VAR6 ); buf VAR8 (VAR2 , VAR7 ); endmodule
apache-2.0