repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/tap/sky130_fd_sc_hdll__tap.blackbox.v
1,216
module MODULE1 (); supply1 VAR1; supply0 VAR4; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/dma_queue/nf2_dma_que_intfc.v
15,263
module MODULE1 parameter VAR45 = 32, parameter VAR51=VAR45/8, parameter VAR30=64, parameter VAR29=32 ) ( output reg VAR68, input [VAR45-1:0] VAR41, input [VAR51-1:0] VAR24, output reg VAR50, input [VAR45-1:0] VAR31, input [VAR51-1:0] VAR33, output reg VAR61, input [VAR45-1:0] VAR76, input [VAR51-1:0] VAR52, output reg VAR73, input [VAR45-1:0] VAR71, input [VAR51-1:0] VAR28, input [VAR49-1:0] VAR5, output reg VAR16, output reg [VAR45-1:0] VAR46, output reg [VAR51-1:0] VAR20, output reg VAR55, output reg [VAR45-1:0] VAR64, output reg [VAR51-1:0] VAR6, output reg VAR58, output reg [VAR45-1:0] VAR14, output reg [VAR51-1:0] VAR15, output reg VAR38, output reg [VAR45-1:0] VAR2, output reg [VAR51-1:0] VAR54, input VAR23, input [VAR45 +3:0] VAR35, output reg VAR78, input VAR67, input VAR60, output reg VAR57, output reg [VAR45 +2:0] VAR53, input VAR70, input reset, input clk ); reg [3:0] VAR32, VAR11; reg [VAR45-1:0] VAR8; reg [VAR51-1:0] VAR37; reg VAR22, VAR18; reg [VAR45-1:0] VAR48; reg [VAR51-1:0] VAR12; reg VAR56; reg [VAR45-1:0] VAR25; reg [VAR51-1:0] VAR69; reg VAR7; reg [VAR45-1:0] VAR74; reg [VAR51-1:0] VAR62; reg VAR40; reg [VAR45-1:0] VAR36; reg [VAR51-1:0] VAR19; reg VAR26; reg [VAR45-1:0] VAR47; reg [VAR51-1:0] VAR42; reg [3:0] VAR4, VAR65; wire [3:0] VAR43 = ((VAR4+'VAR9 1)==(VAR30 / VAR45)) ? 'VAR9 0 : VAR4+'VAR9 1; reg [2:0] state, VAR63; parameter VAR13 = 3'VAR9 0, VAR10 = 3'VAR9 1, VAR34 = 3'VAR9 2, VAR77 = 3'VAR9 3, VAR75 = 3'VAR9 4; always @(*) begin VAR63 = state; VAR11 = VAR32; VAR18 = 1'VAR66 0; VAR65 = VAR4; VAR78 = 1'VAR66 0; VAR37 = 'VAR9 0; VAR8 = 'VAR9 0; VAR56 = 1'VAR66 0; VAR25 = 'VAR9 0; VAR69 = 'VAR9 0; VAR7 = 1'VAR66 0; VAR74 = 'VAR9 0; VAR62 = 'VAR9 0; VAR40 = 1'VAR66 0; VAR36 = 'VAR9 0; VAR19 = 'VAR9 0; VAR26 = 1'VAR66 0; VAR47 = 'VAR9 0; VAR42 = 'VAR9 0; VAR68 = 1'VAR66 0; VAR50 = 1'VAR66 0; VAR61 = 1'VAR66 0; VAR73 = 1'VAR66 0; VAR48 = 'VAR9 0; VAR12 = 'VAR9 0; VAR57 = 1'VAR66 0; VAR53 = 'VAR9 0; case (state) VAR13: if (VAR70) begin if (! VAR23) begin VAR78 = 1'VAR66 1; case (VAR35[VAR45 +3]) 1'VAR66 0: begin if (VAR35[VAR45 +3]) begin end end 1'VAR66 1: begin VAR65 = 'VAR9 0; VAR11 = VAR35; case (VAR35[VAR45 +2]) 1'VAR66 0: begin VAR63 = VAR10; end 1'VAR66 1: begin VAR63 = VAR77; end endcase end endcase end end VAR10: if (! VAR23) begin case (VAR35[VAR45 +2]) 1'VAR66 0: VAR37 = 'VAR66 0; 1'VAR66 1: begin case (VAR35[VAR45 +1:VAR45]) 2'VAR66 00: VAR37 = 'VAR66 1000; 2'VAR66 01: VAR37 = 'VAR66 0001; 2'VAR66 10: VAR37 = 'VAR66 0010; 2'VAR66 11: VAR37 = 'VAR66 0100; endcase end endcase VAR8 = VAR35[VAR45 -1:0]; case (VAR32) 4'VAR9 0: if (! VAR5[0]) begin VAR78 = 1'VAR66 1; VAR56 = 1'VAR66 1; VAR25 = VAR8; VAR69 = VAR37; VAR65 = VAR43; if (| VAR37) begin if (VAR65 != 'VAR9 0) VAR63 = VAR34; end else VAR63 = VAR13; end end 4'VAR9 1: if (! VAR5[1]) begin VAR78 = 1'VAR66 1; VAR7 = 1'VAR66 1; VAR74 = VAR8; VAR62 = VAR37; VAR65 = VAR43; if (| VAR37) begin if (VAR65 != 'VAR9 0) VAR63 = VAR34; end else VAR63 = VAR13; end end 4'VAR9 2: if (! VAR5[2]) begin VAR78 = 1'VAR66 1; VAR40 = 1'VAR66 1; VAR36 = VAR8; VAR19 = VAR37; VAR65 = VAR43; if (| VAR37) begin if (VAR65 != 'VAR9 0) VAR63 = VAR34; end else VAR63 = VAR13; end end 4'VAR9 3: if (! VAR5[3]) begin VAR78 = 1'VAR66 1; VAR26 = 1'VAR66 1; VAR47 = VAR8; VAR42 = VAR37; VAR65 = VAR43; if (| VAR37) begin if (VAR65 != 'VAR9 0) VAR63 = VAR34; end else VAR63 = VAR13; end end default: begin VAR78 = 1'VAR66 1; if (| VAR37) VAR63 = VAR13; end endcase end VAR34: begin case (VAR32) 4'VAR9 0: if (! VAR5[0]) begin VAR56 = 1'VAR66 1; VAR65 = VAR43; if (VAR65 == 'VAR9 0) VAR63 = VAR13; end 4'VAR9 1: if (! VAR5[1]) begin VAR7 = 1'VAR66 1; VAR65 = VAR43; if (VAR65 == 'VAR9 0) VAR63 = VAR13; end 4'VAR9 2: if (! VAR5[2]) begin VAR40 = 1'VAR66 1; VAR65 = VAR43; if (VAR65 == 'VAR9 0) VAR63 = VAR13; end 4'VAR9 3: if (! VAR5[3]) begin VAR26 = 1'VAR66 1; VAR65 = VAR43; if (VAR65 == 'VAR9 0) VAR63 = VAR13; end endcase end VAR77: begin if (!VAR60) begin case (VAR32) 4'VAR9 0: VAR68 = 1'VAR66 1; 4'VAR9 1: VAR50 = 1'VAR66 1; 4'VAR9 2: VAR61 = 1'VAR66 1; 4'VAR9 3: VAR73 = 1'VAR66 1; endcase VAR65 = VAR43; case (VAR32) 4'VAR9 0: begin VAR48 = VAR41; VAR12 = VAR24; end 4'VAR9 1: begin VAR48 = VAR31; VAR12 = VAR33; end 4'VAR9 2: begin VAR48 = VAR76; VAR12 = VAR52; end 4'VAR9 3: begin VAR48 = VAR71; VAR12 = VAR28; end endcase VAR57 = 1'VAR66 1; VAR53[VAR45 -1:0] = VAR48; if (VAR12 == 'VAR9 0) begin VAR53[VAR45 +2]=1'VAR66 0; VAR53[VAR45 +1:VAR45]=2'VAR66 0; end else begin VAR53[VAR45 +2]=1'VAR66 1; case (VAR12) 'VAR66 0001: VAR53[VAR45 +1:VAR45]=2'VAR9 1; 'VAR66 0010: VAR53[VAR45 +1:VAR45]=2'VAR9 2; 'VAR66 0100: VAR53[VAR45 +1:VAR45]=2'VAR9 3; 'VAR66 1000: VAR53[VAR45 +1:VAR45]=2'VAR9 0; default: VAR53[VAR45 +1:VAR45]=2'VAR9 0; endcase if (VAR65 != 'VAR9 0) VAR63 = VAR75; end else VAR63 = VAR13; end end end VAR75: begin case (VAR32) 4'VAR9 0: VAR68 = 1'VAR66 1; 4'VAR9 1: VAR50 = 1'VAR66 1; 4'VAR9 2: VAR61 = 1'VAR66 1; 4'VAR9 3: VAR73 = 1'VAR66 1; endcase VAR65 = VAR43; if (VAR65 == 'VAR9 0) VAR63 = VAR13; end endcase end parameter VAR3 = 'VAR9 0, VAR72 = 'VAR9 1; reg VAR44, VAR17; reg [3:0] VAR39, VAR27; reg [3:0] VAR21, VAR1; wire [3:0] VAR59 = ((VAR39+'VAR9 1)==(VAR30/VAR45)) ? 'VAR9 0 : VAR39 + 'VAR9 1; always @(posedge clk) begin if (reset) begin state <= VAR13; VAR32 <= 'VAR9 0; VAR22 <= 'VAR9 0; VAR4 <= 'VAR9 0; VAR44 <= VAR3; VAR39 <= 'VAR9 0; VAR21 <= 'VAR9 0; VAR16 <= 1'VAR66 0; VAR46 <= 'VAR9 0; VAR20 <= 'VAR9 0; VAR55 <= 1'VAR66 0; VAR64 <= 'VAR9 0; VAR6 <= 'VAR9 0; VAR58 <= 1'VAR66 0; VAR14 <= 'VAR9 0; VAR15 <= 'VAR9 0; VAR38 <= 1'VAR66 0; VAR2 <= 'VAR9 0; VAR54 <= 'VAR9 0; end else begin state <= VAR63; VAR32 <= VAR11; VAR22 <= VAR18; VAR4 <= VAR65; VAR44 <= VAR17; VAR39 <= VAR27; VAR21 <= VAR1; VAR16 <= VAR56; VAR46 <= VAR25; VAR20 <= VAR69; VAR55 <= VAR7; VAR64 <= VAR74; VAR6 <= VAR62; VAR58 <= VAR40; VAR14 <= VAR36; VAR15 <= VAR19; VAR38 <= VAR26; VAR2 <= VAR47; VAR54 <= VAR42; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o21ba/sky130_fd_sc_ls__o21ba.pp.symbol.v
1,383
module MODULE1 ( input VAR5 , input VAR7 , input VAR3, output VAR1 , input VAR4 , input VAR8, input VAR6, input VAR2 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/dram_dq_edgelogic.v
9,843
module MODULE1( VAR50, VAR106, VAR66, VAR48, VAR40, VAR4, clk, VAR76, VAR68, VAR51, VAR114, VAR78, VAR85, VAR99, VAR84, VAR81, VAR104, VAR42, VAR17, VAR43, VAR58, VAR103, VAR47, VAR21, VAR2 ); input clk; input VAR76; input VAR68; input VAR51; input VAR114; input VAR78; input VAR85; input VAR99; input [1:0] VAR84; input [1:0] VAR81; input VAR104; input VAR42; input VAR17; input VAR43; input VAR58; input VAR103; input VAR47; input VAR21; input VAR2; output VAR50; output VAR106; output VAR66; output VAR48; output VAR40; output VAR4; wire [0:0] VAR3; wire [0:0] VAR33; wire [0:0] VAR15; wire [0:0] VAR8; wire VAR71; wire VAR12; wire VAR63; wire VAR100; wire VAR16; wire [1:0] VAR38; wire [1:0] VAR14; wire VAR28; wire VAR101 = VAR103 ? ~clk : clk; VAR46 #(1) VAR35( .din(VAR17), .VAR83(VAR28), .clk(clk), .VAR11(VAR114), .VAR62(VAR56), .VAR10(VAR51)); VAR46 #(1) VAR82( .din(VAR42), .VAR83(VAR94), .clk(clk), .VAR11(VAR73), .VAR62(VAR67), .VAR10(VAR51)); VAR46 #(1) VAR57( .din(VAR94), .VAR83(VAR55), .clk(VAR101), .VAR11(VAR56), .VAR62(VAR73), .VAR10(VAR51)); VAR46 #(1) VAR1( .din(VAR42), .VAR83(VAR18), .clk(VAR101), .VAR11(VAR72), .VAR62(VAR27), .VAR10(VAR51)); VAR46 #(1) VAR59( .din(VAR18), .VAR83(VAR96), .clk(clk), .VAR11(VAR67), .VAR62(VAR72), .VAR10(VAR51)); wire VAR65 = VAR94 | VAR55; wire VAR109 = VAR18 | VAR96; wire VAR5 = VAR28 ? VAR109 : VAR65; assign VAR16 = VAR5 | (VAR14[0] == 1'b1); VAR46 #(1) VAR75( .din(VAR85), .VAR83(VAR74), .clk(VAR101), .VAR11(VAR27), .VAR62(VAR105), .VAR10(VAR51)); VAR46 #(1) VAR44( .din(VAR99), .VAR83(VAR88), .clk(clk), .VAR11(VAR91), .VAR62(VAR80), .VAR10(VAR51)); wire VAR30 = VAR78 ? VAR88 : VAR74; VAR46 #(1) VAR110( .din(VAR104), .VAR83(VAR34), .clk(clk), .VAR11(VAR80), .VAR62(VAR45), .VAR10(VAR51)); VAR46 #(1) VAR13( .din(VAR34), .VAR83(VAR107), .clk(VAR101), .VAR11(VAR105), .VAR62(VAR91), .VAR10(VAR51)); wire VAR93 = (VAR107 & VAR34); assign VAR38[1:0] = VAR43 ? ( VAR16 ? VAR14 + 1'b1 : VAR14[1:0]) : (VAR5 | ~(VAR14[1:0] == 2'b00)) ? VAR14 + 1'b1 : VAR14; VAR116 #(1) VAR111( .din(VAR38[0]), .VAR83(VAR14[0]), .VAR76(VAR68 & (VAR76 | ~VAR103)), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR7), .VAR62(VAR24)); VAR116 #(1) VAR54( .din(VAR38[1]), .VAR83(VAR14[1]), .VAR76(VAR68 & (VAR76 | ~VAR103)), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR24), .VAR62(VAR32)); assign VAR3[0] = VAR14 == 0; assign VAR33[0] = VAR14 == 1; assign VAR15[0] = VAR14 == 2; assign VAR8[0] = VAR14 == 3; VAR98 #(1) VAR41( .din(VAR58), .VAR83(VAR70), .en(VAR3[0]), .clk(VAR78), .VAR10(VAR51), .VAR11(VAR97), .VAR62(VAR102)); VAR98 #(1) VAR87( .din(VAR58), .VAR83(VAR6), .en(VAR33[0]), .clk(VAR78), .VAR10(VAR51), .VAR11(VAR102), .VAR62(VAR108)); VAR98 #(1) VAR39( .din(VAR58), .VAR83(VAR61), .en(VAR15[0]), .clk(VAR78), .VAR10(VAR51), .VAR11(VAR108), .VAR62(VAR77)); VAR98 #(1) VAR53( .din(VAR58), .VAR83(VAR92), .en(VAR8[0]), .clk(VAR78), .VAR10(VAR51), .VAR11(VAR77), .VAR62(VAR64)); VAR98 #(1) VAR115( .din(VAR58), .VAR83(VAR90), .en(VAR3[0]), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR32), .VAR62(VAR95)); VAR98 #(1) VAR79( .din(VAR58), .VAR83(VAR89), .en(VAR33[0]), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR95), .VAR62(VAR86)); VAR98 #(1) VAR26( .din(VAR58), .VAR83(VAR25), .en(VAR15[0]), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR86), .VAR62(VAR22)); VAR98 #(1) VAR112( .din(VAR58), .VAR83(VAR31), .en(VAR8[0]), .clk(~VAR78), .VAR10(VAR51), .VAR11(VAR22), .VAR62(VAR49)); assign VAR71 = VAR84[1:0] == 3 ? VAR61 : VAR84[1:0] == 2 ? VAR6 : VAR84[1:0] == 1 ? VAR70 : VAR92; assign VAR12 = VAR81[1:0] == 3 ? VAR25 : VAR81[1:0] == 2 ? VAR89 : VAR81[1:0] == 1 ? VAR90 : VAR31; VAR46 #(1) VAR36( .din(VAR71), .VAR83(VAR50), .clk(clk), .VAR10(VAR51), .VAR11(VAR45), .VAR62(VAR69)); VAR46 #(1) VAR19( .din(VAR12), .VAR83(VAR106), .clk(VAR101), .VAR10(VAR51), .VAR11(VAR69), .VAR62(VAR113)); assign VAR40 = VAR47 ? VAR21 : VAR93; assign VAR48 = VAR47 ? VAR2 : VAR30; assign VAR4 = VAR47 & VAR58; VAR60 VAR9( .VAR62(VAR97), .VAR52(VAR113), .VAR20(VAR101), .VAR10(VAR51)); VAR60 VAR23( .VAR62(VAR7), .VAR52(VAR64), .VAR20(VAR78), .VAR10(VAR51)); VAR29 #(1) VAR37( .din(VAR49), .VAR83(VAR66), .clk(clk)); endmodule
gpl-2.0
jhennessy/parallella-hw-old
fpga/hdl/elink/ewrapper_io_tx_slow.v
7,501
module MODULE1 ( VAR4, VAR37, VAR15, VAR33, VAR63, VAR48, VAR61, VAR41, VAR69, VAR59, VAR55 ); input VAR63; input VAR48; input VAR61; input VAR41; input VAR69; input VAR59; input [71:0] VAR55; output [8:0] VAR4; output [8:0] VAR37; output VAR15; output VAR33; reg [1:0] VAR2; reg VAR32; reg VAR47; reg [8:0] VAR18; reg [8:0] VAR68; reg [71:0] VAR14; reg VAR58; reg [3:0] VAR9; wire VAR19; wire VAR64; wire VAR57; wire reset; wire [8:0] VAR16; wire [8:0] VAR5; wire [8:0] VAR50; wire [8:0] VAR44; wire [8:0] VAR39; wire [8:0] VAR25; wire [8:0] VAR26; wire [8:0] VAR70; wire [8:0] VAR54; wire [8:0] VAR8; wire [71:0] VAR7; wire [8:0] VAR35; wire VAR1; wire [8:0] VAR4; wire [8:0] VAR37; wire VAR15; wire VAR33; wire VAR42 = 1'b0; wire VAR42 = 1'b1; assign reset = VAR69; assign VAR7[71:0] = VAR55[71:0]; assign VAR19 = VAR63; assign VAR64 = VAR48; assign VAR57 = VAR61; always @ (posedge VAR19) if(VAR58) VAR14 <= VAR42 ? ~VAR7 : VAR7; VAR29 #(.VAR49 (VAR40)) VAR20 [8:0] (.VAR53 (VAR4), .VAR34 (VAR37), .VAR17 (VAR35), .VAR22 ({1'b0, {8{VAR59}}})); VAR13 #(.VAR49 (VAR40)) VAR10 (.VAR53 (VAR15), .VAR34 (VAR33), .VAR17 (VAR1)); VAR30 #( .VAR38 ("VAR60"), .VAR51 (1'b0), .VAR28 ("VAR6")) VAR46 [8:0] ( .VAR62 (VAR35), .VAR56 (VAR19), .VAR66 (1'b1), .VAR23 (VAR18), .VAR67 (VAR68), .VAR21 (reset), .VAR36 (1'b0)); VAR30 #( .VAR38 ("VAR60"), .VAR51 (1'b0), .VAR28 ("VAR6")) VAR12 ( .VAR62 (VAR1), .VAR56 (VAR64), .VAR66 (1'b1), .VAR23 (~VAR42 & ~VAR59), .VAR67 (VAR42 & ~VAR59), .VAR21 (VAR41), .VAR36 (1'b0)); always @ (posedge VAR19) begin VAR18[8:0] <= VAR16[8:0]; VAR68[8:0] <= VAR25[8:0]; end VAR65 #(18) VAR65 ( .out ({VAR16[8:0],VAR25[8:0]}), .VAR43 ({VAR5[8:0],VAR26[8:0]}), .VAR11 (VAR9[0]), .VAR3 ({VAR50[8:0],VAR70[8:0]}), .VAR45 (VAR9[1]), .VAR24 ({VAR44[8:0],VAR54[8:0]}), .VAR31 (VAR9[2]), .VAR52 ({VAR39[8:0],VAR8[8:0]}), .VAR27 (VAR9[3])); always @ (posedge VAR19) begin VAR58 <= VAR32 & VAR47; VAR9[0] <= VAR58; VAR9[3:1] <= VAR9[2:0]; end always @ (negedge VAR19) begin VAR32 <= VAR57; VAR47 <= VAR32; end assign VAR5[8:0] ={VAR14[71],VAR14[63],VAR14[55], VAR14[47],VAR14[39],VAR14[31], VAR14[23],VAR14[15],VAR14[7]}; assign VAR26[8:0] ={VAR14[70],VAR14[62],VAR14[54], VAR14[46],VAR14[38],VAR14[30], VAR14[22],VAR14[14],VAR14[6]}; assign VAR50[8:0] ={VAR14[69],VAR14[61],VAR14[53], VAR14[45],VAR14[37],VAR14[29], VAR14[21],VAR14[13],VAR14[5]}; assign VAR70[8:0] ={VAR14[68],VAR14[60],VAR14[52], VAR14[44],VAR14[36],VAR14[28], VAR14[20],VAR14[12],VAR14[4]}; assign VAR44[8:0] ={VAR14[67],VAR14[59],VAR14[51], VAR14[43],VAR14[35],VAR14[27], VAR14[19],VAR14[11],VAR14[3]}; assign VAR54[8:0] ={VAR14[66],VAR14[58],VAR14[50], VAR14[42],VAR14[34],VAR14[26], VAR14[18],VAR14[10],VAR14[2]}; assign VAR39[8:0] ={VAR14[65],VAR14[57],VAR14[49], VAR14[41],VAR14[33],VAR14[25], VAR14[17],VAR14[9], VAR14[1]}; assign VAR8[8:0] ={VAR14[64],VAR14[56],VAR14[48], VAR14[40],VAR14[32],VAR14[24], VAR14[16],VAR14[8], VAR14[0]}; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor4/sky130_fd_sc_ls__nor4.pp.symbol.v
1,330
module MODULE1 ( input VAR7 , input VAR2 , input VAR5 , input VAR1 , output VAR3 , input VAR8 , input VAR6, input VAR9, input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32ai/sky130_fd_sc_lp__o32ai.blackbox.v
1,392
module MODULE1 ( VAR7 , VAR4, VAR6, VAR5, VAR8, VAR1 ); output VAR7 ; input VAR4; input VAR6; input VAR5; input VAR8; input VAR1; supply1 VAR9; supply0 VAR10; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/srsdfrtn/sky130_fd_sc_lp__srsdfrtn.blackbox.v
1,518
module MODULE1 ( VAR1 , VAR11 , VAR4 , VAR8 , VAR9 , VAR2, VAR12 ); output VAR1 ; input VAR11 ; input VAR4 ; input VAR8 ; input VAR9 ; input VAR2; input VAR12; supply1 VAR7; supply1 VAR5 ; supply0 VAR10 ; supply1 VAR3 ; supply0 VAR6 ; endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/acl_fp_custom_mul_hc_dbl_pumped.v
6,176
module MODULE1 ( input logic VAR1, input logic VAR14, input logic VAR13, input logic VAR8, input logic VAR2, output logic VAR3, output logic VAR15, input logic [VAR7-1:0] VAR5, input logic [VAR7-1:0] b1, input logic [VAR7-1:0] VAR11, input logic [VAR7-1:0] VAR10, output logic [VAR7-1:0] VAR12, output logic [VAR7-1:0] VAR6 ); localparam VAR4 = 6; logic VAR9 ; begin begin begin begin begin begin begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or4/sky130_fd_sc_hdll__or4.pp.symbol.v
1,299
module MODULE1 ( input VAR7 , input VAR6 , input VAR1 , input VAR2 , output VAR3 , input VAR5 , input VAR9, input VAR8, input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21oi/sky130_fd_sc_lp__a21oi.pp.symbol.v
1,352
module MODULE1 ( input VAR6 , input VAR3 , input VAR2 , output VAR4 , input VAR7 , input VAR1, input VAR8, input VAR5 ); endmodule
apache-2.0
osrf/wandrr
firmware/motor_controller/fpga/sine_table_11bit.v
70,734
module MODULE1 (input VAR3, input [10:0] VAR1, output reg [31:0] VAR2); always @(posedge VAR3) begin case (VAR1) 11'd0: VAR2 = 32'h0; 11'd1: VAR2 = 32'h3e9d1452; 11'd2: VAR2 = 32'h3f1d1422; 11'd3: VAR2 = 32'h3f6b9dba; 11'd4: VAR2 = 32'h3f9d1360; 11'd5: VAR2 = 32'h3fc45783; 11'd6: VAR2 = 32'h3feb9b2c; 11'd7: VAR2 = 32'h40096f22; 11'd8: VAR2 = 32'h401d1059; 11'd9: VAR2 = 32'h4030b12f; 11'd10: VAR2 = 32'h40445199; 11'd11: VAR2 = 32'h4057f189; 11'd12: VAR2 = 32'h406b90f4; 11'd13: VAR2 = 32'h407f2fce; 11'd14: VAR2 = 32'h40896705; 11'd15: VAR2 = 32'h409335ce; 11'd16: VAR2 = 32'h409d043d; 11'd17: VAR2 = 32'h40a6d24b; 11'd18: VAR2 = 32'h40b09ff2; 11'd19: VAR2 = 32'h40ba6d2b; 11'd20: VAR2 = 32'h40c439f2; 11'd21: VAR2 = 32'h40ce0640; 11'd22: VAR2 = 32'h40d7d20f; 11'd23: VAR2 = 32'h40e19d58; 11'd24: VAR2 = 32'h40eb6817; 11'd25: VAR2 = 32'h40f53244; 11'd26: VAR2 = 32'h40fefbda; 11'd27: VAR2 = 32'h41046269; 11'd28: VAR2 = 32'h41094694; 11'd29: VAR2 = 32'h410e2a6a; 11'd30: VAR2 = 32'h41130de8; 11'd31: VAR2 = 32'h4117f10c; 11'd32: VAR2 = 32'h411cd3d2; 11'd33: VAR2 = 32'h4121b637; 11'd34: VAR2 = 32'h41269838; 11'd35: VAR2 = 32'h412b79d3; 11'd36: VAR2 = 32'h41305b04; 11'd37: VAR2 = 32'h41353bc8; 11'd38: VAR2 = 32'h413a1c1c; 11'd39: VAR2 = 32'h413efbfe; 11'd40: VAR2 = 32'h4143db69; 11'd41: VAR2 = 32'h4148ba5c; 11'd42: VAR2 = 32'h414d98d3; 11'd43: VAR2 = 32'h415276cb; 11'd44: VAR2 = 32'h41575442; 11'd45: VAR2 = 32'h415c3133; 11'd46: VAR2 = 32'h41610d9d; 11'd47: VAR2 = 32'h4165e97c; 11'd48: VAR2 = 32'h416ac4cd; 11'd49: VAR2 = 32'h416f9f8d; 11'd50: VAR2 = 32'h417479ba; 11'd51: VAR2 = 32'h41795350; 11'd52: VAR2 = 32'h417e2c4b; 11'd53: VAR2 = 32'h41818255; 11'd54: VAR2 = 32'h4183ee35; 11'd55: VAR2 = 32'h418659c3; 11'd56: VAR2 = 32'h4188c4ff; 11'd57: VAR2 = 32'h418b2fe6; 11'd58: VAR2 = 32'h418d9a77; 11'd59: VAR2 = 32'h419004b1; 11'd60: VAR2 = 32'h41926e92; 11'd61: VAR2 = 32'h4194d818; 11'd62: VAR2 = 32'h41974143; 11'd63: VAR2 = 32'h4199aa11; 11'd64: VAR2 = 32'h419c127f; 11'd65: VAR2 = 32'h419e7a8e; 11'd66: VAR2 = 32'h41a0e23b; 11'd67: VAR2 = 32'h41a34984; 11'd68: VAR2 = 32'h41a5b069; 11'd69: VAR2 = 32'h41a816e7; 11'd70: VAR2 = 32'h41aa7cfe; 11'd71: VAR2 = 32'h41ace2ac; 11'd72: VAR2 = 32'h41af47ef; 11'd73: VAR2 = 32'h41b1acc6; 11'd74: VAR2 = 32'h41b4112f; 11'd75: VAR2 = 32'h41b67529; 11'd76: VAR2 = 32'h41b8d8b3; 11'd77: VAR2 = 32'h41bb3bcb; 11'd78: VAR2 = 32'h41bd9e6f; 11'd79: VAR2 = 32'h41c0009e; 11'd80: VAR2 = 32'h41c26257; 11'd81: VAR2 = 32'h41c4c398; 11'd82: VAR2 = 32'h41c7245f; 11'd83: VAR2 = 32'h41c984ac; 11'd84: VAR2 = 32'h41cbe47c; 11'd85: VAR2 = 32'h41ce43cf; 11'd86: VAR2 = 32'h41d0a2a2; 11'd87: VAR2 = 32'h41d300f5; 11'd88: VAR2 = 32'h41d55ec6; 11'd89: VAR2 = 32'h41d7bc12; 11'd90: VAR2 = 32'h41da18da; 11'd91: VAR2 = 32'h41dc751c; 11'd92: VAR2 = 32'h41ded0d5; 11'd93: VAR2 = 32'h41e12c05; 11'd94: VAR2 = 32'h41e386aa; 11'd95: VAR2 = 32'h41e5e0c2; 11'd96: VAR2 = 32'h41e83a4d; 11'd97: VAR2 = 32'h41ea9349; 11'd98: VAR2 = 32'h41ecebb3; 11'd99: VAR2 = 32'h41ef438c; 11'd100: VAR2 = 32'h41f19ad1; 11'd101: VAR2 = 32'h41f3f181; 11'd102: VAR2 = 32'h41f6479b; 11'd103: VAR2 = 32'h41f89d1d; 11'd104: VAR2 = 32'h41faf205; 11'd105: VAR2 = 32'h41fd4652; 11'd106: VAR2 = 32'h41ff9a04; 11'd107: VAR2 = 32'h4200f68c; 11'd108: VAR2 = 32'h42021fc6; 11'd109: VAR2 = 32'h420348b0; 11'd110: VAR2 = 32'h42047149; 11'd111: VAR2 = 32'h42059990; 11'd112: VAR2 = 32'h4206c185; 11'd113: VAR2 = 32'h4207e927; 11'd114: VAR2 = 32'h42091075; 11'd115: VAR2 = 32'h420a376e; 11'd116: VAR2 = 32'h420b5e13; 11'd117: VAR2 = 32'h420c8461; 11'd118: VAR2 = 32'h420daa58; 11'd119: VAR2 = 32'h420ecff8; 11'd120: VAR2 = 32'h420ff540; 11'd121: VAR2 = 32'h42111a30; 11'd122: VAR2 = 32'h42123ec5; 11'd123: VAR2 = 32'h42136301; 11'd124: VAR2 = 32'h421486e1; 11'd125: VAR2 = 32'h4215aa66; 11'd126: VAR2 = 32'h4216cd8f; 11'd127: VAR2 = 32'h4217f05b; 11'd128: VAR2 = 32'h421912c8; 11'd129: VAR2 = 32'h421a34d8; 11'd130: VAR2 = 32'h421b5688; 11'd131: VAR2 = 32'h421c77d9; 11'd132: VAR2 = 32'h421d98c9; 11'd133: VAR2 = 32'h421eb958; 11'd134: VAR2 = 32'h421fd984; 11'd135: VAR2 = 32'h4220f94f; 11'd136: VAR2 = 32'h422218b6; 11'd137: VAR2 = 32'h422337b9; 11'd138: VAR2 = 32'h42245657; 11'd139: VAR2 = 32'h42257490; 11'd140: VAR2 = 32'h42269263; 11'd141: VAR2 = 32'h4227afcf; 11'd142: VAR2 = 32'h4228ccd4; 11'd143: VAR2 = 32'h4229e970; 11'd144: VAR2 = 32'h422b05a4; 11'd145: VAR2 = 32'h422c216e; 11'd146: VAR2 = 32'h422d3cce; 11'd147: VAR2 = 32'h422e57c4; 11'd148: VAR2 = 32'h422f724d; 11'd149: VAR2 = 32'h42308c6b; 11'd150: VAR2 = 32'h4231a61b; 11'd151: VAR2 = 32'h4232bf5e; 11'd152: VAR2 = 32'h4233d833; 11'd153: VAR2 = 32'h4234f099; 11'd154: VAR2 = 32'h4236088f; 11'd155: VAR2 = 32'h42372015; 11'd156: VAR2 = 32'h4238372a; 11'd157: VAR2 = 32'h42394dcd; 11'd158: VAR2 = 32'h423a63fe; 11'd159: VAR2 = 32'h423b79bc; 11'd160: VAR2 = 32'h423c8f06; 11'd161: VAR2 = 32'h423da3dc; 11'd162: VAR2 = 32'h423eb83e; 11'd163: VAR2 = 32'h423fcc29; 11'd164: VAR2 = 32'h4240df9e; 11'd165: VAR2 = 32'h4241f29c; 11'd166: VAR2 = 32'h42430523; 11'd167: VAR2 = 32'h42441731; 11'd168: VAR2 = 32'h424528c6; 11'd169: VAR2 = 32'h424639e2; 11'd170: VAR2 = 32'h42474a83; 11'd171: VAR2 = 32'h42485aaa; 11'd172: VAR2 = 32'h42496a54; 11'd173: VAR2 = 32'h424a7983; 11'd174: VAR2 = 32'h424b8835; 11'd175: VAR2 = 32'h424c9669; 11'd176: VAR2 = 32'h424da41f; 11'd177: VAR2 = 32'h424eb156; 11'd178: VAR2 = 32'h424fbe0d; 11'd179: VAR2 = 32'h4250ca45; 11'd180: VAR2 = 32'h4251d5fc; 11'd181: VAR2 = 32'h4252e131; 11'd182: VAR2 = 32'h4253ebe4; 11'd183: VAR2 = 32'h4254f614; 11'd184: VAR2 = 32'h4255ffc1; 11'd185: VAR2 = 32'h425708ea; 11'd186: VAR2 = 32'h4258118f; 11'd187: VAR2 = 32'h425919ae; 11'd188: VAR2 = 32'h425a2147; 11'd189: VAR2 = 32'h425b285a; 11'd190: VAR2 = 32'h425c2ee5; 11'd191: VAR2 = 32'h425d34e9; 11'd192: VAR2 = 32'h425e3a64; 11'd193: VAR2 = 32'h425f3f56; 11'd194: VAR2 = 32'h426043bf; 11'd195: VAR2 = 32'h4261479d; 11'd196: VAR2 = 32'h42624af0; 11'd197: VAR2 = 32'h42634db7; 11'd198: VAR2 = 32'h42644ff3; 11'd199: VAR2 = 32'h426551a1; 11'd200: VAR2 = 32'h426652c2; 11'd201: VAR2 = 32'h42675355; 11'd202: VAR2 = 32'h42685359; 11'd203: VAR2 = 32'h426952ce; 11'd204: VAR2 = 32'h426a51b3; 11'd205: VAR2 = 32'h426b5008; 11'd206: VAR2 = 32'h426c4dcb; 11'd207: VAR2 = 32'h426d4afc; 11'd208: VAR2 = 32'h426e479c; 11'd209: VAR2 = 32'h426f43a8; 11'd210: VAR2 = 32'h42703f20; 11'd211: VAR2 = 32'h42713a05; 11'd212: VAR2 = 32'h42723454; 11'd213: VAR2 = 32'h42732e0f; 11'd214: VAR2 = 32'h42742733; 11'd215: VAR2 = 32'h42751fc0; 11'd216: VAR2 = 32'h427617b7; 11'd217: VAR2 = 32'h42770f15; 11'd218: VAR2 = 32'h427805dc; 11'd219: VAR2 = 32'h4278fc09; 11'd220: VAR2 = 32'h4279f19c; 11'd221: VAR2 = 32'h427ae696; 11'd222: VAR2 = 32'h427bdaf4; 11'd223: VAR2 = 32'h427cceb8; 11'd224: VAR2 = 32'h427dc1df; 11'd225: VAR2 = 32'h427eb46a; 11'd226: VAR2 = 32'h427fa658; 11'd227: VAR2 = 32'h42804bd4; 11'd228: VAR2 = 32'h4280c42d; 11'd229: VAR2 = 32'h42813c36; 11'd230: VAR2 = 32'h4281b3f0; 11'd231: VAR2 = 32'h42822b5a; 11'd232: VAR2 = 32'h4282a273; 11'd233: VAR2 = 32'h4283193c; 11'd234: VAR2 = 32'h42838fb4; 11'd235: VAR2 = 32'h428405db; 11'd236: VAR2 = 32'h42847bb0; 11'd237: VAR2 = 32'h4284f134; 11'd238: VAR2 = 32'h42856665; 11'd239: VAR2 = 32'h4285db45; 11'd240: VAR2 = 32'h42864fd1; 11'd241: VAR2 = 32'h4286c40b; 11'd242: VAR2 = 32'h428737f2; 11'd243: VAR2 = 32'h4287ab86; 11'd244: VAR2 = 32'h42881ec5; 11'd245: VAR2 = 32'h428891b1; 11'd246: VAR2 = 32'h42890449; 11'd247: VAR2 = 32'h4289768c; 11'd248: VAR2 = 32'h4289e87a; 11'd249: VAR2 = 32'h428a5a13; 11'd250: VAR2 = 32'h428acb57; 11'd251: VAR2 = 32'h428b3c45; 11'd252: VAR2 = 32'h428bacdd; 11'd253: VAR2 = 32'h428c1d1f; 11'd254: VAR2 = 32'h428c8d0b; 11'd255: VAR2 = 32'h428cfca0; 11'd256: VAR2 = 32'h428d6bde; 11'd257: VAR2 = 32'h428ddac5; 11'd258: VAR2 = 32'h428e4954; 11'd259: VAR2 = 32'h428eb78b; 11'd260: VAR2 = 32'h428f256b; 11'd261: VAR2 = 32'h428f92f2; 11'd262: VAR2 = 32'h42900021; 11'd263: VAR2 = 32'h42906cf7; 11'd264: VAR2 = 32'h4290d973; 11'd265: VAR2 = 32'h42914597; 11'd266: VAR2 = 32'h4291b160; 11'd267: VAR2 = 32'h42921cd0; 11'd268: VAR2 = 32'h429287e6; 11'd269: VAR2 = 32'h4292f2a1; 11'd270: VAR2 = 32'h42935d02; 11'd271: VAR2 = 32'h4293c708; 11'd272: VAR2 = 32'h429430b2; 11'd273: VAR2 = 32'h42949a02; 11'd274: VAR2 = 32'h429502f5; 11'd275: VAR2 = 32'h42956b8d; 11'd276: VAR2 = 32'h4295d3c8; 11'd277: VAR2 = 32'h42963ba7; 11'd278: VAR2 = 32'h4296a32a; 11'd279: VAR2 = 32'h42970a4f; 11'd280: VAR2 = 32'h42977118; 11'd281: VAR2 = 32'h4297d783; 11'd282: VAR2 = 32'h42983d90; 11'd283: VAR2 = 32'h4298a33f; 11'd284: VAR2 = 32'h42990890; 11'd285: VAR2 = 32'h42996d83; 11'd286: VAR2 = 32'h4299d217; 11'd287: VAR2 = 32'h429a364c; 11'd288: VAR2 = 32'h429a9a22; 11'd289: VAR2 = 32'h429afd99; 11'd290: VAR2 = 32'h429b60b0; 11'd291: VAR2 = 32'h429bc368; 11'd292: VAR2 = 32'h429c25bf; 11'd293: VAR2 = 32'h429c87b6; 11'd294: VAR2 = 32'h429ce94c; 11'd295: VAR2 = 32'h429d4a82; 11'd296: VAR2 = 32'h429dab56; 11'd297: VAR2 = 32'h429e0bc9; 11'd298: VAR2 = 32'h429e6bdb; 11'd299: VAR2 = 32'h429ecb8b; 11'd300: VAR2 = 32'h429f2ad9; 11'd301: VAR2 = 32'h429f89c5; 11'd302: VAR2 = 32'h429fe84f; 11'd303: VAR2 = 32'h42a04676; 11'd304: VAR2 = 32'h42a0a43a; 11'd305: VAR2 = 32'h42a1019b; 11'd306: VAR2 = 32'h42a15e98; 11'd307: VAR2 = 32'h42a1bb32; 11'd308: VAR2 = 32'h42a21768; 11'd309: VAR2 = 32'h42a2733b; 11'd310: VAR2 = 32'h42a2cea9; 11'd311: VAR2 = 32'h42a329b3; 11'd312: VAR2 = 32'h42a38458; 11'd313: VAR2 = 32'h42a3de98; 11'd314: VAR2 = 32'h42a43873; 11'd315: VAR2 = 32'h42a491e9; 11'd316: VAR2 = 32'h42a4eaf9; 11'd317: VAR2 = 32'h42a543a3; 11'd318: VAR2 = 32'h42a59be8; 11'd319: VAR2 = 32'h42a5f3c6; 11'd320: VAR2 = 32'h42a64b3e; 11'd321: VAR2 = 32'h42a6a250; 11'd322: VAR2 = 32'h42a6f8fb; 11'd323: VAR2 = 32'h42a74f3e; 11'd324: VAR2 = 32'h42a7a51b; 11'd325: VAR2 = 32'h42a7fa90; 11'd326: VAR2 = 32'h42a84f9d; 11'd327: VAR2 = 32'h42a8a443; 11'd328: VAR2 = 32'h42a8f881; 11'd329: VAR2 = 32'h42a94c56; 11'd330: VAR2 = 32'h42a99fc3; 11'd331: VAR2 = 32'h42a9f2c7; 11'd332: VAR2 = 32'h42aa4563; 11'd333: VAR2 = 32'h42aa9795; 11'd334: VAR2 = 32'h42aae95e; 11'd335: VAR2 = 32'h42ab3abe; 11'd336: VAR2 = 32'h42ab8bb4; 11'd337: VAR2 = 32'h42abdc41; 11'd338: VAR2 = 32'h42ac2c63; 11'd339: VAR2 = 32'h42ac7c1b; 11'd340: VAR2 = 32'h42accb69; 11'd341: VAR2 = 32'h42ad1a4c; 11'd342: VAR2 = 32'h42ad68c4; 11'd343: VAR2 = 32'h42adb6d2; 11'd344: VAR2 = 32'h42ae0474; 11'd345: VAR2 = 32'h42ae51ab; 11'd346: VAR2 = 32'h42ae9e76; 11'd347: VAR2 = 32'h42aeead6; 11'd348: VAR2 = 32'h42af36ca; 11'd349: VAR2 = 32'h42af8252; 11'd350: VAR2 = 32'h42afcd6d; 11'd351: VAR2 = 32'h42b0181c; 11'd352: VAR2 = 32'h42b0625e; 11'd353: VAR2 = 32'h42b0ac34; 11'd354: VAR2 = 32'h42b0f59c; 11'd355: VAR2 = 32'h42b13e98; 11'd356: VAR2 = 32'h42b18726; 11'd357: VAR2 = 32'h42b1cf46; 11'd358: VAR2 = 32'h42b216f9; 11'd359: VAR2 = 32'h42b25e3e; 11'd360: VAR2 = 32'h42b2a515; 11'd361: VAR2 = 32'h42b2eb7e; 11'd362: VAR2 = 32'h42b33179; 11'd363: VAR2 = 32'h42b37705; 11'd364: VAR2 = 32'h42b3bc22; 11'd365: VAR2 = 32'h42b400d0; 11'd366: VAR2 = 32'h42b4450f; 11'd367: VAR2 = 32'h42b488e0; 11'd368: VAR2 = 32'h42b4cc40; 11'd369: VAR2 = 32'h42b50f32; 11'd370: VAR2 = 32'h42b551b3; 11'd371: VAR2 = 32'h42b593c5; 11'd372: VAR2 = 32'h42b5d566; 11'd373: VAR2 = 32'h42b61698; 11'd374: VAR2 = 32'h42b65759; 11'd375: VAR2 = 32'h42b697aa; 11'd376: VAR2 = 32'h42b6d78a; 11'd377: VAR2 = 32'h42b716f9; 11'd378: VAR2 = 32'h42b755f8; 11'd379: VAR2 = 32'h42b79485; 11'd380: VAR2 = 32'h42b7d2a1; 11'd381: VAR2 = 32'h42b8104c; 11'd382: VAR2 = 32'h42b84d85; 11'd383: VAR2 = 32'h42b88a4c; 11'd384: VAR2 = 32'h42b8c6a2; 11'd385: VAR2 = 32'h42b90285; 11'd386: VAR2 = 32'h42b93df7; 11'd387: VAR2 = 32'h42b978f6; 11'd388: VAR2 = 32'h42b9b383; 11'd389: VAR2 = 32'h42b9ed9d; 11'd390: VAR2 = 32'h42ba2745; 11'd391: VAR2 = 32'h42ba6079; 11'd392: VAR2 = 32'h42ba993b; 11'd393: VAR2 = 32'h42bad18a; 11'd394: VAR2 = 32'h42bb0965; 11'd395: VAR2 = 32'h42bb40cd; 11'd396: VAR2 = 32'h42bb77c2; 11'd397: VAR2 = 32'h42bbae43; 11'd398: VAR2 = 32'h42bbe450; 11'd399: VAR2 = 32'h42bc19e9; 11'd400: VAR2 = 32'h42bc4f0e; 11'd401: VAR2 = 32'h42bc83bf; 11'd402: VAR2 = 32'h42bcb7fc; 11'd403: VAR2 = 32'h42bcebc4; 11'd404: VAR2 = 32'h42bd1f18; 11'd405: VAR2 = 32'h42bd51f7; 11'd406: VAR2 = 32'h42bd8462; 11'd407: VAR2 = 32'h42bdb657; 11'd408: VAR2 = 32'h42bde7d8; 11'd409: VAR2 = 32'h42be18e3; 11'd410: VAR2 = 32'h42be4979; 11'd411: VAR2 = 32'h42be799a; 11'd412: VAR2 = 32'h42bea945; 11'd413: VAR2 = 32'h42bed87a; 11'd414: VAR2 = 32'h42bf073a; 11'd415: VAR2 = 32'h42bf3584; 11'd416: VAR2 = 32'h42bf6358; 11'd417: VAR2 = 32'h42bf90b6; 11'd418: VAR2 = 32'h42bfbd9e; 11'd419: VAR2 = 32'h42bfea10; 11'd420: VAR2 = 32'h42c0160b; 11'd421: VAR2 = 32'h42c04190; 11'd422: VAR2 = 32'h42c06c9e; 11'd423: VAR2 = 32'h42c09735; 11'd424: VAR2 = 32'h42c0c156; 11'd425: VAR2 = 32'h42c0eaff; 11'd426: VAR2 = 32'h42c11432; 11'd427: VAR2 = 32'h42c13cee; 11'd428: VAR2 = 32'h42c16532; 11'd429: VAR2 = 32'h42c18cff; 11'd430: VAR2 = 32'h42c1b455; 11'd431: VAR2 = 32'h42c1db33; 11'd432: VAR2 = 32'h42c2019a; 11'd433: VAR2 = 32'h42c22789; 11'd434: VAR2 = 32'h42c24d00; 11'd435: VAR2 = 32'h42c271ff; 11'd436: VAR2 = 32'h42c29686; 11'd437: VAR2 = 32'h42c2ba96; 11'd438: VAR2 = 32'h42c2de2d; 11'd439: VAR2 = 32'h42c3014c; 11'd440: VAR2 = 32'h42c323f3; 11'd441: VAR2 = 32'h42c34621; 11'd442: VAR2 = 32'h42c367d7; 11'd443: VAR2 = 32'h42c38915; 11'd444: VAR2 = 32'h42c3a9d9; 11'd445: VAR2 = 32'h42c3ca25; 11'd446: VAR2 = 32'h42c3e9f9; 11'd447: VAR2 = 32'h42c40953; 11'd448: VAR2 = 32'h42c42835; 11'd449: VAR2 = 32'h42c4469d; 11'd450: VAR2 = 32'h42c4648d; 11'd451: VAR2 = 32'h42c48203; 11'd452: VAR2 = 32'h42c49f00; 11'd453: VAR2 = 32'h42c4bb84; 11'd454: VAR2 = 32'h42c4d78e; 11'd455: VAR2 = 32'h42c4f320; 11'd456: VAR2 = 32'h42c50e37; 11'd457: VAR2 = 32'h42c528d5; 11'd458: VAR2 = 32'h42c542f9; 11'd459: VAR2 = 32'h42c55ca4; 11'd460: VAR2 = 32'h42c575d5; 11'd461: VAR2 = 32'h42c58e8c; 11'd462: VAR2 = 32'h42c5a6ca; 11'd463: VAR2 = 32'h42c5be8d; 11'd464: VAR2 = 32'h42c5d5d6; 11'd465: VAR2 = 32'h42c5eca6; 11'd466: VAR2 = 32'h42c602fb; 11'd467: VAR2 = 32'h42c618d6; 11'd468: VAR2 = 32'h42c62e37; 11'd469: VAR2 = 32'h42c6431e; 11'd470: VAR2 = 32'h42c6578a; 11'd471: VAR2 = 32'h42c66b7c; 11'd472: VAR2 = 32'h42c67ef4; 11'd473: VAR2 = 32'h42c691f1; 11'd474: VAR2 = 32'h42c6a474; 11'd475: VAR2 = 32'h42c6b67c; 11'd476: VAR2 = 32'h42c6c809; 11'd477: VAR2 = 32'h42c6d91c; 11'd478: VAR2 = 32'h42c6e9b5; 11'd479: VAR2 = 32'h42c6f9d2; 11'd480: VAR2 = 32'h42c70975; 11'd481: VAR2 = 32'h42c7189d; 11'd482: VAR2 = 32'h42c7274b; 11'd483: VAR2 = 32'h42c7357d; 11'd484: VAR2 = 32'h42c74335; 11'd485: VAR2 = 32'h42c75071; 11'd486: VAR2 = 32'h42c75d33; 11'd487: VAR2 = 32'h42c7697a; 11'd488: VAR2 = 32'h42c77545; 11'd489: VAR2 = 32'h42c78096; 11'd490: VAR2 = 32'h42c78b6c; 11'd491: VAR2 = 32'h42c795c6; 11'd492: VAR2 = 32'h42c79fa6; 11'd493: VAR2 = 32'h42c7a90a; 11'd494: VAR2 = 32'h42c7b1f3; 11'd495: VAR2 = 32'h42c7ba61; 11'd496: VAR2 = 32'h42c7c254; 11'd497: VAR2 = 32'h42c7c9cb; 11'd498: VAR2 = 32'h42c7d0c8; 11'd499: VAR2 = 32'h42c7d749; 11'd500: VAR2 = 32'h42c7dd4e; 11'd501: VAR2 = 32'h42c7e2d9; 11'd502: VAR2 = 32'h42c7e7e8; 11'd503: VAR2 = 32'h42c7ec7c; 11'd504: VAR2 = 32'h42c7f094; 11'd505: VAR2 = 32'h42c7f432; 11'd506: VAR2 = 32'h42c7f753; 11'd507: VAR2 = 32'h42c7f9fa; 11'd508: VAR2 = 32'h42c7fc25; 11'd509: VAR2 = 32'h42c7fdd5; 11'd510: VAR2 = 32'h42c7ff09; 11'd511: VAR2 = 32'h42c7ffc2; 11'd512: VAR2 = 32'h42c80000; 11'd513: VAR2 = 32'h42c7ffc2; 11'd514: VAR2 = 32'h42c7ff09; 11'd515: VAR2 = 32'h42c7fdd5; 11'd516: VAR2 = 32'h42c7fc25; 11'd517: VAR2 = 32'h42c7f9fa; 11'd518: VAR2 = 32'h42c7f753; 11'd519: VAR2 = 32'h42c7f432; 11'd520: VAR2 = 32'h42c7f094; 11'd521: VAR2 = 32'h42c7ec7c; 11'd522: VAR2 = 32'h42c7e7e8; 11'd523: VAR2 = 32'h42c7e2d9; 11'd524: VAR2 = 32'h42c7dd4e; 11'd525: VAR2 = 32'h42c7d749; 11'd526: VAR2 = 32'h42c7d0c8; 11'd527: VAR2 = 32'h42c7c9cb; 11'd528: VAR2 = 32'h42c7c254; 11'd529: VAR2 = 32'h42c7ba61; 11'd530: VAR2 = 32'h42c7b1f3; 11'd531: VAR2 = 32'h42c7a90a; 11'd532: VAR2 = 32'h42c79fa6; 11'd533: VAR2 = 32'h42c795c6; 11'd534: VAR2 = 32'h42c78b6c; 11'd535: VAR2 = 32'h42c78096; 11'd536: VAR2 = 32'h42c77546; 11'd537: VAR2 = 32'h42c7697a; 11'd538: VAR2 = 32'h42c75d33; 11'd539: VAR2 = 32'h42c75071; 11'd540: VAR2 = 32'h42c74335; 11'd541: VAR2 = 32'h42c7357d; 11'd542: VAR2 = 32'h42c7274b; 11'd543: VAR2 = 32'h42c7189d; 11'd544: VAR2 = 32'h42c70975; 11'd545: VAR2 = 32'h42c6f9d2; 11'd546: VAR2 = 32'h42c6e9b5; 11'd547: VAR2 = 32'h42c6d91c; 11'd548: VAR2 = 32'h42c6c809; 11'd549: VAR2 = 32'h42c6b67c; 11'd550: VAR2 = 32'h42c6a474; 11'd551: VAR2 = 32'h42c691f1; 11'd552: VAR2 = 32'h42c67ef4; 11'd553: VAR2 = 32'h42c66b7c; 11'd554: VAR2 = 32'h42c6578a; 11'd555: VAR2 = 32'h42c6431e; 11'd556: VAR2 = 32'h42c62e37; 11'd557: VAR2 = 32'h42c618d6; 11'd558: VAR2 = 32'h42c602fb; 11'd559: VAR2 = 32'h42c5eca6; 11'd560: VAR2 = 32'h42c5d5d6; 11'd561: VAR2 = 32'h42c5be8d; 11'd562: VAR2 = 32'h42c5a6ca; 11'd563: VAR2 = 32'h42c58e8c; 11'd564: VAR2 = 32'h42c575d5; 11'd565: VAR2 = 32'h42c55ca4; 11'd566: VAR2 = 32'h42c542fa; 11'd567: VAR2 = 32'h42c528d5; 11'd568: VAR2 = 32'h42c50e37; 11'd569: VAR2 = 32'h42c4f320; 11'd570: VAR2 = 32'h42c4d78f; 11'd571: VAR2 = 32'h42c4bb84; 11'd572: VAR2 = 32'h42c49f00; 11'd573: VAR2 = 32'h42c48203; 11'd574: VAR2 = 32'h42c4648d; 11'd575: VAR2 = 32'h42c4469d; 11'd576: VAR2 = 32'h42c42835; 11'd577: VAR2 = 32'h42c40953; 11'd578: VAR2 = 32'h42c3e9f9; 11'd579: VAR2 = 32'h42c3ca26; 11'd580: VAR2 = 32'h42c3a9da; 11'd581: VAR2 = 32'h42c38915; 11'd582: VAR2 = 32'h42c367d7; 11'd583: VAR2 = 32'h42c34621; 11'd584: VAR2 = 32'h42c323f3; 11'd585: VAR2 = 32'h42c3014c; 11'd586: VAR2 = 32'h42c2de2d; 11'd587: VAR2 = 32'h42c2ba96; 11'd588: VAR2 = 32'h42c29687; 11'd589: VAR2 = 32'h42c271ff; 11'd590: VAR2 = 32'h42c24d00; 11'd591: VAR2 = 32'h42c22789; 11'd592: VAR2 = 32'h42c2019a; 11'd593: VAR2 = 32'h42c1db33; 11'd594: VAR2 = 32'h42c1b455; 11'd595: VAR2 = 32'h42c18cff; 11'd596: VAR2 = 32'h42c16532; 11'd597: VAR2 = 32'h42c13cee; 11'd598: VAR2 = 32'h42c11432; 11'd599: VAR2 = 32'h42c0eaff; 11'd600: VAR2 = 32'h42c0c156; 11'd601: VAR2 = 32'h42c09735; 11'd602: VAR2 = 32'h42c06c9e; 11'd603: VAR2 = 32'h42c04190; 11'd604: VAR2 = 32'h42c0160b; 11'd605: VAR2 = 32'h42bfea10; 11'd606: VAR2 = 32'h42bfbd9e; 11'd607: VAR2 = 32'h42bf90b6; 11'd608: VAR2 = 32'h42bf6358; 11'd609: VAR2 = 32'h42bf3584; 11'd610: VAR2 = 32'h42bf073a; 11'd611: VAR2 = 32'h42bed87b; 11'd612: VAR2 = 32'h42bea945; 11'd613: VAR2 = 32'h42be799a; 11'd614: VAR2 = 32'h42be4979; 11'd615: VAR2 = 32'h42be18e3; 11'd616: VAR2 = 32'h42bde7d8; 11'd617: VAR2 = 32'h42bdb657; 11'd618: VAR2 = 32'h42bd8462; 11'd619: VAR2 = 32'h42bd51f8; 11'd620: VAR2 = 32'h42bd1f18; 11'd621: VAR2 = 32'h42bcebc5; 11'd622: VAR2 = 32'h42bcb7fc; 11'd623: VAR2 = 32'h42bc83c0; 11'd624: VAR2 = 32'h42bc4f0f; 11'd625: VAR2 = 32'h42bc19e9; 11'd626: VAR2 = 32'h42bbe450; 11'd627: VAR2 = 32'h42bbae43; 11'd628: VAR2 = 32'h42bb77c2; 11'd629: VAR2 = 32'h42bb40ce; 11'd630: VAR2 = 32'h42bb0965; 11'd631: VAR2 = 32'h42bad18a; 11'd632: VAR2 = 32'h42ba993b; 11'd633: VAR2 = 32'h42ba607a; 11'd634: VAR2 = 32'h42ba2745; 11'd635: VAR2 = 32'h42b9ed9d; 11'd636: VAR2 = 32'h42b9b383; 11'd637: VAR2 = 32'h42b978f6; 11'd638: VAR2 = 32'h42b93df7; 11'd639: VAR2 = 32'h42b90286; 11'd640: VAR2 = 32'h42b8c6a2; 11'd641: VAR2 = 32'h42b88a4c; 11'd642: VAR2 = 32'h42b84d85; 11'd643: VAR2 = 32'h42b8104c; 11'd644: VAR2 = 32'h42b7d2a1; 11'd645: VAR2 = 32'h42b79485; 11'd646: VAR2 = 32'h42b755f8; 11'd647: VAR2 = 32'h42b716fa; 11'd648: VAR2 = 32'h42b6d78a; 11'd649: VAR2 = 32'h42b697aa; 11'd650: VAR2 = 32'h42b65759; 11'd651: VAR2 = 32'h42b61698; 11'd652: VAR2 = 32'h42b5d567; 11'd653: VAR2 = 32'h42b593c5; 11'd654: VAR2 = 32'h42b551b3; 11'd655: VAR2 = 32'h42b50f32; 11'd656: VAR2 = 32'h42b4cc41; 11'd657: VAR2 = 32'h42b488e0; 11'd658: VAR2 = 32'h42b44510; 11'd659: VAR2 = 32'h42b400d0; 11'd660: VAR2 = 32'h42b3bc22; 11'd661: VAR2 = 32'h42b37705; 11'd662: VAR2 = 32'h42b33179; 11'd663: VAR2 = 32'h42b2eb7f; 11'd664: VAR2 = 32'h42b2a516; 11'd665: VAR2 = 32'h42b25e3f; 11'd666: VAR2 = 32'h42b216fa; 11'd667: VAR2 = 32'h42b1cf47; 11'd668: VAR2 = 32'h42b18726; 11'd669: VAR2 = 32'h42b13e98; 11'd670: VAR2 = 32'h42b0f59d; 11'd671: VAR2 = 32'h42b0ac34; 11'd672: VAR2 = 32'h42b0625f; 11'd673: VAR2 = 32'h42b0181c; 11'd674: VAR2 = 32'h42afcd6d; 11'd675: VAR2 = 32'h42af8252; 11'd676: VAR2 = 32'h42af36ca; 11'd677: VAR2 = 32'h42aeead6; 11'd678: VAR2 = 32'h42ae9e77; 11'd679: VAR2 = 32'h42ae51ab; 11'd680: VAR2 = 32'h42ae0474; 11'd681: VAR2 = 32'h42adb6d2; 11'd682: VAR2 = 32'h42ad68c5; 11'd683: VAR2 = 32'h42ad1a4c; 11'd684: VAR2 = 32'h42accb69; 11'd685: VAR2 = 32'h42ac7c1c; 11'd686: VAR2 = 32'h42ac2c63; 11'd687: VAR2 = 32'h42abdc41; 11'd688: VAR2 = 32'h42ab8bb5; 11'd689: VAR2 = 32'h42ab3abf; 11'd690: VAR2 = 32'h42aae95f; 11'd691: VAR2 = 32'h42aa9795; 11'd692: VAR2 = 32'h42aa4563; 11'd693: VAR2 = 32'h42a9f2c8; 11'd694: VAR2 = 32'h42a99fc3; 11'd695: VAR2 = 32'h42a94c56; 11'd696: VAR2 = 32'h42a8f881; 11'd697: VAR2 = 32'h42a8a443; 11'd698: VAR2 = 32'h42a84f9e; 11'd699: VAR2 = 32'h42a7fa90; 11'd700: VAR2 = 32'h42a7a51b; 11'd701: VAR2 = 32'h42a74f3f; 11'd702: VAR2 = 32'h42a6f8fb; 11'd703: VAR2 = 32'h42a6a250; 11'd704: VAR2 = 32'h42a64b3f; 11'd705: VAR2 = 32'h42a5f3c7; 11'd706: VAR2 = 32'h42a59be8; 11'd707: VAR2 = 32'h42a543a4; 11'd708: VAR2 = 32'h42a4eaf9; 11'd709: VAR2 = 32'h42a491e9; 11'd710: VAR2 = 32'h42a43873; 11'd711: VAR2 = 32'h42a3de98; 11'd712: VAR2 = 32'h42a38458; 11'd713: VAR2 = 32'h42a329b3; 11'd714: VAR2 = 32'h42a2cea9; 11'd715: VAR2 = 32'h42a2733b; 11'd716: VAR2 = 32'h42a21769; 11'd717: VAR2 = 32'h42a1bb33; 11'd718: VAR2 = 32'h42a15e99; 11'd719: VAR2 = 32'h42a1019b; 11'd720: VAR2 = 32'h42a0a43a; 11'd721: VAR2 = 32'h42a04676; 11'd722: VAR2 = 32'h429fe84f; 11'd723: VAR2 = 32'h429f89c6; 11'd724: VAR2 = 32'h429f2ada; 11'd725: VAR2 = 32'h429ecb8c; 11'd726: VAR2 = 32'h429e6bdc; 11'd727: VAR2 = 32'h429e0bca; 11'd728: VAR2 = 32'h429dab57; 11'd729: VAR2 = 32'h429d4a82; 11'd730: VAR2 = 32'h429ce94d; 11'd731: VAR2 = 32'h429c87b6; 11'd732: VAR2 = 32'h429c25bf; 11'd733: VAR2 = 32'h429bc368; 11'd734: VAR2 = 32'h429b60b1; 11'd735: VAR2 = 32'h429afd9a; 11'd736: VAR2 = 32'h429a9a23; 11'd737: VAR2 = 32'h429a364d; 11'd738: VAR2 = 32'h4299d218; 11'd739: VAR2 = 32'h42996d83; 11'd740: VAR2 = 32'h42990891; 11'd741: VAR2 = 32'h4298a340; 11'd742: VAR2 = 32'h42983d90; 11'd743: VAR2 = 32'h4297d783; 11'd744: VAR2 = 32'h42977118; 11'd745: VAR2 = 32'h42970a50; 11'd746: VAR2 = 32'h4296a32a; 11'd747: VAR2 = 32'h42963ba8; 11'd748: VAR2 = 32'h4295d3c9; 11'd749: VAR2 = 32'h42956b8d; 11'd750: VAR2 = 32'h429502f6; 11'd751: VAR2 = 32'h42949a02; 11'd752: VAR2 = 32'h429430b3; 11'd753: VAR2 = 32'h4293c708; 11'd754: VAR2 = 32'h42935d02; 11'd755: VAR2 = 32'h4292f2a2; 11'd756: VAR2 = 32'h429287e6; 11'd757: VAR2 = 32'h42921cd1; 11'd758: VAR2 = 32'h4291b161; 11'd759: VAR2 = 32'h42914597; 11'd760: VAR2 = 32'h4290d974; 11'd761: VAR2 = 32'h42906cf7; 11'd762: VAR2 = 32'h42900021; 11'd763: VAR2 = 32'h428f92f3; 11'd764: VAR2 = 32'h428f256b; 11'd765: VAR2 = 32'h428eb78c; 11'd766: VAR2 = 32'h428e4954; 11'd767: VAR2 = 32'h428ddac5; 11'd768: VAR2 = 32'h428d6bde; 11'd769: VAR2 = 32'h428cfca0; 11'd770: VAR2 = 32'h428c8d0b; 11'd771: VAR2 = 32'h428c1d20; 11'd772: VAR2 = 32'h428bacde; 11'd773: VAR2 = 32'h428b3c45; 11'd774: VAR2 = 32'h428acb57; 11'd775: VAR2 = 32'h428a5a13; 11'd776: VAR2 = 32'h4289e87a; 11'd777: VAR2 = 32'h4289768c; 11'd778: VAR2 = 32'h42890449; 11'd779: VAR2 = 32'h428891b2; 11'd780: VAR2 = 32'h42881ec6; 11'd781: VAR2 = 32'h4287ab86; 11'd782: VAR2 = 32'h428737f3; 11'd783: VAR2 = 32'h4286c40c; 11'd784: VAR2 = 32'h42864fd2; 11'd785: VAR2 = 32'h4285db45; 11'd786: VAR2 = 32'h42856666; 11'd787: VAR2 = 32'h4284f134; 11'd788: VAR2 = 32'h42847bb0; 11'd789: VAR2 = 32'h428405db; 11'd790: VAR2 = 32'h42838fb4; 11'd791: VAR2 = 32'h4283193c; 11'd792: VAR2 = 32'h4282a273; 11'd793: VAR2 = 32'h42822b5a; 11'd794: VAR2 = 32'h4281b3f0; 11'd795: VAR2 = 32'h42813c37; 11'd796: VAR2 = 32'h4280c42d; 11'd797: VAR2 = 32'h42804bd4; 11'd798: VAR2 = 32'h427fa659; 11'd799: VAR2 = 32'h427eb46b; 11'd800: VAR2 = 32'h427dc1e0; 11'd801: VAR2 = 32'h427cceb9; 11'd802: VAR2 = 32'h427bdaf6; 11'd803: VAR2 = 32'h427ae697; 11'd804: VAR2 = 32'h4279f19d; 11'd805: VAR2 = 32'h4278fc0a; 11'd806: VAR2 = 32'h427805dd; 11'd807: VAR2 = 32'h42770f16; 11'd808: VAR2 = 32'h427617b8; 11'd809: VAR2 = 32'h42751fc2; 11'd810: VAR2 = 32'h42742734; 11'd811: VAR2 = 32'h42732e10; 11'd812: VAR2 = 32'h42723456; 11'd813: VAR2 = 32'h42713a06; 11'd814: VAR2 = 32'h42703f22; 11'd815: VAR2 = 32'h426f43a9; 11'd816: VAR2 = 32'h426e479d; 11'd817: VAR2 = 32'h426d4afe; 11'd818: VAR2 = 32'h426c4dcc; 11'd819: VAR2 = 32'h426b5009; 11'd820: VAR2 = 32'h426a51b4; 11'd821: VAR2 = 32'h426952cf; 11'd822: VAR2 = 32'h4268535a; 11'd823: VAR2 = 32'h42675356; 11'd824: VAR2 = 32'h426652c3; 11'd825: VAR2 = 32'h426551a2; 11'd826: VAR2 = 32'h42644ff4; 11'd827: VAR2 = 32'h42634db8; 11'd828: VAR2 = 32'h42624af1; 11'd829: VAR2 = 32'h4261479e; 11'd830: VAR2 = 32'h426043c0; 11'd831: VAR2 = 32'h425f3f57; 11'd832: VAR2 = 32'h425e3a65; 11'd833: VAR2 = 32'h425d34ea; 11'd834: VAR2 = 32'h425c2ee6; 11'd835: VAR2 = 32'h425b285b; 11'd836: VAR2 = 32'h425a2148; 11'd837: VAR2 = 32'h425919af; 11'd838: VAR2 = 32'h42581190; 11'd839: VAR2 = 32'h425708ec; 11'd840: VAR2 = 32'h4255ffc3; 11'd841: VAR2 = 32'h4254f616; 11'd842: VAR2 = 32'h4253ebe5; 11'd843: VAR2 = 32'h4252e132; 11'd844: VAR2 = 32'h4251d5fd; 11'd845: VAR2 = 32'h4250ca46; 11'd846: VAR2 = 32'h424fbe0f; 11'd847: VAR2 = 32'h424eb157; 11'd848: VAR2 = 32'h424da420; 11'd849: VAR2 = 32'h424c966a; 11'd850: VAR2 = 32'h424b8836; 11'd851: VAR2 = 32'h424a7984; 11'd852: VAR2 = 32'h42496a56; 11'd853: VAR2 = 32'h42485aab; 11'd854: VAR2 = 32'h42474a84; 11'd855: VAR2 = 32'h424639e3; 11'd856: VAR2 = 32'h424528c7; 11'd857: VAR2 = 32'h42441732; 11'd858: VAR2 = 32'h42430524; 11'd859: VAR2 = 32'h4241f29d; 11'd860: VAR2 = 32'h4240df9f; 11'd861: VAR2 = 32'h423fcc2a; 11'd862: VAR2 = 32'h423eb83f; 11'd863: VAR2 = 32'h423da3de; 11'd864: VAR2 = 32'h423c8f08; 11'd865: VAR2 = 32'h423b79bd; 11'd866: VAR2 = 32'h423a63ff; 11'd867: VAR2 = 32'h42394dce; 11'd868: VAR2 = 32'h4238372b; 11'd869: VAR2 = 32'h42372016; 11'd870: VAR2 = 32'h42360890; 11'd871: VAR2 = 32'h4234f09a; 11'd872: VAR2 = 32'h4233d834; 11'd873: VAR2 = 32'h4232bf60; 11'd874: VAR2 = 32'h4231a61d; 11'd875: VAR2 = 32'h42308c6c; 11'd876: VAR2 = 32'h422f724f; 11'd877: VAR2 = 32'h422e57c5; 11'd878: VAR2 = 32'h422d3cd0; 11'd879: VAR2 = 32'h422c2170; 11'd880: VAR2 = 32'h422b05a5; 11'd881: VAR2 = 32'h4229e972; 11'd882: VAR2 = 32'h4228ccd5; 11'd883: VAR2 = 32'h4227afd0; 11'd884: VAR2 = 32'h42269264; 11'd885: VAR2 = 32'h42257491; 11'd886: VAR2 = 32'h42245658; 11'd887: VAR2 = 32'h422337ba; 11'd888: VAR2 = 32'h422218b7; 11'd889: VAR2 = 32'h4220f950; 11'd890: VAR2 = 32'h421fd986; 11'd891: VAR2 = 32'h421eb959; 11'd892: VAR2 = 32'h421d98ca; 11'd893: VAR2 = 32'h421c77da; 11'd894: VAR2 = 32'h421b568a; 11'd895: VAR2 = 32'h421a34d9; 11'd896: VAR2 = 32'h421912ca; 11'd897: VAR2 = 32'h4217f05c; 11'd898: VAR2 = 32'h4216cd90; 11'd899: VAR2 = 32'h4215aa68; 11'd900: VAR2 = 32'h421486e3; 11'd901: VAR2 = 32'h42136302; 11'd902: VAR2 = 32'h42123ec7; 11'd903: VAR2 = 32'h42111a31; 11'd904: VAR2 = 32'h420ff542; 11'd905: VAR2 = 32'h420ecffa; 11'd906: VAR2 = 32'h420daa59; 11'd907: VAR2 = 32'h420c8462; 11'd908: VAR2 = 32'h420b5e14; 11'd909: VAR2 = 32'h420a3770; 11'd910: VAR2 = 32'h42091076; 11'd911: VAR2 = 32'h4207e928; 11'd912: VAR2 = 32'h4206c187; 11'd913: VAR2 = 32'h42059992; 11'd914: VAR2 = 32'h4204714a; 11'd915: VAR2 = 32'h420348b1; 11'd916: VAR2 = 32'h42021fc7; 11'd917: VAR2 = 32'h4200f68d; 11'd918: VAR2 = 32'h41ff9a06; 11'd919: VAR2 = 32'h41fd4655; 11'd920: VAR2 = 32'h41faf208; 11'd921: VAR2 = 32'h41f89d1f; 11'd922: VAR2 = 32'h41f6479e; 11'd923: VAR2 = 32'h41f3f184; 11'd924: VAR2 = 32'h41f19ad4; 11'd925: VAR2 = 32'h41ef438f; 11'd926: VAR2 = 32'h41ecebb6; 11'd927: VAR2 = 32'h41ea934b; 11'd928: VAR2 = 32'h41e83a50; 11'd929: VAR2 = 32'h41e5e0c5; 11'd930: VAR2 = 32'h41e386ac; 11'd931: VAR2 = 32'h41e12c07; 11'd932: VAR2 = 32'h41ded0d8; 11'd933: VAR2 = 32'h41dc751e; 11'd934: VAR2 = 32'h41da18dd; 11'd935: VAR2 = 32'h41d7bc15; 11'd936: VAR2 = 32'h41d55ec8; 11'd937: VAR2 = 32'h41d300f8; 11'd938: VAR2 = 32'h41d0a2a5; 11'd939: VAR2 = 32'h41ce43d2; 11'd940: VAR2 = 32'h41cbe47f; 11'd941: VAR2 = 32'h41c984af; 11'd942: VAR2 = 32'h41c72462; 11'd943: VAR2 = 32'h41c4c39b; 11'd944: VAR2 = 32'h41c2625a; 11'd945: VAR2 = 32'h41c000a1; 11'd946: VAR2 = 32'h41bd9e72; 11'd947: VAR2 = 32'h41bb3bce; 11'd948: VAR2 = 32'h41b8d8b6; 11'd949: VAR2 = 32'h41b6752c; 11'd950: VAR2 = 32'h41b41132; 11'd951: VAR2 = 32'h41b1acc9; 11'd952: VAR2 = 32'h41af47f2; 11'd953: VAR2 = 32'h41ace2af; 11'd954: VAR2 = 32'h41aa7d01; 11'd955: VAR2 = 32'h41a816ea; 11'd956: VAR2 = 32'h41a5b06b; 11'd957: VAR2 = 32'h41a34987; 11'd958: VAR2 = 32'h41a0e23d; 11'd959: VAR2 = 32'h419e7a91; 11'd960: VAR2 = 32'h419c1282; 11'd961: VAR2 = 32'h4199aa13; 11'd962: VAR2 = 32'h41974146; 11'd963: VAR2 = 32'h4194d81b; 11'd964: VAR2 = 32'h41926e94; 11'd965: VAR2 = 32'h419004b3; 11'd966: VAR2 = 32'h418d9a79; 11'd967: VAR2 = 32'h418b2fe8; 11'd968: VAR2 = 32'h4188c501; 11'd969: VAR2 = 32'h418659c6; 11'd970: VAR2 = 32'h4183ee38; 11'd971: VAR2 = 32'h41818258; 11'd972: VAR2 = 32'h417e2c51; 11'd973: VAR2 = 32'h41795355; 11'd974: VAR2 = 32'h417479bf; 11'd975: VAR2 = 32'h416f9f93; 11'd976: VAR2 = 32'h416ac4d3; 11'd977: VAR2 = 32'h4165e982; 11'd978: VAR2 = 32'h41610da3; 11'd979: VAR2 = 32'h415c3139; 11'd980: VAR2 = 32'h41575447; 11'd981: VAR2 = 32'h415276d1; 11'd982: VAR2 = 32'h414d98d9; 11'd983: VAR2 = 32'h4148ba62; 11'd984: VAR2 = 32'h4143db6f; 11'd985: VAR2 = 32'h413efc03; 11'd986: VAR2 = 32'h413a1c22; 11'd987: VAR2 = 32'h41353bce; 11'd988: VAR2 = 32'h41305b0a; 11'd989: VAR2 = 32'h412b79d9; 11'd990: VAR2 = 32'h4126983e; 11'd991: VAR2 = 32'h4121b63d; 11'd992: VAR2 = 32'h411cd3d8; 11'd993: VAR2 = 32'h4117f112; 11'd994: VAR2 = 32'h41130dee; 11'd995: VAR2 = 32'h410e2a70; 11'd996: VAR2 = 32'h4109469a; 11'd997: VAR2 = 32'h4104626f; 11'd998: VAR2 = 32'h40fefbe5; 11'd999: VAR2 = 32'h40f5324f; 11'd1000: VAR2 = 32'h40eb6822; 11'd1001: VAR2 = 32'h40e19d64; 11'd1002: VAR2 = 32'h40d7d21a; 11'd1003: VAR2 = 32'h40ce064b; 11'd1004: VAR2 = 32'h40c439fd; 11'd1005: VAR2 = 32'h40ba6d37; 11'd1006: VAR2 = 32'h40b09ffd; 11'd1007: VAR2 = 32'h40a6d256; 11'd1008: VAR2 = 32'h409d0448; 11'd1009: VAR2 = 32'h409335da; 11'd1010: VAR2 = 32'h40896710; 11'd1011: VAR2 = 32'h407f2fe4; 11'd1012: VAR2 = 32'h406b910a; 11'd1013: VAR2 = 32'h4057f19f; 11'd1014: VAR2 = 32'h404451af; 11'd1015: VAR2 = 32'h4030b146; 11'd1016: VAR2 = 32'h401d1070; 11'd1017: VAR2 = 32'h40096f38; 11'd1018: VAR2 = 32'h3feb9b59; 11'd1019: VAR2 = 32'h3fc457b0; 11'd1020: VAR2 = 32'h3f9d138d; 11'd1021: VAR2 = 32'h3f6b9e14; 11'd1022: VAR2 = 32'h3f1d147c; 11'd1023: VAR2 = 32'h3e9d1506; 11'd1024: VAR2 = 32'h36b3d148; 11'd1025: VAR2 = 32'hbe9d139f; 11'd1026: VAR2 = 32'hbf1d13c8; 11'd1027: VAR2 = 32'hbf6b9d60; 11'd1028: VAR2 = 32'hbf9d1333; 11'd1029: VAR2 = 32'hbfc45756; 11'd1030: VAR2 = 32'hbfeb9aff; 11'd1031: VAR2 = 32'hc0096f0b; 11'd1032: VAR2 = 32'hc01d1043; 11'd1033: VAR2 = 32'hc030b119; 11'd1034: VAR2 = 32'hc0445182; 11'd1035: VAR2 = 32'hc057f173; 11'd1036: VAR2 = 32'hc06b90de; 11'd1037: VAR2 = 32'hc07f2fb7; 11'd1038: VAR2 = 32'hc08966fa; 11'd1039: VAR2 = 32'hc09335c3; 11'd1040: VAR2 = 32'hc09d0432; 11'd1041: VAR2 = 32'hc0a6d23f; 11'd1042: VAR2 = 32'hc0b09fe6; 11'd1043: VAR2 = 32'hc0ba6d20; 11'd1044: VAR2 = 32'hc0c439e7; 11'd1045: VAR2 = 32'hc0ce0635; 11'd1046: VAR2 = 32'hc0d7d204; 11'd1047: VAR2 = 32'hc0e19d4d; 11'd1048: VAR2 = 32'hc0eb680c; 11'd1049: VAR2 = 32'hc0f53239; 11'd1050: VAR2 = 32'hc0fefbcf; 11'd1051: VAR2 = 32'hc1046264; 11'd1052: VAR2 = 32'hc109468f; 11'd1053: VAR2 = 32'hc10e2a65; 11'd1054: VAR2 = 32'hc1130de3; 11'd1055: VAR2 = 32'hc117f106; 11'd1056: VAR2 = 32'hc11cd3cc; 11'd1057: VAR2 = 32'hc121b631; 11'd1058: VAR2 = 32'hc1269833; 11'd1059: VAR2 = 32'hc12b79cd; 11'd1060: VAR2 = 32'hc1305afe; 11'd1061: VAR2 = 32'hc1353bc2; 11'd1062: VAR2 = 32'hc13a1c17; 11'd1063: VAR2 = 32'hc13efbf8; 11'd1064: VAR2 = 32'hc143db64; 11'd1065: VAR2 = 32'hc148ba57; 11'd1066: VAR2 = 32'hc14d98ce; 11'd1067: VAR2 = 32'hc15276c6; 11'd1068: VAR2 = 32'hc157543c; 11'd1069: VAR2 = 32'hc15c312e; 11'd1070: VAR2 = 32'hc1610d98; 11'd1071: VAR2 = 32'hc165e976; 11'd1072: VAR2 = 32'hc16ac4c8; 11'd1073: VAR2 = 32'hc16f9f88; 11'd1074: VAR2 = 32'hc17479b4; 11'd1075: VAR2 = 32'hc179534a; 11'd1076: VAR2 = 32'hc17e2c46; 11'd1077: VAR2 = 32'hc1818252; 11'd1078: VAR2 = 32'hc183ee32; 11'd1079: VAR2 = 32'hc18659c0; 11'd1080: VAR2 = 32'hc188c4fc; 11'd1081: VAR2 = 32'hc18b2fe3; 11'd1082: VAR2 = 32'hc18d9a74; 11'd1083: VAR2 = 32'hc19004ae; 11'd1084: VAR2 = 32'hc1926e8f; 11'd1085: VAR2 = 32'hc194d815; 11'd1086: VAR2 = 32'hc1974140; 11'd1087: VAR2 = 32'hc199aa0e; 11'd1088: VAR2 = 32'hc19c127d; 11'd1089: VAR2 = 32'hc19e7a8b; 11'd1090: VAR2 = 32'hc1a0e238; 11'd1091: VAR2 = 32'hc1a34981; 11'd1092: VAR2 = 32'hc1a5b066; 11'd1093: VAR2 = 32'hc1a816e5; 11'd1094: VAR2 = 32'hc1aa7cfb; 11'd1095: VAR2 = 32'hc1ace2a9; 11'd1096: VAR2 = 32'hc1af47ec; 11'd1097: VAR2 = 32'hc1b1acc3; 11'd1098: VAR2 = 32'hc1b4112c; 11'd1099: VAR2 = 32'hc1b67527; 11'd1100: VAR2 = 32'hc1b8d8b0; 11'd1101: VAR2 = 32'hc1bb3bc8; 11'd1102: VAR2 = 32'hc1bd9e6c; 11'd1103: VAR2 = 32'hc1c0009c; 11'd1104: VAR2 = 32'hc1c26254; 11'd1105: VAR2 = 32'hc1c4c395; 11'd1106: VAR2 = 32'hc1c7245d; 11'd1107: VAR2 = 32'hc1c984a9; 11'd1108: VAR2 = 32'hc1cbe47a; 11'd1109: VAR2 = 32'hc1ce43cc; 11'd1110: VAR2 = 32'hc1d0a2a0; 11'd1111: VAR2 = 32'hc1d300f2; 11'd1112: VAR2 = 32'hc1d55ec3; 11'd1113: VAR2 = 32'hc1d7bc10; 11'd1114: VAR2 = 32'hc1da18d8; 11'd1115: VAR2 = 32'hc1dc7519; 11'd1116: VAR2 = 32'hc1ded0d2; 11'd1117: VAR2 = 32'hc1e12c02; 11'd1118: VAR2 = 32'hc1e386a7; 11'd1119: VAR2 = 32'hc1e5e0c0; 11'd1120: VAR2 = 32'hc1e83a4a; 11'd1121: VAR2 = 32'hc1ea9346; 11'd1122: VAR2 = 32'hc1ecebb1; 11'd1123: VAR2 = 32'hc1ef4389; 11'd1124: VAR2 = 32'hc1f19acf; 11'd1125: VAR2 = 32'hc1f3f17f; 11'd1126: VAR2 = 32'hc1f64798; 11'd1127: VAR2 = 32'hc1f89d1a; 11'd1128: VAR2 = 32'hc1faf202; 11'd1129: VAR2 = 32'hc1fd4650; 11'd1130: VAR2 = 32'hc1ff9a01; 11'd1131: VAR2 = 32'hc200f68a; 11'd1132: VAR2 = 32'hc2021fc5; 11'd1133: VAR2 = 32'hc20348af; 11'd1134: VAR2 = 32'hc2047148; 11'd1135: VAR2 = 32'hc205998f; 11'd1136: VAR2 = 32'hc206c184; 11'd1137: VAR2 = 32'hc207e926; 11'd1138: VAR2 = 32'hc2091074; 11'd1139: VAR2 = 32'hc20a376d; 11'd1140: VAR2 = 32'hc20b5e11; 11'd1141: VAR2 = 32'hc20c845f; 11'd1142: VAR2 = 32'hc20daa57; 11'd1143: VAR2 = 32'hc20ecff7; 11'd1144: VAR2 = 32'hc20ff53f; 11'd1145: VAR2 = 32'hc2111a2e; 11'd1146: VAR2 = 32'hc2123ec4; 11'd1147: VAR2 = 32'hc21362ff; 11'd1148: VAR2 = 32'hc21486e0; 11'd1149: VAR2 = 32'hc215aa65; 11'd1150: VAR2 = 32'hc216cd8e; 11'd1151: VAR2 = 32'hc217f059; 11'd1152: VAR2 = 32'hc21912c7; 11'd1153: VAR2 = 32'hc21a34d7; 11'd1154: VAR2 = 32'hc21b5687; 11'd1155: VAR2 = 32'hc21c77d7; 11'd1156: VAR2 = 32'hc21d98c7; 11'd1157: VAR2 = 32'hc21eb956; 11'd1158: VAR2 = 32'hc21fd983; 11'd1159: VAR2 = 32'hc220f94d; 11'd1160: VAR2 = 32'hc22218b4; 11'd1161: VAR2 = 32'hc22337b7; 11'd1162: VAR2 = 32'hc2245656; 11'd1163: VAR2 = 32'hc225748f; 11'd1164: VAR2 = 32'hc2269262; 11'd1165: VAR2 = 32'hc227afce; 11'd1166: VAR2 = 32'hc228ccd2; 11'd1167: VAR2 = 32'hc229e96f; 11'd1168: VAR2 = 32'hc22b05a3; 11'd1169: VAR2 = 32'hc22c216d; 11'd1170: VAR2 = 32'hc22d3ccd; 11'd1171: VAR2 = 32'hc22e57c2; 11'd1172: VAR2 = 32'hc22f724c; 11'd1173: VAR2 = 32'hc2308c6a; 11'd1174: VAR2 = 32'hc231a61a; 11'd1175: VAR2 = 32'hc232bf5d; 11'd1176: VAR2 = 32'hc233d832; 11'd1177: VAR2 = 32'hc234f098; 11'd1178: VAR2 = 32'hc236088e; 11'd1179: VAR2 = 32'hc2372014; 11'd1180: VAR2 = 32'hc2383729; 11'd1181: VAR2 = 32'hc2394dcc; 11'd1182: VAR2 = 32'hc23a63fd; 11'd1183: VAR2 = 32'hc23b79bb; 11'd1184: VAR2 = 32'hc23c8f05; 11'd1185: VAR2 = 32'hc23da3db; 11'd1186: VAR2 = 32'hc23eb83c; 11'd1187: VAR2 = 32'hc23fcc28; 11'd1188: VAR2 = 32'hc240df9d; 11'd1189: VAR2 = 32'hc241f29b; 11'd1190: VAR2 = 32'hc2430521; 11'd1191: VAR2 = 32'hc2441730; 11'd1192: VAR2 = 32'hc24528c5; 11'd1193: VAR2 = 32'hc24639e1; 11'd1194: VAR2 = 32'hc2474a82; 11'd1195: VAR2 = 32'hc2485aa8; 11'd1196: VAR2 = 32'hc2496a53; 11'd1197: VAR2 = 32'hc24a7982; 11'd1198: VAR2 = 32'hc24b8833; 11'd1199: VAR2 = 32'hc24c9668; 11'd1200: VAR2 = 32'hc24da41e; 11'd1201: VAR2 = 32'hc24eb155; 11'd1202: VAR2 = 32'hc24fbe0c; 11'd1203: VAR2 = 32'hc250ca44; 11'd1204: VAR2 = 32'hc251d5fa; 11'd1205: VAR2 = 32'hc252e130; 11'd1206: VAR2 = 32'hc253ebe3; 11'd1207: VAR2 = 32'hc254f613; 11'd1208: VAR2 = 32'hc255ffc0; 11'd1209: VAR2 = 32'hc25708e9; 11'd1210: VAR2 = 32'hc258118e; 11'd1211: VAR2 = 32'hc25919ad; 11'd1212: VAR2 = 32'hc25a2146; 11'd1213: VAR2 = 32'hc25b2859; 11'd1214: VAR2 = 32'hc25c2ee4; 11'd1215: VAR2 = 32'hc25d34e8; 11'd1216: VAR2 = 32'hc25e3a63; 11'd1217: VAR2 = 32'hc25f3f55; 11'd1218: VAR2 = 32'hc26043bd; 11'd1219: VAR2 = 32'hc261479c; 11'd1220: VAR2 = 32'hc2624aef; 11'd1221: VAR2 = 32'hc2634db6; 11'd1222: VAR2 = 32'hc2644ff1; 11'd1223: VAR2 = 32'hc26551a0; 11'd1224: VAR2 = 32'hc26652c1; 11'd1225: VAR2 = 32'hc2675354; 11'd1226: VAR2 = 32'hc2685358; 11'd1227: VAR2 = 32'hc26952cd; 11'd1228: VAR2 = 32'hc26a51b2; 11'd1229: VAR2 = 32'hc26b5006; 11'd1230: VAR2 = 32'hc26c4dca; 11'd1231: VAR2 = 32'hc26d4afb; 11'd1232: VAR2 = 32'hc26e479a; 11'd1233: VAR2 = 32'hc26f43a7; 11'd1234: VAR2 = 32'hc2703f1f; 11'd1235: VAR2 = 32'hc2713a04; 11'd1236: VAR2 = 32'hc2723453; 11'd1237: VAR2 = 32'hc2732e0d; 11'd1238: VAR2 = 32'hc2742732; 11'd1239: VAR2 = 32'hc2751fbf; 11'd1240: VAR2 = 32'hc27617b6; 11'd1241: VAR2 = 32'hc2770f14; 11'd1242: VAR2 = 32'hc27805da; 11'd1243: VAR2 = 32'hc278fc08; 11'd1244: VAR2 = 32'hc279f19b; 11'd1245: VAR2 = 32'hc27ae695; 11'd1246: VAR2 = 32'hc27bdaf3; 11'd1247: VAR2 = 32'hc27cceb7; 11'd1248: VAR2 = 32'hc27dc1de; 11'd1249: VAR2 = 32'hc27eb469; 11'd1250: VAR2 = 32'hc27fa657; 11'd1251: VAR2 = 32'hc2804bd3; 11'd1252: VAR2 = 32'hc280c42c; 11'd1253: VAR2 = 32'hc2813c36; 11'd1254: VAR2 = 32'hc281b3ef; 11'd1255: VAR2 = 32'hc2822b59; 11'd1256: VAR2 = 32'hc282a272; 11'd1257: VAR2 = 32'hc283193b; 11'd1258: VAR2 = 32'hc2838fb3; 11'd1259: VAR2 = 32'hc28405da; 11'd1260: VAR2 = 32'hc2847baf; 11'd1261: VAR2 = 32'hc284f133; 11'd1262: VAR2 = 32'hc2856665; 11'd1263: VAR2 = 32'hc285db44; 11'd1264: VAR2 = 32'hc2864fd1; 11'd1265: VAR2 = 32'hc286c40b; 11'd1266: VAR2 = 32'hc28737f2; 11'd1267: VAR2 = 32'hc287ab85; 11'd1268: VAR2 = 32'hc2881ec5; 11'd1269: VAR2 = 32'hc28891b1; 11'd1270: VAR2 = 32'hc2890448; 11'd1271: VAR2 = 32'hc289768b; 11'd1272: VAR2 = 32'hc289e879; 11'd1273: VAR2 = 32'hc28a5a12; 11'd1274: VAR2 = 32'hc28acb56; 11'd1275: VAR2 = 32'hc28b3c44; 11'd1276: VAR2 = 32'hc28bacdd; 11'd1277: VAR2 = 32'hc28c1d1f; 11'd1278: VAR2 = 32'hc28c8d0a; 11'd1279: VAR2 = 32'hc28cfc9f; 11'd1280: VAR2 = 32'hc28d6bdd; 11'd1281: VAR2 = 32'hc28ddac4; 11'd1282: VAR2 = 32'hc28e4953; 11'd1283: VAR2 = 32'hc28eb78b; 11'd1284: VAR2 = 32'hc28f256a; 11'd1285: VAR2 = 32'hc28f92f2; 11'd1286: VAR2 = 32'hc2900020; 11'd1287: VAR2 = 32'hc2906cf6; 11'd1288: VAR2 = 32'hc290d973; 11'd1289: VAR2 = 32'hc2914596; 11'd1290: VAR2 = 32'hc291b160; 11'd1291: VAR2 = 32'hc2921cd0; 11'd1292: VAR2 = 32'hc29287e5; 11'd1293: VAR2 = 32'hc292f2a1; 11'd1294: VAR2 = 32'hc2935d02; 11'd1295: VAR2 = 32'hc293c707; 11'd1296: VAR2 = 32'hc29430b2; 11'd1297: VAR2 = 32'hc2949a01; 11'd1298: VAR2 = 32'hc29502f5; 11'd1299: VAR2 = 32'hc2956b8c; 11'd1300: VAR2 = 32'hc295d3c8; 11'd1301: VAR2 = 32'hc2963ba7; 11'd1302: VAR2 = 32'hc296a329; 11'd1303: VAR2 = 32'hc2970a4f; 11'd1304: VAR2 = 32'hc2977117; 11'd1305: VAR2 = 32'hc297d782; 11'd1306: VAR2 = 32'hc2983d8f; 11'd1307: VAR2 = 32'hc298a33f; 11'd1308: VAR2 = 32'hc2990890; 11'd1309: VAR2 = 32'hc2996d83; 11'd1310: VAR2 = 32'hc299d217; 11'd1311: VAR2 = 32'hc29a364c; 11'd1312: VAR2 = 32'hc29a9a22; 11'd1313: VAR2 = 32'hc29afd99; 11'd1314: VAR2 = 32'hc29b60b0; 11'd1315: VAR2 = 32'hc29bc367; 11'd1316: VAR2 = 32'hc29c25be; 11'd1317: VAR2 = 32'hc29c87b5; 11'd1318: VAR2 = 32'hc29ce94c; 11'd1319: VAR2 = 32'hc29d4a81; 11'd1320: VAR2 = 32'hc29dab56; 11'd1321: VAR2 = 32'hc29e0bc9; 11'd1322: VAR2 = 32'hc29e6bdb; 11'd1323: VAR2 = 32'hc29ecb8b; 11'd1324: VAR2 = 32'hc29f2ad9; 11'd1325: VAR2 = 32'hc29f89c5; 11'd1326: VAR2 = 32'hc29fe84e; 11'd1327: VAR2 = 32'hc2a04675; 11'd1328: VAR2 = 32'hc2a0a439; 11'd1329: VAR2 = 32'hc2a1019a; 11'd1330: VAR2 = 32'hc2a15e98; 11'd1331: VAR2 = 32'hc2a1bb32; 11'd1332: VAR2 = 32'hc2a21768; 11'd1333: VAR2 = 32'hc2a2733a; 11'd1334: VAR2 = 32'hc2a2cea8; 11'd1335: VAR2 = 32'hc2a329b2; 11'd1336: VAR2 = 32'hc2a38457; 11'd1337: VAR2 = 32'hc2a3de97; 11'd1338: VAR2 = 32'hc2a43872; 11'd1339: VAR2 = 32'hc2a491e8; 11'd1340: VAR2 = 32'hc2a4eaf8; 11'd1341: VAR2 = 32'hc2a543a3; 11'd1342: VAR2 = 32'hc2a59be8; 11'd1343: VAR2 = 32'hc2a5f3c6; 11'd1344: VAR2 = 32'hc2a64b3e; 11'd1345: VAR2 = 32'hc2a6a24f; 11'd1346: VAR2 = 32'hc2a6f8fa; 11'd1347: VAR2 = 32'hc2a74f3e; 11'd1348: VAR2 = 32'hc2a7a51a; 11'd1349: VAR2 = 32'hc2a7fa8f; 11'd1350: VAR2 = 32'hc2a84f9d; 11'd1351: VAR2 = 32'hc2a8a443; 11'd1352: VAR2 = 32'hc2a8f880; 11'd1353: VAR2 = 32'hc2a94c56; 11'd1354: VAR2 = 32'hc2a99fc2; 11'd1355: VAR2 = 32'hc2a9f2c7; 11'd1356: VAR2 = 32'hc2aa4562; 11'd1357: VAR2 = 32'hc2aa9795; 11'd1358: VAR2 = 32'hc2aae95e; 11'd1359: VAR2 = 32'hc2ab3abe; 11'd1360: VAR2 = 32'hc2ab8bb4; 11'd1361: VAR2 = 32'hc2abdc40; 11'd1362: VAR2 = 32'hc2ac2c63; 11'd1363: VAR2 = 32'hc2ac7c1b; 11'd1364: VAR2 = 32'hc2accb69; 11'd1365: VAR2 = 32'hc2ad1a4c; 11'd1366: VAR2 = 32'hc2ad68c4; 11'd1367: VAR2 = 32'hc2adb6d1; 11'd1368: VAR2 = 32'hc2ae0474; 11'd1369: VAR2 = 32'hc2ae51ab; 11'd1370: VAR2 = 32'hc2ae9e76; 11'd1371: VAR2 = 32'hc2aeead6; 11'd1372: VAR2 = 32'hc2af36c9; 11'd1373: VAR2 = 32'hc2af8251; 11'd1374: VAR2 = 32'hc2afcd6d; 11'd1375: VAR2 = 32'hc2b0181c; 11'd1376: VAR2 = 32'hc2b0625e; 11'd1377: VAR2 = 32'hc2b0ac34; 11'd1378: VAR2 = 32'hc2b0f59c; 11'd1379: VAR2 = 32'hc2b13e98; 11'd1380: VAR2 = 32'hc2b18726; 11'd1381: VAR2 = 32'hc2b1cf46; 11'd1382: VAR2 = 32'hc2b216f9; 11'd1383: VAR2 = 32'hc2b25e3e; 11'd1384: VAR2 = 32'hc2b2a515; 11'd1385: VAR2 = 32'hc2b2eb7e; 11'd1386: VAR2 = 32'hc2b33178; 11'd1387: VAR2 = 32'hc2b37704; 11'd1388: VAR2 = 32'hc2b3bc22; 11'd1389: VAR2 = 32'hc2b400d0; 11'd1390: VAR2 = 32'hc2b4450f; 11'd1391: VAR2 = 32'hc2b488df; 11'd1392: VAR2 = 32'hc2b4cc40; 11'd1393: VAR2 = 32'hc2b50f31; 11'd1394: VAR2 = 32'hc2b551b3; 11'd1395: VAR2 = 32'hc2b593c5; 11'd1396: VAR2 = 32'hc2b5d566; 11'd1397: VAR2 = 32'hc2b61698; 11'd1398: VAR2 = 32'hc2b65759; 11'd1399: VAR2 = 32'hc2b697aa; 11'd1400: VAR2 = 32'hc2b6d78a; 11'd1401: VAR2 = 32'hc2b716f9; 11'd1402: VAR2 = 32'hc2b755f7; 11'd1403: VAR2 = 32'hc2b79485; 11'd1404: VAR2 = 32'hc2b7d2a1; 11'd1405: VAR2 = 32'hc2b8104b; 11'd1406: VAR2 = 32'hc2b84d84; 11'd1407: VAR2 = 32'hc2b88a4c; 11'd1408: VAR2 = 32'hc2b8c6a1; 11'd1409: VAR2 = 32'hc2b90285; 11'd1410: VAR2 = 32'hc2b93df7; 11'd1411: VAR2 = 32'hc2b978f6; 11'd1412: VAR2 = 32'hc2b9b383; 11'd1413: VAR2 = 32'hc2b9ed9d; 11'd1414: VAR2 = 32'hc2ba2744; 11'd1415: VAR2 = 32'hc2ba6079; 11'd1416: VAR2 = 32'hc2ba993b; 11'd1417: VAR2 = 32'hc2bad18a; 11'd1418: VAR2 = 32'hc2bb0965; 11'd1419: VAR2 = 32'hc2bb40cd; 11'd1420: VAR2 = 32'hc2bb77c2; 11'd1421: VAR2 = 32'hc2bbae42; 11'd1422: VAR2 = 32'hc2bbe450; 11'd1423: VAR2 = 32'hc2bc19e9; 11'd1424: VAR2 = 32'hc2bc4f0e; 11'd1425: VAR2 = 32'hc2bc83bf; 11'd1426: VAR2 = 32'hc2bcb7fc; 11'd1427: VAR2 = 32'hc2bcebc4; 11'd1428: VAR2 = 32'hc2bd1f18; 11'd1429: VAR2 = 32'hc2bd51f7; 11'd1430: VAR2 = 32'hc2bd8462; 11'd1431: VAR2 = 32'hc2bdb657; 11'd1432: VAR2 = 32'hc2bde7d7; 11'd1433: VAR2 = 32'hc2be18e3; 11'd1434: VAR2 = 32'hc2be4979; 11'd1435: VAR2 = 32'hc2be7999; 11'd1436: VAR2 = 32'hc2bea945; 11'd1437: VAR2 = 32'hc2bed87a; 11'd1438: VAR2 = 32'hc2bf073a; 11'd1439: VAR2 = 32'hc2bf3584; 11'd1440: VAR2 = 32'hc2bf6358; 11'd1441: VAR2 = 32'hc2bf90b6; 11'd1442: VAR2 = 32'hc2bfbd9e; 11'd1443: VAR2 = 32'hc2bfea0f; 11'd1444: VAR2 = 32'hc2c0160b; 11'd1445: VAR2 = 32'hc2c0418f; 11'd1446: VAR2 = 32'hc2c06c9d; 11'd1447: VAR2 = 32'hc2c09735; 11'd1448: VAR2 = 32'hc2c0c155; 11'd1449: VAR2 = 32'hc2c0eaff; 11'd1450: VAR2 = 32'hc2c11432; 11'd1451: VAR2 = 32'hc2c13ced; 11'd1452: VAR2 = 32'hc2c16532; 11'd1453: VAR2 = 32'hc2c18cff; 11'd1454: VAR2 = 32'hc2c1b455; 11'd1455: VAR2 = 32'hc2c1db33; 11'd1456: VAR2 = 32'hc2c20199; 11'd1457: VAR2 = 32'hc2c22788; 11'd1458: VAR2 = 32'hc2c24d00; 11'd1459: VAR2 = 32'hc2c271ff; 11'd1460: VAR2 = 32'hc2c29686; 11'd1461: VAR2 = 32'hc2c2ba96; 11'd1462: VAR2 = 32'hc2c2de2d; 11'd1463: VAR2 = 32'hc2c3014c; 11'd1464: VAR2 = 32'hc2c323f3; 11'd1465: VAR2 = 32'hc2c34621; 11'd1466: VAR2 = 32'hc2c367d7; 11'd1467: VAR2 = 32'hc2c38914; 11'd1468: VAR2 = 32'hc2c3a9d9; 11'd1469: VAR2 = 32'hc2c3ca25; 11'd1470: VAR2 = 32'hc2c3e9f9; 11'd1471: VAR2 = 32'hc2c40953; 11'd1472: VAR2 = 32'hc2c42835; 11'd1473: VAR2 = 32'hc2c4469d; 11'd1474: VAR2 = 32'hc2c4648d; 11'd1475: VAR2 = 32'hc2c48203; 11'd1476: VAR2 = 32'hc2c49f00; 11'd1477: VAR2 = 32'hc2c4bb84; 11'd1478: VAR2 = 32'hc2c4d78e; 11'd1479: VAR2 = 32'hc2c4f31f; 11'd1480: VAR2 = 32'hc2c50e37; 11'd1481: VAR2 = 32'hc2c528d5; 11'd1482: VAR2 = 32'hc2c542f9; 11'd1483: VAR2 = 32'hc2c55ca4; 11'd1484: VAR2 = 32'hc2c575d5; 11'd1485: VAR2 = 32'hc2c58e8c; 11'd1486: VAR2 = 32'hc2c5a6c9; 11'd1487: VAR2 = 32'hc2c5be8d; 11'd1488: VAR2 = 32'hc2c5d5d6; 11'd1489: VAR2 = 32'hc2c5eca6; 11'd1490: VAR2 = 32'hc2c602fb; 11'd1491: VAR2 = 32'hc2c618d6; 11'd1492: VAR2 = 32'hc2c62e37; 11'd1493: VAR2 = 32'hc2c6431e; 11'd1494: VAR2 = 32'hc2c6578a; 11'd1495: VAR2 = 32'hc2c66b7c; 11'd1496: VAR2 = 32'hc2c67ef4; 11'd1497: VAR2 = 32'hc2c691f1; 11'd1498: VAR2 = 32'hc2c6a474; 11'd1499: VAR2 = 32'hc2c6b67c; 11'd1500: VAR2 = 32'hc2c6c809; 11'd1501: VAR2 = 32'hc2c6d91c; 11'd1502: VAR2 = 32'hc2c6e9b5; 11'd1503: VAR2 = 32'hc2c6f9d2; 11'd1504: VAR2 = 32'hc2c70975; 11'd1505: VAR2 = 32'hc2c7189d; 11'd1506: VAR2 = 32'hc2c7274b; 11'd1507: VAR2 = 32'hc2c7357d; 11'd1508: VAR2 = 32'hc2c74335; 11'd1509: VAR2 = 32'hc2c75071; 11'd1510: VAR2 = 32'hc2c75d33; 11'd1511: VAR2 = 32'hc2c7697a; 11'd1512: VAR2 = 32'hc2c77545; 11'd1513: VAR2 = 32'hc2c78096; 11'd1514: VAR2 = 32'hc2c78b6c; 11'd1515: VAR2 = 32'hc2c795c6; 11'd1516: VAR2 = 32'hc2c79fa6; 11'd1517: VAR2 = 32'hc2c7a90a; 11'd1518: VAR2 = 32'hc2c7b1f3; 11'd1519: VAR2 = 32'hc2c7ba61; 11'd1520: VAR2 = 32'hc2c7c254; 11'd1521: VAR2 = 32'hc2c7c9cb; 11'd1522: VAR2 = 32'hc2c7d0c8; 11'd1523: VAR2 = 32'hc2c7d749; 11'd1524: VAR2 = 32'hc2c7dd4e; 11'd1525: VAR2 = 32'hc2c7e2d9; 11'd1526: VAR2 = 32'hc2c7e7e8; 11'd1527: VAR2 = 32'hc2c7ec7c; 11'd1528: VAR2 = 32'hc2c7f094; 11'd1529: VAR2 = 32'hc2c7f432; 11'd1530: VAR2 = 32'hc2c7f753; 11'd1531: VAR2 = 32'hc2c7f9fa; 11'd1532: VAR2 = 32'hc2c7fc25; 11'd1533: VAR2 = 32'hc2c7fdd5; 11'd1534: VAR2 = 32'hc2c7ff09; 11'd1535: VAR2 = 32'hc2c7ffc2; 11'd1536: VAR2 = 32'hc2c80000; 11'd1537: VAR2 = 32'hc2c7ffc2; 11'd1538: VAR2 = 32'hc2c7ff09; 11'd1539: VAR2 = 32'hc2c7fdd5; 11'd1540: VAR2 = 32'hc2c7fc25; 11'd1541: VAR2 = 32'hc2c7f9fa; 11'd1542: VAR2 = 32'hc2c7f753; 11'd1543: VAR2 = 32'hc2c7f432; 11'd1544: VAR2 = 32'hc2c7f094; 11'd1545: VAR2 = 32'hc2c7ec7c; 11'd1546: VAR2 = 32'hc2c7e7e8; 11'd1547: VAR2 = 32'hc2c7e2d9; 11'd1548: VAR2 = 32'hc2c7dd4e; 11'd1549: VAR2 = 32'hc2c7d749; 11'd1550: VAR2 = 32'hc2c7d0c8; 11'd1551: VAR2 = 32'hc2c7c9cb; 11'd1552: VAR2 = 32'hc2c7c254; 11'd1553: VAR2 = 32'hc2c7ba61; 11'd1554: VAR2 = 32'hc2c7b1f3; 11'd1555: VAR2 = 32'hc2c7a90a; 11'd1556: VAR2 = 32'hc2c79fa6; 11'd1557: VAR2 = 32'hc2c795c6; 11'd1558: VAR2 = 32'hc2c78b6c; 11'd1559: VAR2 = 32'hc2c78096; 11'd1560: VAR2 = 32'hc2c77546; 11'd1561: VAR2 = 32'hc2c7697a; 11'd1562: VAR2 = 32'hc2c75d33; 11'd1563: VAR2 = 32'hc2c75071; 11'd1564: VAR2 = 32'hc2c74335; 11'd1565: VAR2 = 32'hc2c7357d; 11'd1566: VAR2 = 32'hc2c7274b; 11'd1567: VAR2 = 32'hc2c7189d; 11'd1568: VAR2 = 32'hc2c70975; 11'd1569: VAR2 = 32'hc2c6f9d2; 11'd1570: VAR2 = 32'hc2c6e9b5; 11'd1571: VAR2 = 32'hc2c6d91d; 11'd1572: VAR2 = 32'hc2c6c80a; 11'd1573: VAR2 = 32'hc2c6b67c; 11'd1574: VAR2 = 32'hc2c6a474; 11'd1575: VAR2 = 32'hc2c691f1; 11'd1576: VAR2 = 32'hc2c67ef4; 11'd1577: VAR2 = 32'hc2c66b7c; 11'd1578: VAR2 = 32'hc2c6578a; 11'd1579: VAR2 = 32'hc2c6431e; 11'd1580: VAR2 = 32'hc2c62e37; 11'd1581: VAR2 = 32'hc2c618d6; 11'd1582: VAR2 = 32'hc2c602fb; 11'd1583: VAR2 = 32'hc2c5eca6; 11'd1584: VAR2 = 32'hc2c5d5d7; 11'd1585: VAR2 = 32'hc2c5be8d; 11'd1586: VAR2 = 32'hc2c5a6ca; 11'd1587: VAR2 = 32'hc2c58e8c; 11'd1588: VAR2 = 32'hc2c575d5; 11'd1589: VAR2 = 32'hc2c55ca4; 11'd1590: VAR2 = 32'hc2c542fa; 11'd1591: VAR2 = 32'hc2c528d5; 11'd1592: VAR2 = 32'hc2c50e37; 11'd1593: VAR2 = 32'hc2c4f320; 11'd1594: VAR2 = 32'hc2c4d78f; 11'd1595: VAR2 = 32'hc2c4bb84; 11'd1596: VAR2 = 32'hc2c49f00; 11'd1597: VAR2 = 32'hc2c48203; 11'd1598: VAR2 = 32'hc2c4648d; 11'd1599: VAR2 = 32'hc2c4469e; 11'd1600: VAR2 = 32'hc2c42835; 11'd1601: VAR2 = 32'hc2c40954; 11'd1602: VAR2 = 32'hc2c3e9f9; 11'd1603: VAR2 = 32'hc2c3ca26; 11'd1604: VAR2 = 32'hc2c3a9da; 11'd1605: VAR2 = 32'hc2c38915; 11'd1606: VAR2 = 32'hc2c367d8; 11'd1607: VAR2 = 32'hc2c34622; 11'd1608: VAR2 = 32'hc2c323f3; 11'd1609: VAR2 = 32'hc2c3014c; 11'd1610: VAR2 = 32'hc2c2de2d; 11'd1611: VAR2 = 32'hc2c2ba96; 11'd1612: VAR2 = 32'hc2c29687; 11'd1613: VAR2 = 32'hc2c271ff; 11'd1614: VAR2 = 32'hc2c24d00; 11'd1615: VAR2 = 32'hc2c22789; 11'd1616: VAR2 = 32'hc2c2019a; 11'd1617: VAR2 = 32'hc2c1db33; 11'd1618: VAR2 = 32'hc2c1b455; 11'd1619: VAR2 = 32'hc2c18cff; 11'd1620: VAR2 = 32'hc2c16532; 11'd1621: VAR2 = 32'hc2c13cee; 11'd1622: VAR2 = 32'hc2c11432; 11'd1623: VAR2 = 32'hc2c0eb00; 11'd1624: VAR2 = 32'hc2c0c156; 11'd1625: VAR2 = 32'hc2c09735; 11'd1626: VAR2 = 32'hc2c06c9e; 11'd1627: VAR2 = 32'hc2c04190; 11'd1628: VAR2 = 32'hc2c0160b; 11'd1629: VAR2 = 32'hc2bfea10; 11'd1630: VAR2 = 32'hc2bfbd9f; 11'd1631: VAR2 = 32'hc2bf90b7; 11'd1632: VAR2 = 32'hc2bf6359; 11'd1633: VAR2 = 32'hc2bf3585; 11'd1634: VAR2 = 32'hc2bf073b; 11'd1635: VAR2 = 32'hc2bed87b; 11'd1636: VAR2 = 32'hc2bea945; 11'd1637: VAR2 = 32'hc2be799a; 11'd1638: VAR2 = 32'hc2be4979; 11'd1639: VAR2 = 32'hc2be18e3; 11'd1640: VAR2 = 32'hc2bde7d8; 11'd1641: VAR2 = 32'hc2bdb658; 11'd1642: VAR2 = 32'hc2bd8462; 11'd1643: VAR2 = 32'hc2bd51f8; 11'd1644: VAR2 = 32'hc2bd1f19; 11'd1645: VAR2 = 32'hc2bcebc5; 11'd1646: VAR2 = 32'hc2bcb7fd; 11'd1647: VAR2 = 32'hc2bc83c0; 11'd1648: VAR2 = 32'hc2bc4f0f; 11'd1649: VAR2 = 32'hc2bc19ea; 11'd1650: VAR2 = 32'hc2bbe450; 11'd1651: VAR2 = 32'hc2bbae43; 11'd1652: VAR2 = 32'hc2bb77c2; 11'd1653: VAR2 = 32'hc2bb40ce; 11'd1654: VAR2 = 32'hc2bb0966; 11'd1655: VAR2 = 32'hc2bad18a; 11'd1656: VAR2 = 32'hc2ba993c; 11'd1657: VAR2 = 32'hc2ba607a; 11'd1658: VAR2 = 32'hc2ba2745; 11'd1659: VAR2 = 32'hc2b9ed9e; 11'd1660: VAR2 = 32'hc2b9b383; 11'd1661: VAR2 = 32'hc2b978f7; 11'd1662: VAR2 = 32'hc2b93df7; 11'd1663: VAR2 = 32'hc2b90286; 11'd1664: VAR2 = 32'hc2b8c6a2; 11'd1665: VAR2 = 32'hc2b88a4d; 11'd1666: VAR2 = 32'hc2b84d85; 11'd1667: VAR2 = 32'hc2b8104c; 11'd1668: VAR2 = 32'hc2b7d2a2; 11'd1669: VAR2 = 32'hc2b79486; 11'd1670: VAR2 = 32'hc2b755f8; 11'd1671: VAR2 = 32'hc2b716fa; 11'd1672: VAR2 = 32'hc2b6d78b; 11'd1673: VAR2 = 32'hc2b697aa; 11'd1674: VAR2 = 32'hc2b6575a; 11'd1675: VAR2 = 32'hc2b61699; 11'd1676: VAR2 = 32'hc2b5d567; 11'd1677: VAR2 = 32'hc2b593c5; 11'd1678: VAR2 = 32'hc2b551b4; 11'd1679: VAR2 = 32'hc2b50f32; 11'd1680: VAR2 = 32'hc2b4cc41; 11'd1681: VAR2 = 32'hc2b488e0; 11'd1682: VAR2 = 32'hc2b44510; 11'd1683: VAR2 = 32'hc2b400d1; 11'd1684: VAR2 = 32'hc2b3bc22; 11'd1685: VAR2 = 32'hc2b37705; 11'd1686: VAR2 = 32'hc2b33179; 11'd1687: VAR2 = 32'hc2b2eb7f; 11'd1688: VAR2 = 32'hc2b2a516; 11'd1689: VAR2 = 32'hc2b25e3f; 11'd1690: VAR2 = 32'hc2b216fa; 11'd1691: VAR2 = 32'hc2b1cf47; 11'd1692: VAR2 = 32'hc2b18727; 11'd1693: VAR2 = 32'hc2b13e98; 11'd1694: VAR2 = 32'hc2b0f59d; 11'd1695: VAR2 = 32'hc2b0ac34; 11'd1696: VAR2 = 32'hc2b0625f; 11'd1697: VAR2 = 32'hc2b0181d; 11'd1698: VAR2 = 32'hc2afcd6e; 11'd1699: VAR2 = 32'hc2af8252; 11'd1700: VAR2 = 32'hc2af36cb; 11'd1701: VAR2 = 32'hc2aeead7; 11'd1702: VAR2 = 32'hc2ae9e77; 11'd1703: VAR2 = 32'hc2ae51ac; 11'd1704: VAR2 = 32'hc2ae0475; 11'd1705: VAR2 = 32'hc2adb6d3; 11'd1706: VAR2 = 32'hc2ad68c5; 11'd1707: VAR2 = 32'hc2ad1a4d; 11'd1708: VAR2 = 32'hc2accb6a; 11'd1709: VAR2 = 32'hc2ac7c1c; 11'd1710: VAR2 = 32'hc2ac2c64; 11'd1711: VAR2 = 32'hc2abdc41; 11'd1712: VAR2 = 32'hc2ab8bb5; 11'd1713: VAR2 = 32'hc2ab3abf; 11'd1714: VAR2 = 32'hc2aae95f; 11'd1715: VAR2 = 32'hc2aa9796; 11'd1716: VAR2 = 32'hc2aa4563; 11'd1717: VAR2 = 32'hc2a9f2c8; 11'd1718: VAR2 = 32'hc2a99fc4; 11'd1719: VAR2 = 32'hc2a94c57; 11'd1720: VAR2 = 32'hc2a8f881; 11'd1721: VAR2 = 32'hc2a8a444; 11'd1722: VAR2 = 32'hc2a84f9e; 11'd1723: VAR2 = 32'hc2a7fa91; 11'd1724: VAR2 = 32'hc2a7a51c; 11'd1725: VAR2 = 32'hc2a74f3f; 11'd1726: VAR2 = 32'hc2a6f8fb; 11'd1727: VAR2 = 32'hc2a6a251; 11'd1728: VAR2 = 32'hc2a64b3f; 11'd1729: VAR2 = 32'hc2a5f3c7; 11'd1730: VAR2 = 32'hc2a59be9; 11'd1731: VAR2 = 32'hc2a543a4; 11'd1732: VAR2 = 32'hc2a4eafa; 11'd1733: VAR2 = 32'hc2a491e9; 11'd1734: VAR2 = 32'hc2a43874; 11'd1735: VAR2 = 32'hc2a3de98; 11'd1736: VAR2 = 32'hc2a38458; 11'd1737: VAR2 = 32'hc2a329b3; 11'd1738: VAR2 = 32'hc2a2ceaa; 11'd1739: VAR2 = 32'hc2a2733c; 11'd1740: VAR2 = 32'hc2a21769; 11'd1741: VAR2 = 32'hc2a1bb33; 11'd1742: VAR2 = 32'hc2a15e99; 11'd1743: VAR2 = 32'hc2a1019b; 11'd1744: VAR2 = 32'hc2a0a43a; 11'd1745: VAR2 = 32'hc2a04676; 11'd1746: VAR2 = 32'hc29fe850; 11'd1747: VAR2 = 32'hc29f89c6; 11'd1748: VAR2 = 32'hc29f2ada; 11'd1749: VAR2 = 32'hc29ecb8c; 11'd1750: VAR2 = 32'hc29e6bdc; 11'd1751: VAR2 = 32'hc29e0bca; 11'd1752: VAR2 = 32'hc29dab57; 11'd1753: VAR2 = 32'hc29d4a83; 11'd1754: VAR2 = 32'hc29ce94d; 11'd1755: VAR2 = 32'hc29c87b7; 11'd1756: VAR2 = 32'hc29c25c0; 11'd1757: VAR2 = 32'hc29bc369; 11'd1758: VAR2 = 32'hc29b60b1; 11'd1759: VAR2 = 32'hc29afd9a; 11'd1760: VAR2 = 32'hc29a9a23; 11'd1761: VAR2 = 32'hc29a364d; 11'd1762: VAR2 = 32'hc299d218; 11'd1763: VAR2 = 32'hc2996d84; 11'd1764: VAR2 = 32'hc2990891; 11'd1765: VAR2 = 32'hc298a340; 11'd1766: VAR2 = 32'hc2983d91; 11'd1767: VAR2 = 32'hc297d783; 11'd1768: VAR2 = 32'hc2977119; 11'd1769: VAR2 = 32'hc2970a50; 11'd1770: VAR2 = 32'hc296a32b; 11'd1771: VAR2 = 32'hc2963ba8; 11'd1772: VAR2 = 32'hc295d3c9; 11'd1773: VAR2 = 32'hc2956b8e; 11'd1774: VAR2 = 32'hc29502f6; 11'd1775: VAR2 = 32'hc2949a03; 11'd1776: VAR2 = 32'hc29430b3; 11'd1777: VAR2 = 32'hc293c709; 11'd1778: VAR2 = 32'hc2935d03; 11'd1779: VAR2 = 32'hc292f2a2; 11'd1780: VAR2 = 32'hc29287e7; 11'd1781: VAR2 = 32'hc2921cd1; 11'd1782: VAR2 = 32'hc291b161; 11'd1783: VAR2 = 32'hc2914598; 11'd1784: VAR2 = 32'hc290d974; 11'd1785: VAR2 = 32'hc2906cf8; 11'd1786: VAR2 = 32'hc2900022; 11'd1787: VAR2 = 32'hc28f92f3; 11'd1788: VAR2 = 32'hc28f256c; 11'd1789: VAR2 = 32'hc28eb78c; 11'd1790: VAR2 = 32'hc28e4955; 11'd1791: VAR2 = 32'hc28ddac6; 11'd1792: VAR2 = 32'hc28d6bdf; 11'd1793: VAR2 = 32'hc28cfca1; 11'd1794: VAR2 = 32'hc28c8d0c; 11'd1795: VAR2 = 32'hc28c1d20; 11'd1796: VAR2 = 32'hc28bacde; 11'd1797: VAR2 = 32'hc28b3c46; 11'd1798: VAR2 = 32'hc28acb58; 11'd1799: VAR2 = 32'hc28a5a14; 11'd1800: VAR2 = 32'hc289e87b; 11'd1801: VAR2 = 32'hc289768d; 11'd1802: VAR2 = 32'hc289044a; 11'd1803: VAR2 = 32'hc28891b2; 11'd1804: VAR2 = 32'hc2881ec6; 11'd1805: VAR2 = 32'hc287ab87; 11'd1806: VAR2 = 32'hc28737f3; 11'd1807: VAR2 = 32'hc286c40c; 11'd1808: VAR2 = 32'hc2864fd2; 11'd1809: VAR2 = 32'hc285db46; 11'd1810: VAR2 = 32'hc2856666; 11'd1811: VAR2 = 32'hc284f135; 11'd1812: VAR2 = 32'hc2847bb1; 11'd1813: VAR2 = 32'hc28405dc; 11'd1814: VAR2 = 32'hc2838fb5; 11'd1815: VAR2 = 32'hc283193d; 11'd1816: VAR2 = 32'hc282a274; 11'd1817: VAR2 = 32'hc2822b5b; 11'd1818: VAR2 = 32'hc281b3f1; 11'd1819: VAR2 = 32'hc2813c37; 11'd1820: VAR2 = 32'hc280c42e; 11'd1821: VAR2 = 32'hc2804bd5; 11'd1822: VAR2 = 32'hc27fa65a; 11'd1823: VAR2 = 32'hc27eb46c; 11'd1824: VAR2 = 32'hc27dc1e1; 11'd1825: VAR2 = 32'hc27cceba; 11'd1826: VAR2 = 32'hc27bdaf7; 11'd1827: VAR2 = 32'hc27ae698; 11'd1828: VAR2 = 32'hc279f19f; 11'd1829: VAR2 = 32'hc278fc0b; 11'd1830: VAR2 = 32'hc27805de; 11'd1831: VAR2 = 32'hc2770f18; 11'd1832: VAR2 = 32'hc27617b9; 11'd1833: VAR2 = 32'hc2751fc3; 11'd1834: VAR2 = 32'hc2742735; 11'd1835: VAR2 = 32'hc2732e11; 11'd1836: VAR2 = 32'hc2723457; 11'd1837: VAR2 = 32'hc2713a07; 11'd1838: VAR2 = 32'hc2703f23; 11'd1839: VAR2 = 32'hc26f43aa; 11'd1840: VAR2 = 32'hc26e479e; 11'd1841: VAR2 = 32'hc26d4aff; 11'd1842: VAR2 = 32'hc26c4dcd; 11'd1843: VAR2 = 32'hc26b500a; 11'd1844: VAR2 = 32'hc26a51b5; 11'd1845: VAR2 = 32'hc26952d0; 11'd1846: VAR2 = 32'hc268535b; 11'd1847: VAR2 = 32'hc2675357; 11'd1848: VAR2 = 32'hc26652c4; 11'd1849: VAR2 = 32'hc26551a3; 11'd1850: VAR2 = 32'hc2644ff5; 11'd1851: VAR2 = 32'hc2634dba; 11'd1852: VAR2 = 32'hc2624af2; 11'd1853: VAR2 = 32'hc261479f; 11'd1854: VAR2 = 32'hc26043c1; 11'd1855: VAR2 = 32'hc25f3f59; 11'd1856: VAR2 = 32'hc25e3a66; 11'd1857: VAR2 = 32'hc25d34eb; 11'd1858: VAR2 = 32'hc25c2ee8; 11'd1859: VAR2 = 32'hc25b285c; 11'd1860: VAR2 = 32'hc25a214a; 11'd1861: VAR2 = 32'hc25919b0; 11'd1862: VAR2 = 32'hc2581191; 11'd1863: VAR2 = 32'hc25708ed; 11'd1864: VAR2 = 32'hc255ffc4; 11'd1865: VAR2 = 32'hc254f617; 11'd1866: VAR2 = 32'hc253ebe6; 11'd1867: VAR2 = 32'hc252e133; 11'd1868: VAR2 = 32'hc251d5fe; 11'd1869: VAR2 = 32'hc250ca47; 11'd1870: VAR2 = 32'hc24fbe10; 11'd1871: VAR2 = 32'hc24eb158; 11'd1872: VAR2 = 32'hc24da421; 11'd1873: VAR2 = 32'hc24c966b; 11'd1874: VAR2 = 32'hc24b8837; 11'd1875: VAR2 = 32'hc24a7985; 11'd1876: VAR2 = 32'hc2496a57; 11'd1877: VAR2 = 32'hc2485aac; 11'd1878: VAR2 = 32'hc2474a86; 11'd1879: VAR2 = 32'hc24639e4; 11'd1880: VAR2 = 32'hc24528c9; 11'd1881: VAR2 = 32'hc2441733; 11'd1882: VAR2 = 32'hc2430525; 11'd1883: VAR2 = 32'hc241f29f; 11'd1884: VAR2 = 32'hc240dfa1; 11'd1885: VAR2 = 32'hc23fcc2b; 11'd1886: VAR2 = 32'hc23eb840; 11'd1887: VAR2 = 32'hc23da3df; 11'd1888: VAR2 = 32'hc23c8f09; 11'd1889: VAR2 = 32'hc23b79bf; 11'd1890: VAR2 = 32'hc23a6401; 11'd1891: VAR2 = 32'hc2394dd0; 11'd1892: VAR2 = 32'hc238372c; 11'd1893: VAR2 = 32'hc2372017; 11'd1894: VAR2 = 32'hc2360892; 11'd1895: VAR2 = 32'hc234f09b; 11'd1896: VAR2 = 32'hc233d836; 11'd1897: VAR2 = 32'hc232bf61; 11'd1898: VAR2 = 32'hc231a61e; 11'd1899: VAR2 = 32'hc2308c6d; 11'd1900: VAR2 = 32'hc22f7250; 11'd1901: VAR2 = 32'hc22e57c6; 11'd1902: VAR2 = 32'hc22d3cd1; 11'd1903: VAR2 = 32'hc22c2171; 11'd1904: VAR2 = 32'hc22b05a7; 11'd1905: VAR2 = 32'hc229e973; 11'd1906: VAR2 = 32'hc228ccd6; 11'd1907: VAR2 = 32'hc227afd2; 11'd1908: VAR2 = 32'hc2269265; 11'd1909: VAR2 = 32'hc2257493; 11'd1910: VAR2 = 32'hc224565a; 11'd1911: VAR2 = 32'hc22337bb; 11'd1912: VAR2 = 32'hc22218b8; 11'd1913: VAR2 = 32'hc220f951; 11'd1914: VAR2 = 32'hc21fd987; 11'd1915: VAR2 = 32'hc21eb95a; 11'd1916: VAR2 = 32'hc21d98cb; 11'd1917: VAR2 = 32'hc21c77db; 11'd1918: VAR2 = 32'hc21b568b; 11'd1919: VAR2 = 32'hc21a34da; 11'd1920: VAR2 = 32'hc21912cb; 11'd1921: VAR2 = 32'hc217f05d; 11'd1922: VAR2 = 32'hc216cd91; 11'd1923: VAR2 = 32'hc215aa69; 11'd1924: VAR2 = 32'hc21486e4; 11'd1925: VAR2 = 32'hc2136303; 11'd1926: VAR2 = 32'hc2123ec8; 11'd1927: VAR2 = 32'hc2111a32; 11'd1928: VAR2 = 32'hc20ff543; 11'd1929: VAR2 = 32'hc20ecffb; 11'd1930: VAR2 = 32'hc20daa5b; 11'd1931: VAR2 = 32'hc20c8463; 11'd1932: VAR2 = 32'hc20b5e15; 11'd1933: VAR2 = 32'hc20a3771; 11'd1934: VAR2 = 32'hc2091078; 11'd1935: VAR2 = 32'hc207e92a; 11'd1936: VAR2 = 32'hc206c188; 11'd1937: VAR2 = 32'hc2059993; 11'd1938: VAR2 = 32'hc204714c; 11'd1939: VAR2 = 32'hc20348b3; 11'd1940: VAR2 = 32'hc2021fc9; 11'd1941: VAR2 = 32'hc200f68e; 11'd1942: VAR2 = 32'hc1ff9a09; 11'd1943: VAR2 = 32'hc1fd4658; 11'd1944: VAR2 = 32'hc1faf20a; 11'd1945: VAR2 = 32'hc1f89d22; 11'd1946: VAR2 = 32'hc1f647a0; 11'd1947: VAR2 = 32'hc1f3f187; 11'd1948: VAR2 = 32'hc1f19ad7; 11'd1949: VAR2 = 32'hc1ef4392; 11'd1950: VAR2 = 32'hc1ecebb9; 11'd1951: VAR2 = 32'hc1ea934e; 11'd1952: VAR2 = 32'hc1e83a52; 11'd1953: VAR2 = 32'hc1e5e0c8; 11'd1954: VAR2 = 32'hc1e386af; 11'd1955: VAR2 = 32'hc1e12c0a; 11'd1956: VAR2 = 32'hc1ded0da; 11'd1957: VAR2 = 32'hc1dc7521; 11'd1958: VAR2 = 32'hc1da18e0; 11'd1959: VAR2 = 32'hc1d7bc18; 11'd1960: VAR2 = 32'hc1d55ecb; 11'd1961: VAR2 = 32'hc1d300fb; 11'd1962: VAR2 = 32'hc1d0a2a8; 11'd1963: VAR2 = 32'hc1ce43d4; 11'd1964: VAR2 = 32'hc1cbe482; 11'd1965: VAR2 = 32'hc1c984b2; 11'd1966: VAR2 = 32'hc1c72465; 11'd1967: VAR2 = 32'hc1c4c39d; 11'd1968: VAR2 = 32'hc1c2625c; 11'd1969: VAR2 = 32'hc1c000a4; 11'd1970: VAR2 = 32'hc1bd9e74; 11'd1971: VAR2 = 32'hc1bb3bd0; 11'd1972: VAR2 = 32'hc1b8d8b9; 11'd1973: VAR2 = 32'hc1b6752f; 11'd1974: VAR2 = 32'hc1b41135; 11'd1975: VAR2 = 32'hc1b1accb; 11'd1976: VAR2 = 32'hc1af47f4; 11'd1977: VAR2 = 32'hc1ace2b1; 11'd1978: VAR2 = 32'hc1aa7d04; 11'd1979: VAR2 = 32'hc1a816ed; 11'd1980: VAR2 = 32'hc1a5b06e; 11'd1981: VAR2 = 32'hc1a34989; 11'd1982: VAR2 = 32'hc1a0e240; 11'd1983: VAR2 = 32'hc19e7a93; 11'd1984: VAR2 = 32'hc19c1285; 11'd1985: VAR2 = 32'hc199aa16; 11'd1986: VAR2 = 32'hc1974149; 11'd1987: VAR2 = 32'hc194d81e; 11'd1988: VAR2 = 32'hc1926e97; 11'd1989: VAR2 = 32'hc19004b6; 11'd1990: VAR2 = 32'hc18d9a7c; 11'd1991: VAR2 = 32'hc18b2feb; 11'd1992: VAR2 = 32'hc188c504; 11'd1993: VAR2 = 32'hc18659c9; 11'd1994: VAR2 = 32'hc183ee3a; 11'd1995: VAR2 = 32'hc181825b; 11'd1996: VAR2 = 32'hc17e2c56; 11'd1997: VAR2 = 32'hc179535b; 11'd1998: VAR2 = 32'hc17479c5; 11'd1999: VAR2 = 32'hc16f9f99; 11'd2000: VAR2 = 32'hc16ac4d8; 11'd2001: VAR2 = 32'hc165e987; 11'd2002: VAR2 = 32'hc1610da8; 11'd2003: VAR2 = 32'hc15c313f; 11'd2004: VAR2 = 32'hc157544d; 11'd2005: VAR2 = 32'hc15276d7; 11'd2006: VAR2 = 32'hc14d98de; 11'd2007: VAR2 = 32'hc148ba67; 11'd2008: VAR2 = 32'hc143db75; 11'd2009: VAR2 = 32'hc13efc09; 11'd2010: VAR2 = 32'hc13a1c27; 11'd2011: VAR2 = 32'hc1353bd3; 11'd2012: VAR2 = 32'hc1305b0f; 11'd2013: VAR2 = 32'hc12b79de; 11'd2014: VAR2 = 32'hc1269844; 11'd2015: VAR2 = 32'hc121b642; 11'd2016: VAR2 = 32'hc11cd3dd; 11'd2017: VAR2 = 32'hc117f117; 11'd2018: VAR2 = 32'hc1130df4; 11'd2019: VAR2 = 32'hc10e2a75; 11'd2020: VAR2 = 32'hc109469f; 11'd2021: VAR2 = 32'hc1046275; 11'd2022: VAR2 = 32'hc0fefbf1; 11'd2023: VAR2 = 32'hc0f5325b; 11'd2024: VAR2 = 32'hc0eb682d; 11'd2025: VAR2 = 32'hc0e19d6f; 11'd2026: VAR2 = 32'hc0d7d225; 11'd2027: VAR2 = 32'hc0ce0657; 11'd2028: VAR2 = 32'hc0c43a09; 11'd2029: VAR2 = 32'hc0ba6d42; 11'd2030: VAR2 = 32'hc0b0a008; 11'd2031: VAR2 = 32'hc0a6d261; 11'd2032: VAR2 = 32'hc09d0453; 11'd2033: VAR2 = 32'hc09335e5; 11'd2034: VAR2 = 32'hc089671b; 11'd2035: VAR2 = 32'hc07f2ffb; 11'd2036: VAR2 = 32'hc06b9121; 11'd2037: VAR2 = 32'hc057f1b6; 11'd2038: VAR2 = 32'hc04451c6; 11'd2039: VAR2 = 32'hc030b15c; 11'd2040: VAR2 = 32'hc01d1086; 11'd2041: VAR2 = 32'hc0096f4f; 11'd2042: VAR2 = 32'hbfeb9b86; 11'd2043: VAR2 = 32'hbfc457dd; 11'd2044: VAR2 = 32'hbf9d13ba; 11'd2045: VAR2 = 32'hbf6b9e6e; 11'd2046: VAR2 = 32'hbf1d14d6; 11'd2047: VAR2 = 32'hbe9d15ba; endcase end endmodule
apache-2.0
keith-epidev/VHDL-lib
top/lab_3/part_2/ip/clk_193MHz/clk_193MHz_stub.v
1,182
module MODULE1(VAR2, MODULE1, VAR1) ; input VAR2; output MODULE1; output VAR1; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/lsbufiso1p/sky130_fd_sc_lp__lsbufiso1p.pp.blackbox.v
1,388
module MODULE1 ( VAR7 , VAR2 , VAR3 , VAR6, VAR5 , VAR8 , VAR4, VAR9 , VAR1 ); output VAR7 ; input VAR2 ; input VAR3 ; input VAR6; input VAR5 ; input VAR8 ; input VAR4; input VAR9 ; input VAR1 ; endmodule
apache-2.0
alexforencich/xfcp
lib/eth/example/ZCU102/fpga/rtl/fpga.v
16,502
module MODULE1 ( input wire VAR309, input wire VAR246, input wire reset, input wire VAR136, input wire VAR276, input wire VAR63, input wire VAR252, input wire VAR22, input wire [7:0] VAR163, output wire [7:0] VAR204, input wire VAR131, output wire VAR119, input wire VAR17, output wire VAR230, input wire VAR137, input wire VAR73, output wire VAR186, output wire VAR318, input wire VAR279, input wire VAR132, output wire VAR77, output wire VAR37, input wire VAR38, input wire VAR19, output wire VAR217, output wire VAR108, input wire VAR293, input wire VAR242, output wire VAR273, output wire VAR298, input wire VAR113, input wire VAR306, output wire VAR278, output wire VAR75, output wire VAR253, output wire VAR287 ); wire VAR280; wire VAR189; wire VAR216; wire VAR258; wire VAR90; wire VAR277; wire VAR296; wire VAR257 = reset; wire VAR201; wire VAR229; VAR197 #( .VAR45("VAR149"), .VAR195("VAR149") ) VAR68 ( .VAR123 (VAR280), .VAR300 (VAR309), .VAR54 (VAR246) ); VAR210 VAR272 ( .VAR300(VAR280), .VAR123(VAR189) ); VAR70 #( .VAR69("VAR87"), .VAR156(8), .VAR182(0.5), .VAR194(0), .VAR130(1), .VAR76(0.5), .VAR220(0), .VAR165(1), .VAR160(0.5), .VAR153(0), .VAR317(1), .VAR269(0.5), .VAR47(0), .VAR155(1), .VAR89(0.5), .VAR226(0), .VAR236(1), .VAR46(0.5), .VAR52(0), .VAR180(1), .VAR315(0.5), .VAR67(0), .VAR14(8), .VAR150(0), .VAR200(1), .VAR208(0.010), .VAR141(8.0), .VAR88("VAR149"), .VAR188("VAR149") ) VAR215 ( .VAR159(VAR189), .VAR162(VAR229), .VAR104(VAR257), .VAR313(1'b0), .VAR9(VAR216), .VAR233(), .VAR59(), .VAR78(), .VAR191(), .VAR84(), .VAR265(), .VAR173(), .VAR134(), .VAR101(), .VAR92(), .VAR157(VAR229), .VAR268(), .VAR34(VAR201) ); VAR210 VAR319 ( .VAR300(VAR216), .VAR123(VAR258) ); VAR161 #( .VAR86(4) ) VAR205 ( .clk(VAR258), .rst(~VAR201), .out(VAR90) ); wire VAR51; wire VAR85; wire VAR15; wire VAR5; wire VAR109; wire [7:0] VAR175; VAR239 #( .VAR118(9), .VAR86(8), .VAR11(156000) ) VAR23 ( .clk(VAR277), .rst(VAR296), .in({VAR136, VAR276, VAR63, VAR252, VAR22, VAR163}), .out({VAR51, VAR85, VAR15, VAR5, VAR109, VAR175}) ); wire VAR53; wire VAR303; VAR294 #( .VAR118(2), .VAR86(2) ) VAR127 ( .clk(VAR277), .in({VAR131, VAR17}), .out({VAR53, VAR303}) ); assign VAR278 = 1'b1; assign VAR75 = 1'b1; assign VAR253 = 1'b1; assign VAR287 = 1'b1; wire VAR121; wire VAR255; wire [63:0] VAR1; wire [7:0] VAR36; wire VAR248; wire VAR179; wire [63:0] VAR266; wire [7:0] VAR167; wire VAR237; wire VAR305; wire [63:0] VAR32; wire [7:0] VAR290; wire VAR33; wire VAR115; wire [63:0] VAR307; wire [7:0] VAR58; wire VAR198; wire VAR190; wire [63:0] VAR129; wire [7:0] VAR211; wire VAR117; wire VAR111; wire [63:0] VAR44; wire [7:0] VAR71; wire VAR288; wire VAR126; wire [63:0] VAR62; wire [7:0] VAR262; wire VAR39; wire VAR267; wire [63:0] VAR209; wire [7:0] VAR270; wire VAR245; wire VAR72; wire VAR244; wire VAR158; wire VAR114; wire [3:0] VAR138; wire VAR30; wire VAR235; wire [3:0] VAR81; wire [3:0] VAR27; wire [3:0] VAR93; wire VAR184; wire VAR304; wire [3:0] VAR247; wire [3:0] VAR251; wire [3:0] VAR106; wire [3:0] VAR64; wire VAR74 = ~((&VAR247) & (&VAR251)); wire VAR261 = ~&VAR64; reg VAR254 = 1'b0; reg [3:0] VAR263 = 1'b0; VAR166 VAR133 ( .VAR300 (VAR113), .VAR54 (VAR306), .VAR213 (1'b0), .VAR123 (VAR114), .VAR169 () ); VAR183 VAR6 ( .VAR171 (1'b1), .VAR259 (1'b0), .VAR95 (VAR74), .VAR164 (1'b0), .VAR28 (3'd0), .VAR300 (VAR138[0]), .VAR123 (VAR30) ); VAR183 VAR29 ( .VAR171 (1'b1), .VAR259 (1'b0), .VAR95 (VAR74), .VAR164 (1'b0), .VAR28 (3'd1), .VAR300 (VAR138[0]), .VAR123 (VAR235) ); assign VAR277 = VAR235; always @(posedge VAR30, posedge VAR74) begin if (VAR74) begin VAR254 <= 1'b0; end else begin VAR254 <= 1'b1; end end genvar VAR192; generate for (VAR192 = 0 ; VAR192 < 4; VAR192 = VAR192 + 1) begin VAR183 VAR250 ( .VAR171 (1'b1), .VAR259 (1'b0), .VAR95 (VAR261), .VAR164 (1'b0), .VAR28 (3'd0), .VAR300 (VAR81[VAR192]), .VAR123 (VAR27[VAR192]) ); VAR183 VAR143 ( .VAR171 (1'b1), .VAR259 (1'b0), .VAR95 (VAR261), .VAR164 (1'b0), .VAR28 (3'd1), .VAR300 (VAR81[VAR192]), .VAR123 (VAR93[VAR192]) ); always @(posedge VAR27[VAR192], posedge VAR261) begin if (VAR261) begin VAR263[VAR192] <= 1'b0; end else begin VAR263[VAR192] <= 1'b1; end end end endgenerate VAR161 #( .VAR86(4) ) VAR8 ( .clk(VAR277), .rst(~VAR184), .out(VAR296) ); wire [5:0] VAR284; wire [63:0] VAR147; wire VAR302; wire [5:0] VAR285; wire [1:0] VAR35; wire [63:0] VAR181; wire [1:0] VAR4; wire [5:0] VAR125; wire [63:0] VAR311; wire VAR177; wire [5:0] VAR148; wire [1:0] VAR289; wire [63:0] VAR238; wire [1:0] VAR10; wire [5:0] VAR82; wire [63:0] VAR202; wire VAR25; wire [5:0] VAR49; wire [1:0] VAR48; wire [63:0] VAR176; wire [1:0] VAR99; wire [5:0] VAR124; wire [63:0] VAR98; wire VAR151; wire [5:0] VAR139; wire [1:0] VAR231; wire [63:0] VAR308; wire [1:0] VAR291; VAR56 VAR135 ( .VAR65(&VAR254), .VAR21(&VAR263), .VAR214(VAR258), .VAR275(VAR90), .VAR234(1'b0), .VAR94(1'b0), .VAR145(1'b0), .VAR107(1'b0), .VAR154(), .VAR55(VAR184), .VAR128(VAR304), .VAR207(VAR114), .VAR283(), .VAR43(), .VAR110({VAR242, VAR19, VAR132, VAR73}), .VAR281({VAR293, VAR38, VAR279, VAR137}), .VAR297(VAR27), .VAR146(VAR93), .VAR31({VAR98, VAR202, VAR311, VAR147}), .VAR16({VAR124, VAR82, VAR125, VAR284}), .VAR2({4{7'b0}}), .VAR116({4{VAR30}}), .VAR203({4{VAR235}}), .VAR227(), .VAR112({VAR298, VAR108, VAR37, VAR318}), .VAR232({VAR273, VAR217, VAR77, VAR186}), .VAR316({VAR151, VAR25, VAR177, VAR302}), .VAR120({VAR308, VAR176, VAR238, VAR181}), .VAR249({VAR291, VAR99, VAR10, VAR4}), .VAR60({VAR139, VAR49, VAR148, VAR285}), .VAR219({VAR231, VAR48, VAR289, VAR35}), .VAR282(VAR81), .VAR100(VAR64), .VAR260(VAR106), .VAR224(), .VAR91(VAR138), .VAR299(VAR251), .VAR42(VAR247) ); assign VAR121 = VAR277; assign VAR255 = VAR296; assign VAR248 = VAR93[0]; VAR161 #( .VAR86(4) ) VAR50 ( .clk(VAR248), .rst(~VAR304), .out(VAR179) ); VAR312 #( .VAR61(1) ) VAR57 ( .VAR187(VAR121), .VAR152(VAR255), .VAR206(VAR248), .VAR241(VAR179), .VAR40(VAR1), .VAR140(VAR36), .VAR221(VAR266), .VAR41(VAR167), .VAR122(VAR147), .VAR256(VAR284), .VAR3(VAR181), .VAR218(VAR285), .VAR83(VAR302), .VAR222(VAR245), .VAR144() ); assign VAR237 = VAR277; assign VAR305 = VAR296; assign VAR33 = VAR93[1]; VAR161 #( .VAR86(4) ) VAR185 ( .clk(VAR33), .rst(~VAR304), .out(VAR115) ); VAR312 #( .VAR61(1) ) VAR142 ( .VAR187(VAR237), .VAR152(VAR305), .VAR206(VAR33), .VAR241(VAR115), .VAR40(VAR32), .VAR140(VAR290), .VAR221(VAR307), .VAR41(VAR58), .VAR122(VAR311), .VAR256(VAR125), .VAR3(VAR238), .VAR218(VAR148), .VAR83(VAR177), .VAR222(VAR72), .VAR144() ); assign VAR198 = VAR277; assign VAR190 = VAR296; assign VAR117 = VAR93[2]; VAR161 #( .VAR86(4) ) VAR274 ( .clk(VAR117), .rst(~VAR304), .out(VAR111) ); VAR312 #( .VAR61(1) ) VAR102 ( .VAR187(VAR198), .VAR152(VAR190), .VAR206(VAR117), .VAR241(VAR111), .VAR40(VAR129), .VAR140(VAR211), .VAR221(VAR44), .VAR41(VAR71), .VAR122(VAR202), .VAR256(VAR82), .VAR3(VAR176), .VAR218(VAR49), .VAR83(VAR25), .VAR222(VAR244), .VAR144() ); assign VAR288 = VAR277; assign VAR126 = VAR296; assign VAR39 = VAR93[3]; VAR161 #( .VAR86(4) ) VAR18 ( .clk(VAR39), .rst(~VAR304), .out(VAR267) ); VAR312 #( .VAR61(1) ) VAR292 ( .VAR187(VAR288), .VAR152(VAR126), .VAR206(VAR39), .VAR241(VAR267), .VAR40(VAR62), .VAR140(VAR262), .VAR221(VAR209), .VAR41(VAR270), .VAR122(VAR98), .VAR256(VAR124), .VAR3(VAR308), .VAR218(VAR139), .VAR83(VAR151), .VAR222(VAR158), .VAR144() ); VAR199 VAR105 ( .clk(VAR277), .rst(VAR296), .VAR136(VAR51), .VAR276(VAR85), .VAR63(VAR15), .VAR252(VAR5), .VAR22(VAR109), .VAR163(VAR175), .VAR204(VAR204), .VAR131(VAR53), .VAR119(VAR119), .VAR17(VAR303), .VAR230(VAR230), .VAR264(VAR121), .VAR172(VAR255), .VAR314(VAR1), .VAR20(VAR36), .VAR174(VAR248), .VAR7(VAR179), .VAR225(VAR266), .VAR79(VAR167), .VAR170(VAR237), .VAR310(VAR305), .VAR103(VAR32), .VAR66(VAR290), .VAR80(VAR33), .VAR12(VAR115), .VAR223(VAR307), .VAR301(VAR58), .VAR271(VAR198), .VAR178(VAR190), .VAR13(VAR129), .VAR286(VAR211), .VAR243(VAR117), .VAR295(VAR111), .VAR24(VAR44), .VAR97(VAR71), .VAR96(VAR288), .VAR212(VAR126), .VAR228(VAR62), .VAR196(VAR262), .VAR240(VAR39), .VAR168(VAR267), .VAR193(VAR209), .VAR26(VAR270) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand4b/sky130_fd_sc_lp__nand4b.behavioral.v
1,528
module MODULE1 ( VAR7 , VAR4, VAR13 , VAR6 , VAR12 ); output VAR7 ; input VAR4; input VAR13 ; input VAR6 ; input VAR12 ; supply1 VAR3; supply0 VAR10; supply1 VAR1 ; supply0 VAR5 ; wire VAR11 ; wire VAR9; not VAR14 (VAR11 , VAR4 ); nand VAR2 (VAR9, VAR12, VAR6, VAR13, VAR11); buf VAR8 (VAR7 , VAR9 ); endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/pipeline/keygen/rloc_272_4/key_gen.v
1,318
module MODULE1( clk, reset, VAR2, VAR6, VAR3, VAR5, VAR1, VAR8, VAR4, VAR7 ); input clk; input reset; input VAR2; input [7:0] VAR6; input [127:0] VAR3; input [127:0] VAR5; input [7:0] VAR1; input VAR8; output VAR4; output [107:0] VAR7; reg VAR4; reg [107:0] VAR7; always @ (posedge clk or negedge reset) begin if(!reset) begin VAR4 <= 1'b0; VAR7 <= 108'b0; end else begin if(VAR2 == 1'b1) begin if(VAR8 == 1'b1) begin if(VAR6 == 8'b0) VAR7 <= {VAR8,VAR6,VAR3[17:0],81'b0}; end else VAR7 <= {VAR8,VAR6,18'b0,81'b0}; VAR4 <= 1'b1; end else begin VAR4 <= 1'b1; VAR7 <= {VAR8,VAR6,VAR5[127:56],56'b0}; end end else VAR4 <= 1'b0; end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2.behavioral.pp.v
1,867
module MODULE1 ( VAR7 , VAR2 , VAR10, VAR6, VAR3 , VAR11 ); output VAR7 ; input VAR2 ; input VAR10; input VAR6; input VAR3 ; input VAR11 ; wire VAR1 ; wire VAR4; not VAR8 (VAR1 , VAR2 ); VAR9 VAR12 (VAR4, VAR1, VAR10, VAR6); buf VAR5 (VAR7 , VAR4 ); endmodule
apache-2.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/Controller.v
14,968
module MODULE1( input VAR9,VAR34, input [31:0] VAR43, input [1:0] VAR24, output reg[2:0] VAR8,VAR33, output reg[3:0] VAR40,VAR21,VAR37, output reg[1:0] VAR30,VAR25,VAR19,VAR1, output reg VAR13,VAR6,VAR2,VAR38,VAR20,VAR27,VAR5,VAR26,VAR4, output reg VAR42, output [3:0]VAR11 ); reg VAR34; wire VAR18; assign VAR18 = VAR34; reg[4:0]state; VAR3 state = 31'd16; parameter VAR31 = 0, VAR17 = 1, VAR29 = 2, VAR28 = 3, VAR16 = 4, VAR15 = 5, VAR7 = 6, VAR32 = 7, VAR14 = 8, VAR41 = 9, VAR36 = 10, VAR12 = 11, VAR35 = 12, VAR39 = 13 , VAR10 = 14, VAR23 = 15, VAR22 = 16; assign VAR11 = state; always @ (posedge VAR9) begin case (state) VAR31: state <= VAR17; VAR17: state <= VAR29; VAR29: case(VAR43[31:26]) 6'b100010,6'b100011,6'b100110:state <= VAR28; 6'b101010,6'b101011,6'b101110:state <= VAR15; 6'b000000,6'b011111,6'b011100:state <= VAR7; 6'b001000,6'b001001,6'b001110,6'b001010,6'b001111:state <= VAR14; 6'b000001: case(VAR43[20:16]) 5'b00001:state <= VAR41; 5'b10001:state <= VAR36; default:state <= VAR22; endcase 6'b000010:state <= VAR35; 6'b000011:state <= VAR39; 6'b000001: case(VAR43[31:26]) 6'b001001: state <= VAR10; 6'b001000: state <= VAR23; default:state <= VAR22; endcase default:state <= VAR22; endcase VAR28: state <= VAR16; VAR16: state <= VAR31; VAR15: state <= VAR31; VAR7: state <= VAR32; VAR32: state <= VAR31; VAR14: state <= VAR31; VAR41: state <= VAR31; VAR36: state <= VAR12; VAR12: state <= VAR31; VAR35: state <= VAR31; VAR39: state <= VAR31; VAR10: state <= VAR31; VAR23: state <= VAR31; VAR22: state <= VAR31; default: state <= VAR22; endcase end always @(state) begin case (state) VAR31: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR42 = 1'b0; end VAR17: begin VAR8 = 3'b000;VAR33 = 3'b001; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b1;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b1;VAR5 = 1'b0; end VAR29: begin case(VAR43[31:26]) 6'b001000: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b1110;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end 6'b001001: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end 6'b001110: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b1001;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end 6'b001010: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b0101;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end 6'b001111: begin VAR8 = 3'b000;VAR33 = 3'b100; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end 6'b000001: begin VAR8 = 3'b000;VAR33 = 3'b011; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b0;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR42 = 1'b1; end 6'b101010,6'b101011,6'b101110: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR42 = 1'b0; end default: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end endcase VAR34 = VAR34; end VAR28: begin VAR8 = 3'b000;VAR33 = 3'b010; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b1;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b1; end VAR16: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b01; VAR25 = 2'b01;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b1; case({VAR43[28],VAR24}) 3'b000:VAR21 = 4'b1111; 3'b001:VAR21 = 4'b1110; 3'b010:VAR21 = 4'b1100; 3'b011:VAR21 = 4'b1000; 3'b100:VAR21 = 4'b0001; 3'b101:VAR21 = 4'b0011; 3'b110:VAR21 = 4'b0111; 3'b111:VAR21 = 4'b1111; default:VAR21 = 4'b0000; endcase end VAR15: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b1; case({VAR43[28],VAR24}) 3'b000:VAR37 = 4'b1111; 3'b001:VAR37 = 4'b0111; 3'b010:VAR37 = 4'b0011; 3'b011:VAR37 = 4'b0001; 3'b100:VAR37 = 4'b1000; 3'b101:VAR37 = 4'b1100; 3'b110:VAR37 = 4'b1110; 3'b111:VAR37 = 4'b1111; default:VAR37 = 4'b0000; endcase end VAR7: begin case(VAR43[31:26]) 6'b000000: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR38 = !VAR43[5]; case(VAR43[5:0]) 6'b100000: begin VAR40 = 4'b1110; VAR2 = 1'b0; VAR1 = 2'b00; end 6'b100010: begin VAR40 = 4'b1111; VAR2 = 1'b0; VAR1 = 2'b00; end 6'b100011: begin VAR40 = 4'b0001; VAR2 = 1'b0; VAR1 = 2'b00; end 6'b101011: begin VAR40 = 4'b0111; VAR2 = 1'b0; VAR1 = 2'b00; end 6'b000111: begin VAR40 = 4'b0000; VAR2 = 1'b1; VAR1 = 2'b10; end 6'b000010: begin VAR40 = 4'b0000; VAR2 = 1'b0; VAR1 = 2'b11; end default: begin VAR40 = 4'b0000; VAR2 = 1'b0; VAR1 = 2'b00; end endcase end 6'b011111: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR21 = 4'b0000;VAR37 = 4'b0000;VAR38 = 1'b0; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR2 = 1'b0;VAR1 = 2'b00;VAR40 = 4'b1010; end 6'b011100: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR21 = 4'b0000;VAR37 = 4'b0000;VAR38 = 1'b0; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b1; VAR13 = 1'b1;VAR6 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR2 = 1'b0;VAR1 = 2'b00;VAR40 = {3'b001,VAR43[0]}; end default: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR21 = 4'b0000;VAR37 = 4'b0000;VAR38 = 1'b0; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; VAR2 = 1'b0;VAR1 = 2'b00;VAR40 = 4'b0000; end endcase VAR34 = VAR34; end VAR32: begin VAR30 = 2'b01; VAR25 = 2'b00;VAR37 = 4'b0000;VAR4 = 1'b0; VAR20 = 1'b0;VAR27 = 1'b0; case(VAR43[5:0]) 6'b100000,6'b100010: VAR21 = {4{~VAR18}}; default:VAR21 = 4'b1111; endcase end VAR14: begin VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR20 = 1'b0;VAR27 = 1'b0; case(VAR43[31:26]) 6'b001000: VAR21 = {4{~VAR18}}; default:VAR21 = 4'b1111; endcase end VAR41: begin VAR8 = 3'b011;VAR33 = 3'b000; VAR40 = 4'b0001;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b01;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b1;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b1;VAR27 = 1'b0;VAR5 = 1'b0; end VAR36: begin VAR8 = 3'b000;VAR33 = 3'b001; VAR40 = 4'b0000;VAR21 = 4'b1111;VAR37 = 4'b0000; VAR30 = 2'b10; VAR25 = 2'b10;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end VAR12: begin VAR8 = 3'b011;VAR33 = 3'b000; VAR40 = 4'b0001;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b01;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b1;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b1;VAR27 = 1'b0;VAR5 = 1'b0; end VAR35: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b10;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b1;VAR5 = 1'b0; end VAR39: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b1111;VAR37 = 4'b0000; VAR30 = 2'b10; VAR25 = 2'b00;VAR19 = 2'b10;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b1;VAR5 = 1'b0; end VAR10: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b1111;VAR37 = 4'b0000; VAR30 = 2'b10; VAR25 = 2'b00;VAR19 = 2'b11;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b1;VAR5 = 1'b0; end VAR23: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b11;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b1;VAR5 = 1'b0; end VAR22: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end default: begin VAR8 = 3'b000;VAR33 = 3'b000; VAR40 = 4'b0000;VAR21 = 4'b0000;VAR37 = 4'b0000; VAR30 = 2'b00; VAR25 = 2'b00;VAR19 = 2'b00;VAR26 = 1'b0;VAR4 = 1'b0; VAR13 = 1'b0;VAR6 = 1'b0;VAR1 = 2'b00;VAR2 = 1'b0;VAR38 = 1'b0;VAR20 = 1'b0;VAR27 = 1'b0;VAR5 = 1'b0; end endcase end endmodule
gpl-3.0
f3zz3h/Embedded-Co-Design
ts7300_top_restored/ethernet/eth_txethmac.v
17,810
module MODULE1 (VAR86, VAR5, VAR75, VAR68, VAR31, VAR59, VAR77, VAR57, VAR63, VAR27, VAR9, VAR62, VAR91, VAR83, VAR18, VAR89, VAR93, VAR39, VAR7, VAR25, VAR73, VAR79, VAR30, VAR52, VAR24, VAR6, VAR43, VAR65, VAR80, VAR85, VAR42, VAR14, VAR36, VAR10, VAR56, VAR46, VAR17, VAR4, VAR8 ); parameter VAR22 = 1; input VAR86; input VAR5; input VAR75; input VAR68; input VAR31; input [7:0] VAR59; input VAR77; input VAR57; input VAR63; input VAR27; input VAR9; input VAR62; input VAR91; input [15:0] VAR83; input [15:0] VAR18; input [6:0] VAR89; input [6:0] VAR93; input [6:0] VAR39; input [5:0] VAR7; input [3:0] VAR25; input VAR73; input VAR79; output [3:0] VAR30; output VAR52; output VAR24; output VAR6; output VAR43; output VAR65; output VAR80; output VAR85; output VAR42; output [3:0] VAR14; output VAR36; output VAR10; output VAR56; output VAR46; output VAR17; output VAR4; output [1:0] VAR8; reg [3:0] VAR30; reg VAR52; reg VAR24; reg VAR6; reg VAR43; reg VAR65; reg VAR80; reg VAR85; reg VAR23; reg VAR34; reg [3:0] VAR14; reg [3:0] VAR3; reg VAR76; reg VAR12; reg VAR16; wire VAR61; wire VAR84; wire VAR21; wire [1:0] VAR49; wire VAR66; wire VAR20; wire VAR78; wire VAR60; wire VAR26; wire VAR47; wire VAR29; wire VAR50; wire VAR82; wire VAR94; wire VAR13; wire VAR1; wire VAR11; wire VAR70; wire VAR41; wire VAR58; wire [31:0] VAR54; wire VAR87; wire [2:0] VAR92; wire [15:0] VAR48; wire VAR51; wire VAR74; wire VAR2; wire VAR35; wire [15:0] VAR64; wire VAR45; wire VAR28; wire VAR88; wire VAR71; wire VAR81; assign VAR42 = ~(VAR4 | (|VAR8) | VAR50 | VAR82); assign VAR61 = VAR75 & VAR26 & VAR35 & ~VAR34; assign VAR36 = ~VAR57 & (VAR82 & VAR51 | VAR8[1] & VAR68 & (~VAR63 | VAR63 & VAR2) & ~VAR27); assign VAR41 = VAR8[0] & VAR31 & ~VAR57; assign VAR58 = ~VAR57 & VAR45 & (VAR8[0] & ~VAR31 | VAR82); assign VAR70 = VAR20 & (VAR23 & ~VAR28) & ~VAR41; assign VAR46 = VAR20 & ~VAR23 & ~VAR41; assign VAR56 = VAR20 & VAR23 & VAR28; assign VAR11 = VAR4 & VAR74; assign VAR10 = VAR58 | VAR41 | VAR61 | VAR46 | VAR56; always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR34 <= #VAR22 1'b0; end else begin if(~VAR75) VAR34 <= #VAR22 1'b0; end else if(VAR61) VAR34 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR23 <= #VAR22 1'b1; end else begin if(~VAR57 & VAR64[5:0] == VAR7[5:0] & (VAR8[1] | VAR50 & VAR48[0] | VAR82 & VAR48[0])) VAR23 <= #VAR22 1'b0; end else if(VAR29 | VAR47) VAR23 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR76 <= #VAR22 1'b0; end else begin if(~VAR75) VAR76 <= #VAR22 1'b0; end else if(VAR61 | VAR29) VAR76 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR80 <= #VAR22 1'b0; end else VAR80 <= #VAR22 |VAR49; end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR6 <= #VAR22 1'b0; end else begin if(VAR75 & ~VAR76) VAR6 <= #VAR22 1'b0; end else if(VAR36) VAR6 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR43 <= #VAR22 1'b0; end else begin if(VAR75 & ~VAR76) VAR43 <= #VAR22 1'b0; end else if(VAR70) VAR43 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR65 <= #VAR22 1'b0; end else begin if(VAR75 & ~VAR76 & ~VAR61) VAR65 <= #VAR22 1'b0; end else if(VAR10) VAR65 <= #VAR22 1'b1; end end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR14[3:0] <= #VAR22 4'h0; end else begin if(VAR61 | VAR41 | VAR58 | VAR36 | VAR31 | VAR94 & VAR51 & (~VAR23 | VAR28)) VAR14[3:0] <= #VAR22 4'h0; end else if(VAR94 & VAR51 & VAR23 & (VAR88 | VAR73) | VAR1 & VAR71) VAR14[3:0] <= #VAR22 VAR14[3:0] + 1'b1; end end assign VAR28 = VAR14[3:0] == VAR25[3:0]; always @ (VAR4 or VAR8 or VAR8 or VAR82 or VAR94 or VAR11 or VAR59 or VAR54 or VAR74) begin if(VAR8[0]) end VAR3[3:0] = VAR59[3:0]; else if(VAR8[1]) VAR3[3:0] = VAR59[7:4]; else if(VAR82) VAR3[3:0] = {~VAR54[28], ~VAR54[29], ~VAR54[30], ~VAR54[31]}; else if(VAR94) VAR3[3:0] = 4'h9; else if(VAR4) if(VAR74) VAR3[3:0] = 4'hd; else VAR3[3:0] = 4'h5; else VAR3[3:0] = 4'h0; end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR52 <= #VAR22 1'b0; end else VAR52 <= #VAR22 VAR4 | (|VAR8) | VAR50 | VAR82 | VAR94; end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR30[3:0] <= #VAR22 4'h0; end else VAR30[3:0] <= #VAR22 VAR3[3:0]; end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR24 <= #VAR22 1'b0; end else VAR24 <= #VAR22 VAR58 | VAR41; end always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) VAR85 <= #VAR22 1'b0; end else VAR85 <= #VAR22 VAR21 | VAR4 | (|VAR8) | VAR50 | VAR82 | VAR94; end assign VAR81 = VAR36 | VAR58 | VAR41 | VAR46 | VAR56 | VAR61; always @ (posedge VAR86 or posedge VAR5) begin if(VAR5) begin VAR16 <= #VAR22 1'b0; VAR12 <= #VAR22 1'b0; end else begin VAR16 <= #VAR22 VAR81; VAR12 <= #VAR22 VAR16; end end VAR19 VAR32 (.VAR4(VAR4), .VAR47(VAR47), .VAR8(VAR8), .VAR50(VAR50), .VAR82(VAR82), .VAR94(VAR94), .VAR1(VAR1), .VAR26(VAR26), .VAR29(VAR29), .VAR78(VAR78), .VAR84(VAR84), .VAR66(VAR66), .VAR20(VAR20), .VAR75(VAR75), .VAR86(VAR86), .VAR5(VAR5), .VAR83(VAR83), .VAR18(VAR18), .VAR62(VAR62), .VAR79(VAR79), .VAR12(VAR12), .VAR91(VAR91), .VAR60(VAR60), .VAR11(VAR11), .VAR64(VAR64), .VAR48(VAR48), .VAR35(VAR35), .VAR51(VAR51), .VAR74(VAR74), .VAR45(VAR45), .VAR2(VAR2), .VAR92(VAR92) ); VAR55 VAR72 (.VAR86(VAR86), .VAR5(VAR5), .VAR35(VAR35), .VAR77(VAR77), .VAR48(VAR48[6:0]), .VAR89(VAR89), .VAR93(VAR93), .VAR39(VAR39), .VAR9(VAR9), .VAR75(VAR75), .VAR68(VAR68), .VAR31(VAR31), .VAR57(VAR57), .VAR41(VAR41), .VAR36(VAR36), .VAR58(VAR58), .VAR51(VAR51), .VAR74(VAR74), .VAR45(VAR45), .VAR63(VAR63), .VAR27(VAR27), .VAR2(VAR2), .VAR88(VAR88), .VAR23(VAR23), .VAR28(VAR28), .VAR73(VAR73), .VAR71(VAR71), .VAR29(VAR29), .VAR47(VAR47), .VAR4(VAR4), .VAR8(VAR8), .VAR50(VAR50), .VAR82(VAR82), .VAR94(VAR94), .VAR13(VAR13), .VAR1(VAR1), .VAR26(VAR26), .VAR66(VAR66), .VAR20(VAR20), .VAR60(VAR60), .VAR78(VAR78), .VAR17(VAR17), .VAR21(VAR21), .VAR49(VAR49), .VAR84(VAR84) ); wire VAR53; wire [3:0] VAR15; wire VAR95; assign VAR53 = ~VAR82; assign VAR15[0] = VAR8[0]? VAR59[3] : VAR8[1]? VAR59[7] : 1'b0; assign VAR15[1] = VAR8[0]? VAR59[2] : VAR8[1]? VAR59[6] : 1'b0; assign VAR15[2] = VAR8[0]? VAR59[1] : VAR8[1]? VAR59[5] : 1'b0; assign VAR15[3] = VAR8[0]? VAR59[0] : VAR8[1]? VAR59[4] : 1'b0; assign VAR95 = VAR29 | VAR4 | (|VAR92); VAR69 VAR40 (.VAR38(VAR86), .VAR5(VAR5), .VAR44(VAR15), .VAR67(VAR53), .VAR37(VAR95), .VAR54(VAR54), .VAR87(VAR87) ); VAR90 VAR33 (.VAR86(VAR86), .VAR5(VAR5), .VAR94(VAR94), .VAR13(VAR13), .VAR14(VAR14), .VAR48(VAR48), .VAR64(VAR64[9:0]), .VAR88(VAR88), .VAR71(VAR71)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21boi/sky130_fd_sc_hdll__a21boi.functional.pp.v
2,194
module MODULE1 ( VAR13 , VAR1 , VAR8 , VAR5, VAR4, VAR2, VAR11 , VAR9 ); output VAR13 ; input VAR1 ; input VAR8 ; input VAR5; input VAR4; input VAR2; input VAR11 ; input VAR9 ; wire VAR6 ; wire VAR12 ; wire VAR15 ; wire VAR14; not VAR18 (VAR6 , VAR5 ); and VAR7 (VAR12 , VAR1, VAR8 ); nor VAR3 (VAR15 , VAR6, VAR12 ); VAR17 VAR16 (VAR14, VAR15, VAR4, VAR2); buf VAR10 (VAR13 , VAR14 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai32/gf180mcu_fd_sc_mcu7t5v0__oai32_4.functional.v
1,666
module MODULE1( VAR17, VAR19, VAR4, VAR15, VAR7, VAR1 ); input VAR4, VAR17, VAR19, VAR1, VAR7; output VAR15; wire VAR16; not VAR6( VAR16, VAR4 ); wire VAR10; not VAR14( VAR10, VAR17 ); wire VAR12; not VAR21( VAR12, VAR19 ); wire VAR5; and VAR18( VAR5, VAR16, VAR10, VAR12 ); wire VAR11; not VAR9( VAR11, VAR1 ); wire VAR13; not VAR3( VAR13, VAR7 ); wire VAR8; and VAR2( VAR8, VAR11, VAR13 ); or VAR20( VAR15, VAR5, VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfsbp/sky130_fd_sc_ls__sdfsbp.functional.pp.v
2,306
module MODULE1 ( VAR11 , VAR14 , VAR22 , VAR20 , VAR7 , VAR4 , VAR15, VAR9 , VAR21 , VAR8 , VAR5 ); output VAR11 ; output VAR14 ; input VAR22 ; input VAR20 ; input VAR7 ; input VAR4 ; input VAR15; input VAR9 ; input VAR21 ; input VAR8 ; input VAR5 ; wire VAR3 ; wire VAR1 ; wire VAR13; not VAR2 (VAR1 , VAR15 ); VAR16 VAR18 (VAR13, VAR20, VAR7, VAR4 ); VAR10 VAR17 VAR19 (VAR3 , VAR13, VAR22, VAR1, , VAR9, VAR21); buf VAR6 (VAR11 , VAR3 ); not VAR12 (VAR14 , VAR3 ); endmodule
apache-2.0
lvd2/ngs
fpga/current/ports/ports.v
15,852
module MODULE1( input wire VAR85, input wire VAR56, input wire [ 7:0] din, output reg [ 7:0] dout, output reg VAR77, input wire [15:0] VAR29, input wire VAR87, input wire VAR122, input wire VAR137, input wire VAR102, input wire [ 7:0] VAR72, output reg [ 7:0] VAR12, input wire [ 7:0] VAR41, input wire VAR35, input wire VAR14, output reg VAR46, output reg VAR86, output reg VAR132, output reg VAR6, output reg VAR66, output reg VAR76, output reg VAR65, output reg VAR103, output reg VAR11, output reg [7:0] VAR42, output reg [7:0] VAR39, output reg [7:0] VAR145, output reg [7:0] VAR136, output reg VAR109, output reg VAR79, output reg VAR97, output reg VAR33, output reg [ 2:0] VAR135, output reg [ 7:0] VAR148, output wire [ 7:0] VAR64, output wire VAR127, input wire VAR43, output reg VAR51, output reg VAR90, output reg VAR88, input wire [ 7:0] VAR131, output wire [ 7:0] VAR104, output wire VAR23, output reg [ 1:0] VAR40, input wire VAR125, output reg VAR27, input wire [ 7:0] VAR19, output wire [ 7:0] VAR123, output wire VAR100, input wire VAR138, input wire VAR114, input wire VAR52, output reg VAR105, input wire VAR32, output reg [7:0] VAR121, output reg VAR149, output reg VAR81, output reg VAR91, input wire [7:0] VAR34, input wire [7:0] VAR140, input wire [7:0] VAR9, output reg VAR128, output reg [1:0] VAR98, output reg [2:0] VAR69, output wire VAR133, output wire VAR150, input wire [7:0] VAR20 ); localparam VAR1 = 6'h00; localparam VAR115 = 6'h10; localparam VAR80 = 6'h01; localparam VAR10 = 6'h02; localparam VAR2 = 6'h03; localparam VAR142 = 6'h04; localparam VAR101 = 6'h05; localparam VAR4 = 6'h06; localparam VAR61 = 6'h07; localparam VAR63 = 6'h08; localparam VAR53 = 6'h09; localparam VAR25 = 6'h16; localparam VAR24 = 6'h17; localparam VAR47 = 6'h18; localparam VAR48 = 6'h19; localparam VAR45 = 6'h0a; localparam VAR18 = 6'h0b; localparam VAR106 = 6'h01; localparam VAR147 = 6'h0f; localparam VAR68 = 6'h11; localparam VAR96 = 6'h12; localparam VAR83 = 6'h13; localparam VAR78 = 6'h13; localparam VAR26 = 6'h14; localparam VAR94 = 6'h14; localparam VAR50 = 6'h15; localparam VAR5 = 6'h15; localparam VAR31 = 6'h1b; localparam VAR17 = 6'h1c; localparam VAR141 = 6'h1d; localparam VAR75 = 6'h1e; localparam VAR59 = 6'h1f; localparam VAR129 = 6'h1c; localparam VAR8 = 6'h0e; localparam VAR30 = 6'h0c; localparam VAR7 = 6'h0d; localparam VAR38 = 6'h20; localparam VAR130 = 6'h21; localparam VAR144 = 6'h22; localparam VAR3 = 6'h23; reg VAR67; reg VAR54; wire VAR124; wire VAR44; reg VAR117; reg VAR37; reg VAR16; reg VAR22; reg VAR120; reg VAR15; reg VAR71; wire VAR113; wire VAR93; wire VAR62; wire VAR110; wire VAR58; wire VAR126; wire VAR49; wire VAR118; wire VAR134; wire VAR99; wire VAR143; wire VAR70; wire VAR28; wire VAR112; wire VAR111; wire VAR60; wire VAR57; wire VAR74; wire VAR139; wire VAR82; wire VAR13; wire VAR116; reg [2:0] VAR36; reg [2:0] VAR119; localparam VAR21 = 3'd0; localparam VAR84 = 3'd1; localparam VAR73 = 3'd2; localparam VAR95 = 3'd3; reg [7:0] VAR92; assign VAR124 = ~(VAR29[7] | VAR29[6]); assign VAR44 = (~VAR29[15]) & VAR29[14] & VAR29[13]; always @* begin if( VAR29[5:0]==VAR4 || VAR29[5:0]==VAR61 || VAR29[5:0]==VAR63 || VAR29[5:0]==VAR53 || VAR29[5:0]==VAR25 || VAR29[5:0]==VAR24 || VAR29[5:0]==VAR47 || VAR29[5:0]==VAR48 ) VAR117 <= 1'b1; end else VAR117 <= 1'b0; end always @* begin if( VAR124 && (!VAR87) && (!VAR137) ) end VAR77 <= 1'b0; else VAR77 <= 1'b1; end always @(posedge VAR56) begin VAR37 <= VAR87 | VAR102; VAR16 <= VAR87 | VAR137; if( VAR124 && (!VAR87) && (!VAR102) && VAR37 ) VAR120 <= 1'b1; end else VAR120 <= 1'b0; if( VAR124 && (!VAR87) && (!VAR137) && VAR16 ) VAR15 <= 1'b1; else VAR15 <= 1'b0; end always @(negedge VAR56) begin VAR22 <= VAR122 | VAR137; if( VAR44 && (!VAR122) && (!VAR137) && VAR22 ) VAR71 <= 1'b1; end else VAR71 <= 1'b0; end assign VAR113 = ( VAR29[5:0]==VAR1 && VAR120 ); assign VAR62 = ( VAR29[5:0]==VAR10 && VAR15 ); assign VAR110 = ( VAR29[5:0]==VAR2 && VAR120 ); assign VAR58 = ( VAR29[5:0]==VAR101 && (VAR120||VAR15) ); assign VAR126 = ( VAR29[5:0]==VAR53 && VAR120 ); assign VAR49 = ( VAR29[5:0]==VAR45 && (VAR120||VAR15) ); assign VAR118 = ( VAR29[5:0]==VAR18 && (VAR120||VAR15) ); assign VAR134 = ( VAR29[5:0]==VAR147 && VAR120 ); assign VAR99 = ( VAR29[5:0]==VAR115 && VAR120 ); assign VAR143 = ( VAR29[5:0]==VAR68 && VAR120 ); assign VAR70 = ( VAR29[5:0]==VAR83 && VAR120 ); assign VAR28 = ( VAR29[5:0]==VAR26 && VAR15 ); assign VAR112 = ( VAR29[5:0]==VAR94 && VAR120 ); assign VAR111 = ( VAR29[5:0]==VAR50 && VAR120 ); assign VAR93 = ( VAR29[5:0]==VAR106 && VAR120 ); assign VAR60 = ( VAR29[5:0]==VAR31 && VAR120 ); assign VAR57 = ( {VAR29[5:2],2'b00}==VAR129 && VAR120 ); assign VAR74 = ( VAR29[5:0]==VAR8 && VAR120 ); assign VAR133 = ( VAR29[5:0]==VAR30 && VAR120 ); assign VAR150 = ( VAR29[5:0]==VAR7 && VAR120 ); assign VAR139 = ( VAR29[5:0]==VAR38 && VAR120 ); assign VAR82 = ( VAR29[5:0]==VAR130 && VAR120 ); assign VAR13 = ( VAR29[5:0]==VAR144 && VAR120 ); assign VAR116 = ( VAR29[5:0]==VAR3 && VAR120 ); always @* begin case( VAR29[5:0] ) VAR80: dout <= VAR41; VAR10: dout <= VAR72; VAR142: dout <= { VAR35, 6'VAR108, VAR14 }; VAR147: dout <= { VAR65, VAR76, VAR79, VAR109, VAR67, VAR66, VAR103, VAR11 }; VAR96: dout <= { 4'd0, VAR125, VAR52, VAR114, VAR43 }; VAR68: dout <= { 2'd0, VAR40[1], VAR51, VAR40[0], VAR88, VAR90, VAR27 }; VAR78: dout <= VAR19; VAR26: dout <= VAR19; VAR5: dout <= VAR131; VAR7: dout <= VAR20; VAR31: dout <= { 5'd0, VAR119 }; VAR17: dout <= VAR92; VAR141: dout <= VAR92; VAR75: dout <= VAR92; VAR59: dout <= VAR92; default: dout <= 8'VAR55; endcase end always @(posedge VAR56) if( VAR113 ) begin if( !VAR67 ) VAR145[7:0] <= { din[6:0], 1'b0 }; end else VAR145[7:0] <= { din[6:0], din[7] }; end else if( VAR13 ) VAR145 <= din; always @(posedge VAR56) if( !VAR67 && VAR113 ) VAR136[7:0] <= { din[6:0], 1'b1 }; else if( VAR67 && VAR99 ) VAR136[7:0] <= { din[6:0], din[7] }; else if( VAR116 ) VAR136 <= din; always @(posedge VAR56, negedge VAR85) if( !VAR85 ) VAR42 <= 8'b00000000; else if( VAR139 ) VAR42 <= din; always @(posedge VAR56, negedge VAR85) if( !VAR85 ) VAR39 <= 8'b00000011; else if( VAR82 ) VAR39 <= din; always @(posedge VAR56) begin if( VAR110==1'b1 ) VAR12 <= din; end always @(posedge VAR56) begin if( VAR126==1'b1 ) VAR54 <= din[5]; end always @(posedge VAR56,negedge VAR85) begin if( VAR85==1'b0 ) { VAR65, VAR76, VAR79, VAR109, VAR67, VAR66, VAR103, VAR11 } <= 8'b00110000; end else begin if( VAR134 == 1'b1 ) begin { VAR65, VAR76, VAR79, VAR109, VAR67, VAR66, VAR103, VAR11 } <= din[7:0]; end end end always @* case( {VAR62,VAR110,VAR49} ) 3'b100: begin VAR46 <= 1'b0; VAR132 <= 1'b1; end 3'b010: begin VAR46 <= 1'b1; VAR132 <= 1'b1; end 3'b001: begin VAR46 <= ~VAR145[0]; VAR132 <= 1'b1; end default: begin VAR46 <= 1'VAR146; VAR132 <= 1'b0; end endcase always @* case( {VAR58,VAR118} ) 2'b10: begin VAR86 <= 1'b0; VAR6 <= 1'b1; end 2'b01: begin VAR86 <= VAR54; VAR6 <= 1'b1; end default: begin VAR86 <= 1'VAR146; VAR6 <= 1'b0; end endcase always @* begin case( VAR29[5:0] ) VAR4: VAR36 <= 3'd0; VAR61: VAR36 <= 3'd1; VAR63: VAR36 <= 3'd2; VAR53: VAR36 <= 3'd3; VAR25: VAR36 <= 3'd4; VAR24: VAR36 <= 3'd5; VAR47: VAR36 <= 3'd6; VAR48: VAR36 <= 3'd7; default: VAR36 <= 3'VAR107; endcase end always @(posedge VAR56) begin if( VAR71 ) begin VAR97 <= ~VAR97; VAR33 <= 1'b1; if( !VAR66 ) VAR135 <= { 1'b0, VAR29[9:8] }; end else VAR135 <= VAR29[10:8]; VAR148 <= din; end else if( VAR117 && VAR120 ) begin VAR97 <= ~VAR97; VAR33 <= 1'b0; VAR135 <= VAR36; VAR148 <= din; end end assign VAR123 = (VAR29[5:0]==VAR26 || VAR138) ? 8'hFF : din; assign VAR104 = din; assign VAR64 = din; assign VAR100 = VAR70 | VAR28; assign VAR23 = VAR111; assign VAR127 = VAR112; always @(posedge VAR56, negedge VAR85) begin if( !VAR85 ) begin VAR51 <= 1'b0; VAR40 <= 2'b01; VAR88 <= 1'b0; VAR90 <= 1'b1; VAR27 <= 1'b1; end else begin if( VAR143 ) begin if( din[0] ) VAR27 <= din[7]; if( din[1] ) VAR90 <= din[7]; if( din[2] ) VAR88 <= din[7]; if( din[3] ) VAR40[0] <= din[7]; if( din[4] ) VAR51 <= din[7]; if( din[5] ) VAR40[1] <= din[7]; end end end always @(posedge VAR56, negedge VAR85) begin if( !VAR85 ) VAR105 <= 1'b0; end else begin if( VAR93 ) VAR105 <= din[0]; end else if( VAR32 ) VAR105 <= ~VAR105; end end always @(posedge VAR56, negedge VAR85) if( !VAR85 ) VAR119 <= VAR21; else if( VAR60 ) VAR119 <= din[2:0]; always @* VAR121 = din; always @* begin VAR149 = ( VAR119==VAR84 ); VAR81 = ( VAR119==VAR73 ); VAR91 = ( VAR119==VAR95 ); end always @* VAR128 = VAR57; always @* VAR98 = VAR29[1:0]; always @* begin case( VAR119 ) VAR84: VAR92 <= VAR34; VAR73: VAR92 <= VAR140; VAR95: VAR92 <= VAR9; default: VAR92 <= 8'VAR89; endcase end always @(posedge VAR56,negedge VAR85) if( !VAR85 ) VAR69 <= 3'b000; else if( VAR74 ) VAR69 <= din[2:0]; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfrtn/sky130_fd_sc_hs__sdfrtn.pp.blackbox.v
1,405
module MODULE1 ( VAR4, VAR6 , VAR7 , VAR2 , VAR8 , VAR5 , VAR3 , VAR1 ); input VAR4; input VAR6 ; input VAR7 ; output VAR2 ; input VAR8 ; input VAR5 ; input VAR3 ; input VAR1 ; endmodule
apache-2.0
titets/MILL
rtl/stages.v
1,966
module MODULE1( input wire [VAR7-1:0] VAR1, input wire [VAR2-1:0] VAR16, input wire VAR13, output reg [VAR15-1:0] VAR9, VAR12, VAR8, output reg VAR3, VAR10, VAR4, VAR17 ); reg VAR5; VAR20 VAR3 = 1'b0; VAR20 VAR17 = 1'b0; VAR20 VAR4 = 1'b0; VAR20 VAR5 = 1'd0; always @(VAR16) begin VAR10 = 1'b0; if(VAR16 == VAR14) begin VAR3 = 1'b0; VAR17 = 1'b0; VAR4= 1'b0; end if(VAR16 == VAR19) begin if(VAR1[VAR7-1:VAR7-4] < VAR15'd8) begin VAR9 = VAR1[VAR7-9:VAR7-12]; VAR12 = VAR1[VAR7-13:0]; VAR8 = VAR1[VAR7-5:VAR7-8]; VAR3 = 1'b1; end else begin case(VAR1[VAR7-1:VAR7-4]) VAR6 : begin VAR8 = VAR1[VAR7-5:VAR7-8]; VAR9= VAR1[VAR7-9:VAR7-12]; VAR3 = 1'b1; end VAR11 : begin VAR9 = VAR1[VAR7-5:VAR7-8]; VAR12 = VAR1[VAR7-9:VAR7-12]; VAR4 = 1'b1; end VAR8 = 4'h0; VAR3 = 1'b1; end VAR9 = VAR1[VAR7-5:VAR7-8]; end default: VAR17 = 1'b0; endcase end end else if(VAR16 == VAR18) begin if(VAR1[VAR7-1:VAR7-4] < VAR15'd8) begin VAR17 = 1'b1; VAR5 = VAR13; end else begin case(VAR1[VAR7-1:VAR7-4]) VAR10 = 1'b1; VAR17 = 1'b1; end if(VAR5 == 1'd1) begin VAR10 = 1'b1; end VAR17 = 1'b1; end VAR6 : VAR17 = 1'b1; VAR11 : VAR17 = 1'b1; default: VAR17 = 1'b0; endcase end end end endmodule
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v
8,230
module MODULE1 # ( parameter VAR39 = "none", parameter integer VAR4 = 1, parameter integer VAR5 = 33, parameter integer VAR32 = 2, parameter VAR7 = 1 ) ( input wire VAR15, input wire VAR36, input wire [VAR4-1:0] VAR33, input wire VAR16, output wire VAR10, output wire [VAR4-1:0] VAR12, output wire VAR8, input wire VAR25 ); localparam VAR6 = (VAR32>1) ? VAR32 : 2; localparam VAR2 = {VAR6{1'b1}}; localparam VAR21 = {VAR6{1'b0}}; localparam VAR11 = {VAR2, 1'b0}; localparam VAR30 = VAR11[0+:VAR6]; localparam VAR3 = (((VAR4+1)%VAR5) == 0) ? (VAR4+1)/VAR5 : ((VAR4+1)/VAR5)+1; reg [VAR3*VAR6-1:0] VAR1; wire [VAR3*VAR6-1:0] VAR35; genvar VAR37; genvar VAR38; reg VAR22; reg VAR34; wire VAR9; wire VAR13; reg VAR23; wire [VAR4-1:0] VAR29; assign VAR8 = VAR22; assign VAR10 = VAR7 ? VAR34 : 1'b1; assign VAR12 = VAR29; assign VAR9 = VAR16 & (VAR7 ? VAR34 : 1'b1); assign VAR13 = VAR22 & VAR25; always @(posedge VAR15) begin VAR23 <= VAR36; end generate for (VAR37=0;VAR37<VAR3;VAR37=VAR37+1) begin : VAR28 assign VAR35[VAR6*(VAR37+1)-1:VAR6*VAR37] = VAR9 ? VAR1[VAR6*(VAR37+1)-1:VAR6*VAR37] + 1 : VAR1[VAR6*(VAR37+1)-1:VAR6*VAR37] - 1; always @(posedge VAR15) begin if (VAR36) VAR1[VAR6*(VAR37+1)-1:VAR6*VAR37] <= {VAR6{1'b1}}; end else if (VAR9 ^ VAR13) VAR1[VAR6*(VAR37+1)-1:VAR6*VAR37] <= VAR35[VAR6*(VAR37+1)-1:VAR6*VAR37]; end end always @(posedge VAR15) begin if (VAR36) begin VAR22 <= 1'b0; end else if ((VAR1[VAR6*VAR3-1:VAR6*(VAR3-1)] == VAR21) && VAR13 && ~VAR9) begin VAR22 <= 1'b0; end else if (VAR9) begin VAR22 <= 1'b1; end end always @(posedge VAR15) begin if (VAR36) begin VAR34 <= 1'b0; end else if (VAR23) begin VAR34 <= 1'b1; end else if (VAR7 && ((VAR1[VAR6*VAR3-1:VAR6*(VAR3-1)] == VAR30) && VAR9 && ~VAR13)) begin VAR34 <= 1'b0; end else if (VAR7 && VAR13) begin VAR34 <= 1'b1; end end for (VAR37=0;VAR37<(VAR4/VAR5)+((VAR4%VAR5)>0);VAR37=VAR37+1) begin : VAR27 for (VAR38=0;((VAR38<VAR5)&&(VAR37*VAR5+VAR38<VAR4));VAR38=VAR38+1) begin : VAR28 VAR14 # ( .VAR39 (VAR39), .VAR17 (VAR6) ) VAR31 ( .VAR20 (VAR15), .VAR26 (VAR1[VAR6*(VAR37+1)-1: VAR6*(VAR37)]), .VAR18 (VAR9), .VAR19 (VAR33[VAR37*VAR5+VAR38]), .VAR24 (VAR29[VAR37*VAR5+VAR38]) ); end end endgenerate endmodule
gpl-3.0
nishtahir/arty-blaze
src/bd/system/ip/system_mig_7series_0_0/system_mig_7series_0_0/user_design/rtl/controller/mig_7series_v4_0_rank_common.v
20,639
module MODULE1 # ( parameter VAR28 = 100, parameter VAR102 = "VAR103", parameter VAR96 = 40, parameter VAR48 = 4, parameter VAR45 = 4, parameter VAR113 = 2, parameter VAR82 = 20, parameter VAR118 = 2, parameter VAR2 = 4, parameter VAR64 = 39, parameter VAR23 = 640000 ) ( VAR73, VAR115, VAR31, VAR21, VAR3, VAR95, VAR40, VAR123, VAR84, VAR13, VAR47, VAR7, VAR80, VAR18, clk, rst, VAR17, VAR78, VAR54, VAR59, VAR22, VAR4, VAR62, VAR56, VAR116, VAR29, VAR46 ); function integer VAR16 (input integer VAR83); begin VAR83 = VAR83 - 1; for (VAR16=1; VAR83>1; VAR16=VAR16+1) VAR83 = VAR83 >> 1; end endfunction input clk; input rst; localparam VAR34 = 1; localparam VAR1 = VAR16(VAR96 + 1); input VAR17; reg VAR117; generate begin : VAR70 reg [VAR1-1:0] VAR30; reg [VAR1-1:0] VAR93; wire VAR112 = (VAR30 == VAR34[VAR1-1:0]); always @(VAR17 or VAR30 or VAR112) begin VAR93 = VAR30; if (~VAR17 || VAR112) VAR93 = VAR96[VAR1-1:0]; end else if (|VAR30) VAR93 = VAR30 - VAR34[VAR1-1:0]; end always @(posedge clk) VAR117 <= end endgenerate output wire VAR73; assign VAR73 = VAR117; localparam VAR20 = VAR16(VAR64 + 1); wire VAR52; generate begin : VAR99 reg [VAR20-1:0] VAR10; reg [VAR20-1:0] VAR111; always @(VAR17 or VAR117 or VAR52 or VAR10) begin VAR111 = VAR10; if (~VAR17 || VAR52) VAR111 = VAR64[VAR20-1:0]; end else if (|VAR10 && VAR117) VAR111 = VAR10 - VAR34[VAR20-1:0]; end assign VAR52 = (VAR10 == VAR34[VAR20-1:0]) && VAR117; end endgenerate output wire VAR115; assign VAR115 = VAR52; localparam VAR91 = VAR16(VAR23 + 1); input VAR54; input VAR22; reg VAR57; reg VAR41 = 1'b0; generate if (VAR102 == "VAR103") begin : VAR114 reg VAR86 = 1'b0; if (VAR23 !=0) begin : VAR109 reg [VAR91-1:0] VAR25; reg [VAR91-1:0] VAR50; always @(VAR17 or VAR117 or VAR86 or VAR25) begin VAR50 = VAR25; if (~VAR17 || VAR86) VAR50 = VAR23[VAR91-1:0]; end else if (|VAR25 && VAR117) VAR50 = VAR25 - VAR34[VAR91-1:0]; end always @(VAR117 or VAR25) VAR86 = (VAR25 == VAR34[VAR91-1:0] && VAR117); end begin : VAR5 wire VAR24 = VAR22 && VAR57; reg VAR87; wire VAR88 = ~rst && (VAR102 == "VAR103") && ((~VAR17 && (VAR23 != 0)) || (VAR87 && ~VAR24) || VAR86 || (VAR54 && VAR17)); always @(VAR17 or VAR87) VAR41 = VAR17 && VAR87; end end endgenerate localparam VAR53 = (VAR45 / VAR113) + (VAR45 % VAR113 ? 1 : 0); localparam VAR12 = VAR16(VAR53 + 1); input VAR59; reg VAR89; reg VAR37; reg VAR6 = 1'b0; wire VAR26; generate begin : VAR69 begin : VAR75 reg VAR11; wire VAR108 = VAR22 && VAR89; wire VAR90 = ~rst && ((VAR11 && ~VAR108) || (VAR59 && VAR17 && ~VAR89)); always @(VAR17 or VAR11) VAR6 = VAR17 && VAR11; end begin : VAR43 reg [VAR12-1:0] VAR65 = {VAR12{1'b0}}; reg [VAR12-1:0] VAR110 = {VAR12{1'b0}}; always @(VAR22 or VAR65 or VAR89) begin VAR110 = VAR65; if (VAR22 && VAR89) VAR110 = VAR53[VAR12-1:0]; end else if(|VAR65) VAR110 = VAR65 - VAR34[VAR12-1:0]; end assign VAR26 = |VAR65; end end endgenerate input[VAR2-1:0] VAR4; input VAR62; reg VAR67; reg [VAR118-1:0] VAR68; input [7:0] VAR56; input [7:0] VAR116; generate begin : VAR42 reg VAR104; reg VAR36; wire VAR61 = VAR104 || VAR36 || VAR67 || VAR62; wire [VAR2+1:0] VAR124 = {VAR6, VAR41, VAR4[VAR2-1:0]}; wire VAR107 = |VAR124 && ~VAR62; wire VAR33 = VAR104 & (~VAR36); wire [VAR2+1:0] VAR9; wire [VAR2+1:0] VAR39; VAR105 # (.VAR38 (VAR2+2)) VAR51 (.VAR55 (VAR9), .VAR58 (VAR39), .VAR76 (VAR33), .VAR32 (VAR39), .req (VAR124), .VAR77 (1'b0), .clk (clk), .rst (rst)); wire [7:0] VAR14 = VAR56 | VAR116; integer VAR60; reg [VAR118-1:0] VAR49; wire VAR100 = ~rst && (VAR33 ? VAR39[VAR2] : VAR57); wire VAR19 = ~rst && (VAR89 ? ~VAR59 & ~VAR26 : VAR37 && VAR33 ? VAR39[VAR2+1] : VAR37); wire VAR101 = ~rst && (VAR33 ? VAR39[VAR2+1] : VAR89 && ~VAR19); always @(VAR39 or VAR68 or VAR100 or VAR101 or VAR19 or VAR14 or rst or VAR33) begin if (rst) VAR49 = {VAR118{1'b0}}; end else begin VAR49 = VAR68; if (VAR100 || VAR101 || VAR19) begin VAR49 = VAR68 + VAR34[VAR118-1:0]; for (VAR60=0; VAR60<8; VAR60=VAR60+1) if (~VAR14[VAR49]) VAR49 = VAR49 + VAR34[VAR118-1:0]; end else if (VAR33) for (VAR60=0; VAR60<VAR2; VAR60=VAR60+1) if (VAR39[VAR60]) VAR49 = VAR60[VAR118-1:0]; end end end endgenerate output wire VAR31; assign VAR31 = VAR57; output wire VAR21; assign VAR21 = VAR89; output wire VAR3; assign VAR3 = VAR37; output wire VAR95; assign VAR95 = VAR67; output wire [VAR118-1:0] VAR40; assign VAR40 = VAR68; output VAR80; reg VAR92; wire VAR15 = VAR22 ? VAR21 && ~VAR3 : VAR92; assign VAR80 = VAR92; input VAR78; output VAR47; wire VAR121; wire VAR106; reg VAR35 = 1'b0; reg VAR27 = 1'b0; assign VAR106 = VAR17 && (VAR78 || VAR27 && |VAR4); assign VAR121 = VAR27 && ~|VAR4; assign VAR47 = VAR35; output VAR7; wire VAR71; wire VAR85; reg VAR97 = 1'b0; reg VAR66 = 1'b0; assign VAR85 = VAR17 && (VAR54 || VAR66 && VAR41); assign VAR71 = VAR66 && ~VAR41; assign VAR7 = VAR97; input [VAR2-1:0] VAR29; reg VAR74; reg [VAR118-1:0] VAR44; input VAR46; output wire [VAR2-1:0] VAR123; output wire VAR84; output wire [VAR118-1:0] VAR13; generate if ( VAR82 != 0 ) begin : VAR72 reg VAR94; wire VAR81 = (VAR46 && VAR94); reg VAR104; wire VAR79 = VAR104 || VAR74; wire VAR107 = VAR17 && (|VAR29 && ~VAR79); wire VAR119 = VAR17 && (VAR104 || (VAR74 && ~VAR81)); always @(posedge clk) begin end else if (VAR74 && VAR46) VAR94 <= ~VAR94; end wire [VAR2-1:0] VAR98; reg [VAR2-1:0] VAR122; VAR105 # (.VAR38 (VAR2)) VAR63 (.VAR55 (VAR98[VAR2-1:0]), .VAR58 (), .VAR76 (VAR104), .VAR32 (VAR122[VAR2-1:0]), .req (VAR29[VAR2-1:0]), .VAR77 (1'b0), .clk (clk), .rst (rst)); always @(posedge clk) VAR122 = VAR107 ? VAR98 : VAR122; integer VAR60; reg [VAR118-1:0] VAR120; always @(VAR122 or VAR44 or VAR104) begin VAR120 = VAR44; if (VAR104) for (VAR60=0; VAR60<VAR2; VAR60=VAR60+1) if (VAR122[VAR60]) VAR120 = VAR60[VAR118-1:0]; end always @(posedge clk) VAR44 <= assign VAR123 = VAR122 & {VAR2{VAR46}}; assign VAR84 = VAR74; assign VAR13 = VAR44; end else begin assign VAR123 = {VAR2{1'b0}}; assign VAR84 = 1'b0; assign VAR13 = {VAR118{1'b0}}; end endgenerate reg VAR8 = 1'b0; output VAR18; always @(posedge clk) if(rst) else if((VAR41 || |VAR4) && VAR22) else if(~VAR62) assign VAR18 = VAR8; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/tap/sky130_fd_sc_hs__tap_2.v
1,752
module MODULE1 ( VAR3, VAR2 ); input VAR3; input VAR2; VAR4 VAR1 ( .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE1 (); supply1 VAR3; supply0 VAR2; VAR4 VAR1 (); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_decapkapwr/sky130_fd_sc_hd__lpflow_decapkapwr.symbol.v
1,335
module MODULE1 (); supply1 VAR5 ; supply1 VAR1; supply0 VAR4 ; supply1 VAR2 ; supply0 VAR3 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v
3,966
if (VAR10 == VAR12 && VAR21 == VAR15) \ begin: VAR34 \ VAR45 VAR11 \ ( \ .VAR7 ( VAR14 ) \ ,.VAR3 ( VAR13 ) \ ,.VAR37 ( ~VAR39 ) \ ,.VAR20 ( ~VAR24 ) \ ,.VAR40 ( VAR32 ) \ \ ,.VAR42 ( VAR6 ) \ ,.VAR25 ( ~VAR46 ) \ ,.VAR38 ( VAR32 ) \ ,.VAR23 ( VAR43 ) \ \ ,.VAR36 ( 2'b00 ) \ ,.VAR31 ( 2'b00 ) \ ); \ end module MODULE1 #(parameter VAR9(VAR21) , parameter VAR9(VAR10) , parameter VAR28=0 , parameter VAR1=VAR4(VAR10) , parameter VAR22=1 ) ( input VAR32 , input VAR44 , input VAR24 , input [VAR21-1:0] VAR39 , input [VAR1-1:0] VAR14 , input [VAR21-1:0] VAR13 , input VAR46 , input [VAR1-1:0] VAR6 , output logic [VAR21-1:0] VAR43 ); VAR33 ,.VAR10 (VAR10 ) ,.VAR28(VAR28) ,.VAR22(VAR22) ) VAR27 (.*); VAR16 @(posedge VAR32) if (VAR24) begin assert (VAR14 < VAR10) end else ("VAR41 address %VAR30 VAR29 %VAR2 VAR17 VAR8 %VAR30\VAR35", VAR14, VAR10); assert (~(VAR6 == VAR14 && VAR24 && VAR46 && !VAR28)) else begin ("%VAR2: VAR5 VAR29 read and write VAR19 address (VAR44 %VAR26, %VAR30 <= %VAR30 (VAR18 %VAR30)",VAR44, VAR14,VAR13,VAR39); end end begin end
bsd-3-clause
olajep/oh
src/common/hdl/oh_lat0.v
1,146
module MODULE1 #(parameter VAR6 = 1 ) ( input clk, input [VAR6-1:0] in, output [VAR6-1:0] out ); localparam VAR5 = VAR4; generate if(VAR5) begin : VAR2 VAR1 VAR7 [VAR6-1:0] (.clk(clk), .in(in[VAR6-1:0]), .out(out[VAR6-1:0])); end else begin : VAR2 reg [VAR6-1:0] VAR3; always @ (clk or in) if (!clk) VAR3[VAR6-1:0] <= in[VAR6-1:0]; assign out[VAR6-1:0] = VAR3[VAR6-1:0]; end endgenerate endmodule
mit
petrmikheev/miksys
verilog/RAM512x16_2RW_bb.v
9,028
module MODULE1 ( VAR3, VAR7, VAR11, VAR2, VAR5, VAR9, VAR8, VAR10, VAR1, VAR6, VAR4); input [8:0] VAR3; input [8:0] VAR7; input [1:0] VAR11; input [1:0] VAR2; input VAR5; input [15:0] VAR9; input [15:0] VAR8; input VAR10; input VAR1; output [15:0] VAR6; output [15:0] VAR4; tri1 [1:0] VAR11; tri1 [1:0] VAR2; tri1 VAR5; tri0 VAR10; tri0 VAR1; endmodule
gpl-3.0
onchipuis/mriscv_vivado
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/controller/mig_7series_v4_0_bank_cntrl.v
25,941
module MODULE1 # ( parameter VAR98 = 100, parameter VAR13 = "1T", parameter VAR43 = 3, parameter VAR78 = 2, parameter VAR128 = "8", parameter VAR15 = 12, parameter VAR62 = 5, parameter VAR73 = 8, parameter VAR129 = "VAR132", parameter VAR136 = "VAR97", parameter VAR64 = 4, parameter VAR125 = 4, parameter VAR67 = 2, parameter VAR109 = 0, parameter VAR106 = 10, parameter VAR103 = 5, parameter VAR42 = 4, parameter VAR38 = 10, parameter VAR48 = 5, parameter VAR27 = "VAR141", parameter VAR140 = 2, parameter VAR120 = 4, parameter VAR82 = 5, parameter VAR54 = 16, parameter VAR139 = 2 ) ( VAR16, VAR113, VAR35, VAR104, VAR25, VAR57, VAR30, VAR47, VAR44, VAR102, VAR90, VAR66, VAR83, VAR20, VAR88, VAR144, VAR119, VAR112, VAR110, VAR143, VAR91, VAR142, VAR126, VAR23, VAR41, VAR70, VAR6, VAR95, VAR49, VAR52, VAR46, VAR134, VAR22, VAR32, VAR59, VAR116, VAR51, VAR31, VAR65, VAR123, VAR77, VAR124, VAR145, VAR86, VAR105, VAR85, VAR39, VAR121, VAR133, rst, VAR5, VAR93, VAR80, VAR69, VAR76, VAR100, VAR58, VAR74, VAR14, VAR11, VAR130, VAR96, VAR3, VAR2, VAR68, VAR114, VAR8, VAR10, VAR89, VAR75, VAR84, VAR18, VAR7, VAR17, VAR40, VAR137, VAR12, VAR72, VAR127, VAR61, VAR108, VAR63, VAR101, VAR36, VAR135, clk, VAR24, VAR92, VAR50, VAR122, VAR19, VAR53, VAR115, VAR111, VAR107 ); input VAR19; input VAR122; input VAR50; input [VAR43-1:0] VAR92; input [(VAR125*2)-1:0] VAR24; input clk; input [2:0] VAR135; input [VAR15-1:0] VAR36; input [VAR73-1:0] VAR101; input [(VAR125*2)-1:0] VAR63; input [(VAR125*2)-1:0] VAR108; input VAR61; input VAR127; input VAR72; input [VAR78-1:0] VAR12; input [VAR120-1:0] VAR137; input [VAR120-1:0] VAR18; input [VAR120-1:0] VAR7; input [VAR140-1:0]VAR40; input VAR17; input VAR53; input VAR84; input VAR75; input VAR89; input [VAR140-1:0] VAR10; input VAR8; input VAR68; input VAR114; input VAR2; input [VAR78-1:0] VAR3; input [(VAR125*2)-1:0] VAR96; input VAR130; input VAR11; input [VAR140-1:0] VAR14; input VAR115; input VAR111; input VAR107; input [VAR140-1:0] VAR74; input [(2*(VAR82*VAR125))-1:0] VAR58; input [VAR78-1:0] VAR100; input [(VAR125*2)-1:0] VAR76; input [VAR73-1:0] VAR69; input VAR80; input [(VAR140*VAR125*2)-1:0] VAR93; input [VAR54-1:0] VAR5; input rst; input VAR133; input VAR39; input VAR121; input VAR85; input VAR105; input VAR86; input [(VAR125*2)-1:0] VAR145; input VAR124; input VAR77; input VAR123; output [VAR120-1:0] VAR95; output [VAR54-1:0] VAR6; output VAR70; output VAR41; output VAR23; output VAR126; output VAR142; output VAR91; output VAR143; output [VAR120-1:0] VAR110; output [VAR82-1:0] VAR112; output VAR119; output [VAR120-1:0] VAR144; output [VAR43-1:0] VAR88; output VAR20; output VAR83; output VAR66; output [VAR54-1:0] VAR90; output VAR102; output [VAR54-1:0] VAR44; output VAR47; output VAR30; output VAR25; output VAR104; output VAR57; output VAR35; output VAR113; output [VAR120-1:0] VAR16; wire VAR45; wire VAR79; wire VAR37; wire VAR81; wire VAR94; wire VAR131; wire VAR55; wire VAR21; wire VAR87; wire VAR29; wire VAR9; wire [VAR125*2-1:0] VAR4; wire VAR117; wire VAR118; wire VAR99; wire VAR138; wire VAR1; wire VAR60; output VAR49; output VAR52; output VAR46; output VAR134; output VAR22; output VAR32; output [VAR140-1:0] VAR59; output VAR116; output VAR51; output VAR31; output [VAR73-1:0] VAR65; VAR71 # ( .VAR43 (VAR43), .VAR98 (VAR98), .VAR128 (VAR128), .VAR15 (VAR15), .VAR73 (VAR73), .VAR136 (VAR136), .VAR140 (VAR140), .VAR120 (VAR120), .VAR54 (VAR54)) VAR56 ( .VAR65 (VAR65[VAR73-1:0]), .VAR83 (VAR83), .VAR102 (VAR102), .VAR46 (VAR46), .VAR59 (VAR59[VAR140-1:0]), .VAR88 (VAR88[VAR43-1:0]), .VAR90 (VAR90[VAR54-1:0]), .VAR52 (VAR52), .VAR99 (VAR99), .VAR116 (VAR116), .VAR119 (VAR119), .VAR138 (VAR138), .VAR31 (VAR31), .VAR6 (VAR6[VAR54-1:0]), .VAR66 (VAR66), .VAR20 (VAR20), .VAR47 (VAR47), .VAR44 (VAR44[VAR54-1:0]), .VAR110 (VAR110[VAR120-1:0]), .clk (clk), .VAR49 (VAR49), .VAR22 (VAR22), .VAR101 (VAR101[VAR73-1:0]), .VAR11 (VAR11), .VAR86 (VAR86), .VAR135 (VAR135[2:0]), .VAR133 (VAR133), .VAR74 (VAR74[VAR140-1:0]), .VAR14 (VAR14[VAR140-1:0]), .VAR92 (VAR92[VAR43-1:0]), .VAR5 (VAR5[VAR54-1:0]), .VAR36 (VAR36[VAR15-1:0]), .VAR72 (VAR72), .VAR10 (VAR10[VAR140-1:0]), .VAR68 (VAR68), .VAR114 (VAR114), .VAR37 (VAR37), .VAR118 (VAR118), .VAR45 (VAR45)); VAR28 # ( .VAR98 (VAR98), .VAR13 (VAR13), .VAR78 (VAR78), .VAR128 (VAR128), .VAR62 (VAR62), .VAR73 (VAR73), .VAR129 (VAR129), .VAR136 (VAR136), .VAR64 (VAR64), .VAR125 (VAR125), .VAR67 (VAR67), .VAR109 (VAR109), .VAR106 (VAR106), .VAR38 (VAR38), .VAR42 (VAR42), .VAR103 (VAR103), .VAR48 (VAR48), .VAR27 (VAR27), .VAR120 (VAR120), .VAR140 (VAR140), .VAR82 (VAR82), .VAR139 (VAR139)) VAR33 ( .VAR113 (VAR113), .VAR45 (VAR45), .VAR118 (VAR118), .VAR112 (VAR112[VAR82-1:0]), .VAR126 (VAR126), .VAR81 (VAR81), .VAR35 (VAR35), .VAR142 (VAR142), .VAR21 (VAR21), .VAR79 (VAR79), .VAR87 (VAR87), .VAR41 (VAR41), .VAR104 (VAR104), .VAR57 (VAR57), .VAR95 (VAR95[VAR120-1:0]), .VAR23 (VAR23), .VAR70 (VAR70), .VAR25 (VAR25), .VAR16 (VAR16[VAR120-1:0]), .VAR144 (VAR144[VAR120-1:0]), .clk (clk), .rst (rst), .VAR134 (VAR134), .VAR55 (VAR55), .VAR39 (VAR39), .VAR121 (VAR121), .VAR117 (VAR117), .VAR133 (VAR133), .VAR46 (VAR46), .VAR52 (VAR52), .VAR69 (VAR69[VAR73-1:0]), .VAR65 (VAR65[VAR73-1:0]), .VAR61 (VAR61), .VAR80 (VAR80), .VAR58 (VAR58[(2*(VAR82*VAR125))-1:0]), .VAR4 (VAR4[(VAR125*2)-1:0]), .VAR22 (VAR22), .VAR51 (VAR51), .VAR75 (VAR75), .VAR2 (VAR2), .VAR1 (VAR1), .VAR37 (VAR37), .VAR131 (VAR131), .VAR111 (VAR111), .VAR115 (VAR115), .VAR107 (VAR107), .VAR40 (VAR40[VAR140-1:0]), .VAR17 (VAR17), .VAR53 (VAR53), .VAR84 (VAR84), .VAR30 (VAR30), .VAR59 (VAR59[VAR140-1:0]), .VAR93 (VAR93[(VAR140*VAR125*2)-1:0]), .VAR145 (VAR145[(VAR125*2)-1:0]), .VAR137 (VAR137[VAR120-1:0]), .VAR60 (VAR60), .VAR32 (VAR32), .VAR105 (VAR105), .VAR63 (VAR63[(VAR125*2)-1:0]), .VAR94 (VAR94), .VAR85 (VAR85), .VAR9 (VAR9), .VAR29 (VAR29), .VAR99 (VAR99), .VAR49 (VAR49), .VAR108 (VAR108[(VAR125*2)-1:0]), .VAR18 (VAR18[VAR120-1:0]), .VAR7 (VAR7[VAR120-1:0]), .VAR127 (VAR127)); VAR34 # ( .VAR98 (VAR98), .VAR78 (VAR78), .VAR125 (VAR125), .VAR27 (VAR27), .VAR64 (VAR64)) VAR26 ( .VAR32 (VAR32), .VAR1 (VAR1), .VAR49 (VAR49), .VAR22 (VAR22), .VAR131 (VAR131), .VAR55 (VAR55), .VAR37 (VAR37), .VAR134 (VAR134), .VAR51 (VAR51), .VAR91 (VAR91), .VAR143 (VAR143), .VAR94 (VAR94), .VAR117 (VAR117), .VAR4 (VAR4[VAR125*2-1:0]), .VAR9 (VAR9), .VAR29 (VAR29), .VAR60 (VAR60), .clk (clk), .rst (rst), .VAR19 (VAR19), .VAR124 (VAR124), .VAR130 (VAR130), .VAR24 (VAR24[(VAR125*2)-1:0]), .VAR12 (VAR12[VAR78-1:0]), .VAR100 (VAR100[VAR78-1:0]), .VAR122 (VAR122), .VAR116 (VAR116), .VAR89 (VAR89), .VAR31 (VAR31), .VAR138 (VAR138), .VAR21 (VAR21), .VAR79 (VAR79), .VAR133 (VAR133), .VAR52 (VAR52), .VAR46 (VAR46), .VAR81 (VAR81), .VAR87 (VAR87), .VAR50 (VAR50), .VAR3 (VAR3[VAR78-1:0]), .VAR76 (VAR76[(VAR125*2)-1:0]), .VAR96 (VAR96[(VAR125*2)-1:0]), .VAR123 (VAR123), .VAR8 (VAR8), .VAR77 (VAR77)); endmodule
mit
DougFirErickson/parallella-hw
fpga/old/hdl/parallella-I/parallella_z7_top.v
37,490
module MODULE1 ( VAR183, VAR313, VAR169, VAR199, VAR132, VAR40, VAR100, VAR240, VAR182, VAR99, VAR262, VAR73, VAR98, VAR176, VAR111, VAR266, VAR141, VAR38, VAR191, VAR14, VAR86, VAR214, VAR403, VAR148, VAR119, VAR271, VAR93, VAR29, VAR408, VAR402, VAR246, VAR102, VAR373, VAR41, VAR224, VAR66, VAR303, VAR395, VAR249, VAR116, VAR194, VAR159, VAR259, VAR158, VAR115, VAR290, VAR188, VAR315, VAR318, VAR187, VAR2, VAR67, VAR273, VAR112, VAR125, VAR34, VAR216 ); parameter VAR144 = 12; parameter VAR103 = 32; parameter VAR186 = 32; parameter VAR264 = 6; parameter VAR355 = 32; parameter VAR87 = 64; parameter VAR352 = 8; parameter VAR369 = 20; inout [53:0] VAR86; inout VAR259; inout VAR158; inout VAR115; inout VAR214; inout VAR403; inout VAR148; inout VAR119; inout VAR271; inout VAR93; inout VAR183; inout [2:0] VAR29; inout [14:0] VAR408; inout VAR402; inout VAR246; inout [31:0] VAR102; inout [3:0] VAR373; inout [3:0] VAR41; inout [3:0] VAR224; inout VAR66; inout VAR303; output [23:8] VAR313; output VAR169; output VAR199; output VAR132; output VAR40; output VAR100; input VAR290; input VAR188; inout VAR395; inout VAR249; inout VAR116; inout [VAR130-1:0] VAR194; inout [VAR130-1:0] VAR159; input [7:0] VAR315; input [7:0] VAR318; input VAR187; input VAR2; input VAR67; input VAR273; input VAR112; input VAR125; input VAR34; output [7:0] VAR240; output [7:0] VAR182; output VAR99; output VAR262; output VAR73; output VAR98; output VAR176; output VAR111; output VAR266; output VAR141; output VAR38; output VAR191; output VAR14; input VAR216; wire VAR134; wire VAR152; wire VAR215; wire VAR107; wire VAR321; wire VAR250; wire VAR375; wire VAR232; wire VAR226; wire VAR275; wire [31:0] VAR171; wire [1:0] VAR241; wire [3:0] VAR139; wire VAR394; wire [11:0] VAR173; wire [3:0] VAR299; wire [1:0] VAR142; wire [2:0] VAR23; wire [3:0] VAR135; wire VAR24; wire [2:0] VAR276; wire VAR213; wire [31:0] VAR37; wire [1:0] VAR110; wire [3:0] VAR68; wire [11:0] VAR348; wire [3:0] VAR334; wire [1:0] VAR120; wire [2:0] VAR350; wire [3:0] VAR12; wire VAR46; wire [2:0] VAR277; wire VAR79; wire [VAR144-1:0] VAR11; wire VAR8; wire [1:0] VAR297; wire VAR153; wire [VAR186-1:0] VAR345; wire [VAR144-1:0] VAR223; wire VAR332; wire VAR284; wire [1:0] VAR257; wire VAR218; wire [31:0] VAR57; wire [11:0] VAR25; wire VAR154; wire VAR178; wire [3:0] VAR33; wire VAR340; wire [VAR355-1:0] VAR83; wire [1:0] VAR80; wire [3:0] VAR281; wire VAR31; wire [VAR264-1:0] VAR283; wire [3:0] VAR325; wire [1:0] VAR203; wire [2:0] VAR371; wire [3:0] VAR162; wire VAR324; wire [2:0] VAR174; wire VAR222; wire [VAR355-1:0] VAR311; wire [1:0] VAR270; wire [3:0] VAR328; wire [VAR264-1:0] VAR177; wire [3:0] VAR184; wire [1:0] VAR133; wire [2:0] VAR156; wire [3:0] VAR71; wire VAR365; wire [2:0] VAR82; wire VAR265; wire [5:0] VAR387; wire VAR123; wire [1:0] VAR161; wire VAR45; wire [63:0] VAR370; wire [5:0] VAR291; wire VAR105; wire VAR333; wire [1:0] VAR85; wire VAR172; wire [VAR87-1:0] VAR391; wire [VAR264-1:0] VAR227; wire VAR252; wire VAR298; wire [VAR352-1:0] VAR280; wire VAR30; wire VAR220; wire VAR341; wire [63:0] VAR20; wire [63:0] VAR124; wire [63:0] VAR307; assign VAR307 = 64'hFFFFFFFFFFFFFFFF; reg [19:0] VAR58; reg VAR237; wire VAR147; wire VAR206; wire VAR48; wire [15:0] VAR316; wire VAR196; wire VAR319; wire VAR36; wire VAR47; wire VAR374; wire [7:0] VAR231; wire [7:0] VAR353; wire VAR342; wire VAR337; wire VAR92; wire VAR55; wire VAR336; wire VAR279; wire VAR331; wire VAR140; wire [7:0] VAR347; wire [7:0] VAR164; wire VAR225; wire VAR363; wire VAR228; wire VAR198; wire VAR327; wire VAR229; wire VAR50; wire VAR243; wire VAR167; wire [1:0] VAR294; assign VAR249 = VAR250 ? 1'VAR209 : VAR321; assign VAR149 = VAR249; assign VAR116 = VAR215 ? 1'VAR209 : VAR152; assign VAR314 = VAR116; VAR168 VAR168 ( .VAR20(VAR20), .VAR194(VAR194), .VAR159(VAR159), .VAR124(VAR124), .VAR307(VAR307) ); assign VAR313 = VAR316; assign VAR169 = VAR196; assign VAR199 = VAR319; assign VAR132 = VAR36; assign VAR40 = VAR47; assign VAR100 = VAR374; assign VAR316 = 16'd0; assign VAR196 = 1'b0; assign VAR319 = 1'b0; assign VAR36 = 1'b0; assign VAR47 = 1'b0; assign VAR374 = 1'b0; assign VAR147 = VAR275; assign VAR206 = ~VAR394; assign VAR394 = ~VAR237; assign VAR31 = ~VAR237; assign VAR231 = VAR315; assign VAR353 = VAR318; assign VAR342 = VAR187; assign VAR337 = VAR2; assign VAR92 = VAR67; assign VAR55 = VAR273; assign VAR336 = VAR112; assign VAR279 = VAR125; assign VAR331 = VAR34; assign VAR140 = 1'b0; assign VAR240 = VAR347; assign VAR182 = VAR164; assign VAR99 = VAR225; assign VAR262 = VAR363; assign VAR73 = VAR228; assign VAR98 = VAR198; assign VAR176 = VAR327; assign VAR111 = VAR229; assign VAR266 = VAR50; assign VAR141 = VAR243; assign VAR14 = VAR167; always @ (posedge VAR147) begin if (VAR58[19:0] == 20'hff13f) begin VAR237 <= 1'b0; end else begin VAR237 <= 1'b1; VAR58[19:0] <= VAR58[19:0] + 20'd1; end end assign VAR48 = VAR237 | VAR206 | VAR341; assign VAR167 = ~(VAR237 | VAR220); VAR126 VAR126( .VAR232 (VAR232), .VAR375 (VAR375), .VAR220 (VAR220), .VAR341 (VAR341), .VAR347 (VAR347[7:0]), .VAR164 (VAR164[7:0]), .VAR225 (VAR225), .VAR363 (VAR363), .VAR228 (VAR228), .VAR198 (VAR198), .VAR327 (VAR327), .VAR229 (VAR229), .VAR50 (VAR50), .VAR243 (VAR243), .VAR248 (VAR38), .VAR118 (VAR191), .VAR22 (VAR177[VAR264-1:0]), .VAR121 (VAR311[VAR355-1:0]), .VAR145 (VAR184[3:0]), .VAR317 (VAR82[2:0]), .VAR64 (VAR270[1:0]), .VAR3 (VAR133[1:0]), .VAR293 (VAR328[3:0]), .VAR94 (VAR156[2:0]), .VAR63 (VAR265), .VAR354 (VAR46), .VAR309 (VAR227[VAR264-1:0]), .VAR210 (VAR391[VAR87-1:0]), .VAR88 (VAR280[VAR352-1:0]), .VAR60 (VAR252), .VAR96 (VAR30), .VAR255 (VAR178), .VAR211 (VAR123), .VAR304 (VAR11[VAR144-1:0]), .VAR78 (VAR297[1:0]), .VAR136 (VAR153), .VAR62 (VAR283[VAR264-1:0]), .VAR247 (VAR83[VAR355-1:0]), .VAR397 (VAR325[3:0]), .VAR106 (VAR174[2:0]), .VAR251 (VAR80[1:0]), .VAR329 (VAR203[1:0]), .VAR51 (VAR281[3:0]), .VAR308 (VAR371[2:0]), .VAR287 (VAR222), .VAR1 (VAR24), .VAR74 (VAR333), .VAR54 (VAR223[VAR144-1:0]), .VAR296 (VAR345[VAR186-1:0]), .VAR15 (VAR257[1:0]), .VAR351 (VAR332), .VAR274 (VAR218), .VAR204 (VAR71[3:0]), .VAR335 (VAR162[3:0]), .VAR114 (VAR226), .VAR205 (VAR275), .VAR256 (VAR275), .reset (VAR48), .VAR367 (VAR394), .VAR207 (VAR31), .VAR28 (1'b0), .VAR231 (VAR231[7:0]), .VAR353 (VAR353[7:0]), .VAR342 (VAR342), .VAR337 (VAR337), .VAR92 (VAR92), .VAR55 (VAR55), .VAR336 (VAR336), .VAR279 (VAR279), .VAR331 (VAR331), .VAR140 (VAR140), .VAR185 (VAR365), .VAR43 (VAR348[VAR144-1:0]), .VAR386 (VAR37[VAR355-1:0]), .VAR377 (VAR334[3:0]), .VAR236 (VAR277[2:0]), .VAR289 (VAR110[1:0]), .VAR364 (VAR120[1:0]), .VAR90 (VAR68[3:0]), .VAR128 (VAR350[2:0]), .VAR137 (VAR79), .VAR339 (VAR298), .VAR155 (VAR25[VAR144-1:0]), .VAR72 (VAR57[VAR186-1:0]), .VAR357 (VAR33[3:0]), .VAR346 (VAR154), .VAR260 (VAR340), .VAR4 (VAR387[VAR264-1:0]), .VAR84 (VAR161[1:0]), .VAR109 (VAR45), .VAR407 (VAR8), .VAR166 (VAR324), .VAR101 (VAR173[VAR144-1:0]), .VAR131 (VAR171[VAR355-1:0]), .VAR245 (VAR299[3:0]), .VAR405 (VAR276[2:0]), .VAR295 (VAR241[1:0]), .VAR108 (VAR142[1:0]), .VAR230 (VAR139[3:0]), .VAR239 (VAR23[2:0]), .VAR244 (VAR213), .VAR366 (VAR291[VAR264-1:0]), .VAR75 (VAR370[VAR87-1:0]), .VAR193 (VAR85[1:0]), .VAR91 (VAR105), .VAR52 (VAR172), .VAR404 (VAR284), .VAR344 (VAR12[3:0]), .VAR201 (VAR135[3:0])); VAR17 VAR65 ( .VAR196(VAR196), .VAR316(VAR316), .VAR319(VAR319), .VAR36(VAR36), .VAR47(VAR47), .VAR258(VAR374), .VAR124 (VAR124[63:0]), .VAR307 (VAR307[63:0]), .VAR20 (VAR20[63:0]), .VAR152 (VAR152), .VAR215 (VAR215), .VAR321 (VAR321), .VAR250 (VAR250), .VAR390 (), .VAR44 (), .VAR192 (), .VAR343 (), .VAR213 (VAR213), .VAR79 (VAR79), .VAR8 (VAR8), .VAR284 (VAR284), .VAR154 (VAR154), .VAR340 (VAR340), .VAR173 (VAR173[11:0]), .VAR348 (VAR348[11:0]), .VAR25 (VAR25[11:0]), .VAR241 (VAR241[1:0]), .VAR142 (VAR142[1:0]), .VAR276 (VAR276[2:0]), .VAR110 (VAR110[1:0]), .VAR120 (VAR120[1:0]), .VAR277 (VAR277[2:0]), .VAR23 (VAR23[2:0]), .VAR350 (VAR350[2:0]), .VAR171 (VAR171[31:0]), .VAR37 (VAR37[31:0]), .VAR57 (VAR57[31:0]), .VAR139 (VAR139[3:0]), .VAR299 (VAR299[3:0]), .VAR135 (VAR135[3:0]), .VAR68 (VAR68[3:0]), .VAR334 (VAR334[3:0]), .VAR12 (VAR12[3:0]), .VAR33 (VAR33[3:0]), .VAR324 (VAR324), .VAR365 (VAR365), .VAR45 (VAR45), .VAR105 (VAR105), .VAR172 (VAR172), .VAR298 (VAR298), .VAR161 (VAR161[1:0]), .VAR85 (VAR85[1:0]), .VAR387 (VAR387[5:0]), .VAR291 (VAR291[5:0]), .VAR370 (VAR370[63:0]), .VAR122 (), .VAR157 (), .VAR238 (), .VAR16 (), .VAR226 (VAR226), .VAR275 (VAR275), .VAR261 (VAR261), .VAR86 (VAR86[53:0]), .VAR93 (VAR93), .VAR148 (VAR148), .VAR403 (VAR403), .VAR214 (VAR214), .VAR119 (VAR119), .VAR246 (VAR246), .VAR402 (VAR402), .VAR271 (VAR271), .VAR183 (VAR183), .VAR29 (VAR29[2:0]), .VAR408 (VAR408[14:0]), .VAR66 (VAR66), .VAR303 (VAR303), .VAR373 (VAR373[3:0]), .VAR102 (VAR102[31:0]), .VAR224 (VAR224[3:0]), .VAR41 (VAR41[3:0]), .VAR259 (VAR259), .VAR158 (VAR158), .VAR115 (VAR115), .VAR314 (VAR314), .VAR149 (VAR149), .VAR146 (1'b0), .VAR19 (1'b0), .VAR356 (VAR275), .VAR24 (VAR24), .VAR46 (VAR46), .VAR153 (VAR153), .VAR332 (VAR332), .VAR218 (VAR218), .VAR178 (VAR178), .VAR11 (VAR11[11:0]), .VAR223 (VAR223[11:0]), .VAR297 (VAR297[1:0]), .VAR257 (VAR257[1:0]), .VAR345 (VAR345[31:0]), .VAR170 (VAR275), .VAR222 (VAR222), .VAR265 (VAR265), .VAR123 (VAR123), .VAR13 (1'b0), .VAR333 (VAR333), .VAR252 (VAR252), .VAR288 (1'b0), .VAR30 (VAR30), .VAR80 (VAR80[1:0]), .VAR203 (VAR203[1:0]), .VAR174 (VAR174[2:0]), .VAR270 (VAR270[1:0]), .VAR133 (VAR133[1:0]), .VAR82 (VAR82[2:0]), .VAR371 (VAR371[2:0]), .VAR156 (VAR156[2:0]), .VAR83 (VAR83[31:0]), .VAR311 (VAR311[31:0]), .VAR281 (VAR281[3:0]), .VAR325 (VAR325[3:0]), .VAR162 (VAR162[3:0]), .VAR328 (VAR328[3:0]), .VAR184 (VAR184[3:0]), .VAR71 (VAR71[3:0]), .VAR283 (VAR283[5:0]), .VAR177 (VAR177[5:0]), .VAR227 (VAR227[5:0]), .VAR391 (VAR391[63:0]), .VAR280 (VAR280[7:0])); VAR65 VAR65( .VAR49(VAR53), .VAR143(VAR53), .VAR221(VAR249), .VAR368(VAR116), .VAR196(VAR196), .VAR316(VAR316), .VAR319(VAR319), .VAR36(VAR36), .VAR47(VAR47), .VAR95(VAR374), .VAR202(VAR202), .VAR384(VAR384), .VAR69(VAR69), .VAR10(VAR10), .VAR175(VAR175), .VAR197(VAR197), .VAR53(VAR53), .VAR292(VAR292), .VAR376(VAR376), .VAR61(VAR61), .VAR385(VAR385), .VAR151(VAR151), .VAR398(VAR398), .VAR81(VAR81), .VAR372(VAR372[11:0]), .VAR217(VAR217[11:0]), .VAR208(VAR208[11:0]), .VAR189(VAR189[1:0]), .VAR70(VAR70[1:0]), .VAR312(VAR312[2:0]), .VAR406(VAR406[1:0]), .VAR234(VAR234[1:0]), .VAR253(VAR253[2:0]), .VAR165(VAR165[2:0]), .VAR76(VAR76[2:0]), .VAR263(VAR263[31:0]), .VAR138(VAR138[31:0]), .VAR383(VAR383[31:0]), .VAR117(VAR117[3:0]), .VAR104(VAR104[3:0]), .VAR272(VAR272[3:0]), .VAR219(VAR219[3:0]), .VAR59(VAR59[3:0]), .VAR361(VAR361[3:0]), .VAR382(VAR382[3:0]), .VAR393(VAR393), .VAR362(VAR362), .VAR97(VAR97), .VAR399(VAR399), .VAR89(VAR89), .VAR378(VAR378), .VAR77(VAR77[1:0]), .VAR267(VAR267[1:0]), .VAR286(VAR286[5:0]), .VAR180(VAR180[5:0]), .VAR300(VAR300[63:0]), .VAR113(VAR113[53:0]), .VAR396(VAR396), .VAR400(VAR400), .VAR39(VAR39), .VAR268(VAR268), .VAR195(VAR195), .VAR190(VAR190), .VAR5(VAR5[2:0]), .VAR150(VAR150[14:0]), .VAR233(VAR233), .VAR254(VAR254), .VAR392(VAR392[31:0]), .VAR32(VAR32[3:0]), .VAR326(VAR326[3:0]), .VAR359(VAR359[3:0]), .VAR6(VAR6), .VAR338(VAR338), .VAR401(VAR401), .VAR381(VAR381), .VAR18(VAR18), .VAR42(VAR42), .VAR388(VAR388), .VAR127(VAR127), .VAR302(VAR302), .VAR360(VAR360), .VAR301(VAR301), .VAR235(VAR235[11:0]), .VAR7(VAR7[11:0]), .VAR349(VAR349[1:0]), .VAR320(VAR320[1:0]), .VAR129(VAR129[31:0]), .VAR323(VAR323), .VAR27(VAR27), .VAR242(VAR242), .VAR389(VAR389), .VAR330(VAR330), .VAR163(VAR163), .VAR160(VAR160[1:0]), .VAR310(VAR310[1:0]), .VAR212(VAR212[2:0]), .VAR56(VAR56[1:0]), .VAR306(VAR306[1:0]), .VAR380(VAR380[2:0]), .VAR282(VAR282[2:0]), .VAR305(VAR305[2:0]), .VAR322(VAR322[31:0]), .VAR21(VAR21[31:0]), .VAR181(VAR181[3:0]), .VAR285(VAR285[3:0]), .VAR358(VAR358[3:0]), .VAR35(VAR35[3:0]), .VAR9(VAR9[3:0]), .VAR26(VAR26[3:0]), .VAR179(VAR179[5:0]), .VAR200(VAR200[5:0]), .VAR379(VAR379[5:0]), .VAR269(VAR269[63:0]), .VAR278(VAR278[7:0])); endmodule
gpl-3.0
praveendath92/securePUF
ipcore_dir/SysMon.v
7,263
module MODULE1 ( VAR20, VAR54, VAR11, VAR13, VAR60, VAR67, VAR31, VAR42, VAR17, VAR64, VAR26, VAR23, VAR1, VAR24, VAR33, VAR18); input [6:0] VAR20; input VAR54; input VAR11; input [15:0] VAR13; input VAR60; input VAR33; input VAR18; output VAR67; output [4:0] VAR31; output [15:0] VAR42; output VAR17; output VAR64; output VAR26; output VAR23; output VAR1; output VAR24; wire VAR25; wire VAR14; wire VAR41; wire VAR65; wire [2:0] VAR4; assign VAR65 = 0; wire [15:0] VAR12; wire [15:0] VAR37; assign VAR12[0] = 1'b0; assign VAR37[0] = 1'b0; assign VAR12[1] = 1'b0; assign VAR37[1] = 1'b0; assign VAR12[2] = 1'b0; assign VAR37[2] = 1'b0; assign VAR12[3] = 1'b0; assign VAR37[3] = 1'b0; assign VAR12[4] = 1'b0; assign VAR37[4] = 1'b0; assign VAR12[5] = 1'b0; assign VAR37[5] = 1'b0; assign VAR12[6] = 1'b0; assign VAR37[6] = 1'b0; assign VAR12[7] = 1'b0; assign VAR37[7] = 1'b0; assign VAR12[8] = 1'b0; assign VAR37[8] = 1'b0; assign VAR12[9] = 1'b0; assign VAR37[9] = 1'b0; assign VAR12[10] = 1'b0; assign VAR37[10] = 1'b0; assign VAR12[11] = 1'b0; assign VAR37[11] = 1'b0; assign VAR12[12] = 1'b0; assign VAR37[12] = 1'b0; assign VAR12[13] = 1'b0; assign VAR37[13] = 1'b0; assign VAR12[14] = 1'b0; assign VAR37[14] = 1'b0; assign VAR12[15] = 1'b0; assign VAR37[15] = 1'b0; VAR63 #( .VAR39(16'h3000), .VAR61(16'h30ff), .VAR34(16'h0800), .VAR57(16'h0100), .VAR3(16'h0000), .VAR2(16'h0000), .VAR22(16'h0000), .VAR58(16'h0000), .VAR59(16'h0000), .VAR28(16'h0000), .VAR51(16'h0000), .VAR68(16'hb5ed), .VAR9(16'h5999), .VAR69(16'he000), .VAR50(16'ha93a), .VAR32(16'h5111), .VAR36(16'hcaaa), .VAR40(16'hae4e), .VAR53("VAR43.VAR30") ) VAR8 ( .VAR49(VAR65), .VAR38(VAR65), .VAR48(VAR20[6:0]), .VAR52(VAR54), .VAR47(VAR11), .VAR55(VAR13[15:0]), .VAR35(VAR60), .VAR29(VAR65), .VAR21(VAR37[15:0]), .VAR19(VAR12[15:0]), .VAR27({VAR25, VAR14, VAR41}), .VAR7(VAR67), .VAR6(VAR31[4:0]), .VAR66(VAR42[15:0]), .VAR15(VAR17), .VAR45(VAR64), .VAR44(VAR26), .VAR16(VAR23), .VAR56(VAR1), .VAR46(VAR24), .VAR10(), .VAR62(VAR33), .VAR5(VAR18) ); endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_jbus_common/rtl/bw_io_dtl_rpt.v
7,767
module MODULE1(VAR33 ,VAR77 ,VAR59 ,VAR35 ,VAR51 ,VAR6 ,VAR97 ,VAR11 ,VAR44 , VAR67 ,VAR69 ,VAR60 ,VAR63 ,VAR98 ,VAR78 ,VAR80 ,VAR21 ,VAR38 ,VAR32 ,VAR92 , VAR74 ,VAR30 ,VAR62 ,VAR34 ,VAR24 ,VAR2 ,VAR85 ,VAR90 ,VAR65 ,VAR31 ,VAR19 ,VAR3 ,VAR89 ,VAR79 ,VAR91 ,VAR48 ,VAR10 ,VAR71 ); output [1:0] VAR33 ; output [1:0] VAR35 ; output [1:0] VAR11 ; output [1:0] VAR69 ; output [1:0] VAR60 ; output [1:0] VAR80 ; output [1:0] VAR2 ; output [1:0] VAR85 ; output [1:0] VAR90 ; output [1:0] VAR65 ; output [1:0] VAR31 ; output [1:0] VAR19 ; output [1:0] VAR3 ; output [8:1] VAR89 ; output [8:1] VAR79 ; output [8:1] VAR91 ; output [8:1] VAR48 ; output [1:0] VAR10 ; output [1:0] VAR71 ; input [1:0] VAR77 ; input [8:1] VAR59 ; input [8:1] VAR51 ; input [8:1] VAR6 ; input [1:0] VAR97 ; input [1:0] VAR44 ; input [1:0] VAR67 ; input [8:1] VAR63 ; input [1:0] VAR98 ; input [1:0] VAR78 ; input [1:0] VAR21 ; input [1:0] VAR38 ; input [1:0] VAR32 ; input [1:0] VAR92 ; input [1:0] VAR74 ; input [1:0] VAR30 ; input [1:0] VAR62 ; input [1:0] VAR34 ; input [1:0] VAR24 ; VAR13 VAR15 ( .VAR53 (VAR11[0] ), .VAR101 (VAR74[0] ) ); VAR13 VAR36 ( .VAR53 (VAR80[0] ), .VAR101 (VAR92[0] ) ); VAR13 VAR70 ( .VAR53 (VAR48[4] ), .VAR101 (VAR51[4] ) ); VAR13 VAR95 ( .VAR53 (VAR69[0] ), .VAR101 (VAR24[0] ) ); VAR13 VAR29 ( .VAR53 (VAR65[0] ), .VAR101 (VAR67[0] ) ); VAR13 VAR49 ( .VAR53 (VAR85[0] ), .VAR101 (VAR77[0] ) ); VAR13 VAR25 ( .VAR53 (VAR79[1] ), .VAR101 (VAR63[1] ) ); VAR13 VAR4 ( .VAR53 (VAR91[3] ), .VAR101 (VAR6[3] ) ); VAR13 VAR64 ( .VAR53 (VAR89[7] ), .VAR101 (VAR59[7] ) ); VAR13 VAR5 ( .VAR53 (VAR11[1] ), .VAR101 (VAR74[1] ) ); VAR13 VAR66 ( .VAR53 (VAR80[1] ), .VAR101 (VAR92[1] ) ); VAR13 VAR43 ( .VAR53 (VAR48[5] ), .VAR101 (VAR51[5] ) ); VAR13 VAR23 ( .VAR53 (VAR69[1] ), .VAR101 (VAR24[1] ) ); VAR13 VAR1 ( .VAR53 (VAR65[1] ), .VAR101 (VAR67[1] ) ); VAR13 VAR72 ( .VAR53 (VAR85[1] ), .VAR101 (VAR77[1] ) ); VAR13 VAR94 ( .VAR53 (VAR79[2] ), .VAR101 (VAR63[2] ) ); VAR13 VAR57 ( .VAR53 (VAR91[4] ), .VAR101 (VAR6[4] ) ); VAR13 VAR84 ( .VAR53 (VAR89[8] ), .VAR101 (VAR59[8] ) ); VAR13 VAR55 ( .VAR53 (VAR33[0] ), .VAR101 (VAR30[0] ) ); VAR13 VAR103 ( .VAR53 (VAR3[0] ), .VAR101 (VAR32[0] ) ); VAR13 VAR83 ( .VAR53 (VAR48[6] ), .VAR101 (VAR51[6] ) ); VAR13 VAR88 ( .VAR53 (VAR19[0] ), .VAR101 (VAR38[0] ) ); VAR13 VAR16 ( .VAR53 (VAR71[0] ), .VAR101 (VAR78[0] ) ); VAR13 VAR39 ( .VAR53 (VAR89[1] ), .VAR101 (VAR59[1] ) ); VAR13 VAR47 ( .VAR53 (VAR79[3] ), .VAR101 (VAR63[3] ) ); VAR13 VAR73 ( .VAR53 (VAR91[5] ), .VAR101 (VAR6[5] ) ); VAR13 VAR20 ( .VAR53 (VAR33[1] ), .VAR101 (VAR30[1] ) ); VAR13 VAR99 ( .VAR53 (VAR3[1] ), .VAR101 (VAR32[1] ) ); VAR13 VAR86 ( .VAR53 (VAR48[7] ), .VAR101 (VAR51[7] ) ); VAR13 VAR61 ( .VAR53 (VAR19[1] ), .VAR101 (VAR38[1] ) ); VAR13 VAR42 ( .VAR53 (VAR71[1] ), .VAR101 (VAR78[1] ) ); VAR13 VAR52 ( .VAR53 (VAR89[2] ), .VAR101 (VAR59[2] ) ); VAR13 VAR81 ( .VAR53 (VAR79[4] ), .VAR101 (VAR63[4] ) ); VAR13 VAR37 ( .VAR53 (VAR91[6] ), .VAR101 (VAR6[6] ) ); VAR13 VAR46 ( .VAR53 (VAR35[0] ), .VAR101 (VAR34[0] ) ); VAR13 VAR9 ( .VAR53 (VAR48[8] ), .VAR101 (VAR51[8] ) ); VAR13 VAR93 ( .VAR53 (VAR31[0] ), .VAR101 (VAR21[0] ) ); VAR13 VAR14 ( .VAR53 (VAR10[0] ), .VAR101 (VAR98[0] ) ); VAR13 VAR76 ( .VAR53 (VAR89[3] ), .VAR101 (VAR59[3] ) ); VAR13 VAR22 ( .VAR53 (VAR79[5] ), .VAR101 (VAR63[5] ) ); VAR13 VAR54 ( .VAR53 (VAR91[7] ), .VAR101 (VAR6[7] ) ); VAR13 VAR75 ( .VAR53 (VAR35[1] ), .VAR101 (VAR34[1] ) ); VAR13 VAR18 ( .VAR53 (VAR48[1] ), .VAR101 (VAR51[1] ) ); VAR13 VAR56 ( .VAR53 (VAR31[1] ), .VAR101 (VAR21[1] ) ); VAR13 VAR7 ( .VAR53 (VAR10[1] ), .VAR101 (VAR98[1] ) ); VAR13 VAR100 ( .VAR53 (VAR89[4] ), .VAR101 (VAR59[4] ) ); VAR13 VAR87 ( .VAR53 (VAR79[6] ), .VAR101 (VAR63[6] ) ); VAR13 VAR40 ( .VAR53 (VAR91[8] ), .VAR101 (VAR6[8] ) ); VAR13 VAR50 ( .VAR53 (VAR60[0] ), .VAR101 (VAR62[0] ) ); VAR13 VAR26 ( .VAR53 (VAR48[2] ), .VAR101 (VAR51[2] ) ); VAR13 VAR68 ( .VAR53 (VAR90[0] ), .VAR101 (VAR44[0] ) ); VAR13 VAR58 ( .VAR53 (VAR2[0] ), .VAR101 (VAR97[0] ) ); VAR13 VAR82 ( .VAR53 (VAR91[1] ), .VAR101 (VAR6[1] ) ); VAR13 VAR102 ( .VAR53 (VAR89[5] ), .VAR101 (VAR59[5] ) ); VAR13 VAR96 ( .VAR53 (VAR79[7] ), .VAR101 (VAR63[7] ) ); VAR13 VAR41 ( .VAR53 (VAR60[1] ), .VAR101 (VAR62[1] ) ); VAR13 VAR27 ( .VAR53 (VAR48[3] ), .VAR101 (VAR51[3] ) ); VAR13 VAR28 ( .VAR53 (VAR90[1] ), .VAR101 (VAR44[1] ) ); VAR13 VAR17 ( .VAR53 (VAR2[1] ), .VAR101 (VAR97[1] ) ); VAR13 VAR12 ( .VAR53 (VAR91[2] ), .VAR101 (VAR6[2] ) ); VAR13 VAR45 ( .VAR53 (VAR89[6] ), .VAR101 (VAR59[6] ) ); VAR13 VAR8 ( .VAR53 (VAR79[8] ), .VAR101 (VAR63[8] ) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32a/sky130_fd_sc_lp__o32a_lp.v
2,436
module MODULE2 ( VAR4 , VAR7 , VAR8 , VAR1 , VAR12 , VAR3 , VAR6, VAR10, VAR2 , VAR11 ); output VAR4 ; input VAR7 ; input VAR8 ; input VAR1 ; input VAR12 ; input VAR3 ; input VAR6; input VAR10; input VAR2 ; input VAR11 ; VAR9 VAR5 ( .VAR4(VAR4), .VAR7(VAR7), .VAR8(VAR8), .VAR1(VAR1), .VAR12(VAR12), .VAR3(VAR3), .VAR6(VAR6), .VAR10(VAR10), .VAR2(VAR2), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR4 , VAR7, VAR8, VAR1, VAR12, VAR3 ); output VAR4 ; input VAR7; input VAR8; input VAR1; input VAR12; input VAR3; supply1 VAR6; supply0 VAR10; supply1 VAR2 ; supply0 VAR11 ; VAR9 VAR5 ( .VAR4(VAR4), .VAR7(VAR7), .VAR8(VAR8), .VAR1(VAR1), .VAR12(VAR12), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fah/sky130_fd_sc_lp__fah.behavioral.pp.v
2,616
module MODULE1 ( VAR21, VAR6 , VAR14 , VAR7 , VAR17 , VAR18, VAR19, VAR16 , VAR10 ); output VAR21; output VAR6 ; input VAR14 ; input VAR7 ; input VAR17 ; input VAR18; input VAR19; input VAR16 ; input VAR10 ; wire VAR15 ; wire VAR20 ; wire VAR1 ; wire VAR12 ; wire VAR2 ; wire VAR3 ; wire VAR11; xor VAR9 (VAR15 , VAR14, VAR7, VAR17 ); VAR25 VAR24 (VAR20 , VAR15, VAR18, VAR19); buf VAR4 (VAR6 , VAR20 ); and VAR13 (VAR1 , VAR14, VAR7 ); and VAR8 (VAR12 , VAR14, VAR17 ); and VAR22 (VAR2 , VAR7, VAR17 ); or VAR26 (VAR3 , VAR1, VAR12, VAR2 ); VAR25 VAR5 (VAR11, VAR3, VAR18, VAR19); buf VAR23 (VAR21 , VAR11 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/xor2/sky130_fd_sc_ms__xor2.functional.pp.v
1,814
module MODULE1 ( VAR2 , VAR9 , VAR1 , VAR6, VAR13, VAR12 , VAR8 ); output VAR2 ; input VAR9 ; input VAR1 ; input VAR6; input VAR13; input VAR12 ; input VAR8 ; wire VAR5 ; wire VAR3; xor VAR7 (VAR5 , VAR1, VAR9 ); VAR10 VAR11 (VAR3, VAR5, VAR6, VAR13); buf VAR4 (VAR2 , VAR3 ); endmodule
apache-2.0
hanw/sonic-lite
hw/verilog/traffic_controller/avalon_st_prtmux.v
3,172
module MODULE1 ( input wire VAR9, input wire[5:0] VAR2, input wire VAR5, input wire VAR7, input wire[63:0] VAR1, input wire[2:0] VAR3, output reg VAR19, input wire VAR11, input wire[5:0] VAR12, input wire VAR16, input wire VAR20, input wire[63:0] VAR23, input wire[2:0] VAR21, output reg VAR13, input wire VAR22, output reg VAR8, output reg[5:0] VAR17, output reg VAR14, output reg VAR15, output reg[63:0] VAR18, output reg[2:0] VAR4, input wire VAR6, input wire VAR10 ); always@(*) begin if (VAR10) begin VAR8 = VAR11; VAR17= VAR12; VAR14 = VAR16; VAR15 = VAR20; VAR18 = VAR23; VAR4 = VAR21; VAR13 = VAR6; VAR19 = VAR6; end else begin VAR8 = VAR9; VAR17= VAR2; VAR14 = VAR5; VAR15 = VAR7; VAR18 = VAR1; VAR4 = VAR3; VAR19 = VAR6; VAR13 = VAR22; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a2bb2oi/sky130_fd_sc_ls__a2bb2oi.symbol.v
1,460
module MODULE1 ( input VAR5, input VAR4, input VAR9 , input VAR1 , output VAR3 ); supply1 VAR7; supply0 VAR2; supply1 VAR8 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand4b/sky130_fd_sc_hd__nand4b.pp.symbol.v
1,330
module MODULE1 ( input VAR6 , input VAR9 , input VAR8 , input VAR4 , output VAR1 , input VAR3 , input VAR2, input VAR7, input VAR5 ); endmodule
apache-2.0
solowandererY2K/FPGA-Quantum-Compiler
src/mult_unit.v
4,371
module MODULE1 ( VAR17, VAR4, VAR3); input [35:0] VAR17; input [35:0] VAR4; output [71:0] VAR3; wire [71:0] VAR10; wire [71:0] VAR3 = VAR10[71:0]; VAR11 VAR6 ( .VAR17 (VAR17), .VAR4 (VAR4), .VAR3 (VAR10), .VAR18 (1'b0), .VAR16 (1'b1), .VAR14 (1'b0), .sum (1'b0)); VAR6.VAR8 = "VAR2=9", VAR6.VAR9 = "VAR7", VAR6.VAR5 = "VAR15", VAR6.VAR12 = 36, VAR6.VAR13 = 36, VAR6.VAR1 = 72; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfbbp/sky130_fd_sc_hd__sdfbbp.behavioral.v
3,323
module MODULE1 ( VAR35 , VAR26 , VAR13 , VAR2 , VAR16 , VAR28 , VAR4 , VAR3 ); output VAR35 ; output VAR26 ; input VAR13 ; input VAR2 ; input VAR16 ; input VAR28 ; input VAR4 ; input VAR3; supply1 VAR8; supply0 VAR31; supply1 VAR37 ; supply0 VAR1 ; wire VAR21 ; wire VAR23 ; wire VAR18 ; reg VAR12 ; wire VAR32 ; wire VAR17 ; wire VAR27 ; wire VAR30 ; wire VAR20 ; wire VAR14; wire VAR25 ; wire VAR29 ; wire VAR7 ; wire VAR24 ; wire VAR6 ; wire VAR34 ; wire VAR9 ; wire VAR38 ; not VAR36 (VAR21 , VAR14 ); not VAR33 (VAR23 , VAR20 ); VAR5 VAR15 (VAR25, VAR32, VAR17, VAR27 ); VAR10 VAR11 (VAR18 , VAR23, VAR21, VAR30, VAR25, VAR12, VAR8, VAR31); assign VAR29 = ( VAR8 === 1'b1 ); assign VAR7 = ( VAR29 && ( VAR14 === 1'b1 ) ); assign VAR24 = ( VAR29 && ( VAR20 === 1'b1 ) ); assign VAR6 = ( VAR7 & VAR24 ); assign VAR34 = ( ( VAR27 === 1'b0 ) && VAR6 ); assign VAR9 = ( ( VAR27 === 1'b1 ) && VAR6 ); assign VAR38 = ( ( VAR32 !== VAR17 ) && VAR6 ); buf VAR22 (VAR35 , VAR18 ); not VAR19 (VAR26 , VAR18 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o41ai/sky130_fd_sc_hd__o41ai_4.v
2,424
module MODULE1 ( VAR6 , VAR8 , VAR7 , VAR5 , VAR10 , VAR4 , VAR2, VAR3, VAR1 , VAR11 ); output VAR6 ; input VAR8 ; input VAR7 ; input VAR5 ; input VAR10 ; input VAR4 ; input VAR2; input VAR3; input VAR1 ; input VAR11 ; VAR9 VAR12 ( .VAR6(VAR6), .VAR8(VAR8), .VAR7(VAR7), .VAR5(VAR5), .VAR10(VAR10), .VAR4(VAR4), .VAR2(VAR2), .VAR3(VAR3), .VAR1(VAR1), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR6 , VAR8, VAR7, VAR5, VAR10, VAR4 ); output VAR6 ; input VAR8; input VAR7; input VAR5; input VAR10; input VAR4; supply1 VAR2; supply0 VAR3; supply1 VAR1 ; supply0 VAR11 ; VAR9 VAR12 ( .VAR6(VAR6), .VAR8(VAR8), .VAR7(VAR7), .VAR5(VAR5), .VAR10(VAR10), .VAR4(VAR4) ); endmodule
apache-2.0
mdsalman729/flexpret_project
src/uart/ParityGen.v
3,881
module MODULE1(VAR2, VAR3); parameter VAR4 = 0, VAR1 = 8; input [VAR1-1:0] VAR2; output reg VAR3; always @ (VAR2) begin case (VAR4) 1: VAR3 = ~^VAR2; 2: VAR3 = ^VAR2; 3: VAR3 = 1; 4: VAR3 = 0; default: VAR3 = 1'b0; endcase end endmodule ------------------------------------------------------------------------------
bsd-3-clause
AngelTerrones/Antares
Hardware/verilog/antares_divider.v
4,697
module MODULE1 ( input clk, input rst, input VAR7, input VAR8, input [31:0] VAR6, input [31:0] VAR12, output [31:0] VAR13, output [31:0] VAR3, output VAR10 ); reg VAR15; reg VAR2; reg VAR11; reg [4:0] VAR5; reg [31:0] VAR9; reg [31:0] VAR4; reg [31:0] VAR14; wire [32:0] VAR1; assign VAR13 = !VAR2 ? VAR9 : -VAR9; assign VAR3 = !VAR11 ? VAR14 : -VAR14; assign VAR10 = VAR15; assign VAR1 = {VAR14[30:0], VAR9[31]} - VAR4; always @(posedge clk) begin if (rst) begin VAR15 <= 1'h0; VAR5 <= 5'h0; VAR4 <= 32'h0; VAR2 <= 1'h0; VAR11 <= 1'h0; VAR14 <= 32'h0; VAR9 <= 32'h0; end else begin if(VAR7) begin VAR5 <= 5'd31; VAR9 <= (VAR6[31] == 1'b0) ? VAR6 : -VAR6; VAR4 <= (VAR12[31] == 1'b0) ? VAR12 : -VAR12; VAR14 <= 32'b0; VAR2 <= VAR6[31] ^ VAR12[31]; VAR11 <= VAR6[31]; VAR15 <= 1'b1; end else if (VAR8) begin VAR5 <= 5'd31; VAR9 <= VAR6; VAR4 <= VAR12; VAR14 <= 32'b0; VAR2 <= 1'b0; VAR11 <= 1'h0; VAR15 <= 1'b1; end else if (VAR15) begin if(VAR1[32] == 1'b0) begin VAR14 <= VAR1[31:0]; VAR9 <= {VAR9[30:0], 1'b1}; end else begin VAR14 <= {VAR14[30:0], VAR9[31]}; VAR9 <= {VAR9[30:0], 1'b0}; end if (VAR5 == 5'b0) begin VAR15 <= 1'b0; end VAR5 <= VAR5 - 5'd1; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/bufinv/sky130_fd_sc_hs__bufinv.behavioral.v
1,674
module MODULE1 ( VAR8 , VAR1 , VAR4, VAR10 ); output VAR8 ; input VAR1 ; input VAR4; input VAR10; wire VAR6 ; wire VAR5; not VAR9 (VAR6 , VAR1 ); VAR3 VAR2 (VAR5, VAR6, VAR4, VAR10); buf VAR7 (VAR8 , VAR5 ); endmodule
apache-2.0
dk00/old-stuff
csie/09computer-architecture/project/code/dcache_one_way_example/Data_Memory.v
1,563
module MODULE1 ( VAR10, VAR6, VAR15, VAR3, VAR1, VAR11, VAR7, VAR5 ); input VAR10; input VAR6; input [31:0] VAR15; input [255:0] VAR3; input VAR1; input VAR11; output VAR7; output [255:0] VAR5; reg [255:0] memory [0:511]; reg [3:0] VAR9; reg ack; reg VAR4; reg [255:0] VAR8; wire [26:0] addr; parameter VAR13 = 3'h0, VAR2 = 3'h1, VAR14 = 3'h2, VAR12 = 3'h3; reg [1:0] state; assign VAR7 = ack; assign addr = VAR15>>5; assign VAR5 = VAR8; always@(posedge VAR10 or negedge VAR6) begin if(~VAR6) begin VAR9 <= 4'b0; VAR4 <= 1'b0; ack <= 1'b0; state <= VAR13; end else begin case(state) VAR13: begin if(VAR1) begin VAR9 <= VAR9 + 1; state <= VAR2; end else begin state <= VAR13; end end VAR2: begin if(VAR9 == 4'd6) begin VAR4 <= 1'b1; state <= VAR14; end else begin VAR9 <= VAR9 + 1; state <= VAR2; end end VAR14: begin VAR9 <= 4'b0; VAR4 <= 1'b0; ack <= 1'b1; state <= VAR12; end VAR12: begin ack <= 1'b0; state <= VAR13; end endcase end end always@(posedge VAR10) begin if(VAR4 && !VAR11) begin VAR8 = memory[addr]; end end always@(posedge VAR10) begin if(VAR4 && VAR11) begin memory[addr] <= VAR3; end end endmodule
unlicense
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor3/sky130_fd_sc_ls__xnor3.symbol.v
1,289
module MODULE1 ( input VAR1, input VAR3, input VAR8, output VAR2 ); supply1 VAR6; supply0 VAR4; supply1 VAR5 ; supply0 VAR7 ; endmodule
apache-2.0
ISURCL/A-Scalable-Unsegmented-Multi-port-Memory-for-FPGA-based-Systems
std_fifo/std_fifo.v
2,291
module MODULE1(rst, clk, VAR15, VAR12, VAR13, VAR4, VAR16, VAR19, VAR18, VAR9, VAR5); parameter VAR14 = 8; parameter VAR1 = 6; parameter VAR17 = VAR2(VAR1-1); parameter VAR3 = 1; parameter VAR11 = 1; input rst; input clk; input VAR15; input VAR12; input [VAR14-1:0] VAR13; output [VAR14-1:0] VAR4; output VAR16; output VAR19; output [VAR17:0]VAR18; output VAR9; output VAR5; reg [VAR14-1:0] VAR6; reg [VAR17:0] VAR8; reg [VAR17:0] VAR7; reg [VAR14-1:0] VAR10 [VAR1-1:0]; always @(posedge clk) begin if(rst) begin VAR8 <= 0; VAR7 <= 0; end else begin VAR6 <= VAR10[VAR8[VAR17-1:0]]; if(VAR12) VAR8 <= VAR8 + 1; if(VAR15) begin VAR7 <= VAR7 + 1; VAR10[VAR7[VAR17-1:0]] <= VAR13; end end end assign VAR4 = VAR6; assign VAR19 = (VAR8 == VAR7); assign VAR16 = (VAR8[VAR17-1:0] == VAR7[VAR17-1:0]) && (VAR8[VAR17] != VAR7[VAR17]); assign VAR18 = VAR7 - VAR8; assign VAR9 = (VAR18 < (1+VAR3)); assign VAR5 = (VAR18 > (VAR1-1-VAR11)); always @(posedge clk) begin if(VAR16 && VAR15) begin end if(VAR19 && VAR12) begin end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlymetal6s2s/sky130_fd_sc_ls__dlymetal6s2s.blackbox.v
1,321
module MODULE1 ( VAR4, VAR6 ); output VAR4; input VAR6; supply1 VAR3; supply0 VAR2; supply1 VAR5 ; supply0 VAR1 ; endmodule
apache-2.0
kevintownsend/R3
verilog/smvm.v
12,145
module MODULE1( input clk, input reset, input VAR104, input VAR70, output VAR7, output [63:0] VAR29, output VAR80, output VAR107, output [47:0] VAR131, output [63:0] VAR78, input VAR108, input VAR62, input [31:0] VAR126, input [63:0] VAR28, input VAR73, output VAR95, input [47:0] VAR63, input [47:0] VAR96, input [47:0] VAR22, input [63:0] VAR130, input [63:0] VAR51, input [63:0] VAR49, input [47:0] VAR57); reg [2:0] state, VAR113; reg [47:0] VAR125, VAR129; reg [47:0] VAR2, VAR134; reg [47:0] VAR94, VAR55; reg [63:0] VAR103, VAR120; reg [63:0] VAR88, VAR38; reg [63:0] VAR33, VAR114; reg [47:0] VAR123, VAR60; reg VAR25, VAR8, VAR116, VAR14; reg [47:0] VAR111, VAR59; reg [63:0] VAR43, VAR117; wire [31:0] VAR121; wire [31:0] VAR39; wire [63:0] VAR67; wire VAR124; wire VAR97; wire VAR92; wire VAR18; wire [4:0] VAR101; wire [31:0] VAR54; wire VAR41; wire VAR74; wire VAR102; wire [31:0] VAR16; wire [63:0] VAR5; reg VAR86; reg VAR85, VAR93; reg [63:0] VAR46; reg VAR40; reg VAR27; wire VAR12; wire [63:0] VAR15; reg VAR58, VAR115; wire [63:0] VAR118; wire VAR17, VAR21; reg VAR137; reg [7:0] counter, VAR128; reg VAR135, VAR75; wire VAR83, VAR84; wire [63:0] VAR90; wire VAR72; wire VAR77; wire [4:0] VAR19; wire [63:0] VAR20; wire VAR136, VAR9; wire VAR3; reg VAR37, VAR26; reg [4:0] VAR10; always @(posedge clk) VAR137 <= VAR104 || reset; assign VAR29 = 0; assign VAR95 = 0; always @(posedge clk) VAR27 <= VAR40; assign VAR7 = (state != VAR110); always @(posedge clk) VAR86 <= ~|VAR88[63:4]; reg [2:0] VAR133; always @(posedge clk) begin if(VAR33 == 0) VAR133 = VAR133 + 1; end else VAR133 = 0; end always @* begin VAR113 = state; VAR116 = 0; VAR14 = 0; VAR59 = 0; VAR117 = 0; VAR129 = VAR125; VAR134 = VAR2; VAR55 = VAR94; VAR120 = VAR103; VAR38 = VAR88; VAR114 = VAR33; VAR115 = 0; VAR40 <= 0; VAR60 = VAR123; VAR128 = counter; case(state) VAR38 = 0; VAR128 = 0; if(VAR70) begin VAR113 = VAR122; VAR129 = VAR63; VAR134 = VAR96; VAR120 = VAR130; VAR38 = VAR51; VAR114 = VAR49; VAR55 = VAR22; VAR60 = VAR57; end if(VAR114 == 0) VAR113 = VAR110; end if(!VAR108) begin VAR116 = 1; VAR59 = VAR123; VAR117 = 2; VAR60 = VAR123 + 8; VAR128 = counter + 1; end if(counter == 8'VAR71) VAR113 = VAR6; else VAR113 = VAR122; end if((VAR33 == 0) && VAR133[2]) VAR113 = VAR110; else if(!VAR62 && !VAR21 && (VAR33 != 0)) begin VAR115 = 1; VAR113 = VAR64; end else if(!VAR108 & !VAR97 && !VAR3) begin VAR40 <= 1; VAR113 = VAR30; end if(!VAR108 && !(VAR86 && !VAR88[3:0]) && !VAR72)begin VAR116 = 1; VAR59 = VAR2; VAR134 = VAR2 + 8; VAR38 = VAR88 - 8; VAR117 = 0; end end VAR116 = 1; VAR59 = VAR125 + {VAR54, 3'VAR56}; VAR117 = {57'VAR56,VAR101,2'VAR13}; if(!VAR108 & !VAR97 && !VAR3) begin VAR40 <= 1; VAR113 = VAR30; end else VAR113 = VAR6; end VAR14 = 1; VAR59 = VAR94; VAR55 = VAR94 + 8; VAR117 = VAR118; VAR114 = VAR33 - 1; if(VAR33[63:2] == 0) begin VAR113 = VAR6; end else if(!VAR62 && !VAR21) begin VAR115 = 1; VAR113 = VAR64; end else VAR113 = VAR6; end endcase if(VAR85)begin VAR120 = VAR103 - 1; end end always @(posedge clk) begin if(reset) begin state <= VAR110; end else begin state <= VAR113; end VAR125 <= VAR129; VAR2 <= VAR134; VAR103 <= VAR120; VAR88 <= VAR38; VAR33 <= VAR114; VAR25 <= VAR116; VAR8 <= VAR14; VAR111 <= VAR59; VAR43 <= VAR117; VAR58 <= VAR115; VAR94 <= VAR55; VAR123 <= VAR60; counter <= VAR128; if(VAR70) begin end end assign VAR80 = VAR25; assign VAR107 = VAR8; assign VAR131 = VAR111; assign VAR78 = VAR43; always @* VAR135 = !VAR83 && !VAR84 && !VAR102 && !VAR18; always @(posedge clk) begin VAR75 <= VAR135; end reg [63:0] VAR105; always @* if(VAR135) VAR105 <= VAR90; end else VAR105 <= VAR28; VAR68 VAR36( .clk(clk), .rst(VAR137), .din(VAR28), .VAR31(VAR73 && (VAR126[1:0] == 0)), .VAR99(VAR135), .dout(VAR90), .VAR81(VAR77), .VAR11(VAR83), .VAR48(VAR72)); VAR87 VAR100( .reset(VAR137), .clk(clk), .VAR23(VAR105), .VAR127(VAR135), .VAR32(VAR73 && (VAR126[1:0] == 2)), .VAR35(VAR67), .VAR42(VAR121), .VAR106(VAR39), .VAR79(VAR124), .VAR7(VAR84)); wire VAR34; wire [31:0] VAR24; wire [4:0] VAR45; VAR119 VAR52( .clk(clk), .reset(VAR137), .VAR127(VAR124), .VAR79(VAR34), .VAR47(VAR39), .VAR66(VAR24), .VAR69(VAR45)); assign VAR101[4] = 0; VAR109 VAR132( .clk(clk), .rst(VAR137), .din({VAR45[3:0], VAR24}), .VAR31(VAR34), .VAR99(VAR40), .dout({VAR101[3:0], VAR54}), .VAR81(VAR92), .VAR11(VAR97), .VAR48(VAR18)); VAR89 VAR61( .clk(clk), .rst(VAR137), .din({VAR121, VAR67}), .VAR31(VAR124), .VAR99(VAR85), .dout({VAR16, VAR5}), .VAR81(VAR74), .VAR11(VAR41), .VAR48(VAR102)); VAR53 VAR76( .clk(clk), .rst(VAR137), .din({VAR126[6:2],VAR28}), .VAR31(VAR73 & VAR126[0]), .VAR99(VAR26), .dout({VAR19, VAR20}), .VAR81(VAR9), .VAR11(VAR136), .VAR48(VAR3)); wire VAR4; always @* begin VAR26 = (!VAR136) && (VAR10 == 0) && (!VAR37) && (!VAR4); end always @(posedge clk) VAR37 <= VAR26; always @(posedge clk) begin if(reset) begin VAR10 <= 0; VAR85 <= 0; end else if(VAR37) begin if(VAR10 > 0) VAR10 <= VAR19; VAR46 <= VAR20; VAR85 <= 1; end else if(VAR10 > 0) begin VAR85 <= 1; VAR10 <= VAR10 - 1; end else begin VAR85 <= 0; end end VAR112 VAR98( .clk(clk), .reset(VAR137), .VAR42(VAR16[9:0]), .VAR44(VAR5), .VAR65(VAR46), .wr(VAR85), .VAR50(VAR12), .dout(VAR15), .VAR1(VAR103 == 0)); VAR82 VAR91( .clk(clk), .rst(VAR137), .din(VAR15), .VAR31(VAR12), .VAR99(VAR115), .dout(VAR118), .VAR81(VAR17), .VAR11(VAR21), .VAR48(VAR4)); always @(posedge clk) begin if(VAR9) if(VAR17) if(VAR74) if(VAR92) if(VAR77) if(VAR72) end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3/sky130_fd_sc_hd__or3.functional.v
1,265
module MODULE1 ( VAR3, VAR2, VAR1, VAR6 ); output VAR3; input VAR2; input VAR1; input VAR6; wire VAR5; or VAR7 (VAR5, VAR1, VAR2, VAR6 ); buf VAR4 (VAR3 , VAR5 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffsnq/gf180mcu_fd_sc_mcu7t5v0__dffsnq_2.behavioral.pp.v
3,792
module MODULE1( VAR19, VAR9, VAR13, VAR32, VAR17, VAR21 ); input VAR19, VAR9, VAR13; inout VAR17, VAR21; output VAR32; reg VAR10; VAR14 VAR27(.VAR19(VAR19),.VAR9(VAR9),.VAR13(VAR13),.VAR32(VAR32),.VAR17(VAR17),.VAR21(VAR21),.VAR10(VAR10)); VAR14 VAR8(.VAR19(VAR19),.VAR9(VAR9),.VAR13(VAR13),.VAR32(VAR32),.VAR17(VAR17),.VAR21(VAR21),.VAR10(VAR10)); not VAR33(VAR15,VAR9); and VAR20(VAR29,VAR13,VAR15); and VAR34(VAR24,VAR13,VAR9); buf VAR23(VAR26,VAR13); not VAR6(VAR5,VAR19); not VAR28(VAR16,VAR9); and VAR30(VAR4,VAR16,VAR5); not VAR18(VAR7,VAR19); and VAR3(VAR1,VAR9,VAR7); not VAR22(VAR25,VAR9); and VAR2(VAR31,VAR25,VAR19); and VAR11(VAR12,VAR9,VAR19);
apache-2.0
kylemsguy/FPGA-Litecoin-Miner
experimental/hashvariant-B.v
24,144
module MODULE1 (VAR13, VAR55, VAR14, VAR103, VAR24, VAR109, VAR59, VAR112, VAR1, VAR25); input VAR13; input [255:0] VAR55; input [255:0] VAR14; input [127:0] VAR103; input [31:0] VAR24; input [3:0] VAR109; output [31:0] VAR59; output [31:0] VAR112; output VAR1; input VAR25; reg VAR113 = 1'b1; reg reset = 1'b1; always @ (posedge VAR13) begin VAR113 <= 1'b0; reset <= VAR113; end reg [31:0] VAR17 = 32'hffffffff; reg [27:0] VAR39 = 28'd0; wire [31:0] VAR21; assign VAR21 = { VAR109, VAR39 }; reg [31:0] VAR21 = 32'd0; VAR62 assign VAR59 = VAR21; reg [31:0] VAR104 = 32'd0; reg [31:0] VAR72 = 32'd0; reg [31:0] VAR97 = 32'd0; assign VAR112 = VAR97; reg VAR1 = 1'b0; reg [255:0] VAR77; reg [511:0] VAR40; wire [255:0] VAR48; reg [255:0] VAR105 = 256'd0; reg [255:0] VAR121 = 256'd0; reg [255:0] VAR125 = 256'd0; VAR6 VAR35 reg [255:0] VAR101 = 256'd0; VAR62 reg [31:0] VAR76 = 32'd0; reg [1023:0] VAR124 = 1024'd0; reg [1023:0] VAR30; wire [1023:0] VAR123; reg [5:0] VAR18 = 6'd0; wire VAR43; assign VAR43 = (VAR18 != 6'b0); VAR41 # (.VAR58(64)) VAR127 ( .clk(VAR13), .VAR43(VAR43), .VAR18(VAR18), .VAR77(VAR77), .VAR40(VAR40), .VAR48(VAR48) ); reg VAR53 = 1'b0; reg VAR82 = 1'b0; wire VAR91; wire VAR26; reg VAR10 = 1'b0; reg VAR79 = 1'b0; reg VAR99 = 1'b0; reg VAR47 = 1'b0; always @ (posedge VAR13) begin if (VAR10) VAR53 <= 1'b1; if (VAR79) VAR53 <= 1'b0; if (VAR99) VAR82 <= 1'b1; if (VAR47) VAR82 <= 1'b0; end assign VAR91 = VAR79 ? 1'b0 : VAR10 ? 1'b1 : VAR53; assign VAR26 = VAR47 ? 1'b0 : VAR99 ? 1'b1 : VAR82; parameter VAR5=0, VAR69= 1, VAR129= 2, VAR89= 3, VAR70= 4, VAR16= 5, VAR71= 6, VAR15= 7, VAR93= 8, VAR74= 9, VAR84=10, VAR126=11, VAR57=12, VAR115=13, VAR66=14, VAR63=15, VAR119=16, VAR32=17, VAR78=18, VAR34=19, VAR33=20, VAR27=21, VAR61=22, VAR38=23, VAR7=24, VAR130=25, VAR80=26, VAR60=27, VAR86=28, VAR51=29, VAR22=30, VAR29=31, VAR92=32, VAR4=33, VAR110=34, VAR95=35, VAR96=36, VAR94=37, VAR116=38, VAR46=39, VAR11=40; reg [5:0] state = VAR5; reg VAR68 = 0; always @ (posedge VAR13) begin VAR10 <= 1'b0; VAR47 <= 1'b0; VAR1 <= 1'b0; if (reset == 1'b1) state <= VAR5; end else begin case (state) VAR5: begin if (VAR26 || !VAR91) begin VAR77 <= 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667; VAR40 <= { VAR14, VAR55 }; VAR76 <= 32'd1; VAR18 <= 6'd0; if (VAR26) VAR68 <= 1'b1; end else VAR68 <= 1'b0; state <= VAR69; end end VAR69: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR129; end end VAR129: begin state <= VAR89; end VAR89: begin VAR77 <= VAR48; VAR40 <= { 384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR68 ? VAR72 : VAR21, VAR103[95:0] }; state <= VAR70; end VAR70: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR16; end end VAR16: begin state <= VAR71; end VAR71: begin VAR105 <= VAR48; VAR77 <= 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667; VAR40 <= { 256'h3636363636363636363636363636363636363636363636363636363636363636 , VAR48 ^ 256'h3636363636363636363636363636363636363636363636363636363636363636 }; VAR18 <= 6'd0; if (VAR68) state <= VAR38; end else state <= VAR15; end VAR15: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR93; end end VAR93: begin state <= VAR74; end VAR74: begin VAR77 <= VAR48; VAR40 <= { VAR14, VAR55 }; state <= VAR84; end VAR84: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR126; end end VAR126: begin state <= VAR57; end VAR57: begin VAR121 <= VAR48; VAR77 <= 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667; VAR40 <= { 256'h5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c , VAR105 ^ 256'h5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c }; VAR18 <= 6'd0; state <= VAR115; end VAR115: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR66; end end VAR66: begin state <= VAR63; end VAR63: begin VAR125 <= VAR48; VAR77 <= VAR121; VAR40 <= { 352'h000004a000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR76, VAR21, VAR103[95:0] }; VAR76 <= VAR76 + 1; VAR18 <= 6'd0; state <= VAR119; end VAR119: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR32; end end VAR32: begin state <= VAR78; end VAR78: begin VAR77 <= VAR125; VAR40 <= { 256'h0000030000000000000000000000000000000000000000000000000080000000, VAR48 }; state <= VAR34; end VAR34: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR33; end end VAR33: begin state <= VAR27; end VAR27: begin VAR124[255:0] <= VAR124[511:256]; VAR124[511:256] <= VAR124[767:512]; VAR124[767:512] <= VAR124[1023:768]; VAR124[1023:768] <= VAR48; if (VAR76 == 5) end state <= VAR61; else begin VAR77 <= VAR121; VAR40 <= { 352'h000004a000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR76, VAR21, VAR103[95:0] }; VAR76 <= VAR76 + 1; VAR18 <= 6'd0; state <= VAR119; end end VAR61: begin VAR10 <= 1; state <= VAR5; end VAR38: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR7; end end VAR7: begin state <= VAR130; end VAR130: begin VAR77 <= VAR48; VAR40 <= VAR123[511:0]; state <= VAR80; end VAR80: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR60; end end VAR60: begin state <= VAR86; end VAR86: begin VAR77 <= VAR48; VAR40 <= VAR123[1023:512]; state <= VAR51; end VAR51: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR22; end end VAR22: begin state <= VAR29; end VAR29: begin VAR77 <= VAR48; VAR40 <= 512'h00000620000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000001; state <= VAR92; end VAR92: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR4; end end VAR4: begin state <= VAR110; end VAR110: begin VAR121 <= VAR48; VAR77 <= 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667; VAR40 <= { 256'h5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c , VAR105 ^ 256'h5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c5c }; VAR18 <= 6'd0; state <= VAR95; end VAR95: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR96; end end VAR96: begin state <= VAR94; end VAR94: begin VAR77 <= VAR48; VAR40 <= { 256'h0000030000000000000000000000000000000000000000000000000080000000, VAR121 }; state <= VAR116; end VAR116: begin VAR18 <= VAR18 + 6'd1; if (VAR18 == 6'd63) begin VAR18 <= 6'd0; state <= VAR46; end end VAR46: begin state <= VAR11; end VAR11: begin VAR6 VAR35 VAR101 <= VAR48; VAR62 if ( { VAR48[231:224], VAR48[239:232], VAR48[247:240], VAR48[255:248] } < VAR24) begin VAR97 <= VAR72; VAR1 <= 1'b1; end state <= VAR5; VAR68 <= 0; VAR47 <= 1'b1; end endcase end end wire [1023:0] VAR9; genvar VAR128; generate for (VAR128 = 0; VAR128 < 32; VAR128 = VAR128 + 1) begin : VAR44 wire [31:0] VAR64; assign VAR64 = VAR124[VAR45(VAR128)]; assign VAR9[VAR45(VAR128)] = { VAR64[7:0], VAR64[15:8], VAR64[23:16], VAR64[31:24] }; wire [31:0] VAR75; assign VAR75 = VAR30[VAR45(VAR128)]; assign VAR123[VAR45(VAR128)] = { VAR75[7:0], VAR75[15:8], VAR75[23:16], VAR75[31:24] }; end endgenerate parameter VAR106=0, VAR8=1, VAR100=2, VAR2=3; reg [1:0] VAR23 = VAR106; reg [10:0] VAR111 = 11'd0; reg [6:0] VAR120 = 6'd0; reg VAR108 = 1'd0; reg VAR56 = 1'b0; reg VAR87 = 1'b0; reg [511:0] VAR114; reg [511:0] VAR20; reg [511:0] VAR37; reg [511:0] VAR81; reg VAR118 = 1'b0; VAR62 wire [511:0] VAR122; reg [9:0] VAR107 = 10'd0; parameter VAR28 = 9; parameter VAR28 = 10; wire [VAR28-1:0]VAR117; wire [255:0]VAR90; wire [255:0]VAR42; wire [255:0]VAR50; wire [255:0]VAR98; wire [255:0]VAR73; wire [255:0]VAR67; wire [255:0]VAR88; wire [255:0]VAR52; wire [1023:0]VAR83; reg VAR49 = 1'b0; wire VAR65; assign VAR65 = VAR13; reg [9:0] VAR3 = 10'b0; always @ (posedge VAR13) VAR3 <= VAR122[9:0]; assign VAR117 = VAR87 ? VAR3[9:1] : VAR107[9:1]; else assign VAR117 = VAR87 ? VAR3[9:0] : VAR107; VAR36 # (.VAR28(VAR28)) VAR54 (VAR117, VAR65, VAR90, VAR49, VAR42); VAR36 # (.VAR28(VAR28)) VAR12 (VAR117, VAR65, VAR50, VAR49, VAR98); VAR36 # (.VAR28(VAR28)) VAR102 (VAR117, VAR65, VAR73, VAR49, VAR67); VAR36 # (.VAR28(VAR28)) VAR19 (VAR117, VAR65, VAR88, VAR49, VAR52); assign VAR83 = { VAR52, VAR67, VAR98, VAR42 }; assign { VAR88, VAR73, VAR50, VAR90 } = { VAR20, VAR114} ; VAR85 VAR31 (VAR13, VAR56, VAR114, VAR20, VAR122); always @ (posedge VAR13) begin VAR99 <= 1'b0; VAR79 <= 1'b0; VAR118 <= VAR122[0]; VAR62 if (VAR25 || (VAR17 != VAR103[127:96])) begin end VAR21 <= VAR103[127:96]; else VAR39 <= VAR103[123:96]; VAR62 VAR17 <= VAR103[127:96]; end if (reset == 1'b1) VAR23 <= VAR106; else begin case (VAR23) VAR106: begin VAR107 <= 0; VAR120 <= 0; VAR114 <= VAR9[511:0]; VAR20 <= VAR9[1023:512]; VAR56 <= 1'b0; VAR87 <= 1'b0; if (VAR91) begin VAR23 <= VAR8; VAR108 <= 1'b0; VAR49 <= 1'b1; VAR79 <= 1; VAR104 <= VAR21; VAR39 <= VAR39 + 28'd1; VAR21 <= VAR21 + 32'd1; end end VAR8: begin VAR120 <= VAR120 + 6'd1; VAR49 <= 0; if (VAR120==0) begin VAR56 <= 1'b1; if (VAR107==1023) VAR108 <= 1'b1; VAR107 <= VAR107 + 10'd1; end if (VAR120==8) VAR56 <= 1'b1; if (VAR120 == 7 || VAR120 == 15) begin VAR114 <= VAR20; VAR20 <= VAR122; VAR56 <= 1'b0; end if (VAR120 == 14 && VAR108) VAR87 <= 1'b1; if (VAR120 == 15) begin VAR120 <= 0; if (VAR108) begin VAR111 <= 0; VAR23 <= VAR100; end else begin end if (!VAR108 && !VAR107[0]) VAR49 <= 1'b1; else if (!VAR108) VAR49 <= 1'b1; VAR62 end end end VAR100: begin VAR120 <= VAR120 + 6'd1; if (VAR120 == 1) begin if (VAR118) begin VAR37 <= VAR114; VAR114 <= VAR83[511:0]; VAR81 <= VAR20; VAR20 <= VAR83[1023:512]; VAR56 <= 0; VAR23 <= VAR2; end else begin VAR56 <= 1'b0; VAR114 <= VAR114 ^ VAR83[511:0]; VAR20 <= VAR20 ^ VAR83[1023:512]; end VAR56 <= 1'b0; VAR114 <= VAR114 ^ VAR83[511:0]; VAR20 <= VAR20 ^ VAR83[1023:512]; end if (VAR120==2 || VAR120==10) VAR56 <= 1; if (VAR120 == 9 || VAR120 == 17) begin VAR56 <= 1'b0; VAR114 <= VAR20; VAR20 <= VAR122; end if (VAR120 == 17) begin VAR120 <= 0; VAR111 <= VAR111 + 11'd1; if (VAR111 == 1023) begin VAR30 <= { VAR122, VAR20 }; VAR99 <= 1'b1; VAR72 <= VAR104; VAR23 <= VAR106; end end end VAR2: begin VAR120 <= VAR120 + 6'd1; if (VAR120==2 || VAR120==10) VAR56 <= 1'b1; if (VAR120 == 8) begin VAR56 <= 0; VAR114 <= VAR20; VAR20 <= VAR122; end if (VAR120 == 16) begin VAR56 <= 0; VAR114 <= VAR20 ^ VAR37; VAR20 <= VAR122 ^ VAR81; VAR120 <= 2; VAR23 <= VAR100; end end endcase end if (VAR23 == VAR100 && VAR120 == 17) end endmodule
gpl-3.0
AngelTerrones/MUSB
Hardware/musb/musb_load_store_unit.v
14,220
module MODULE1( input clk, input rst, input [31:0] VAR41, output reg [31:0] VAR14, input [31:0] VAR37, input [31:0] VAR36, input VAR12, input VAR5, input VAR30, input VAR40, input VAR16, output reg [31:0] VAR29, input [31:0] VAR27, input VAR35, input VAR31, output [31:0] VAR43, output [3:0] VAR8, output VAR9, input [31:0] VAR3, input VAR46, input VAR4, output [31:0] VAR25, output [31:0] VAR18, output reg [3:0] VAR39, output VAR23, input VAR24, input VAR17, input VAR7, input VAR45, output VAR22, output VAR1, output VAR26, output VAR21, output VAR11 ); wire VAR33; wire VAR15; wire VAR19; wire VAR10; wire VAR28; wire VAR32; wire VAR6; wire VAR13; wire VAR44; wire VAR38; reg [29:0] VAR42; reg VAR20; wire VAR2; assign VAR33 = VAR41[1] | VAR41[0]; assign VAR15 = 0; assign VAR19 = (VAR37[1] | VAR37[0]) & VAR6; assign VAR10 = VAR37[0] & VAR12; assign VAR28 = ~VAR17 & (VAR37 < VAR34); assign VAR13 = VAR28 | VAR19 | VAR10; assign VAR22 = VAR33 | VAR15; assign VAR1 = VAR30 & VAR13; assign VAR26 = VAR40 & VAR13; assign VAR44 = VAR40 & ~VAR13 & ~VAR2; assign VAR38 = VAR30 & ~VAR13; assign VAR32 = (VAR44 ^ VAR38) | VAR7; assign VAR6 = ~(VAR12 | VAR5); assign VAR21 = VAR9 & ~VAR4; assign VAR11 = VAR23; assign VAR9 = (rst) ? 1'b0 : ((~VAR35 & ~VAR24) & ~VAR31); assign VAR23 = ~VAR46 & VAR32 & ~VAR4; always @(posedge clk) begin VAR42 <= (rst) ? 30'b0 : ( (VAR30 && VAR7) ? VAR37[31:2] : VAR42 ); end always @(posedge clk) begin if (rst) begin VAR20 <= 1'b0; end else if (VAR30) begin VAR20 <= (VAR7) ? 1'b1 : VAR20; end else if (VAR45 | (~VAR11 & VAR40 & (VAR37[31:2] == VAR42))) begin VAR20 <= 1'b0; end else begin VAR20 <= VAR20; end end assign VAR2 = (VAR7 & VAR40 & (~VAR20 | (VAR37[31:2] != VAR42))); assign VAR43 = VAR41[31:0]; assign VAR25 = VAR37[31:0]; assign VAR8 = 4'b0000; always @ begin if (VAR5) begin case (VAR37[1:0]) 2'b00 : VAR29 <= (VAR16) ? { {24{VAR3[7]} }, VAR3[7:0] } : {24'b0, VAR3[7:0]}; 2'b01 : VAR29 <= (VAR16) ? { {24{VAR3[15]} }, VAR3[15:8] } : {24'b0, VAR3[15:8]}; 2'b10 : VAR29 <= (VAR16) ? { {24{VAR3[23]} }, VAR3[23:16] } : {24'b0, VAR3[23:16]}; 2'b11 : VAR29 <= (VAR16) ? { {24{VAR3[31]} }, VAR3[31:24] } : {24'b0, VAR3[31:24]}; default : VAR29 <= 32'hDEADB173; endcase end else if (VAR12) begin case (VAR37[1]) 1'b0 : VAR29 <= (VAR16) ? { {16{VAR3[15]} }, VAR3[15:0] } : {16'b0, VAR3[15:0]}; 1'b1 : VAR29 <= (VAR16) ? { {16{VAR3[31]} }, VAR3[31:16] } : {16'b0, VAR3[31:16]}; default : VAR29 <= 32'hDEAD4A1F; endcase end else if (VAR7 & VAR40) begin VAR29 <= (VAR20 & (VAR37[31:2] == VAR42)) ? 32'h00000001 : 32'h00000000; end else begin VAR29 <= VAR3; end end always @(*) begin VAR39 <= 4'b0000; if (VAR44) begin VAR39[3] <= (VAR5 & (VAR37[1:0] == 2'b11)) | (VAR12 & VAR37[1]) | VAR6; VAR39[2] <= (VAR5 & (VAR37[1:0] == 2'b10)) | (VAR12 & VAR37[1]) | VAR6; VAR39[1] <= (VAR5 & (VAR37[1:0] == 2'b01)) | (VAR12 & ~VAR37[1]) | VAR6; VAR39[0] <= (VAR5 & (VAR37[1:0] == 2'b00)) | (VAR12 & ~VAR37[1]) | VAR6; end end assign VAR18[31:24] = (VAR5) ? VAR36[7:0] : ((VAR12) ? VAR36[15:8] : VAR36[31:24]); assign VAR18[23:16] = (VAR5 | VAR12) ? VAR36[7:0] : VAR36[23:16]; assign VAR18[15:8] = (VAR5) ? VAR36[7:0] : VAR36[15:8]; assign VAR18[7:0] = VAR36[7:0]; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o211ai/sky130_fd_sc_lp__o211ai_lp.v
2,369
module MODULE2 ( VAR6 , VAR4 , VAR3 , VAR9 , VAR5 , VAR11, VAR7, VAR10 , VAR1 ); output VAR6 ; input VAR4 ; input VAR3 ; input VAR9 ; input VAR5 ; input VAR11; input VAR7; input VAR10 ; input VAR1 ; VAR8 VAR2 ( .VAR6(VAR6), .VAR4(VAR4), .VAR3(VAR3), .VAR9(VAR9), .VAR5(VAR5), .VAR11(VAR11), .VAR7(VAR7), .VAR10(VAR10), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR6 , VAR4, VAR3, VAR9, VAR5 ); output VAR6 ; input VAR4; input VAR3; input VAR9; input VAR5; supply1 VAR11; supply0 VAR7; supply1 VAR10 ; supply0 VAR1 ; VAR8 VAR2 ( .VAR6(VAR6), .VAR4(VAR4), .VAR3(VAR3), .VAR9(VAR9), .VAR5(VAR5) ); endmodule
apache-2.0
azonenberg/openfpga
hdl/common/JtagPipeBridge.v
10,768
module MODULE1( output wire VAR11, output wire VAR24, output wire VAR12, input wire VAR13 ); localparam VAR17 = 8'h00; localparam VAR18 = 8'h01; localparam VAR19 = 8'h02; localparam VAR9 = 8'h03; localparam VAR23 = 8'h06; localparam VAR7 = 8'h07; localparam VAR6 = 8'h08; localparam VAR16 = 8'h0e; localparam VAR4 = 8'h18; localparam VAR5 = 8'h19; localparam VAR21 = 8'h1a; localparam VAR1 = 8'h1b; localparam VAR15 = 8'h1c; localparam VAR8 = 8'h1d; localparam VAR22 = "MODULE1"; localparam VAR10 = "VAR3"; localparam VAR2 = "VAR20"; localparam VAR14 = 25000000; reg ready = 0;
lgpl-2.1
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffrsnq/gf180mcu_fd_sc_mcu9t5v0__dffrsnq_1.behavioral.v
8,879
module MODULE1( VAR46, VAR20, VAR34, VAR61, VAR1 ); input VAR46, VAR20, VAR61, VAR34; output VAR1; reg VAR14; VAR50 VAR68(.VAR46(VAR46),.VAR20(VAR20),.VAR34(VAR34),.VAR61(VAR61),.VAR1(VAR1),.VAR14(VAR14)); VAR50 VAR22(.VAR46(VAR46),.VAR20(VAR20),.VAR34(VAR34),.VAR61(VAR61),.VAR1(VAR1),.VAR14(VAR14)); not VAR25(VAR9,VAR20); and VAR41(VAR24,VAR61,VAR9); and VAR58(VAR69,VAR34,VAR24); and VAR12(VAR32,VAR61,VAR20); and VAR35(VAR71,VAR34,VAR32); and VAR81(VAR65,VAR34,VAR61); buf VAR89(VAR53,VAR34); not VAR18(VAR63,VAR46); not VAR55(VAR43,VAR20); and VAR36(VAR87,VAR43,VAR63); and VAR73(VAR39,VAR34,VAR87); not VAR16(VAR42,VAR46); and VAR13(VAR45,VAR20,VAR42); and VAR77(VAR11,VAR34,VAR45); not VAR88(VAR76,VAR20); and VAR72(VAR49,VAR76,VAR46); and VAR44(VAR67,VAR34,VAR49); and VAR83(VAR3,VAR20,VAR46); and VAR54(VAR80,VAR34,VAR3); not VAR62(VAR60,VAR46); not VAR51(VAR27,VAR20); and VAR17(VAR79,VAR27,VAR60); not VAR78(VAR86,VAR46); and VAR4(VAR52,VAR20,VAR86); not VAR59(VAR47,VAR20); and VAR29(VAR70,VAR47,VAR46); and VAR8(VAR66,VAR20,VAR46); buf VAR74(VAR33,VAR61); not VAR64(VAR57,VAR46); not VAR75(VAR84,VAR20); and VAR26(VAR15,VAR84,VAR57); and VAR21(VAR19,VAR61,VAR15); not VAR37(VAR5,VAR46); and VAR30(VAR6,VAR20,VAR5); and VAR85(VAR2,VAR61,VAR6); not VAR28(VAR38,VAR20); and VAR31(VAR40,VAR38,VAR46); and VAR82(VAR7,VAR61,VAR40); and VAR56(VAR23,VAR20,VAR46); and VAR10(VAR48,VAR61,VAR23);
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/mem/rtl_model/rf_2p.v
3,379
module MODULE1 ( VAR12 , VAR8 , VAR5 , VAR14 , VAR7 , VAR2 , VAR9 , VAR1 , VAR11 ); parameter VAR6=32; parameter VAR10=8; input VAR12; input VAR8; input [VAR10-1:0] VAR5; output [VAR6-1:0] VAR14; input VAR7; input VAR2; input VAR9; input [VAR10-1:0] VAR1; input [VAR6-1:0] VAR11; reg [VAR6-1:0] VAR3[(1<<VAR10)-1:0]; reg [VAR6-1:0] VAR4; always @(posedge VAR12) begin if (!VAR8) VAR4 <= VAR3[VAR5]; end else VAR4 <= 'VAR13; end assign VAR14 = VAR4; always @(posedge VAR7) begin if(!VAR2 && !VAR9) VAR3[VAR1] <= VAR11; end endmodule
gpl-3.0
ehab93/MIPS-Processor
mem/im.v
1,237
module MODULE1 ( input clk, input [31:0] addr, output [31:0] VAR4 ); reg [31:0] VAR17 [0:VAR13-1]; reg [7:0] VAR10, VAR16, VAR15, VAR19; parameter VAR7 = "../program/VAR12.VAR9"; parameter VAR7 = "../program/VAR1.VAR9"; parameter VAR7 = "../program/VAR11.VAR9"; parameter VAR7 = "../program/VAR5.VAR9"; parameter VAR7 = "../program/VAR8.VAR9"; parameter VAR7 = "../program/VAR6.VAR9"; parameter VAR7 = "../program/VAR2.VAR9"; parameter VAR20 = VAR18; parameter VAR14 = VAR3;
mit
bbrown1867/ObjectTracking
hw/common/video_input/MAC_3.v
15,140
module MODULE1 ( VAR58, VAR123, VAR92, VAR74, VAR27, VAR83, VAR116, VAR34, VAR24); input VAR58; input VAR123; input [7:0] VAR92; input [7:0] VAR74; input [7:0] VAR27; input [16:0] VAR83; input [16:0] VAR116; input [16:0] VAR34; output [26:0] VAR24; tri0 VAR58; tri1 VAR123; tri0 [7:0] VAR92; tri0 [7:0] VAR74; tri0 [7:0] VAR27; tri0 [16:0] VAR83; tri0 [16:0] VAR116; tri0 [16:0] VAR34; wire [26:0] VAR46; wire [16:0] VAR93 = VAR34[16:0]; wire [16:0] VAR36 = VAR83[16:0]; wire [7:0] VAR56 = VAR27[7:0]; wire [7:0] VAR99 = VAR74[7:0]; wire [26:0] VAR24 = VAR46[26:0]; wire [7:0] VAR40 = VAR92[7:0]; wire [23:0] VAR66 = {VAR56, VAR99, VAR40}; wire [16:0] VAR17 = VAR116[16:0]; wire [50:0] VAR101 = {VAR93, VAR17, VAR36}; VAR112 VAR110 ( .VAR51 (VAR66), .VAR70 (VAR101), .VAR58 (VAR58), .VAR123 (VAR123), .VAR24 (VAR46), .VAR26 (1'b0), .VAR19 (1'b0), .VAR15 (1'b0), .VAR85 (1'b0), .VAR60 (1'b1), .VAR21 (1'b0), .VAR65 (1'b1), .VAR39 (1'b0), .VAR68 (1'b0), .VAR13 (1'b0), .VAR45 (), .VAR6 (1'b0), .VAR113 (1'b1), .VAR97 (1'b1), .VAR105 (1'b1), .VAR102 (1'b1), .VAR12 (1'b1), .VAR71 (1'b1), .VAR76 (1'b1), .VAR31 (1'b0), .VAR1 (1'b0), .VAR59 (), .VAR79 (), .VAR4 (1'b0), .VAR75 (1'b0), .VAR8 (), .VAR49 (), .VAR80 (1'b0), .VAR86 (1'b0), .VAR117 (), .VAR77 (1'b0), .VAR41 ({8{1'b0}}), .VAR32 ({17{1'b0}}), .VAR53 (), .VAR114 (), .VAR54 (1'b0), .VAR82 (1'b0), .VAR121 (1'b0), .VAR69 ({3{1'b0}}), .VAR72 ({3{1'b0}}), .VAR28 (1'b0), .VAR94 (1'b0)); VAR110.VAR106 = "VAR81", VAR110.VAR11 = "VAR81", VAR110.VAR91 = "VAR38", VAR110.VAR33 = "VAR38", VAR110.VAR111 = "VAR62", VAR110.VAR37 = "VAR81", VAR110.VAR55 = "VAR81", VAR110.VAR23 = "VAR81", VAR110.VAR52 = "VAR81", VAR110.VAR3 = "VAR81", VAR110.VAR100 = "VAR81", VAR110.VAR2 = "VAR38", VAR110.VAR89 = "VAR38", VAR110.VAR109 = "VAR38", VAR110.VAR87 = "VAR38", VAR110.VAR20 = "VAR38", VAR110.VAR90 = "VAR38", VAR110.VAR88 = "VAR127", VAR110.VAR61 = "VAR127", VAR110.VAR50 = "VAR127", VAR110.VAR47 = "VAR7", VAR110.VAR22 = "VAR7", VAR110.VAR107 = "VAR7", VAR110.VAR35 = "VAR84 VAR10 VAR67", VAR110.VAR124 = "VAR112", VAR110.VAR73 = "VAR43", VAR110.VAR78 = "VAR81", VAR110.VAR16 = "VAR81", VAR110.VAR44 = "VAR81", VAR110.VAR9 = "VAR38", VAR110.VAR14 = "VAR38", VAR110.VAR95 = "VAR38", VAR110.VAR42 = 3, VAR110.VAR104 = "VAR81", VAR110.VAR63 = "VAR38", VAR110.VAR119 = "VAR29", VAR110.VAR48 = "VAR29", VAR110.VAR108 = "VAR29", VAR110.VAR5 = "VAR18", VAR110.VAR103 = "VAR64", VAR110.VAR115 = "VAR81", VAR110.VAR120 = "VAR81", VAR110.VAR96 = "VAR81", VAR110.VAR57 = "VAR81", VAR110.VAR126 = "VAR38", VAR110.VAR30 = "VAR38", VAR110.VAR98 = "VAR38", VAR110.VAR125 = "VAR38", VAR110.VAR122 = 8, VAR110.VAR118 = 17, VAR110.VAR25 = 27; endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/srams/rtl/bw_r_frf.v
5,591
module MODULE1 ( VAR9, VAR1, VAR16, VAR35, VAR19, VAR23, VAR21, VAR6, VAR10, VAR30, VAR25 ) ; input VAR16; input VAR35; input VAR19; input VAR23; input VAR21; input [1:0] VAR6; input VAR10; input [77:0] VAR30; input [6:0] VAR25; output VAR9; output [77:0] VAR1; wire [7:0] VAR28; wire [7:0] VAR3; wire [7:0] VAR34; reg [38:0] VAR26 [127:0]; reg [38:0] VAR29 [127:0]; reg [38:0] VAR20 [255:0]; reg VAR2; wire [77:0] VAR27; wire VAR17; wire [6:0] VAR11; wire [1:0] VAR31; wire [77:0] VAR8; wire [77:0] VAR39; wire [9:0] VAR22; wire [9:0] VAR14; wire [38:0] VAR7; wire [38:0] VAR4; wire [38:0] VAR24; wire [38:0] VAR12; wire VAR32; assign VAR32 = VAR19 & ~VAR23; assign VAR28[7:0] = {VAR25[6:0], 1'b0}; assign VAR3[7:0] = {VAR11[6:0], 1'b0}; assign VAR34[7:0] = {VAR11[6:0], 1'b1}; assign VAR39[77:0] = (VAR23)? VAR8[77:0]: VAR30[77:0]; assign VAR22[9:0] = (VAR23)? {VAR11[6:0],VAR31[1:0], VAR17}: {VAR25[6:0],VAR6[1:0],VAR10}; VAR33 #(39) VAR36(.din(VAR39[77:39]), .clk(VAR16), .VAR13(VAR8[77:39]), .VAR19(VAR32), .VAR35({VAR14[0],VAR4[38:1]}), .VAR9(VAR7[38:0])); VAR33 #(39) VAR37(.din(VAR39[38:0]), .clk(VAR16), .VAR13(VAR8[38:0]), .VAR19(VAR32), .VAR35(VAR7[38:0]), .VAR9(VAR4[38:0])); VAR33 #(10) VAR18(.din(VAR22[9:0]), .VAR13({VAR11[6:0],VAR31[1:0],VAR17}), .clk(VAR16), .VAR19(VAR32), .VAR35({VAR35,VAR14[9:1]}), .VAR9(VAR14[9:0])); assign VAR27[77:0] = (~VAR17)? 78'b0: (VAR31[1]|VAR31[0])? {78{1'VAR5}}: {VAR26[VAR34[7:1]],VAR29[VAR3[7:1]]}; assign VAR27[77:0] = (~VAR17)? 78'b0: (VAR31[1]|VAR31[0])? {78{1'VAR5}}: {VAR20[VAR34],VAR20[VAR3]}; VAR33 #(39) VAR38(.din(VAR27[77:39]), .clk(VAR16), .VAR13(VAR1[77:39]), .VAR19(VAR32), .VAR35(VAR12[38:0]), .VAR9(VAR24[38:0])); VAR33 #(39) VAR15(.din(VAR27[38:0]), .clk(VAR16), .VAR13(VAR1[38:0]), .VAR19(VAR32), .VAR35({VAR24[37:0],VAR4[0]}), .VAR9(VAR12[38:0])); assign VAR9 = VAR24[38]; always @ (posedge VAR16) begin if (VAR31[0] & ~VAR17 & ~VAR2) begin VAR29[VAR3[7:1]] <= VAR8[38:0]; end if (VAR31[1] & ~VAR17 & ~VAR2) begin VAR26[VAR34[7:1]] <= VAR8[77:39]; end if (VAR31[0] & ~VAR17 & ~VAR2) begin VAR20[VAR3] <= VAR8[38:0]; end if (VAR31[1] & ~VAR17 & ~VAR2) begin VAR20[VAR34] <= VAR8[77:39]; end end always @ (negedge VAR16) begin VAR2 <= VAR21; end endmodule
gpl-2.0
JakeMercer/mac
rmii.v
1,877
module MODULE1 ( input wire reset, input wire VAR21, output reg [1:0] VAR10, output wire VAR7, input wire [1:0] VAR4, input wire VAR17, input wire VAR16, input wire VAR8, input wire [7:0] VAR2, input wire VAR23, output wire VAR13, output wire VAR12, output reg [7:0] VAR3, output wire VAR15, output wire VAR5, output wire VAR11, output wire VAR6 ); reg [1:0] VAR1; reg [1:0] VAR9; assign VAR14 = VAR8; assign VAR7 = VAR23; assign VAR12 = VAR16 & VAR23; assign VAR15 = VAR17; assign VAR11 = VAR16; assign VAR6 = VAR16; VAR24 #(.VAR19(4)) VAR20 ( .reset(reset), .VAR18(VAR21), .VAR22(VAR13) ); assign VAR5 = VAR13; always @(posedge VAR21) begin if (reset) begin VAR1 <= 0; end else if (VAR23 && VAR1 < 3) begin VAR1 <= VAR1 + 1; end else begin VAR1 <= 0; end end always @(posedge VAR21) begin if (reset) begin VAR10 <= 0; end else begin VAR10 <= VAR2[VAR1*2+:2]; end end always @(posedge VAR21) begin if (reset) begin VAR9 <= 0; end else if (VAR16 && VAR9 < 3) begin VAR9 <= VAR9 + 1; end else begin VAR9 <= 0; end end always @(posedge VAR21) begin if (reset) begin VAR3 <= 0; end else begin VAR3[VAR9*2+:2] <= VAR4; end end endmodule
mit
monotone-RK/FACE
IEICE-Trans/16-way/src/riffa/demux.v
2,687
module MODULE1 parameter VAR1 = 12, parameter VAR4 = 1 ) ( input [VAR4-1:0] VAR5, input [VAR3(VAR1)-1:0] VAR8, output [VAR1*VAR4-1:0] VAR2 ); genvar VAR6; reg [VAR1*VAR4-1:0] VAR7; assign VAR2 = VAR7; always @(*) begin VAR7 = 0; VAR7[VAR4*VAR8 +: VAR4] = VAR5; end endmodule
mit
olofk/oh
memory/hdl/memory_dp.v
2,373
module MODULE1( VAR11, VAR13, VAR2, VAR7, VAR9, VAR4, VAR8, VAR3 ); parameter VAR1 = 14; parameter VAR6 = 32; parameter VAR10 = VAR6/8; parameter VAR15 = 1<<VAR1; input VAR13; input [VAR10-1:0] VAR2; input [VAR1-1:0] VAR7; input [VAR6-1:0] VAR9; input VAR4; input VAR8; input [VAR1-1:0] VAR3; output[VAR6-1:0] VAR11; reg [VAR6-1:0] VAR14 [VAR15-1:0]; reg [VAR6-1:0] VAR11; always @ (posedge VAR4) if(VAR8) VAR11[VAR6-1:0] <= VAR14[VAR3[VAR1-1:0]]; generate genvar VAR5; for (VAR5 = 0; VAR5 < VAR10; VAR5 = VAR5+1) begin: VAR12 always @(posedge VAR13) begin if (VAR2[VAR5]) VAR14[VAR7[VAR1-1:0]][(VAR5+1)*8-1:VAR5*8] <= VAR9[(VAR5+1)*8-1:VAR5*8]; end end endgenerate endmodule
gpl-3.0
C-L-G/azpr_soc
azpr_soc/trunk/ic/digital/rtl/cpu/ex_stage.v
5,214
module MODULE1 ( input wire clk, input wire reset, input wire VAR9, input wire VAR7, input wire VAR1, output wire [VAR12] VAR23, input wire [VAR16] VAR34, input wire VAR27, input wire [VAR36] VAR2, input wire [VAR12] VAR22, input wire [VAR12] VAR11, input wire VAR38, input wire [VAR31] VAR37, input wire [VAR12] VAR39, input wire [VAR8] VAR10, input wire [VAR21] VAR32, input wire VAR4, input wire [VAR29] VAR6, output wire [VAR16] VAR35, output wire VAR33, output wire VAR40, output wire [VAR31] VAR13, output wire [VAR12] VAR25, output wire [VAR8] VAR28, output wire [VAR21] VAR20, output wire VAR30, output wire [VAR29] VAR18, output wire [VAR12] VAR24 ); wire [VAR12] VAR14; wire VAR17; assign VAR23 = VAR14; alu alu ( .VAR5 (VAR22), .VAR26 (VAR11), .VAR19 (VAR2), .out (VAR14), .VAR15 (VAR17) ); VAR3 VAR3 ( .clk (clk), .reset (reset), .VAR14 (VAR14), .VAR17 (VAR17), .VAR9 (VAR9), .VAR7 (VAR7), .VAR1 (VAR1), .VAR34 (VAR34), .VAR27 (VAR27), .VAR38 (VAR38), .VAR37 (VAR37), .VAR39 (VAR39), .VAR10 (VAR10), .VAR32 (VAR32), .VAR4 (VAR4), .VAR6 (VAR6), .VAR35 (VAR35), .VAR33 (VAR33), .VAR40 (VAR40), .VAR13 (VAR13), .VAR25 (VAR25), .VAR28 (VAR28), .VAR20 (VAR20), .VAR30 (VAR30), .VAR18 (VAR18), .VAR24 (VAR24) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_2.behavioral.pp.v
1,236
module MODULE1( VAR6, VAR1, VAR4, VAR3, VAR2 ); input VAR6, VAR1; inout VAR3, VAR2; output VAR4; VAR7 VAR5(.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4),.VAR3(VAR3),.VAR2(VAR2)); VAR7 VAR8(.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4),.VAR3(VAR3),.VAR2(VAR2));
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/cmp/rtl/sctag_cpx_rptr_3.v
1,918
module MODULE1 ( VAR1, VAR2 ); output [163:0] VAR1; input [163:0] VAR2; assign VAR1 = VAR2; endmodule
gpl-2.0
TalentlessAlpaca/Automated_Vacuum_Cleaner
j1_soc/hdl/Position/clk_generator.v
1,100
module MODULE1( input clk, input en, input [31:0] VAR3, input [31:0] VAR2, output reg VAR1 ); reg [31:0] VAR4; VAR5 VAR1 = 1'b0; VAR5 VAR4 = 32'h00000000; always@(negedge clk) begin if(en) begin if(VAR2 > VAR4) begin VAR4 <= VAR2 + VAR3; VAR1 <= ~VAR1; end else begin VAR4 <= VAR4; VAR1 <= VAR1; end end else begin VAR4 <= VAR2+VAR3; VAR1 <= 1'b0; end end endmodule
mit
donnaware/TabX1
rtl/tabx1/ram1.v
9,142
module MODULE1 ( VAR12, VAR25, VAR2, VAR56, VAR3, VAR32, VAR57); input [7:0] VAR12; input [9:0] VAR25; input VAR2; input [10:0] VAR56; input VAR3; input VAR32; output [15:0] VAR57; tri1 VAR3; tri0 VAR32; wire [15:0] VAR28; wire [15:0] VAR57 = VAR28[15:0]; VAR42 VAR9 ( .VAR41 (VAR56), .VAR43 (VAR3), .VAR47 (VAR12), .VAR7 (VAR32), .VAR38 (VAR25), .VAR50 (VAR2), .VAR11 (VAR28), .VAR21 (1'b0), .VAR5 (1'b0), .VAR34 (1'b0), .VAR44 (1'b0), .VAR49 (1'b1), .VAR30 (1'b1), .VAR40 (1'b1), .VAR8 (1'b1), .VAR6 (1'b1), .VAR10 (1'b1), .VAR46 ({16{1'b1}}), .VAR37 (), .VAR13 (), .VAR22 (1'b1), .VAR18 (1'b1), .VAR33 (1'b0)); VAR9.VAR58 = "VAR15", VAR9.VAR27 = "VAR45", VAR9.VAR29 = "VAR24", VAR9.VAR59 = "VAR24", VAR9.VAR51 = "VAR24", VAR9.VAR36 = "VAR48 VAR52", VAR9.VAR1 = "VAR42", VAR9.VAR4 = 2048, VAR9.VAR39 = 1024, VAR9.VAR17 = "VAR31", VAR9.VAR20 = "VAR15", VAR9.VAR23 = "VAR35", VAR9.VAR55 = "VAR53", VAR9.VAR16 = 11, VAR9.VAR54 = 10, VAR9.VAR26 = 8, VAR9.VAR14 = 16, VAR9.VAR19 = 1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux2/sky130_fd_sc_ls__mux2.functional.pp.v
1,902
module MODULE1 ( VAR9 , VAR8 , VAR7 , VAR3 , VAR1, VAR13, VAR6 , VAR4 ); output VAR9 ; input VAR8 ; input VAR7 ; input VAR3 ; input VAR1; input VAR13; input VAR6 ; input VAR4 ; wire VAR10 ; wire VAR5; VAR15 VAR11 (VAR10 , VAR8, VAR7, VAR3 ); VAR12 VAR14 (VAR5, VAR10, VAR1, VAR13); buf VAR2 (VAR9 , VAR5 ); endmodule
apache-2.0
sittner/lcnc-mdsio
vhdl/source/can/can_register_syn.v
4,516
module MODULE1 ( VAR2, VAR3, VAR4, clk, VAR1 ); parameter VAR6 = 8; parameter VAR5 = 0; input [VAR6-1:0] VAR2; input VAR4; input clk; input VAR1; output [VAR6-1:0] VAR3; reg [VAR6-1:0] VAR3; always @ (posedge clk) begin if (VAR1) VAR3<=VAR5; end else if (VAR4) VAR3<=VAR2; end endmodule
gpl-3.0
HeberthVG/papiGB
rtl/sound_controller_modules/SoundControllerOSC1.v
1,730
module MODULE1 ( input wire VAR3, input wire VAR1, output wire VAR6, output wire VAR5, output wire VAR2 ); reg [16:0] VAR4; always @ (posedge VAR3) begin if (VAR1) begin VAR4 <= 0; end else begin VAR4 <= VAR4+1; end end assign VAR6 = VAR4[15]; assign VAR5 = VAR4[14]; assign VAR2 = VAR4[13]; endmodule
gpl-2.0
calee0219/Course
DLAB/Lab06/DALU.v
3,456
module MODULE1( clk, rst, VAR2, VAR9, VAR7, out ); input clk; input rst; input VAR2; input [18:0] VAR9; output reg VAR7; output reg signed [15:0] out; parameter VAR4=0, VAR13=1, VAR8=2, VAR14=3; reg [1:0] VAR3, VAR1; wire [2:0] VAR10; wire signed [5:0] VAR5, VAR12; wire signed [3:0] VAR11; wire signed [9:0] VAR6; assign VAR10 = VAR9[18:16]; assign VAR5 = VAR9[15:10]; assign VAR12 = VAR9[9:4]; assign VAR11 = VAR9[3:0]; assign VAR6 = VAR9[9:0]; always@(posedge clk, posedge rst) begin if(rst) VAR3 <= VAR4; end else VAR3 <= VAR1; end always@(*) begin case(VAR3) VAR4: if(VAR2) VAR1 = VAR13; VAR13: if(!VAR2) VAR1 = VAR8; VAR8: VAR1 = VAR4; default: VAR1 = VAR4; endcase end always@(posedge clk, posedge rst) begin if(rst) VAR7 <= 0; end else begin case(VAR3) VAR4: VAR7 <= 0; VAR13: VAR7 <= 0; VAR8: VAR7 <= 1; default: VAR7 <= VAR7; endcase end end always@(posedge clk, posedge rst) begin if(rst) out <= 0; end else begin case(VAR3) VAR4: begin if(VAR1 == VAR13) begin case(VAR10) 0: begin case(VAR11) 0: out <= VAR5 & VAR12; 1: out <= VAR5 | VAR12; 2: out <= VAR5 ^ VAR12; 3: out <= VAR5 + VAR12; 4: out <= VAR5 - VAR12; default: out <= out; endcase end 1: out <= VAR5 * VAR12 * VAR11; 2: out <= (VAR5+VAR12+VAR11) * (VAR5+VAR12+VAR11); 3: out <= VAR5 + VAR6; 4: out <= VAR5 - VAR6; default: out <= out; endcase end end VAR13: out <= out; VAR8: out <= out; default: out <= out; endcase end end endmodule
mit
parallella/oh
enoc/hdl/emesh_if.v
2,999
module MODULE1 ( VAR21, VAR16, VAR12, VAR7, VAR26, VAR18, VAR6, VAR11, VAR22, VAR23, VAR13, VAR25, VAR3, VAR9, VAR20, VAR14, VAR19, VAR2, VAR15, VAR8, VAR24, VAR4, VAR5, VAR17 ); parameter VAR1 = 32; parameter VAR10 = 2*VAR1+40; input VAR3; input [VAR10-1:0] VAR9; output VAR21; output VAR16; output [VAR10-1:0] VAR12; input VAR20; input VAR14; input [VAR10-1:0] VAR19; output VAR7; output VAR26; output [VAR10-1:0] VAR18; input VAR2; input VAR15; input [VAR10-1:0] VAR8; output VAR6; output VAR11; output [VAR10-1:0] VAR22; input VAR24; input VAR4; input [VAR10-1:0] VAR5; output VAR23; output VAR13; output [VAR10-1:0] VAR25; input VAR17; assign VAR16 = VAR4 & VAR5[0]; assign VAR26 = VAR4 & ~VAR5[0]; assign VAR11 = 1'b0; assign VAR12[VAR10-1:0] = VAR5[VAR10-1:0]; assign VAR18[VAR10-1:0] = VAR5[VAR10-1:0]; assign VAR22[VAR10-1:0] = VAR5[VAR10-1:0]; assign VAR23 = VAR20 & VAR2 & VAR24; assign VAR13 = VAR3 & VAR14 & VAR15; assign VAR25[VAR10-1:0] = VAR3 ? VAR9[VAR10-1:0] : VAR14 ? VAR19[VAR10-1:0] : VAR8[VAR10-1:0]; assign VAR21 = ~(VAR3 & ~VAR17); assign VAR7 = ~(VAR14 & (~VAR17 | ~VAR20)); assign VAR6 = ~(VAR15 & (~VAR17 | ~VAR3 | ~VAR14)); endmodule
mit
GREO/GNU-Radio
usrp/fpga/sdr_lib/rx_chain_dual.v
3,230
module MODULE1 (input VAR34, input VAR5, input reset, input enable, input wire [7:0] VAR9, input VAR27, input VAR8, input wire [31:0] VAR35, input wire [15:0] VAR21, input wire [15:0] VAR13, output wire [15:0] VAR45, output wire [15:0] VAR24, input wire [31:0] VAR4, input wire [15:0] VAR26, input wire [15:0] VAR33, output wire [15:0] VAR44, output wire [15:0] VAR3 ); wire [15:0] VAR16; wire [15:0] VAR41, VAR7; wire [15:0] VAR47, VAR12; wire [31:0] VAR49; wire [31:0] VAR29; reg [15:0] VAR39, VAR23; reg [15:0] VAR46, VAR31; VAR30 VAR20 (.clk(VAR34),.reset(reset),.enable(enable), .VAR6(VAR27),.VAR38(VAR35),.VAR16(VAR49) ); VAR30 VAR11 (.clk(VAR34),.reset(reset),.enable(enable), .VAR6(VAR27),.VAR38(VAR4),.VAR16(VAR29) ); assign VAR16 = VAR34 ? VAR49[31:16] : VAR29[31:16]; assign VAR47 = VAR34 ? VAR21 : VAR26; assign VAR12 = VAR34 ? VAR13 : VAR33; always @(posedge VAR5) if(VAR34) begin VAR46 <= VAR41; VAR31 <= VAR7; end else begin VAR39 <= VAR41; VAR23 <= VAR7; end VAR42 VAR22 ( .VAR34(VAR5),.reset(reset),.enable(enable), .VAR28(VAR47),.VAR36(VAR12),.VAR37(VAR16), .VAR1(VAR41),.VAR40(VAR7),.VAR2() ); VAR25 VAR19 ( .VAR34(VAR34),.reset(reset),.enable(enable), .VAR17(VAR9),.VAR15(VAR27),.VAR32(VAR8), .VAR43(VAR39),.VAR18(VAR45) ); VAR25 VAR14 ( .VAR34(VAR34),.reset(reset),.enable(enable), .VAR17(VAR9),.VAR15(VAR27),.VAR32(VAR8), .VAR43(VAR23),.VAR18(VAR24) ); VAR25 VAR10 ( .VAR34(VAR34),.reset(reset),.enable(enable), .VAR17(VAR9),.VAR15(VAR27),.VAR32(VAR8), .VAR43(VAR46),.VAR18(VAR44) ); VAR25 VAR48 ( .VAR34(VAR34),.reset(reset),.enable(enable), .VAR17(VAR9),.VAR15(VAR27),.VAR32(VAR8), .VAR43(VAR31),.VAR18(VAR3) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor3/sky130_fd_sc_hdll__nor3.functional.v
1,317
module MODULE1 ( VAR4, VAR6, VAR1, VAR2 ); output VAR4; input VAR6; input VAR1; input VAR2; wire VAR3; nor VAR5 (VAR3, VAR2, VAR6, VAR1 ); buf VAR7 (VAR4 , VAR3 ); endmodule
apache-2.0
SiLab-Bonn/basil
basil/firmware/modules/fast_spi_rx/fast_spi_rx_core.v
3,774
module MODULE1 #( parameter VAR22 = 16, parameter VAR5 = 4'b0001 ) ( input wire VAR25, input wire VAR62, input wire VAR32, input wire VAR36, output wire VAR14, output wire [31:0] VAR18, input wire VAR29, input wire [VAR22-1:0] VAR23, input wire [7:0] VAR12, output reg [7:0] VAR38, input wire VAR33, input wire VAR24, input wire VAR46 ); localparam VAR41 = 0; wire VAR4; assign VAR4 = (VAR23==0 && VAR24); wire VAR44; assign VAR44 = VAR33 | VAR4; reg VAR51; always @(posedge VAR29) begin if(VAR44) begin VAR51 <= 0; end else if(VAR24) begin if(VAR23 == 2) VAR51 <= VAR12[0]; end end reg [7:0] VAR40; always @(posedge VAR29) begin if(VAR46) begin if(VAR23 == 0) VAR38 <= VAR41; end else if(VAR23 == 2) VAR38 <= {7'b0, VAR51}; end else if(VAR23 == 3) VAR38 <= VAR40; else VAR38 <= 8'b0; end end wire VAR53; wire VAR56; VAR42 VAR13 (.VAR28(VAR29), .VAR34(VAR44), .VAR21(VAR25), .VAR26(VAR56)); assign VAR53 = VAR56 || VAR33; wire VAR37; assign VAR37 = VAR51; reg [7:0] VAR17; always @(posedge VAR29) begin if(VAR44) VAR17 <= 120; end else if(VAR17 != 100) VAR17 <= VAR17 +1; end wire VAR27; assign VAR27 = VAR17[7]; reg [11:0] VAR39; wire VAR9, VAR10; reg VAR35; always @(posedge VAR25) begin VAR35 <= VAR32; end assign VAR9 = (VAR35 ==0 && VAR32 == 1); assign VAR10 = (VAR35 ==1 && VAR32 == 0); always @(posedge VAR25) begin if(VAR53) VAR39 <= 0; end else if(VAR10 && VAR37) VAR39 <= VAR39 + 1; end wire VAR31; reg [4:0] VAR3; always @(posedge VAR25) begin if(VAR53 | VAR9) VAR3 <= 0; end else if(VAR31) VAR3 <= 0; else if(VAR32) VAR3 <= VAR3 + 1; end assign VAR31 = ( (VAR3 == 15) || VAR10 ) && VAR37; reg [15:0] VAR7; always @(posedge VAR25) begin if(VAR53 | VAR10) VAR7 <= 0; end else if(VAR31) VAR7 <= {15'b0, VAR62}; else if(VAR32) VAR7 <= {VAR7[14:0], VAR62}; end wire VAR15,VAR30; wire VAR45; always @(posedge VAR25) begin if(VAR53) VAR40 <= 0; end else if (VAR45 && VAR31 && VAR40 != 8'b11111111) VAR40 <= VAR40 + 1; end wire [31:0] VAR6; assign VAR6 = {VAR5, VAR39[11:0], VAR7}; wire [31:0] VAR54; VAR61 #( .VAR64(32), .VAR58(2) ) VAR60 ( .VAR55(VAR54), .VAR45(VAR45), .VAR59(VAR30), .VAR8(VAR6), .VAR49(VAR31), .VAR1(VAR25), .VAR2(VAR27), .VAR52(!VAR15), .VAR65(VAR29), .VAR20(VAR27) ); VAR43 #( .VAR63(32), .VAR16(1024) ) VAR47 ( .clk(VAR29), .reset(VAR27 | VAR33), .write(!VAR30), .read(VAR36), .VAR19(VAR54), .VAR57(VAR15), .VAR50(VAR14), .VAR11(VAR18[31:0]), .VAR48() ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o41ai/sky130_fd_sc_lp__o41ai.behavioral.v
1,571
module MODULE1 ( VAR15 , VAR9, VAR5, VAR7, VAR3, VAR14 ); output VAR15 ; input VAR9; input VAR5; input VAR7; input VAR3; input VAR14; supply1 VAR4; supply0 VAR10; supply1 VAR12 ; supply0 VAR11 ; wire VAR2 ; wire VAR1; or VAR8 (VAR2 , VAR3, VAR7, VAR5, VAR9 ); nand VAR13 (VAR1, VAR14, VAR2 ); buf VAR6 (VAR15 , VAR1 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/icgtp/gf180mcu_fd_sc_mcu7t5v0__icgtp_1.behavioral.pp.v
2,782
module MODULE1( VAR14, VAR15, VAR25, VAR1, VAR20, VAR8 ); input VAR25, VAR15, VAR14; inout VAR20, VAR8; output VAR1; reg VAR23; VAR10 VAR16(.VAR14(VAR14),.VAR15(VAR15),.VAR25(VAR25),.VAR1(VAR1),.VAR20(VAR20),.VAR8(VAR8),.VAR23(VAR23)); VAR10 VAR21(.VAR14(VAR14),.VAR15(VAR15),.VAR25(VAR25),.VAR1(VAR1),.VAR20(VAR20),.VAR8(VAR8),.VAR23(VAR23)); not VAR12(VAR24,VAR15); not VAR3(VAR13,VAR14); and VAR22(VAR17,VAR13,VAR24); not VAR9(VAR6,VAR15); and VAR19(VAR26,VAR14,VAR6); not VAR2(VAR11,VAR14); and VAR5(VAR18,VAR11,VAR15); and VAR7(VAR4,VAR14,VAR15);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o32a/sky130_fd_sc_ms__o32a.pp.symbol.v
1,390
module MODULE1 ( input VAR9 , input VAR2 , input VAR5 , input VAR4 , input VAR8 , output VAR10 , input VAR1 , input VAR3, input VAR7, input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/probe_p/sky130_fd_sc_hdll__probe_p.functional.pp.v
1,805
module MODULE1 ( VAR11 , VAR8 , VAR3, VAR2, VAR4 , VAR5 ); output VAR11 ; input VAR8 ; input VAR3; input VAR2; input VAR4 ; input VAR5 ; wire VAR1 ; wire VAR9; buf VAR6 (VAR1 , VAR8 ); VAR10 VAR7 (VAR9, VAR1, VAR3, VAR2); buf VAR12 (VAR11 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o41ai/sky130_fd_sc_lp__o41ai_4.v
2,424
module MODULE2 ( VAR4 , VAR12 , VAR1 , VAR7 , VAR5 , VAR8 , VAR3, VAR6, VAR11 , VAR2 ); output VAR4 ; input VAR12 ; input VAR1 ; input VAR7 ; input VAR5 ; input VAR8 ; input VAR3; input VAR6; input VAR11 ; input VAR2 ; VAR9 VAR10 ( .VAR4(VAR4), .VAR12(VAR12), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR8(VAR8), .VAR3(VAR3), .VAR6(VAR6), .VAR11(VAR11), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR4 , VAR12, VAR1, VAR7, VAR5, VAR8 ); output VAR4 ; input VAR12; input VAR1; input VAR7; input VAR5; input VAR8; supply1 VAR3; supply0 VAR6; supply1 VAR11 ; supply0 VAR2 ; VAR9 VAR10 ( .VAR4(VAR4), .VAR12(VAR12), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp.pp.blackbox.v
1,482
module MODULE1 ( VAR8 , VAR2 , VAR4 , VAR9 , VAR5 , VAR1, VAR7 , VAR3 , VAR10 , VAR6 ); output VAR8 ; input VAR2 ; input VAR4 ; input VAR9 ; input VAR5 ; input VAR1; input VAR7 ; input VAR3 ; input VAR10 ; input VAR6 ; endmodule
apache-2.0
sigilance/paper-processor
4-bit/jno.v
1,198
module MODULE1 (VAR15, VAR17, VAR13, VAR6, VAR16, VAR7, VAR3); output [1:0] VAR15; input [1:0] VAR17; input [3:0] VAR13; input VAR6, VAR16, VAR7, VAR3, VAR1, VAR10; wire VAR9, VAR11, VAR4, VAR5; and (VAR5, VAR6, ~VAR16); VAR8 VAR14 (VAR9, VAR4, VAR11, VAR7, VAR3, VAR17[1], VAR17[0]); VAR12 VAR2 (VAR15[1], VAR15[0], VAR4, VAR11, VAR13[1], VAR13[0], VAR5); endmodule
mit
tuura/fantasi
dependencies/Altera_DE4/niosII/synthesis/system1.v
36,026
module MODULE1 ( input wire VAR17, input wire [31:0] VAR228, input wire [31:0] VAR101, input wire [31:0] VAR16, input wire [31:0] VAR116, output wire [31:0] VAR46, output wire [31:0] VAR193, output wire [31:0] VAR77, output wire [31:0] VAR111, input wire VAR155 ); wire [31:0] VAR250; wire VAR133; wire VAR62; wire [20:0] VAR211; wire [3:0] VAR173; wire VAR207; wire VAR102; wire [31:0] VAR90; wire [31:0] VAR182; wire VAR189; wire [20:0] VAR83; wire VAR5; wire VAR181; wire [31:0] VAR194; wire VAR165; wire [0:0] VAR72; wire VAR48; wire VAR28; wire [31:0] VAR68; wire [31:0] VAR195; wire VAR202; wire VAR146; wire [8:0] VAR174; wire VAR38; wire [3:0] VAR14; wire VAR40; wire [31:0] VAR44; wire VAR59; wire [31:0] VAR86; wire [1:0] VAR99; wire VAR213; wire [31:0] VAR145; wire VAR41; wire [31:0] VAR196; wire [1:0] VAR8; wire VAR105; wire [31:0] VAR125; wire VAR179; wire [31:0] VAR54; wire [1:0] VAR249; wire VAR18; wire [31:0] VAR9; wire VAR209; wire [31:0] VAR188; wire [1:0] VAR21; wire VAR7; wire [31:0] VAR183; wire [31:0] VAR136; wire [1:0] VAR39; wire [31:0] VAR215; wire [1:0] VAR166; wire [31:0] VAR96; wire [1:0] VAR74; wire [31:0] VAR36; wire [1:0] VAR234; wire VAR159; wire [31:0] VAR37; wire [15:0] VAR113; wire [3:0] VAR47; wire VAR23; wire [31:0] VAR214; wire VAR127; wire VAR120; wire [31:0] VAR89; wire VAR50; wire VAR240; VAR141 VAR156 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR234), .VAR139 (VAR36), .VAR97 (VAR228) ); VAR141 VAR232 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR74), .VAR139 (VAR96), .VAR97 (VAR101) ); VAR141 VAR61 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR166), .VAR139 (VAR215), .VAR97 (VAR16) ); VAR141 VAR52 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR39), .VAR139 (VAR136), .VAR97 (VAR116) ); VAR84 VAR203 ( .clk (VAR17), .VAR163 (~VAR50), .VAR230 (VAR181), .VAR126 (VAR72), .VAR190 (~VAR48), .VAR12 (VAR194), .VAR32 (~VAR28), .VAR129 (VAR68), .VAR66 (VAR165), .VAR3 (VAR120) ); VAR201 VAR248 ( .clk (VAR17), .VAR124 (~VAR50), .VAR158 (VAR240), .VAR184 (VAR211), .VAR137 (VAR173), .VAR198 (VAR207), .VAR57 (VAR250), .VAR94 (VAR133), .VAR176 (VAR102), .VAR177 (VAR90), .VAR109 (VAR62), .VAR70 (VAR83), .VAR108 (VAR5), .VAR123 (VAR182), .VAR115 (VAR189), .irq (VAR89), .VAR11 (), .VAR65 (VAR174), .VAR81 (VAR14), .VAR93 (VAR146), .VAR239 (VAR38), .VAR205 (VAR195), .VAR49 (VAR202), .VAR31 (VAR40), .VAR107 (VAR44), .VAR172 () ); VAR233 VAR236 ( .clk (VAR17), .address (VAR113), .VAR134 (VAR127), .VAR75 (VAR159), .write (VAR23), .VAR139 (VAR37), .VAR167 (VAR214), .VAR104 (VAR47), .reset (VAR50), .VAR158 (VAR240), .VAR58 (1'b0) ); VAR212 VAR243 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR21), .VAR147 (~VAR7), .VAR167 (VAR183), .VAR75 (VAR209), .VAR139 (VAR188), .VAR235 (VAR46) ); VAR212 VAR150 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR249), .VAR147 (~VAR18), .VAR167 (VAR9), .VAR75 (VAR179), .VAR139 (VAR54), .VAR235 (VAR193) ); VAR212 VAR45 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR8), .VAR147 (~VAR105), .VAR167 (VAR125), .VAR75 (VAR41), .VAR139 (VAR196), .VAR235 (VAR77) ); VAR212 VAR144 ( .clk (VAR17), .VAR124 (~VAR50), .address (VAR99), .VAR147 (~VAR213), .VAR167 (VAR145), .VAR75 (VAR59), .VAR139 (VAR86), .VAR235 (VAR111) ); VAR20 VAR251 ( .VAR119 (VAR17), .VAR242 (VAR50), .VAR211 (VAR211), .VAR133 (VAR133), .VAR173 (VAR173), .VAR207 (VAR207), .VAR250 (VAR250), .VAR102 (VAR102), .VAR90 (VAR90), .VAR62 (VAR62), .VAR83 (VAR83), .VAR189 (VAR189), .VAR5 (VAR5), .VAR182 (VAR182), .VAR238 (VAR234), .VAR231 (VAR36), .VAR22 (VAR74), .VAR229 (VAR96), .VAR55 (VAR166), .VAR152 (VAR215), .VAR252 (VAR39), .VAR208 (VAR136), .VAR130 (VAR72), .VAR241 (VAR28), .VAR121 (VAR48), .VAR220 (VAR194), .VAR118 (VAR68), .VAR197 (VAR165), .VAR160 (VAR181), .VAR164 (VAR174), .VAR6 (VAR40), .VAR157 (VAR38), .VAR175 (VAR195), .VAR79 (VAR44), .VAR192 (VAR14), .VAR4 (VAR202), .VAR225 (VAR146), .VAR33 (VAR113), .VAR88 (VAR23), .VAR43 (VAR37), .VAR51 (VAR214), .VAR26 (VAR47), .VAR128 (VAR159), .VAR19 (VAR127), .VAR112 (VAR21), .VAR245 (VAR7), .VAR187 (VAR188), .VAR60 (VAR183), .VAR151 (VAR209), .VAR95 (VAR249), .VAR221 (VAR18), .VAR191 (VAR54), .VAR210 (VAR9), .VAR71 (VAR179), .VAR140 (VAR8), .VAR110 (VAR105), .VAR114 (VAR196), .VAR10 (VAR125), .VAR78 (VAR41), .VAR25 (VAR99), .VAR200 (VAR213), .VAR227 (VAR86), .VAR15 (VAR145), .VAR206 (VAR59) ); VAR29 VAR219 ( .clk (VAR17), .reset (VAR50), .VAR223 (VAR120), .VAR161 (VAR89) ); VAR178 #( .VAR24 (1), .VAR153 ("VAR218"), .VAR132 (2), .VAR149 (1), .VAR148 (1), .VAR170 (3), .VAR247 (1), .VAR92 (0), .VAR27 (0), .VAR122 (0), .VAR226 (0), .VAR42 (0), .VAR103 (0), .VAR117 (0), .VAR2 (0), .VAR106 (0), .VAR244 (0), .VAR13 (0), .VAR67 (0), .VAR168 (0), .VAR87 (0), .VAR53 (0), .VAR186 (0), .VAR30 (0) ) VAR185 ( .VAR82 (~VAR155), .clk (VAR17), .VAR138 (VAR50), .VAR158 (VAR240), .VAR237 (1'b0), .VAR131 (1'b0), .VAR135 (1'b0), .VAR162 (1'b0), .VAR91 (1'b0), .VAR100 (1'b0), .VAR69 (1'b0), .VAR171 (1'b0), .VAR73 (1'b0), .VAR169 (1'b0), .VAR98 (1'b0), .VAR204 (1'b0), .VAR85 (1'b0), .VAR217 (1'b0), .VAR216 (1'b0), .VAR56 (1'b0), .VAR35 (1'b0), .VAR63 (1'b0), .VAR34 (1'b0), .VAR154 (1'b0), .VAR180 (1'b0), .VAR224 (1'b0), .VAR1 (1'b0), .VAR142 (1'b0), .VAR222 (1'b0), .VAR143 (1'b0), .VAR246 (1'b0), .VAR80 (1'b0), .VAR64 (1'b0), .VAR76 (1'b0), .VAR199 (1'b0) ); endmodule
mit
seyedmaysamlavasani/GorillaPP
chisel/KmeansAndMesh/emulator/Offloaded.v
43,510
module MODULE3(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR58, input VAR159, input [15:0] VAR156, input [7:0] VAR32, input [15:0] VAR240, input [3:0] VAR154, output VAR23, output VAR205, output[15:0] VAR130, output[7:0] VAR86, output[15:0] VAR188, output[3:0] VAR117, input VAR142, output VAR45, output[31:0] VAR228, output VAR37, input VAR210, input [31:0] VAR231); reg[0:0] VAR67; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire VAR190; wire VAR121; reg[15:0] VAR172; wire VAR11; wire[15:0] VAR25; wire VAR187; reg[0:0] VAR118; wire VAR30; wire VAR182; wire VAR17; reg[3:0] VAR22; wire VAR252; wire[3:0] VAR208; wire VAR72; wire VAR116; reg[2:0] state; wire VAR54; wire VAR49; wire VAR6; wire VAR241; wire VAR136; wire VAR71; wire VAR69; wire VAR163; wire VAR181; wire VAR128; wire VAR207; wire VAR166; wire VAR247; wire VAR89; wire VAR62; wire VAR36; wire VAR153; wire VAR50; wire VAR234; wire VAR209; wire VAR19; wire VAR183; wire VAR236; wire VAR216; wire VAR44; wire[31:0] VAR191; reg[31:0] counter; wire VAR170; wire VAR151; wire VAR195; wire VAR147; wire VAR26; wire[31:0] VAR84; wire[31:0] VAR141; wire[31:0] VAR79; wire[31:0] VAR4; wire VAR203; wire VAR245; wire VAR92; wire VAR81; wire VAR53; wire VAR244; wire[2:0] VAR189; wire[2:0] VAR194; wire[2:0] VAR51; wire[2:0] VAR179; wire[2:0] VAR224; reg[7:0] VAR168; wire VAR14; wire[7:0] VAR15; wire VAR83; reg[15:0] VAR139; wire VAR199; wire[15:0] VAR9; wire[15:0] VAR101; wire[15:0] VAR169; reg[15:0] VAR150; wire VAR82; wire VAR212; wire VAR232; wire VAR219; wire VAR229; wire VAR238; wire VAR185; wire[3:0] VAR46; wire VAR97; wire[15:0] VAR250; wire[15:0] VAR186; wire[15:0] VAR200; reg[15:0] VAR120; wire VAR165; wire VAR35; wire VAR112; wire VAR225; wire VAR39; wire VAR132; wire VAR122; wire[3:0] VAR160; wire VAR206; wire[15:0] VAR85; wire[15:0] VAR125; wire[15:0] VAR197; wire VAR178; wire[15:0] VAR158; wire[31:0] VAR80; reg[31:0] VAR184; wire[31:0] VAR42; wire[31:0] VAR198; reg[31:0] VAR123; wire[31:0] VAR91; assign VAR205 = VAR67; assign VAR218 = VAR249 || VAR93; assign VAR93 = ! VAR249; assign VAR249 = VAR56 && VAR159; assign VAR56 = VAR58 && VAR248; assign VAR248 = VAR156 == 16'h3; assign VAR190 = VAR93 ? VAR159 : VAR121; assign VAR121 = VAR249 ? 1'h0 : VAR67; assign VAR130 = VAR172; assign VAR11 = VAR249 || VAR93; assign VAR25 = VAR187 ? VAR156 : VAR172; assign VAR187 = VAR249 || VAR93; assign VAR23 = VAR118; assign VAR30 = VAR249 || VAR93; assign VAR182 = VAR93 ? VAR58 : VAR17; assign VAR17 = VAR249 ? 1'h1 : VAR118; assign VAR117 = VAR22; assign VAR252 = VAR249 || VAR93; assign VAR208 = VAR72 ? VAR154 : VAR22; assign VAR72 = VAR249 || VAR93; assign VAR177 = VAR116; assign VAR116 = state == 3'h4; assign VAR54 = VAR236 || VAR49; assign VAR49 = VAR136 && VAR6; assign VAR6 = VAR241 && VAR55; assign VAR241 = state == 3'h4; assign VAR136 = ! VAR71; assign VAR71 = VAR163 || VAR69; assign VAR69 = state == 3'h3; assign VAR163 = VAR36 || VAR181; assign VAR181 = VAR62 && VAR128; assign VAR128 = VAR210; assign VAR45 = VAR207; assign VAR207 = VAR166; assign VAR166 = state == 3'h1; assign VAR37 = VAR247; assign VAR247 = VAR89; assign VAR89 = state != 3'h4; assign VAR62 = state == 3'h2; assign VAR36 = VAR209 || VAR153; assign VAR153 = VAR234 && VAR50; assign VAR50 = VAR142; assign VAR234 = state == 3'h1; assign VAR209 = VAR183 && VAR108; assign VAR40 = VAR19; assign VAR19 = state == 3'h0; assign VAR183 = state == 3'h0; assign VAR236 = VAR203 || VAR216; assign VAR216 = VAR147 && VAR44; assign VAR44 = counter == VAR191; assign VAR191 = {31'h0, 1'h0}; assign VAR170 = VAR216 || VAR151; assign VAR151 = VAR147 && VAR195; assign VAR195 = ! VAR44; assign VAR147 = VAR26 && VAR69; assign VAR26 = ! VAR163; assign VAR84 = VAR151 ? VAR79 : VAR141; assign VAR141 = VAR216 ? 32'h2 : counter; assign VAR79 = counter - VAR4; assign VAR4 = {31'h0, 1'h1}; assign VAR203 = VAR81 || VAR245; assign VAR245 = VAR92 && VAR181; assign VAR92 = ! VAR36; assign VAR81 = VAR209 || VAR53; assign VAR53 = VAR244 && VAR153; assign VAR244 = ! VAR209; assign VAR189 = VAR49 ? 3'h0 : VAR194; assign VAR194 = VAR216 ? 3'h4 : VAR51; assign VAR51 = VAR245 ? 3'h3 : VAR179; assign VAR179 = VAR53 ? 3'h2 : VAR224; assign VAR224 = VAR209 ? 3'h1 : state; assign VAR86 = VAR168; assign VAR14 = VAR249 || VAR93; assign VAR15 = VAR83 ? VAR32 : VAR168; assign VAR83 = VAR249 || VAR93; assign VAR188 = VAR139; assign VAR199 = VAR249 || VAR93; assign VAR9 = VAR93 ? VAR240 : VAR101; assign VAR101 = VAR249 ? VAR169 : VAR139; assign VAR169 = VAR178 ? VAR120 : VAR150; assign VAR82 = VAR238 || VAR212; assign VAR212 = VAR229 && VAR232; assign VAR232 = VAR177 && VAR219; assign VAR219 = ! VAR55; assign VAR229 = ! VAR238; assign VAR238 = VAR97 && VAR185; assign VAR185 = VAR154 == VAR46; assign VAR46 = {2'h0, 2'h2}; assign VAR97 = VAR58 && VAR159; assign VAR250 = VAR212 ? VAR200 : VAR186; assign VAR186 = VAR238 ? 16'h0 : VAR150; assign VAR200 = VAR150 + 16'h1; assign VAR165 = VAR132 || VAR35; assign VAR35 = VAR39 && VAR112; assign VAR112 = VAR108 && VAR225; assign VAR225 = ! VAR40; assign VAR39 = ! VAR132; assign VAR132 = VAR206 && VAR122; assign VAR122 = VAR154 == VAR160; assign VAR160 = {2'h0, 2'h2}; assign VAR206 = VAR58 && VAR159; assign VAR85 = VAR35 ? VAR197 : VAR125; assign VAR125 = VAR132 ? 16'h0 : VAR120; assign VAR197 = VAR120 + 16'h1; assign VAR178 = VAR158 == 16'h1; assign VAR158 = {8'h0, VAR32}; assign VAR47 = VAR80; assign VAR80 = VAR123 + VAR184; assign VAR42 = VAR245 ? VAR198 : VAR184; assign VAR198 = VAR231; assign VAR91 = VAR209 ? VAR114 : VAR123; always @(posedge clk) begin if(reset) begin VAR67 <= 1'h1; end else if(VAR218) begin VAR67 <= VAR190; end if(reset) begin VAR172 <= 16'h0; end else if(VAR11) begin VAR172 <= VAR25; end if(reset) begin VAR118 <= 1'h0; end else if(VAR30) begin VAR118 <= VAR182; end if(reset) begin VAR22 <= 4'h0; end else if(VAR252) begin VAR22 <= VAR208; end if(reset) begin state <= 3'h0; end else if(VAR54) begin state <= VAR189; end if(reset) begin counter <= 32'h2; end else if(VAR170) begin counter <= VAR84; end if(reset) begin VAR168 <= 8'h0; end else if(VAR14) begin VAR168 <= VAR15; end if(reset) begin VAR139 <= 16'h0; end else if(VAR199) begin VAR139 <= VAR9; end if(reset) begin VAR150 <= 16'h0; end else if(VAR82) begin VAR150 <= VAR250; end if(reset) begin VAR120 <= 16'h0; end else if(VAR165) begin VAR120 <= VAR85; end if(reset) begin VAR184 <= 32'h0; end else if(VAR245) begin VAR184 <= VAR42; end if(reset) begin VAR123 <= 32'h0; end else if(VAR209) begin VAR123 <= VAR91; end end endmodule module MODULE7(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR74, input VAR242, input [15:0] VAR73, input [7:0] VAR239, input [15:0] VAR233, input [3:0] VAR88, output VAR201, output VAR215, output[15:0] VAR31, output[7:0] VAR109, output[15:0] VAR211, output[3:0] VAR145, input VAR142, output VAR45, output[31:0] VAR228, output VAR37, input VAR210, input [31:0] VAR231); reg[0:0] VAR67; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire VAR190; wire VAR121; reg[15:0] VAR172; wire VAR11; wire[15:0] VAR25; wire VAR187; reg[0:0] VAR118; wire VAR30; wire VAR182; wire VAR17; reg[3:0] VAR22; wire VAR252; wire[3:0] VAR208; wire VAR72; wire VAR247; wire VAR116; reg[2:0] state; wire VAR54; wire VAR49; wire VAR6; wire VAR241; wire VAR207; wire VAR136; wire VAR71; wire VAR69; wire VAR163; wire VAR181; wire VAR166; wire VAR89; wire VAR62; wire VAR128; wire VAR36; wire VAR153; wire VAR234; wire VAR50; wire VAR209; wire VAR19; wire VAR183; wire VAR236; wire VAR216; wire VAR44; wire[31:0] VAR191; reg[31:0] counter; wire VAR170; wire VAR151; wire VAR195; wire VAR147; wire VAR26; wire[31:0] VAR84; wire[31:0] VAR141; wire[31:0] VAR79; wire[31:0] VAR4; wire VAR203; wire VAR245; wire VAR92; wire VAR81; wire VAR53; wire VAR244; wire[2:0] VAR189; wire[2:0] VAR194; wire[2:0] VAR51; wire[2:0] VAR179; wire[2:0] VAR224; reg[7:0] VAR168; wire VAR14; wire[7:0] VAR15; wire VAR83; reg[15:0] VAR139; wire VAR199; wire[15:0] VAR9; wire[15:0] VAR101; wire[15:0] VAR169; reg[15:0] VAR150; wire VAR82; wire VAR212; wire VAR232; wire VAR219; wire VAR229; wire VAR238; wire VAR185; wire[3:0] VAR46; wire VAR97; wire[15:0] VAR250; wire[15:0] VAR186; wire[15:0] VAR200; reg[15:0] VAR120; wire VAR165; wire VAR35; wire VAR112; wire VAR225; wire VAR39; wire VAR132; wire VAR122; wire[3:0] VAR160; wire VAR206; wire[15:0] VAR85; wire[15:0] VAR125; wire[15:0] VAR197; wire VAR178; wire[15:0] VAR158; wire[31:0] VAR80; reg[31:0] VAR184; wire[31:0] VAR42; wire[31:0] VAR198; reg[31:0] VAR123; wire[31:0] VAR91; assign VAR215 = VAR67; assign VAR218 = VAR249 || VAR93; assign VAR93 = ! VAR249; assign VAR249 = VAR56 && VAR242; assign VAR56 = VAR74 && VAR248; assign VAR248 = VAR73 == 16'h4; assign VAR190 = VAR93 ? VAR242 : VAR121; assign VAR121 = VAR249 ? 1'h0 : VAR67; assign VAR31 = VAR172; assign VAR11 = VAR249 || VAR93; assign VAR25 = VAR187 ? VAR73 : VAR172; assign VAR187 = VAR249 || VAR93; assign VAR201 = VAR118; assign VAR30 = VAR249 || VAR93; assign VAR182 = VAR93 ? VAR74 : VAR17; assign VAR17 = VAR249 ? 1'h1 : VAR118; assign VAR145 = VAR22; assign VAR252 = VAR249 || VAR93; assign VAR208 = VAR72 ? VAR88 : VAR22; assign VAR72 = VAR249 || VAR93; assign VAR37 = VAR247; assign VAR247 = VAR116; assign VAR116 = state != 3'h4; assign VAR54 = VAR236 || VAR49; assign VAR49 = VAR163 && VAR6; assign VAR6 = VAR69 && VAR55; assign VAR177 = VAR241; assign VAR241 = state == 3'h4; assign VAR45 = VAR207; assign VAR207 = VAR136; assign VAR136 = state == 3'h1; assign VAR40 = VAR71; assign VAR71 = state == 3'h0; assign VAR69 = state == 3'h4; assign VAR163 = ! VAR181; assign VAR181 = VAR89 || VAR166; assign VAR166 = state == 3'h3; assign VAR89 = VAR153 || VAR62; assign VAR62 = VAR36 && VAR128; assign VAR128 = VAR210; assign VAR36 = state == 3'h2; assign VAR153 = VAR19 || VAR234; assign VAR234 = VAR209 && VAR50; assign VAR50 = VAR142; assign VAR209 = state == 3'h1; assign VAR19 = VAR183 && VAR108; assign VAR183 = state == 3'h0; assign VAR236 = VAR203 || VAR216; assign VAR216 = VAR147 && VAR44; assign VAR44 = counter == VAR191; assign VAR191 = {31'h0, 1'h0}; assign VAR170 = VAR216 || VAR151; assign VAR151 = VAR147 && VAR195; assign VAR195 = ! VAR44; assign VAR147 = VAR26 && VAR166; assign VAR26 = ! VAR89; assign VAR84 = VAR151 ? VAR79 : VAR141; assign VAR141 = VAR216 ? 32'h2 : counter; assign VAR79 = counter - VAR4; assign VAR4 = {31'h0, 1'h1}; assign VAR203 = VAR81 || VAR245; assign VAR245 = VAR92 && VAR62; assign VAR92 = ! VAR153; assign VAR81 = VAR19 || VAR53; assign VAR53 = VAR244 && VAR234; assign VAR244 = ! VAR19; assign VAR189 = VAR49 ? 3'h0 : VAR194; assign VAR194 = VAR216 ? 3'h4 : VAR51; assign VAR51 = VAR245 ? 3'h3 : VAR179; assign VAR179 = VAR53 ? 3'h2 : VAR224; assign VAR224 = VAR19 ? 3'h1 : state; assign VAR109 = VAR168; assign VAR14 = VAR249 || VAR93; assign VAR15 = VAR83 ? VAR239 : VAR168; assign VAR83 = VAR249 || VAR93; assign VAR211 = VAR139; assign VAR199 = VAR249 || VAR93; assign VAR9 = VAR93 ? VAR233 : VAR101; assign VAR101 = VAR249 ? VAR169 : VAR139; assign VAR169 = VAR178 ? VAR120 : VAR150; assign VAR82 = VAR238 || VAR212; assign VAR212 = VAR229 && VAR232; assign VAR232 = VAR177 && VAR219; assign VAR219 = ! VAR55; assign VAR229 = ! VAR238; assign VAR238 = VAR97 && VAR185; assign VAR185 = VAR88 == VAR46; assign VAR46 = {2'h0, 2'h2}; assign VAR97 = VAR74 && VAR242; assign VAR250 = VAR212 ? VAR200 : VAR186; assign VAR186 = VAR238 ? 16'h0 : VAR150; assign VAR200 = VAR150 + 16'h1; assign VAR165 = VAR132 || VAR35; assign VAR35 = VAR39 && VAR112; assign VAR112 = VAR108 && VAR225; assign VAR225 = ! VAR40; assign VAR39 = ! VAR132; assign VAR132 = VAR206 && VAR122; assign VAR122 = VAR88 == VAR160; assign VAR160 = {2'h0, 2'h2}; assign VAR206 = VAR74 && VAR242; assign VAR85 = VAR35 ? VAR197 : VAR125; assign VAR125 = VAR132 ? 16'h0 : VAR120; assign VAR197 = VAR120 + 16'h1; assign VAR178 = VAR158 == 16'h1; assign VAR158 = {8'h0, VAR239}; assign VAR47 = VAR80; assign VAR80 = VAR123 + VAR184; assign VAR42 = VAR245 ? VAR198 : VAR184; assign VAR198 = VAR231; assign VAR91 = VAR19 ? VAR114 : VAR123; always @(posedge clk) begin if(reset) begin VAR67 <= 1'h1; end else if(VAR218) begin VAR67 <= VAR190; end if(reset) begin VAR172 <= 16'h0; end else if(VAR11) begin VAR172 <= VAR25; end if(reset) begin VAR118 <= 1'h0; end else if(VAR30) begin VAR118 <= VAR182; end if(reset) begin VAR22 <= 4'h0; end else if(VAR252) begin VAR22 <= VAR208; end if(reset) begin state <= 3'h0; end else if(VAR54) begin state <= VAR189; end if(reset) begin counter <= 32'h2; end else if(VAR170) begin counter <= VAR84; end if(reset) begin VAR168 <= 8'h0; end else if(VAR14) begin VAR168 <= VAR15; end if(reset) begin VAR139 <= 16'h0; end else if(VAR199) begin VAR139 <= VAR9; end if(reset) begin VAR150 <= 16'h0; end else if(VAR82) begin VAR150 <= VAR250; end if(reset) begin VAR120 <= 16'h0; end else if(VAR165) begin VAR120 <= VAR85; end if(reset) begin VAR184 <= 32'h0; end else if(VAR245) begin VAR184 <= VAR42; end if(reset) begin VAR123 <= 32'h0; end else if(VAR19) begin VAR123 <= VAR91; end end endmodule module MODULE2(input clk, input reset, input VAR10, output VAR226, output[31:0] VAR104, input VAR246, output VAR28, output[31:0] VAR253, output VAR40, input VAR108, input [31:0] VAR114, output VAR235); wire VAR33; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; reg[0:0] VAR133; wire VAR190; wire VAR121; wire VAR213; wire VAR135; wire VAR11; wire VAR25; wire VAR187; wire VAR61; wire VAR30; wire VAR182; wire VAR17; wire VAR137; wire VAR252; wire VAR208; wire VAR72; wire VAR116; wire VAR54; wire VAR49; assign VAR226 = VAR33; assign VAR33 = VAR218 && VAR108; assign VAR218 = VAR182 || VAR93; assign VAR93 = ! VAR249; assign VAR249 = VAR61 || VAR56; assign VAR56 = VAR246 && VAR248; assign VAR248 = 1'h1 > VAR133; assign VAR190 = VAR108 && VAR40; assign VAR40 = VAR121; assign VAR121 = VAR10 || VAR246; assign VAR213 = VAR190 ? VAR135 : VAR133; assign VAR135 = VAR25 ? 1'h1 : VAR11; assign VAR11 = VAR10 ? 1'h0 : 1'h1; assign VAR25 = VAR246 && VAR187; assign VAR187 = 1'h1 > VAR133; assign VAR61 = VAR10 && VAR30; assign VAR30 = 1'h0 > VAR133; assign VAR182 = 1'h0 > VAR133; assign VAR28 = VAR17; assign VAR17 = VAR137 && VAR108; assign VAR137 = VAR116 || VAR252; assign VAR252 = ! VAR208; assign VAR208 = VAR72 || VAR10; assign VAR72 = VAR61 || VAR56; assign VAR116 = VAR49 && VAR54; assign VAR54 = 1'h1 > VAR133; assign VAR49 = ! VAR61; assign VAR253 = VAR114; assign VAR104 = VAR114; always @(posedge clk) begin if(reset) begin VAR133 <= 1'h0; end else if(VAR190) begin VAR133 <= VAR213; end end endmodule module MODULE8(input clk, input reset, output VAR243, input VAR8, input [31:0] VAR167, output VAR202, input VAR100, input [31:0] VAR63, input VAR55, output VAR177, output[31:0] VAR47, output VAR235); wire VAR33; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire VAR190; wire VAR121; wire VAR213; wire VAR11; reg[0:0] VAR133; wire VAR25; wire VAR187; wire VAR61; wire VAR135; wire VAR30; wire VAR182; wire VAR17; wire VAR137; wire VAR252; wire VAR208; wire VAR72; wire VAR116; wire VAR54; wire VAR49; wire[31:0] VAR6; wire[31:0] VAR241; wire[31:0] VAR136; wire VAR71; wire[1:0] VAR69; wire[2:0] VAR163; wire[31:0] VAR157; wire[31:0] VAR181; wire[31:0] VAR166; wire VAR89; wire[31:0] VAR143; assign VAR202 = VAR33; assign VAR33 = VAR218 && VAR55; assign VAR218 = VAR116 || VAR93; assign VAR93 = ! VAR249; assign VAR249 = VAR72 || VAR8; assign VAR243 = VAR56; assign VAR56 = VAR248 && VAR55; assign VAR248 = VAR208 || VAR190; assign VAR190 = ! VAR121; assign VAR121 = VAR137 || VAR213; assign VAR213 = VAR100 && VAR11; assign VAR11 = 1'h1 > VAR133; assign VAR25 = VAR177 && VAR55; assign VAR177 = VAR187; assign VAR187 = VAR8 || VAR100; assign VAR61 = VAR25 ? VAR135 : VAR133; assign VAR135 = VAR182 ? 1'h1 : VAR30; assign VAR30 = VAR8 ? 1'h0 : 1'h1; assign VAR182 = VAR100 && VAR17; assign VAR17 = 1'h1 > VAR133; assign VAR137 = VAR8 && VAR252; assign VAR252 = 1'h0 > VAR133; assign VAR208 = 1'h0 > VAR133; assign VAR72 = VAR137 || VAR213; assign VAR116 = VAR49 && VAR54; assign VAR54 = 1'h1 > VAR133; assign VAR49 = ! VAR137; assign VAR47 = VAR6; assign VAR6 = VAR181 | VAR241; assign VAR241 = VAR157 & VAR136; assign VAR136 = {6'h20{VAR71}}; assign VAR71 = VAR69[1'h1]; assign VAR69 = VAR163[1'h1:1'h0]; assign VAR163 = 2'h1 << VAR135; assign VAR157 = VAR63; assign VAR181 = VAR143 & VAR166; assign VAR166 = {6'h20{VAR89}}; assign VAR89 = VAR69[1'h0]; assign VAR143 = VAR167; always @(posedge clk) begin if(reset) begin VAR133 <= 1'h0; end else if(VAR25) begin VAR133 <= VAR61; end end endmodule module MODULE9(input clk, input reset, output VAR243, input VAR8, input [31:0] VAR167, output VAR202, input VAR100, input [31:0] VAR63, input VAR55, output VAR177, output[31:0] VAR47, output VAR235); wire VAR33; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire VAR190; reg[0:0] VAR133; wire VAR121; wire VAR213; wire VAR135; wire VAR11; wire VAR25; wire VAR187; wire VAR61; wire VAR30; wire VAR182; wire VAR17; wire VAR137; wire VAR252; wire VAR208; wire VAR72; wire VAR116; wire VAR54; wire VAR49; assign VAR177 = VAR33; assign VAR33 = VAR8 || VAR100; assign VAR243 = VAR218; assign VAR218 = VAR93 && VAR55; assign VAR93 = VAR182 || VAR249; assign VAR249 = ! VAR56; assign VAR56 = VAR61 || VAR248; assign VAR248 = VAR100 && VAR190; assign VAR190 = 1'h1 > VAR133; assign VAR121 = VAR177 && VAR55; assign VAR213 = VAR121 ? VAR135 : VAR133; assign VAR135 = VAR25 ? 1'h1 : VAR11; assign VAR11 = VAR8 ? 1'h0 : 1'h1; assign VAR25 = VAR100 && VAR187; assign VAR187 = 1'h1 > VAR133; assign VAR61 = VAR8 && VAR30; assign VAR30 = 1'h0 > VAR133; assign VAR182 = 1'h0 > VAR133; assign VAR202 = VAR17; assign VAR17 = VAR137 && VAR55; assign VAR137 = VAR116 || VAR252; assign VAR252 = ! VAR208; assign VAR208 = VAR72 || VAR8; assign VAR72 = VAR61 || VAR248; assign VAR116 = VAR49 && VAR54; assign VAR54 = 1'h1 > VAR133; assign VAR49 = ! VAR61; always @(posedge clk) begin if(reset) begin VAR133 <= 1'h0; end else if(VAR121) begin VAR133 <= VAR213; end end endmodule module MODULE10(input clk, input reset, input VAR10, output VAR226, output[31:0] VAR104, input VAR246, output VAR28, output[31:0] VAR253, output VAR40, input VAR108, input [31:0] VAR114, output VAR235); wire VAR33; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire VAR190; reg[0:0] VAR133; wire VAR121; wire VAR213; wire VAR135; wire VAR11; wire VAR25; wire VAR187; wire VAR61; wire VAR30; wire VAR182; wire VAR17; wire VAR137; wire VAR252; wire VAR208; wire VAR72; wire VAR116; wire VAR54; wire VAR49; assign VAR40 = VAR33; assign VAR33 = VAR10 || VAR246; assign VAR226 = VAR218; assign VAR218 = VAR93 && VAR108; assign VAR93 = VAR182 || VAR249; assign VAR249 = ! VAR56; assign VAR56 = VAR61 || VAR248; assign VAR248 = VAR246 && VAR190; assign VAR190 = 1'h1 > VAR133; assign VAR121 = VAR108 && VAR40; assign VAR213 = VAR121 ? VAR135 : VAR133; assign VAR135 = VAR25 ? 1'h1 : VAR11; assign VAR11 = VAR10 ? 1'h0 : 1'h1; assign VAR25 = VAR246 && VAR187; assign VAR187 = 1'h1 > VAR133; assign VAR61 = VAR10 && VAR30; assign VAR30 = 1'h0 > VAR133; assign VAR182 = 1'h0 > VAR133; assign VAR28 = VAR17; assign VAR17 = VAR137 && VAR108; assign VAR137 = VAR116 || VAR252; assign VAR252 = ! VAR208; assign VAR208 = VAR72 || VAR10; assign VAR72 = VAR61 || VAR248; assign VAR116 = VAR49 && VAR54; assign VAR54 = 1'h1 > VAR133; assign VAR49 = ! VAR61; assign VAR253 = VAR114; assign VAR104 = VAR114; always @(posedge clk) begin if(reset) begin VAR133 <= 1'h0; end else if(VAR121) begin VAR133 <= VAR213; end end endmodule module MODULE4(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR74, input VAR242, input [15:0] VAR73, input [7:0] VAR239, input [15:0] VAR233, input [3:0] VAR88, output VAR23, output VAR205, output[15:0] VAR130, output[7:0] VAR86, output[15:0] VAR188, output[3:0] VAR117, input VAR214, output VAR1, output[31:0] VAR176, output VAR105, input VAR254, input [31:0] VAR110); wire VAR106; wire VAR152; wire[15:0] VAR94; wire VAR193; wire[15:0] VAR180; wire VAR115; wire[3:0] VAR251; wire[3:0] VAR78; wire VAR18; wire VAR204; wire VAR148; wire VAR223; wire VAR171; wire VAR124; wire VAR43; wire VAR237; wire VAR2; wire VAR98; wire VAR149; wire VAR13; wire VAR29; wire VAR221; wire VAR95; wire VAR119; wire VAR155; wire VAR127; wire[7:0] VAR3; wire[7:0] VAR20; wire[15:0] VAR129; wire[15:0] VAR24; wire[31:0] VAR175; wire[31:0] VAR70; wire[31:0] VAR162; wire[31:0] VAR173; wire[31:0] VAR99; wire[31:0] VAR90; wire[31:0] VAR5; wire VAR7; wire VAR107; assign VAR205 = VAR106; assign VAR130 = VAR180; assign VAR23 = VAR115; assign VAR117 = VAR251; assign VAR105 = VAR18; assign VAR1 = VAR237; assign VAR86 = VAR3; assign VAR188 = VAR129; assign VAR47 = VAR175; assign VAR177 = VAR7; assign VAR40 = VAR107; MODULE3 MODULE3(.clk(clk), .reset(reset), .VAR40( VAR95 ), .VAR108( VAR29 ), .VAR114( VAR5 ), .VAR55( VAR171 ), .VAR177( VAR223 ), .VAR47( VAR99 ), .VAR58( VAR74 ), .VAR159( VAR242 ), .VAR156( VAR73 ), .VAR32( VAR239 ), .VAR240( VAR233 ), .VAR154( VAR88 ), .VAR23( VAR193 ), .VAR205( VAR152 ), .VAR130( VAR94 ), .VAR86( VAR20 ), .VAR188( VAR24 ), .VAR117( VAR78 ), .VAR142( VAR13 ), .VAR45( VAR98 ), .VAR228( ), .VAR37( VAR149 ), .VAR210( VAR43 ), .VAR231( VAR90 )); MODULE7 MODULE7(.clk(clk), .reset(reset), .VAR40( VAR221 ), .VAR108( VAR127 ), .VAR114( VAR173 ), .VAR55( VAR148 ), .VAR177( VAR124 ), .VAR47( VAR70 ), .VAR74( VAR193 ), .VAR242( VAR152 ), .VAR73( VAR94 ), .VAR239( VAR20 ), .VAR233( VAR24 ), .VAR88( VAR78 ), .VAR201( VAR115 ), .VAR215( VAR106 ), .VAR31( VAR180 ), .VAR109( VAR3 ), .VAR211( VAR129 ), .VAR145( VAR251 ), .VAR142( VAR155 ), .VAR45( VAR2 ), .VAR228( ), .VAR37( VAR204 ), .VAR210( VAR119 ), .VAR231( VAR162 )); MODULE2 VAR102(.clk(clk), .reset(reset), .VAR10( VAR95 ), .VAR226( VAR29 ), .VAR104( VAR5 ), .VAR246( VAR221 ), .VAR28( VAR127 ), .VAR253( VAR173 ), .VAR40( VAR107 ), .VAR108( VAR108 ), .VAR114( VAR114 ), .VAR235( )); MODULE8 VAR146(.clk(clk), .reset(reset), .VAR243( VAR171 ), .VAR8( VAR223 ), .VAR167( VAR99 ), .VAR202( VAR148 ), .VAR100( VAR124 ), .VAR63( VAR70 ), .VAR55( VAR55 ), .VAR177( VAR7 ), .VAR47( VAR175 ), .VAR235( )); MODULE9 MODULE8(.clk(clk), .reset(reset), .VAR243( VAR13 ), .VAR8( VAR98 ), .VAR167( ), .VAR202( VAR155 ), .VAR100( VAR2 ), .VAR63( ), .VAR55( VAR214 ), .VAR177( VAR237 ), .VAR47( ), .VAR235( )); MODULE10 MODULE2(.clk(clk), .reset(reset), .VAR10( VAR149 ), .VAR226( VAR43 ), .VAR104( VAR90 ), .VAR246( VAR204 ), .VAR28( VAR119 ), .VAR253( VAR162 ), .VAR40( VAR18 ), .VAR108( VAR254 ), .VAR114( VAR110 ), .VAR235( )); endmodule module MODULE1(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR74, input VAR242, input [15:0] VAR73, input [7:0] VAR239, input [15:0] VAR233, input [3:0] VAR88, output VAR23, output VAR205, output[15:0] VAR130, output[7:0] VAR86, output[15:0] VAR188, output[3:0] VAR117); reg[3:0] VAR67; wire VAR218; wire VAR93; wire VAR249; wire VAR56; wire VAR248; wire[3:0] VAR190; wire VAR121; reg[15:0] VAR172; wire VAR11; wire[15:0] VAR25; wire[15:0] VAR187; wire[15:0] VAR61; reg[15:0] VAR60; wire VAR182; wire VAR17; wire VAR137; wire VAR252; wire VAR208; reg[0:0] VAR48; wire VAR72; wire VAR116; wire VAR54; wire[3:0] VAR49; wire VAR6; wire[15:0] VAR241; wire[15:0] VAR136; wire[15:0] VAR71; reg[15:0] VAR76; wire VAR163; wire VAR181; wire VAR166; wire VAR89; wire VAR62; wire VAR36; wire VAR153; wire[3:0] VAR234; wire VAR209; wire[15:0] VAR19; wire[15:0] VAR183; wire[15:0] VAR236; wire VAR216; wire[15:0] VAR44; reg[7:0] VAR27; wire VAR170; wire[7:0] VAR151; wire VAR195; reg[0:0] VAR38; wire VAR26; wire VAR84; wire VAR141; reg[15:0] VAR138; wire VAR4; wire[15:0] VAR203; wire VAR245; reg[0:0] VAR65; wire VAR81; wire VAR53; wire VAR244; reg[31:0] VAR87; assign VAR117 = VAR67; assign VAR218 = VAR249 || VAR93; assign VAR93 = ! VAR249; assign VAR249 = VAR56 && VAR242; assign VAR56 = VAR74 && VAR248; assign VAR248 = VAR73 == 16'h5; assign VAR190 = VAR121 ? VAR88 : VAR67; assign VAR121 = VAR249 || VAR93; assign VAR188 = VAR172; assign VAR11 = VAR249 || VAR93; assign VAR25 = VAR93 ? VAR233 : VAR187; assign VAR187 = VAR249 ? VAR61 : VAR172; assign VAR61 = VAR216 ? VAR76 : VAR60; assign VAR182 = VAR116 || VAR17; assign VAR17 = VAR72 && VAR137; assign VAR137 = VAR177 && VAR252; assign VAR252 = ! VAR55; assign VAR177 = VAR208; assign VAR208 = VAR48 && VAR55; assign VAR40 = VAR55; assign VAR72 = ! VAR116; assign VAR116 = VAR6 && VAR54; assign VAR54 = VAR88 == VAR49; assign VAR49 = {2'h0, 2'h2}; assign VAR6 = VAR74 && VAR242; assign VAR241 = VAR17 ? VAR71 : VAR136; assign VAR136 = VAR116 ? 16'h0 : VAR60; assign VAR71 = VAR60 + 16'h1; assign VAR163 = VAR36 || VAR181; assign VAR181 = VAR62 && VAR166; assign VAR166 = VAR108 && VAR89; assign VAR89 = ! VAR40; assign VAR62 = ! VAR36; assign VAR36 = VAR209 && VAR153; assign VAR153 = VAR88 == VAR234; assign VAR234 = {2'h0, 2'h2}; assign VAR209 = VAR74 && VAR242; assign VAR19 = VAR181 ? VAR236 : VAR183; assign VAR183 = VAR36 ? 16'h0 : VAR76; assign VAR236 = VAR76 + 16'h1; assign VAR216 = VAR44 == 16'h1; assign VAR44 = {8'h0, VAR239}; assign VAR86 = VAR27; assign VAR170 = VAR249 || VAR93; assign VAR151 = VAR195 ? VAR239 : VAR27; assign VAR195 = VAR249 || VAR93; assign VAR205 = VAR38; assign VAR26 = VAR249 || VAR93; assign VAR84 = VAR93 ? VAR242 : VAR141; assign VAR141 = VAR249 ? 1'h0 : VAR38; assign VAR130 = VAR138; assign VAR4 = VAR249 || VAR93; assign VAR203 = VAR245 ? VAR73 : VAR138; assign VAR245 = VAR249 || VAR93; assign VAR23 = VAR65; assign VAR81 = VAR249 || VAR93; assign VAR53 = VAR93 ? VAR74 : VAR244; assign VAR244 = VAR249 ? 1'h1 : VAR65; assign VAR47 = VAR87; always @(posedge clk) begin if(reset) begin VAR67 <= 4'h0; end else if(VAR218) begin VAR67 <= VAR190; end if(reset) begin VAR172 <= 16'h0; end else if(VAR11) begin VAR172 <= VAR25; end if(reset) begin VAR60 <= 16'h0; end else if(VAR182) begin VAR60 <= VAR241; end VAR48 <= VAR108; if(reset) begin VAR76 <= 16'h0; end else if(VAR163) begin VAR76 <= VAR19; end if(reset) begin VAR27 <= 8'h0; end else if(VAR170) begin VAR27 <= VAR151; end if(reset) begin VAR38 <= 1'h1; end else if(VAR26) begin VAR38 <= VAR84; end if(reset) begin VAR138 <= 16'h0; end else if(VAR4) begin VAR138 <= VAR203; end if(reset) begin VAR65 <= 1'h0; end else if(VAR81) begin VAR65 <= VAR53; end VAR87 <= 32'h2; end endmodule module MODULE6(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR74, input VAR242, input [15:0] VAR73, input [7:0] VAR239, input [15:0] VAR233, input [3:0] VAR88, output VAR23, output VAR205, output[15:0] VAR130, output[7:0] VAR86, output[15:0] VAR188, output[3:0] VAR117, input VAR142, output VAR45, output[31:0] VAR228, output VAR37, input VAR210, input [31:0] VAR231); wire[3:0] VAR220; wire VAR192; wire[15:0] VAR196; wire VAR227; wire[3:0] VAR34; wire[15:0] VAR230; wire VAR131; wire VAR59; wire VAR64; wire VAR174; wire[7:0] VAR222; wire[15:0] VAR77; wire[7:0] VAR164; wire VAR134; wire[15:0] VAR217; wire VAR144; wire[31:0] VAR126; wire[31:0] VAR41; wire VAR12; wire VAR161; assign VAR117 = VAR220; assign VAR188 = VAR230; assign VAR86 = VAR164; assign VAR205 = VAR134; assign VAR130 = VAR217; assign VAR23 = VAR144; assign VAR47 = VAR126; assign VAR177 = VAR12; assign VAR40 = VAR161; MODULE4 VAR111(.clk(clk), .reset(reset), .VAR40( VAR161 ), .VAR108( VAR108 ), .VAR114( VAR114 ), .VAR55( VAR55 ), .VAR177( VAR12 ), .VAR47( VAR126 ), .VAR74( VAR74 ), .VAR242( VAR242 ), .VAR73( VAR73 ), .VAR239( VAR239 ), .VAR233( VAR233 ), .VAR88( VAR88 ), .VAR23( VAR227 ), .VAR205( VAR192 ), .VAR130( VAR196 ), .VAR86( VAR222 ), .VAR188( VAR77 ), .VAR117( VAR34 ), .VAR214( VAR174 ), .VAR1( VAR64 ), .VAR176( ), .VAR105( VAR131 ), .VAR254( VAR59 ), .VAR110( VAR41 )); MODULE1 VAR57(.clk(clk), .reset(reset), .VAR40( VAR174 ), .VAR108( VAR64 ), .VAR114( ), .VAR55( VAR131 ), .VAR177( VAR59 ), .VAR47( VAR41 ), .VAR74( VAR227 ), .VAR242( VAR192 ), .VAR73( VAR196 ), .VAR239( VAR222 ), .VAR233( VAR77 ), .VAR88( VAR34 ), .VAR23( VAR144 ), .VAR205( VAR134 ), .VAR130( VAR217 ), .VAR86( VAR164 ), .VAR188( VAR230 ), .VAR117( VAR220 )); endmodule module MODULE5(input clk, input reset, output VAR40, input VAR108, input [31:0] VAR114, input VAR55, output VAR177, output[31:0] VAR47, input VAR74, input VAR242, input [15:0] VAR73, input [7:0] VAR239, input [15:0] VAR233, input [3:0] VAR88, output VAR23, output VAR205, output[15:0] VAR130, output[7:0] VAR86, output[15:0] VAR188, output[3:0] VAR117); wire[3:0] VAR16; wire[15:0] VAR96; wire[7:0] VAR68; wire VAR21; wire[15:0] VAR103; wire VAR52; wire[31:0] VAR113; wire VAR140; wire VAR66; assign VAR117 = VAR16; assign VAR188 = VAR96; assign VAR86 = VAR68; assign VAR205 = VAR21; assign VAR130 = VAR103; assign VAR23 = VAR52; assign VAR47 = VAR113; assign VAR177 = VAR140; assign VAR40 = VAR66; MODULE6 MODULE4(.clk(clk), .reset(reset), .VAR40( VAR66 ), .VAR108( VAR108 ), .VAR114( VAR114 ), .VAR55( VAR55 ), .VAR177( VAR140 ), .VAR47( VAR113 ), .VAR74( VAR74 ), .VAR242( VAR242 ), .VAR73( VAR73 ), .VAR239( VAR239 ), .VAR233( VAR233 ), .VAR88( VAR88 ), .VAR23( VAR52 ), .VAR205( VAR21 ), .VAR130( VAR103 ), .VAR86( VAR68 ), .VAR188( VAR96 ), .VAR117( VAR16 ), .VAR142( ), .VAR45( ), .VAR228( ), .VAR37( ), .VAR210( ), .VAR231( )); endmodule
bsd-3-clause
zhangly/azpr_cpu
rtl/io/uart/rtl/uart_tx.v
2,864
module MODULE1 ( input wire clk, input wire reset, input wire VAR9, input wire [VAR6] VAR12, output wire VAR16, output reg VAR2, output reg VAR11 ); reg [VAR1] state; reg [VAR20] VAR25; reg [VAR18] VAR22; reg [VAR6] VAR10; assign VAR16 = (state == VAR8) ? VAR15 : VAR3; always @(posedge clk or VAR23 reset) begin if (reset == VAR5) begin state <= VAR17; VAR25 <= VAR14; VAR22 <= VAR24; VAR10 <= VAR19'h0; VAR2 <= VAR3; VAR11 <= VAR7; end else begin case (state) VAR10 <= VAR12; VAR11 <= VAR21; end VAR2 <= VAR3; end if (VAR25 == {VAR13{1'b0}}) begin case (VAR22) VAR11 <= VAR7; end VAR22 <= VAR24; VAR2 <= VAR15; end default : begin VAR22 <= VAR22 + 1'b1; VAR10 <= VAR10 >> 1'b1; VAR11 <= VAR10[VAR4]; end endcase VAR25 <= VAR14; end else begin VAR25 <= VAR25 - 1'b1 ; end end endcase end end endmodule
mit
jlrandulfe/UviSpace
DE1-SoC/FPGA_Design/ip/camera_controller/config_controller/camera_config.v
6,534
module MODULE1 #( parameter VAR34 = 50000000, parameter VAR35 = 20000 ) ( input VAR10, input VAR12, input [15:0] VAR16, input [15:0] VAR14, input [15:0] VAR8, input [15:0] VAR30, input [15:0] VAR15, input [15:0] VAR32, input [15:0] VAR20, output VAR22, output VAR19, inout VAR6 ); reg [15:0] VAR18; reg [31:0] VAR9; reg VAR7; reg VAR28; wire VAR23; wire VAR11; always@(posedge VAR10 or negedge VAR12) begin if (!VAR12) begin VAR7 <= 0; VAR18 <= 0; end else begin if (VAR18 < (VAR34 / VAR35)) VAR18 <= VAR18 + 1; end else begin VAR18 <= 0; VAR7 <= ~VAR7; end end end VAR25 VAR29 ( .VAR1(VAR7), .VAR19(VAR19), .VAR6(VAR6), .VAR21(VAR9), .VAR13(VAR28), .VAR27(VAR23), .VAR17(VAR11), .VAR24(VAR12) ); parameter VAR5 = 25; reg [23:0] VAR4; reg [5:0] VAR31; reg [3:0] VAR33; reg ready; always @(posedge VAR7 or negedge VAR12) begin if (!VAR12) begin VAR31 <= 0; VAR33 <= 0; VAR28 <= 0; ready <= 1'b0; end else if (VAR31 < VAR5) begin case (VAR33) 0: begin VAR9 <= {8'hBA, VAR4}; VAR28 <= 1; VAR33 <= 1; end 1: begin if (VAR23) begin if (!VAR11) VAR33 <= 2; end else VAR33 <= 0; VAR28 <= 0; end end 2: begin VAR31 <= VAR31 + 1; VAR33 <= 0; end endcase ready <= 1'b0; end else begin ready <= 1'b1; end end reg ready; always @(posedge VAR10) begin if (VAR12) begin ready <= ready; end else begin ready <= 1'b0; end end assign VAR22 = ready; always begin case (VAR31) 0: VAR4 <= 24'h000000; 1: VAR4 <= 24'h20c000; 2: VAR4 <= {8'h09, VAR16}; 3: VAR4 <= 24'h050000; 4: VAR4 <= 24'h060019; 5: VAR4 <= 24'h0A8000; 6: VAR4 <= 24'h2B0013; 7: VAR4 <= 24'h2C009A; 8: VAR4 <= 24'h2D019C; 9: VAR4 <= 24'h2E0013; 10: VAR4 <= 24'h100051; 11: VAR4 <= 24'h112003; 12: VAR4 <= 24'h120001; 13: VAR4 <= 24'h100053; 14: VAR4 <= 24'h980000; VAR3 VAR26 end 15: VAR4 <= 24'hA00001; 16: VAR4 <= 24'hA10123; 17: VAR4 <= 24'hA20456; else 15: VAR4 <= 24'hA00000; 16: VAR4 <= 24'hA10000; 17: VAR4 <= 24'hA20FFF; VAR2 18: VAR4 <= {8'h01, VAR14}; 19: VAR4 <= {8'h02, VAR8}; 20: VAR4 <= {8'h03, VAR30}; 21: VAR4 <= {8'h04, VAR15}; 22: VAR4 <= {8'h22, VAR32}; 23: VAR4 <= {8'h23, VAR20}; 24: VAR4 <= 24'h4901A8; default: VAR4 <= 24'h000000; endcase end endmodule
gpl-3.0
danbone/core
rtl/riscv_fifo.v
3,089
(VAR7 <= 2) ? 1 : \ (VAR7 <= 4) ? 2 : \ (VAR7 <= 8) ? 3 : \ (VAR7 <= 16) ? 4 : \ (VAR7 <= 32) ? 5 : \ (VAR7 <= 64) ? 6 : \ (VAR7 <= 128) ? 7 : \ (VAR7 <= 256) ? 8 : \ -1 module MODULE1 #( parameter VAR13 = 3, parameter VAR21 = 32, parameter VAR3 = 1, parameter VAR2 = 1, parameter VAR9 = 0 ) ( input clk, input VAR23, input [VAR21-1:0] VAR4, input VAR17, output [VAR21-1:0] VAR8, input VAR19, output VAR11, output VAR15, input VAR6 ); localparam VAR20 = VAR1(VAR13); reg [VAR21-1:0] VAR16 [0:VAR13-1]; reg [VAR20-1:0] head, VAR18; reg [VAR20-1:0] VAR10, VAR22; wire VAR5; wire VAR12; reg VAR14; assign VAR8 = VAR16[VAR10]; assign VAR11 = VAR12; assign VAR15 = VAR5; always @ begin if (VAR10 == VAR13) begin VAR22 = 'h0; end else begin VAR22 = VAR10 + 'h1; end end generate if (VAR9) begin assign VAR12 = (VAR14 && (head == VAR10) && !VAR19) ? 1'b1 : 1'b0; assign VAR5 = (!VAR14 && (head == VAR10) && !VAR17) ? 1'b1 : 1'b0; end else begin assign VAR12 = (VAR14 && (head == VAR10)) ? 1'b1 : 1'b0; assign VAR5 = (!VAR14 && (head == VAR10)) ? 1'b1 : 1'b0; end endgenerate generate if (VAR3) begin always @ (posedge clk) begin if (clk && !VAR6 && VAR17 && VAR12) begin end end end if (VAR2) begin always @ (posedge clk) begin if (clk && !VAR6 && VAR19 && VAR5) begin end end end endgenerate always @ (posedge clk, negedge VAR23) begin if (~VAR23) begin head <= 'h0; VAR10 <= 'h0; VAR14 <= 'h0; end else begin if (VAR6) begin VAR10 <= 'h0; head <= 'h0; VAR14 <= 'h0; end else if (!((VAR12 && VAR17) || (VAR5 && VAR19))) begin if (VAR17) begin VAR16[head] <= VAR4; head <= VAR18; end if (VAR19) begin VAR10 <= VAR22; end if (VAR17 && !VAR19) begin VAR14 = 'h1; end else if (!VAR17 && VAR19) begin VAR14 = 'h0; end end end end endmodule
mit
borti4938/sd2snes
verilog/sd2snes_base/main.v
26,980
module MODULE1( output [22:0] VAR273, output VAR244, input VAR72, output VAR398, input VAR103, output [21:0] VAR273, output VAR360, output VAR234, output VAR279, output VAR367, output VAR5, input VAR188, input VAR18, input [23:0] VAR240, input VAR141, input VAR143, input VAR361, inout [7:0] VAR158, input VAR406, input VAR386, output VAR156, output VAR346, output VAR31, input VAR395, input [7:0] VAR213, input VAR404, input VAR190, inout [15:0] VAR14, output VAR99, output VAR303, output VAR171, output VAR380, inout [7:0] VAR184, output [18:0] VAR270, output VAR246, output VAR229, input VAR182, inout VAR208, input VAR136, input VAR41, output VAR172, output VAR12, output VAR21, output VAR292, input [3:0] VAR149, inout VAR436, inout VAR209 ); wire VAR16; wire VAR105; wire [7:0] VAR268; wire [7:0] VAR251; wire [7:0] VAR119; wire [31:0] VAR85; wire [2:0] VAR414; wire [23:0] VAR216; wire [2:0] VAR126; wire [23:0] VAR314; wire [23:0] VAR224; wire [7:0] VAR306; wire [1:0] VAR71; wire [10:0] VAR243; wire [10:0] VAR318; wire [10:0] VAR176; wire [2:0] VAR299; wire [8:0] VAR222; wire [7:0] VAR296; wire [7:0] VAR7; wire [31:0] VAR146; wire [15:0] VAR385; wire [13:0] VAR401; wire [13:0] VAR365; wire [7:0] VAR295; wire [7:0] VAR128; wire [5:0] VAR356; wire [5:0] VAR26; wire [14:0] VAR241; wire [7:0] VAR329; wire [7:0] VAR409; wire [7:0] VAR4; wire [7:0] VAR261; wire [59:0] VAR427; wire [55:0] VAR48; wire [59:0] VAR289; wire [3:0] VAR192; wire [7:0] VAR116; wire [7:0] VAR38; wire [7:0] VAR411; wire [23:0] VAR174; wire [10:0] VAR277; wire VAR304; wire [15:0] VAR193; wire [10:0] VAR110; wire VAR69; wire [15:0] VAR327; wire VAR370 = VAR327[5]; wire VAR51 = VAR327[12]; wire [23:0] VAR108; wire VAR284; wire [9:0] VAR223; wire [8:0] VAR90; wire VAR316; wire [4:0] VAR130; wire VAR305; wire [3:0] VAR8; wire [5:0] VAR152; wire [13:0] VAR1; wire VAR335; wire VAR155; wire VAR307; wire [2:0] VAR435; wire [10:0] VAR425; wire [8:0] VAR351; wire [7:0] VAR77; wire [7:0] VAR383; reg [7:0] VAR347 = 8'b11111111; reg [7:0] VAR341 = 8'b11111111; reg [7:0] VAR430 = 8'b11111111; reg [7:0] VAR138 = 8'b11111111; reg [7:0] VAR233 = 8'b00000000; reg [7:0] VAR265 = 8'b11111111; reg [23:0] VAR237 [6:0]; reg [7:0] VAR437 [6:0]; reg [7:0] VAR291 [4:0]; reg VAR232 = 1; reg VAR259 = 0; reg VAR315 = 0; wire [23:0] VAR122 = (VAR237[5] & VAR237[4]); wire [7:0] VAR179 = (VAR437[5] & VAR437[4]); wire [7:0] VAR219 = (VAR291[3] & VAR291[2]); wire VAR300 = (VAR347[6:1] == 6'b111110); wire VAR61 = (VAR341[7:1] == (({VAR122[22], VAR122[15:0]} == 17'h02100) ? 7'b1110000 : 7'b1000000)); wire VAR403 = (VAR341[6:1] == 6'b000001); wire VAR81 = (VAR430[6:1] == 6'b111110); wire VAR40 = (VAR430[6:1] == 6'b000001); wire VAR124 = (VAR138[6:1] == 6'b000001); wire VAR336 = (VAR233[6:1] == 6'b000001); wire VAR220 = (VAR233[6:1] == 6'b111110); wire VAR402 = VAR138[2] & VAR138[1]; wire VAR200 = VAR430[2] & VAR430[1]; wire VAR258 = VAR233[2] & VAR233[1]; wire VAR214 = VAR347[2] & VAR347[1]; wire VAR202 = VAR341[2] & VAR341[1]; wire VAR235 = (VAR265[5] & VAR265[4]); reg [7:0] VAR162; always @(posedge VAR16) begin if(~VAR200) VAR162 <= VAR158; end else if(~VAR402) VAR162 <= VAR219; end wire VAR93 = VAR220 | VAR315; wire VAR239; assign VAR135=0; always @(posedge VAR16) begin VAR315 <= 1'b0; if(VAR336) VAR315 <= ~VAR239; end always @(posedge VAR16) begin VAR347 <= {VAR347[6:0], VAR404}; VAR341 <= {VAR341[6:0], VAR190}; VAR430 <= {VAR430[6:0], VAR141}; VAR138 <= {VAR138[6:0], VAR143}; VAR233 <= {VAR233[6:0], VAR406}; VAR265 <= {VAR265[6:0], VAR361}; VAR237[6] <= VAR237[5]; VAR237[5] <= VAR237[4]; VAR237[4] <= VAR237[3]; VAR237[3] <= VAR237[2]; VAR237[2] <= VAR237[1]; VAR237[1] <= VAR237[0]; VAR237[0] <= VAR240; VAR437[6] <= VAR437[5]; VAR437[5] <= VAR437[4]; VAR437[4] <= VAR437[3]; VAR437[3] <= VAR437[2]; VAR437[2] <= VAR437[1]; VAR437[1] <= VAR437[0]; VAR437[0] <= VAR213; VAR291[4] <= VAR291[3]; VAR291[3] <= VAR291[2]; VAR291[2] <= VAR291[1]; VAR291[1] <= VAR291[0]; VAR291[0] <= VAR158; end parameter VAR387 = 5'b00001; parameter VAR333 = 5'b00010; parameter VAR242 = 5'b00100; parameter VAR422 = 5'b01000; parameter VAR266 = 5'b10000; parameter VAR67 = 17'd96000; parameter VAR92 = 4'd7; reg [4:0] VAR37; VAR373 VAR37 = VAR387; assign VAR38 = VAR162; assign VAR192 = VAR162[3:0]; assign VAR295 = VAR162; assign VAR329 = VAR162; VAR65 VAR66( .VAR107(VAR16), .VAR149(VAR149), .VAR209(VAR209), .VAR413(VAR413), .VAR363(VAR363), .VAR320(VAR320), .VAR306(VAR306), .VAR250(VAR250), .VAR33(VAR33), .VAR243(VAR243), .VAR318(VAR318), .VAR394(VAR394), .VAR412(VAR412), .VAR177(VAR425), .VAR374(VAR435) ); wire VAR91 = (VAR363 && (VAR71 == 2'b00)); VAR168 VAR352( .VAR3(VAR16), .VAR248(VAR395), .VAR60(VAR12), .VAR112(VAR21), .VAR331(VAR292), .VAR59(VAR71==2'b01 ? VAR320 : 1'b1), .VAR45(VAR176), .VAR332(VAR306), .VAR50(VAR50), .VAR238(VAR296), .VAR173(VAR84), .VAR417(VAR299), .VAR343(VAR313), .VAR167(VAR10), .reset(VAR212), .VAR161(VAR222) ); VAR231 VAR120 ( .VAR3(VAR16), .VAR260(VAR122[0]), .VAR420(VAR192), .VAR134(VAR116), .VAR48(VAR427), .enable(VAR36), .VAR2(VAR289), .VAR269(VAR81), .VAR339(VAR40), .VAR344(VAR124), .VAR210(VAR368), .reset(VAR183), .VAR53(VAR130), .VAR139(VAR305), .VAR102(VAR8), .VAR9(VAR152) ); VAR337 VAR157 ( .VAR3(VAR18), .VAR427(VAR427), .VAR48(VAR48), .VAR218(VAR186), .VAR407(VAR289), .VAR56(VAR368) ); VAR64 VAR27 ( .VAR3(VAR16), .enable(VAR345), .VAR45(VAR401), .VAR332(VAR306), .VAR218(VAR71==2'b10 ? VAR320 : 1'b1), .VAR197(VAR122[2:0]), .VAR23(VAR295), .VAR264(VAR128), .VAR269(VAR81), .VAR339(VAR40), .VAR344(VAR124), .VAR24(VAR7), .VAR372(VAR296), .VAR254(VAR84), .VAR63(VAR146), .VAR205(VAR385), .VAR187(VAR356), .VAR25(VAR26), .VAR170(VAR276), .VAR410(VAR365), .VAR19(VAR178), .VAR335(VAR335), .VAR155(VAR155), .VAR307(VAR307), .VAR1(VAR1), .VAR11(VAR11) ); VAR405 VAR175( .VAR3(VAR16), .VAR203(VAR203), .VAR218(VAR106), .VAR111(VAR122), .VAR23(VAR329), .VAR264(VAR409), .VAR269(VAR81), .VAR339(VAR40), .VAR344(VAR124), .VAR129(VAR241), .VAR397(VAR4), .VAR58(VAR261), .VAR44(VAR159), .VAR87(VAR150), .VAR427(VAR427[59:0]), .VAR330(VAR223), .VAR316(VAR316), .VAR90(VAR90), .VAR51(VAR51) ); VAR429 VAR353( .clk(VAR16), .VAR354(VAR182), .VAR312(VAR208), .VAR408(VAR136), .VAR142(VAR41), .VAR62(VAR215), .VAR309(VAR252), .VAR384(VAR268), .VAR137(VAR251), .VAR181(VAR390), .VAR144(VAR338), .VAR32(VAR119), .VAR319(VAR85), .VAR348(VAR414) ); wire [15:0] VAR271; VAR94 VAR378 ( .VAR225(VAR38), .VAR431(VAR411), .VAR29(VAR70), .enable(VAR334), .VAR269(VAR81), .VAR339(VAR40), .VAR344(VAR124), .VAR165(~VAR423), .VAR107(VAR16), .VAR255(VAR304), .VAR89(VAR174), .VAR281(VAR277), .VAR221(VAR69), .VAR357(VAR193), .VAR132(VAR110), .VAR163(VAR105), .VAR376(VAR122[10:0]), .VAR271(VAR271) ); reg [7:0] VAR68; wire [7:0] VAR355; wire [31:0] VAR256; wire [7:0] VAR153; wire [2:0] VAR434; VAR166 VAR393( .clk(VAR16), .VAR421(VAR395), .VAR62(VAR215), .VAR309(VAR252), .VAR384(VAR268), .VAR137(VAR251), .VAR160(VAR126), .VAR96(VAR133), .VAR227(VAR68), .VAR52(VAR355), .VAR85(VAR85), .VAR414(VAR414), .VAR317(VAR119), .VAR63(VAR216), .VAR286(VAR314), .VAR189(VAR224), .VAR413(VAR413), .VAR363(VAR363), .VAR250(VAR250), .VAR306(VAR306), .VAR320(VAR320), .VAR71(VAR71), .VAR33(VAR33), .VAR243(VAR243), .VAR318(VAR318), .VAR394(VAR394), .VAR412(VAR412), .VAR115(VAR176), .VAR50(VAR50), .VAR263(VAR10), .VAR362(VAR212), .VAR299(VAR299), .VAR313(VAR313), .VAR379(VAR222), .VAR311(VAR401), .VAR278(VAR7), .VAR95(VAR356), .VAR325(VAR26), .VAR276(VAR276), .VAR418(VAR296), .VAR131(VAR146), .VAR389(VAR385), .VAR285(VAR365), .VAR211(VAR178), .VAR375(VAR261), .VAR42(VAR4), .VAR106(VAR106), .VAR2(VAR48), .VAR186(VAR186), .VAR183(VAR183), .VAR74(VAR174), .VAR140(VAR277), .VAR118(VAR304), .VAR75(VAR193), .VAR114(VAR110), .VAR288(VAR69), .VAR274(VAR423), .VAR419(VAR327), .VAR164(VAR272), .VAR328(VAR302), .VAR109(VAR172), .VAR275(VAR98), .VAR147(VAR351), .VAR377(VAR22), .VAR86(VAR77), .VAR43(VAR383), .VAR321(VAR434), .VAR88(VAR256), .VAR180(VAR151), .VAR392(VAR271) ); address VAR111( .VAR107(VAR16), .VAR126(VAR126), .VAR327(VAR327), .VAR122(VAR122), .VAR179(VAR179), .VAR235(VAR235), .VAR273(VAR108), .VAR239(VAR239), .VAR206(VAR206), .VAR13(VAR13), .VAR416(VAR416), .VAR314(VAR314), .VAR224(VAR224), .VAR345(VAR345), .VAR203(VAR203), .VAR241(VAR241), .VAR90(VAR90), .VAR223(VAR223), .VAR316(VAR316), .VAR391(VAR391), .VAR36(VAR36), .VAR334(VAR334), .VAR105(VAR105), .VAR201(VAR70), .VAR301(VAR301), .VAR185(VAR185), .VAR340(VAR340), .VAR55(VAR55), .VAR366(VAR366), .VAR47(VAR47), .VAR196(VAR196) ); reg VAR293 = 0; reg [4:0] VAR154 = 0; reg VAR191 = 0; VAR78 VAR15( .clk(VAR16), .VAR122(VAR122), .VAR179(VAR179), .VAR158(VAR158), .VAR259(VAR259), .VAR6(VAR124), .VAR123(VAR81), .VAR340(VAR340), .VAR55(VAR55), .VAR366(VAR366), .VAR47(VAR47), .VAR196(VAR196), .VAR293(VAR293), .VAR191(VAR191), .VAR336(VAR336), .VAR17(VAR434), .VAR218(VAR151), .VAR326(VAR256), .VAR134(VAR153), .VAR34(VAR34), .VAR54(VAR54) ); wire [7:0] VAR294; reg [7:0] VAR207; reg VAR199; reg [2:0] VAR322; reg [1:0] VAR426; VAR373 VAR207 = 8'h55; VAR373 VAR199 = 0; VAR373 VAR426 = 2'b01; VAR373 VAR322 = 3'b000; reg [7:0] VAR399 = 0; reg VAR371 = 0; reg VAR79 = 0; wire [3:0] VAR101 = VAR327[10:7]; wire [3:0] VAR424 = (VAR158[3:0] > VAR101) ? VAR101 : VAR158[3:0]; wire VAR148 = VAR327[6]; wire VAR350 = VAR185 & (VAR148 | ~(&VAR101)); wire VAR324 = {VAR122[22], VAR122[15:0]} == 17'h04200; wire VAR121 = {VAR122[22], VAR122[15:0]} == 17'h04016; always @(posedge VAR16) begin VAR371 <= VAR79; end always @(posedge VAR16) begin if(VAR124 & VAR324) begin VAR191 <= VAR158[0]; end end always @(posedge VAR16) begin if(VAR124 & VAR121) begin VAR293 <= 1'b1; VAR154 <= 5'h0; end if(VAR81 & VAR121) begin VAR154 <= VAR154 + 1; if(&VAR154[3:0]) begin VAR293 <= 1'b0; end end end assign VAR158 = (VAR301 & ~VAR214 & ~VAR199) ? VAR207 :(VAR350 & ~VAR202 & VAR371) ? VAR399 :((~VAR200 ^ (VAR199 & VAR301 & ~VAR214)) & ~(VAR350 & ~VAR202 & ~VAR371 & ~VAR13 & ~VAR416)) ? (VAR36 ? VAR116 :VAR334 ? VAR411 :VAR105 ? VAR411 :VAR345 ? VAR128 :VAR159 ? VAR409 :(VAR34 & ~VAR370) ? VAR153 :((VAR54 | VAR370) & VAR340) ? VAR294 :(VAR284 ? VAR14[7:0] : VAR14[15:8]) ) : 8'VAR298; reg [3:0] VAR30; reg VAR245 = 0; reg VAR369 = 0; reg [23:0] VAR249; reg VAR342; VAR373 VAR342 = 1'b1; assign VAR172 = VAR342; wire VAR267 = |(VAR37 & VAR422); wire VAR125 = |(VAR37 & (VAR422 | VAR266)); wire VAR228 = |(VAR37 & (VAR333 | VAR242)); wire VAR253 = VAR125 | VAR228; VAR364 VAR297( .VAR18(VAR18), .VAR359(VAR16), .VAR145(VAR381), .VAR165(VAR135) ); assign VAR273 = (VAR91) ? VAR216[23:1] : VAR253 ? VAR249[23:1] : VAR108[23:1]; assign VAR284 = (VAR91) ? VAR216[0] : VAR253 ? VAR249[0] : VAR108[0]; assign VAR244 = 1'b0; assign VAR398 = 1'b0; VAR113 VAR28 ( .VAR82(VAR16), .VAR382(VAR124 & ((VAR54 | VAR370) & VAR340)), .VAR310(VAR122[8:0]), .VAR169(VAR158), .VAR290(VAR294), .VAR415(VAR16), .VAR73(VAR22), .VAR104(VAR351), .VAR194(VAR77), .VAR247(VAR383) ); VAR117 VAR83( .VAR257(VAR18), .VAR230(VAR16), .VAR57(VAR381), .VAR358(VAR135) ); wire VAR20; assign VAR20 = (VAR91) ? VAR216[1] : VAR253 ? VAR249[1] : VAR108[1]; assign VAR273 = (VAR91) ? VAR216[23:2] : VAR253 ? VAR249[23:2] : VAR108[23:2]; assign VAR284 = (VAR91) ? VAR216[0] : VAR253 ? VAR249[0] : VAR108[0]; assign VAR279 = 1'b1; assign VAR360 = VAR20; assign VAR234 = ~VAR20; VAR113 VAR28 ( .VAR226(VAR16), .VAR308(VAR124 & ((VAR54 | VAR370) & VAR340)), .VAR280(VAR122[8:0]), .VAR287(VAR158), .VAR349(VAR294), .VAR195(VAR22), .VAR46(VAR351), .VAR35(VAR77), .VAR80(VAR383) ); assign VAR99 = 1'b0; reg[17:0] VAR428; VAR373 VAR428 = 0; always @(posedge VAR16) begin if(VAR272) begin VAR245 <= 1'b1; VAR342 <= 1'b0; VAR249 <= VAR216; end else if(VAR302) begin VAR369 <= 1'b1; VAR342 <= 1'b0; VAR249 <= VAR216; end else if(VAR37 & (VAR242 | VAR266)) begin VAR245 <= 1'b0; VAR369 <= 1'b0; VAR342 <= 1'b1; end end always @(posedge VAR16) begin if(~VAR233[1]) VAR428 <= VAR428 + 1; end else VAR428 <= 17'h0; end always @(posedge VAR16) begin VAR259 <= 1'b0; if(VAR233[1]) begin VAR232 <= 1'b0; if(VAR232) VAR259 <= 1'b1; end else if(VAR428 > VAR67) VAR232 <= 1'b1; end always @(posedge VAR16) begin end if(VAR232 & VAR233[1]) VAR37 <= VAR387; else case(VAR37) VAR387: begin VAR37 <= VAR387; if(VAR93 | VAR232) begin if(VAR245) begin VAR37 <= VAR333; VAR30 <= VAR92; end else if(VAR369) begin VAR37 <= VAR422; VAR30 <= VAR92; end end end VAR333: begin VAR37 <= VAR333; VAR30 <= VAR30 - 1; if(VAR30 == 0) VAR37 <= VAR242; VAR68 <= (VAR284 ? VAR14[7:0] : VAR14[15:8]); end VAR422: begin VAR37 <= VAR422; VAR30 <= VAR30 - 1; if(VAR30 == 0) VAR37 <= VAR266; end VAR242, VAR266: begin VAR37 <= VAR387; end endcase end always @(posedge VAR16) begin if(VAR220) VAR199 <= 1'b1; end else if(VAR300 & VAR301) begin VAR322 <= 3'b001; VAR426 <= 2'b10; end else if(VAR426 == 2'b10) begin VAR322 <= VAR322 - 1; if(VAR322 == 3'b000) begin VAR199 <= 1'b0; VAR426 <= 2'b01; VAR207 <= {VAR158[7:5], VAR98, VAR158[3:0]}; end end end reg [3:0] VAR49 = 0; reg [3:0] VAR323 = 0; always @(posedge VAR16) begin if(VAR220) VAR79 <= 1'b0; end else if(VAR61 & VAR185) begin if(VAR148 & VAR158[7]) begin VAR79 <= 1'b1; VAR399 <= {VAR158[7], 3'b010, VAR49}; end else if (VAR148 && VAR158 == 8'h00 && VAR399[7]) begin VAR79 <= 1'b1; VAR399 <= {1'b1, 3'b111, VAR49}; end else if (VAR148 && VAR158[3:0] < 4'h8 && VAR323 > 4'hd) begin VAR79 <= 1'b1; VAR399 <= {VAR158[7], 3'b011, 4'h0}; end else if (VAR148 | ~(&VAR101)) begin VAR49 <= VAR424; VAR323 <= VAR158[3:0]; if (~(&VAR101) && VAR158[3:0] > VAR101) begin VAR79 <= 1'b1; VAR399 <= {VAR158[7], 3'b100, VAR424}; end end end end reg VAR100; always @(posedge VAR16) begin VAR100<= VAR133; end assign VAR14[7:0] = VAR284 ?(VAR91 ? (!VAR100 ? VAR355 : 8'VAR298) : (VAR239 & ~VAR402) ? VAR158 : VAR125 ? VAR355 : 8'VAR298 ) :8'VAR298; assign VAR14[15:8] = VAR284 ? 8'VAR298 :(VAR91 ? (!VAR100 ? VAR355 : 8'VAR298) : (VAR239 & ~VAR402) ? VAR158 : VAR125 ? VAR355 : 8'VAR298 ); assign VAR303 = VAR91 ? VAR133 : (VAR239 & (VAR416 | VAR150) & VAR258) ? VAR402 : VAR267 ? 1'b0 : 1'b1; assign VAR171 = VAR284; assign VAR380 = ~VAR284; assign VAR346 = (VAR334 | VAR105) ? 1'b0 : VAR345 ? 1'b0 : VAR159 ? (VAR200 & VAR402) : VAR36 ? (VAR200 & VAR402) : VAR340 ? (~(VAR54 | VAR370) | (VAR200 & VAR402)) : VAR316 ? (VAR200) : (VAR301 & ~VAR214) ? 1'b0 : (VAR350 & ~VAR202) ? 1'b0 : VAR324 ? VAR402 : ((VAR13 & VAR235) |(!VAR13 & !VAR206 & !VAR416 & !VAR150) |(VAR200 & VAR402) | VAR391 ); assign VAR31 = (~VAR200 | (~VAR214 & (VAR301))) ? (1'b1 ^ (VAR199 & VAR301 & ~VAR214) ^ (VAR350 & ~VAR202 & ~VAR371 & ~VAR13 & ~VAR416)) : ((~VAR202 & VAR350) ? VAR371 : 1'b0); assign VAR156 = 1'b0; wire [35:0] VAR262; wire [7:0] VAR198 = { VAR141, VAR143, VAR406, VAR200, VAR402, VAR258, VAR346, VAR31 }; wire [31:0] VAR204 = { VAR240, VAR219 }; wire [40:0] VAR396 = { VAR122, VAR158, VAR162 }; wire [3:0] VAR388 = { VAR336, VAR81, VAR40, VAR124 }; wire [25:0] VAR432 = { VAR303, VAR171, VAR380, VAR273 }; reg [7:0] VAR39; reg [31:0] VAR433; reg [40:0] VAR400; reg [3:0] VAR217; reg [25:0] VAR282; always @(posedge VAR16) begin VAR39 <= VAR198; VAR433 <= VAR204; VAR400 <= VAR396; VAR217 <= VAR388; VAR282 <= VAR432; end VAR283 VAR127 ( .VAR76(VAR262) ); VAR236 VAR97 ( .VAR262(VAR262), .VAR107(VAR16), .VAR39(VAR39), .VAR433(VAR433), .VAR400(VAR400), .VAR217(VAR217), .VAR282(VAR282) ); endmodule
gpl-2.0
hhuang25/uwaterloo_ece224
Lab1/pio_missed.v
1,794
module MODULE1 ( address, clk, VAR4, VAR5, VAR1 ) ; output [ 15: 0] VAR1; input [ 1: 0] address; input clk; input [ 15: 0] VAR4; input VAR5; wire VAR6; wire [ 15: 0] VAR2; wire [ 15: 0] VAR3; reg [ 15: 0] VAR1; assign VAR6 = 1; assign VAR3 = {16 {(address == 0)}} & VAR2; always @(posedge clk or negedge VAR5) begin if (VAR5 == 0) VAR1 <= 0; end else if (VAR6) VAR1 <= VAR3; end assign VAR2 = VAR4; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/edfxtp/sky130_fd_sc_hd__edfxtp.behavioral.v
2,153
module MODULE1 ( VAR14 , VAR20, VAR8 , VAR10 ); output VAR14 ; input VAR20; input VAR8 ; input VAR10 ; supply1 VAR4; supply0 VAR5; supply1 VAR2 ; supply0 VAR3 ; wire VAR17 ; reg VAR13 ; wire VAR11 ; wire VAR18 ; wire VAR19; wire VAR1 ; wire VAR9 ; wire VAR16 ; VAR15 VAR7 (VAR1, VAR17, VAR11, VAR18 ); VAR12 VAR6 (VAR17 , VAR1, VAR19, VAR13, VAR4, VAR5); assign VAR9 = ( VAR4 === 1'b1 ); assign VAR16 = ( VAR9 && ( VAR18 === 1'b1 ) ); buf VAR21 (VAR14 , VAR17 ); endmodule
apache-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/niosII_microc_lab1/niosII_system/synthesis/submodules/niosII_system_nios2_qsys_0_mult_cell.v
6,387
module MODULE1 ( VAR25, VAR10, clk, VAR43, VAR24 ) ; output [ 31: 0] VAR24; input [ 31: 0] VAR25; input [ 31: 0] VAR10; input clk; input VAR43; wire [ 31: 0] VAR24; wire [ 31: 0] VAR49; wire [ 15: 0] VAR11; wire VAR18; assign VAR18 = ~VAR43; VAR6 VAR19 ( .VAR21 (VAR18), .VAR50 (clk), .VAR9 (VAR25[15 : 0]), .VAR45 (VAR10[15 : 0]), .VAR20 (1'b1), .VAR30 (VAR49) ); VAR19.VAR4 = "VAR40", VAR19.VAR17 = "VAR41", VAR19.VAR7 = "VAR42", VAR19.VAR15 = "VAR41", VAR19.VAR32 = "VAR41", VAR19.VAR39 = "VAR35", VAR19.VAR16 = "VAR23", VAR19.VAR37 = "VAR2", VAR19.VAR14 = "VAR6", VAR19.VAR27 = "VAR52", VAR19.VAR26 = "VAR47", VAR19.VAR3 = "VAR40", VAR19.VAR56 = 1, VAR19.VAR55 = "VAR41", VAR19.VAR1 = "VAR12", VAR19.VAR5 = "VAR12", VAR19.VAR34 = "VAR12", VAR19.VAR53 = "VAR12", VAR19.VAR29 = "VAR22", VAR19.VAR48 = "VAR22", VAR19.VAR46 = "VAR47", VAR19.VAR54 = "VAR47", VAR19.VAR31 = "VAR40", VAR19.VAR36 = "VAR40", VAR19.VAR8 = "VAR41", VAR19.VAR13 = "VAR41", VAR19.VAR51 = 16, VAR19.VAR28 = 16, VAR19.VAR44 = 32; VAR6 VAR38 ( .VAR21 (VAR18), .VAR50 (clk), .VAR9 (VAR25[31 : 16]), .VAR45 (VAR10[15 : 0]), .VAR20 (1'b1), .VAR30 (VAR11) ); VAR38.VAR4 = "VAR40", VAR38.VAR17 = "VAR41", VAR38.VAR7 = "VAR42", VAR38.VAR15 = "VAR41", VAR38.VAR32 = "VAR41", VAR38.VAR39 = "VAR35", VAR38.VAR16 = "VAR23", VAR38.VAR37 = "VAR2", VAR38.VAR14 = "VAR6", VAR38.VAR27 = "VAR52", VAR38.VAR26 = "VAR47", VAR38.VAR3 = "VAR40", VAR38.VAR56 = 1, VAR38.VAR55 = "VAR41", VAR38.VAR1 = "VAR12", VAR38.VAR5 = "VAR12", VAR38.VAR34 = "VAR12", VAR38.VAR53 = "VAR12", VAR38.VAR29 = "VAR22", VAR38.VAR48 = "VAR22", VAR38.VAR46 = "VAR47", VAR38.VAR54 = "VAR47", VAR38.VAR31 = "VAR40", VAR38.VAR36 = "VAR40", VAR38.VAR8 = "VAR41", VAR38.VAR13 = "VAR41", VAR38.VAR51 = 16, VAR38.VAR28 = 16, VAR38.VAR44 = 16; assign VAR24 = {VAR49[31 : 16] + VAR11, VAR49[15 : 0]}; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o211ai/sky130_fd_sc_ms__o211ai.functional.v
1,468
module MODULE1 ( VAR3 , VAR7, VAR1, VAR8, VAR9 ); output VAR3 ; input VAR7; input VAR1; input VAR8; input VAR9; wire VAR4 ; wire VAR6; or VAR5 (VAR4 , VAR1, VAR7 ); nand VAR10 (VAR6, VAR9, VAR4, VAR8); buf VAR2 (VAR3 , VAR6 ); endmodule
apache-2.0
lvd2/ngs
fpga/obsolete/fpgaD_release/sound/sound_dac2.v
2,114
module MODULE1( VAR8, VAR7, VAR5, VAR9, VAR6, VAR4 ); input VAR8; output VAR7; output VAR5; output VAR9; output reg VAR6; input [15:0] VAR4; reg [16:0] VAR1; reg [2:0] VAR2; reg [6:0] sync; wire VAR3; begin begin end begin begin begin begin end
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfstp/sky130_fd_sc_lp__dfstp_lp.v
2,281
module MODULE2 ( VAR9 , VAR3 , VAR10 , VAR5, VAR1 , VAR2 , VAR7 , VAR6 ); output VAR9 ; input VAR3 ; input VAR10 ; input VAR5; input VAR1 ; input VAR2 ; input VAR7 ; input VAR6 ; VAR4 VAR8 ( .VAR9(VAR9), .VAR3(VAR3), .VAR10(VAR10), .VAR5(VAR5), .VAR1(VAR1), .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR9 , VAR3 , VAR10 , VAR5 ); output VAR9 ; input VAR3 ; input VAR10 ; input VAR5; supply1 VAR1; supply0 VAR2; supply1 VAR7 ; supply0 VAR6 ; VAR4 VAR8 ( .VAR9(VAR9), .VAR3(VAR3), .VAR10(VAR10), .VAR5(VAR5) ); endmodule
apache-2.0