repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
bbrown1867/ObjectTracking
hw/common/video_input/video_input.v
2,062
module MODULE1 ( input wire VAR15, input wire VAR49, input wire [7:0] VAR42, input wire VAR40, output wire VAR45, input wire VAR41, output wire [9:0] VAR33, output wire [9:0] VAR4, output wire [9:0] VAR46, output wire [9:0] VAR35, output wire [9:0] VAR54, output wire VAR22 ); wire [15:0] VAR47; wire VAR5; wire [9:0] VAR39; wire [3:0] VAR57; wire [9:0] VAR18; wire [7:0] VAR31; wire [7:0] VAR23; wire [7:0] VAR25; wire VAR20; assign VAR45 = 1'b1; assign VAR33 = VAR39; VAR32 VAR27 ( .VAR48 (VAR49), .VAR7 (VAR15), .VAR13 (VAR42), .VAR56 (VAR18[0]), .VAR59 (VAR57==4'h0), .VAR16 (VAR39), .VAR11 (VAR4), .VAR29 (VAR47), .VAR9 (VAR5) ); VAR53 VAR43 ( .VAR14 (VAR49), .VAR10 (~VAR15), .VAR19 (VAR39), .VAR44 (4'h9), .VAR55 (VAR18), .VAR12 (VAR57) ); VAR26 VAR24 ( .VAR51 (VAR49), .VAR7 (VAR15), .VAR17 (VAR47), .VAR1 (VAR5), .VAR38 (VAR31), .VAR8 (VAR23), .VAR28 (VAR25), .VAR6 (VAR20) ); VAR37 VAR34 ( .VAR51 (VAR49), .VAR3 (~VAR15), .VAR58 (VAR31), .VAR2 (VAR23), .VAR21 (VAR25), .VAR30 (VAR20), .VAR36 (VAR46), .VAR50 (VAR54), .VAR52 (VAR35), .VAR9 (VAR22) ); endmodule
mit
kyzhai/NUNY
src/hardware/book.v
6,344
module MODULE1 ( address, VAR45, VAR31); input [11:0] address; input VAR45; output [11:0] VAR31; tri1 VAR45; wire [11:0] VAR14; wire [11:0] VAR31 = VAR14[11:0]; VAR28 VAR6 ( .VAR33 (address), .VAR25 (VAR45), .VAR7 (VAR14), .VAR32 (1'b0), .VAR34 (1'b0), .VAR17 (1'b1), .VAR47 (1'b0), .VAR20 (1'b0), .VAR22 (1'b1), .VAR41 (1'b1), .VAR36 (1'b1), .VAR39 (1'b1), .VAR44 (1'b1), .VAR4 (1'b1), .VAR8 (1'b1), .VAR16 ({12{1'b1}}), .VAR9 (1'b1), .VAR18 (), .VAR27 (), .VAR52 (1'b1), .VAR50 (1'b1), .VAR12 (1'b0), .VAR48 (1'b0)); VAR6.VAR1 = "VAR21", VAR6.VAR42 = "VAR38", VAR6.VAR29 = "VAR38", VAR6.VAR51 = "../VAR11/MODULE1.VAR40", VAR6.VAR23 = "VAR49 VAR13", VAR6.VAR24 = "VAR15=VAR37", VAR6.VAR35 = "VAR28", VAR6.VAR30 = 4096, VAR6.VAR3 = "VAR5", VAR6.VAR43 = "VAR21", VAR6.VAR46 = "VAR2", VAR6.VAR10 = 12, VAR6.VAR19 = 12, VAR6.VAR26 = 1; endmodule
gpl-2.0
Jawanga/ece385lab9
lab9_soc/synthesis/submodules/lab9_soc_sdram.v
24,402
module MODULE2 ( clk, rd, VAR19, wr, VAR82, VAR74, VAR12, VAR35, VAR50, VAR84 ) ; output VAR74; output VAR12; output VAR35; output VAR50; output [ 61: 0] VAR84; input clk; input rd; input VAR19; input wr; input [ 61: 0] VAR82; wire VAR74; wire VAR12; wire VAR35; reg [ 1: 0] VAR37; reg [ 61: 0] VAR3; reg [ 61: 0] VAR9; wire VAR50; reg VAR70; reg [ 61: 0] VAR84; wire [ 1: 0] VAR20; reg VAR52; assign VAR20 = {rd, wr}; assign VAR50 = VAR37 == 2; assign VAR12 = VAR37 >= 1; assign VAR35 = VAR37 == 0; assign VAR74 = VAR37 <= 1; always @(VAR3 or VAR9 or VAR70) begin case (VAR70) 1'd0: begin VAR84 = VAR3; end 1'd1: begin VAR84 = VAR9; end default: begin end endcase end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) begin VAR52 <= 0; VAR70 <= 0; VAR37 <= 0; end else case (VAR20) 2'd1: begin if (!VAR50) begin VAR37 <= VAR37 + 1; VAR52 <= (VAR52 == 1) ? 0 : (VAR52 + 1); end end 2'd2: begin if (!VAR35) begin VAR37 <= VAR37 - 1; VAR70 <= (VAR70 == 1) ? 0 : (VAR70 + 1); end end 2'd3: begin VAR52 <= (VAR52 == 1) ? 0 : (VAR52 + 1); VAR70 <= (VAR70 == 1) ? 0 : (VAR70 + 1); end default: begin end endcase end always @(posedge clk) begin if (wr & !VAR50) case (VAR52) 1'd0: begin VAR3 <= VAR82; end 1'd1: begin VAR9 <= VAR82; end default: begin end endcase end endmodule module MODULE1 ( VAR61, VAR5, VAR46, VAR18, VAR56, VAR28, clk, VAR19, VAR65, VAR6, VAR55, VAR54, VAR10, VAR78, VAR4, VAR33, VAR73, VAR62, VAR26, VAR57 ) ; output [ 31: 0] VAR65; output VAR6; output VAR55; output [ 12: 0] VAR54; output [ 1: 0] VAR10; output VAR78; output VAR4; output VAR33; inout [ 31: 0] VAR73; output [ 3: 0] VAR62; output VAR26; output VAR57; input [ 24: 0] VAR61; input [ 3: 0] VAR5; input VAR46; input [ 31: 0] VAR18; input VAR56; input VAR28; input clk; input VAR19; wire [ 23: 0] VAR51; reg VAR22; reg [ 24: 0] VAR79; wire [ 1: 0] VAR2; reg VAR43; reg [ 31: 0] VAR34; reg [ 3: 0] VAR23; reg VAR49; wire VAR74; wire VAR12; wire VAR17; wire [ 9: 0] VAR41; wire VAR83; wire [ 3: 0] VAR25; wire [ 2: 0] VAR63; wire VAR32; wire VAR8; wire VAR29; wire [ 24: 0] VAR77; wire [ 1: 0] VAR30; wire VAR59; wire [ 31: 0] VAR60; wire [ 3: 0] VAR81; wire VAR67; reg VAR69; wire VAR11; wire VAR21; wire [ 61: 0] VAR76; reg [ 12: 0] VAR36; reg [ 3: 0] VAR47; reg [ 2: 0] VAR31; reg [ 2: 0] VAR58; reg [ 2: 0] VAR45; reg [ 2: 0] VAR27; reg VAR71; reg [ 12: 0] VAR1 ; reg [ 1: 0] VAR15 ; reg [ 3: 0] VAR48 ; reg [ 2: 0] VAR40; reg [ 31: 0] VAR39 ; reg [ 3: 0] VAR75 ; reg [ 8: 0] VAR66; reg [ 8: 0] VAR7; reg VAR24 ; wire VAR42; wire VAR80; reg [ 2: 0] VAR72; reg [ 13: 0] VAR68; reg VAR64; wire VAR38; wire VAR44; wire [ 23: 0] VAR13; reg VAR16; reg [ 31: 0] VAR65 ; reg VAR6; wire VAR55; wire [ 12: 0] VAR54; wire [ 1: 0] VAR10; wire VAR78; wire VAR4; wire VAR33; wire [ 31: 0] VAR73; wire [ 3: 0] VAR62; wire VAR26; wire VAR57; assign VAR83 = 1; assign {VAR33, VAR26, VAR78, VAR57} = VAR48; assign VAR54 = VAR1; assign VAR4 = VAR83; assign VAR73 = VAR24?VAR39:{32{1'VAR53}}; assign VAR62 = VAR75; assign VAR10 = VAR15; assign VAR21 = VAR69 & VAR42; assign VAR59 = 1'b0; assign VAR32 = VAR21 ? VAR59 : VAR43; assign VAR8 = VAR32; assign {VAR11, VAR77, VAR81, VAR60} = VAR76; MODULE2 MODULE1 ( .VAR74 (VAR74), .VAR12 (VAR12), .clk (clk), .VAR35 (VAR67), .VAR50 (VAR55), .rd (VAR21), .VAR84 (VAR76), .VAR19 (VAR19), .wr ((~VAR28 | ~VAR56) & !VAR55), .VAR82 ({VAR28, VAR61, VAR28 ? 4'b0 : VAR5, VAR18}) ); assign VAR30 = {VAR77[24],VAR77[10]}; always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR68 <= 10000; end else if (VAR68 == 0) VAR68 <= 390; else VAR68 <= VAR68 - 1'b1; end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR64 <= 0; end else if (1) VAR64 <= ((VAR68 == 0) | VAR64) & ~VAR22 & VAR71; end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR16 <= 0; end else if (1) VAR16 <= (VAR68 == 0) & VAR64; end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR71 <= 0; end else if (1) VAR71 <= VAR71 | (VAR27 == 3'b101); end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) begin VAR27 <= 3'b000; VAR58 <= 3'b000; VAR47 <= 4'b1111; VAR36 <= {13{1'b1}}; VAR31 <= {3{1'b0}}; end else begin VAR36 <= {13{1'b1}}; case (VAR27) 3'b000: begin VAR47 <= 4'b1111; VAR45 <= 3'b0; if (VAR68 == 0) VAR27 <= 3'b001; end 3'b001: begin VAR27 <= 3'b011; VAR47 <= {{1{1'b0}},3'h2}; VAR31 <= 0; VAR58 <= 3'b010; end 3'b010: begin VAR47 <= {{1{1'b0}},3'h1}; VAR45 <= VAR45 + 1'b1; VAR27 <= 3'b011; VAR31 <= 3; if (VAR45 == 3'h1) VAR58 <= 3'b111; end else VAR58 <= 3'b010; end 3'b011: begin VAR47 <= {{1{1'b0}},3'h7}; if (VAR31 > 1) VAR31 <= VAR31 - 1'b1; end else VAR27 <= VAR58; end 3'b101: begin VAR27 <= 3'b101; end 3'b111: begin VAR27 <= 3'b011; VAR47 <= {{1{1'b0}},3'h0}; VAR36 <= {{3{1'b0}},1'b0,2'b00,3'h3,4'h0}; VAR31 <= 4; VAR58 <= 3'b101; end default: begin VAR27 <= 3'b000; end endcase end end assign VAR2 = {VAR79[24],VAR79[10]}; assign VAR29 = VAR43 == VAR59; assign VAR38 = VAR49 == VAR11; assign VAR17 = VAR2 == VAR30; assign VAR44 = {VAR79[23 : 11]} == {VAR77[23 : 11]}; assign VAR42 = VAR29 && VAR38 && VAR17 && VAR44 && !VAR67; assign VAR41 = VAR21 ? { {3{1'b0}},VAR77[9 : 0] } : { {3{1'b0}},VAR79[9 : 0] }; always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) begin VAR7 <= 9'b000000001; VAR66 <= 9'b000000001; VAR48 <= 4'b1111; VAR15 <= 2'b00; VAR1 <= 13'b0000000000000; VAR39 <= 32'b00000000000000000000000000000000; VAR75 <= 4'b0000; VAR40 <= 3'b000; VAR22 <= 1'b0; VAR69 <= 1'b0; VAR24 <= 1'b0; end else begin VAR69 <= 1'b0; VAR24 <= 1'b0; case (VAR7) 9'b000000001: begin if (VAR71) begin if (VAR64) VAR48 <= {{1{1'b0}},3'h7}; end else VAR48 <= 4'b1111; VAR22 <= 1'b0; if (VAR64) begin VAR7 <= 9'b001000000; VAR66 <= 9'b010000000; VAR40 <= 0; VAR43 <= 1'b1; end else if (!VAR67) begin VAR69 <= 1'b1; VAR43 <= VAR59; VAR49 <= VAR11; VAR79 <= VAR77; VAR34 <= VAR60; VAR23 <= VAR81; VAR7 <= 9'b000000010; end end else begin VAR1 <= VAR36; VAR7 <= 9'b000000001; VAR66 <= 9'b000000001; VAR48 <= VAR47; end end 9'b000000010: begin VAR7 <= 9'b000000100; VAR48 <= {VAR8,3'h3}; VAR15 <= VAR2; VAR1 <= VAR79[23 : 11]; VAR39 <= VAR34; VAR75 <= VAR23; VAR40 <= 1; VAR66 <= VAR49 ? 9'b000001000 : 9'b000010000; end 9'b000000100: begin if (VAR66 == 9'b010000000) VAR48 <= {{1{1'b0}},3'h7}; end else VAR48 <= {VAR8,3'h7}; if (VAR40 > 1) VAR40 <= VAR40 - 1'b1; end else VAR7 <= VAR66; end 9'b000001000: begin VAR48 <= {VAR8,3'h5}; VAR15 <= VAR21 ? VAR30 : VAR2; VAR75 <= VAR21 ? VAR81 : VAR23; VAR1 <= VAR41; if (VAR42) begin if (VAR64) begin VAR7 <= 9'b000000100; VAR66 <= 9'b000000001; VAR40 <= 2; end else begin VAR69 <= 1'b1; VAR43 <= VAR59; VAR49 <= VAR11; VAR79 <= VAR77; VAR34 <= VAR60; VAR23 <= VAR81; end end else begin if (~VAR42 & VAR69) VAR48 <= {VAR8,3'h7}; VAR7 <= 9'b100000000; end end 9'b000010000: begin VAR48 <= {VAR8,3'h4}; VAR24 <= 1'b1; VAR39 <= VAR21 ? VAR60 : VAR34; VAR75 <= VAR21 ? VAR81 : VAR23; VAR15 <= VAR21 ? VAR30 : VAR2; VAR1 <= VAR41; if (VAR42) begin if (VAR64) begin VAR7 <= 9'b000000100; VAR66 <= 9'b000000001; VAR40 <= 1; end else begin VAR69 <= 1'b1; VAR43 <= VAR59; VAR49 <= VAR11; VAR79 <= VAR77; VAR34 <= VAR60; VAR23 <= VAR81; end end else begin if (~VAR42 & VAR69) begin VAR48 <= {VAR8,3'h7}; VAR24 <= 1'b0; end VAR7 <= 9'b100000000; end end 9'b000100000: begin VAR48 <= {VAR8,3'h7}; if (VAR40 > 1) VAR40 <= VAR40 - 1'b1; end else begin VAR7 <= 9'b001000000; VAR40 <= 0; end end 9'b001000000: begin VAR7 <= 9'b000000100; VAR1 <= {13{1'b1}}; if (VAR64) VAR48 <= {{1{1'b0}},3'h2}; end else VAR48 <= {VAR8,3'h2}; end 9'b010000000: begin VAR22 <= 1'b1; VAR7 <= 9'b000000100; VAR48 <= {{1{1'b0}},3'h1}; VAR40 <= 3; VAR66 <= 9'b000000001; end 9'b100000000: begin VAR48 <= {VAR8,3'h7}; if (VAR64) begin VAR7 <= 9'b000000100; VAR66 <= 9'b000000001; VAR40 <= 1; end else if (!VAR67) if (VAR29 && VAR38 && VAR17 && VAR44) begin VAR7 <= VAR11 ? 9'b000001000 : 9'b000010000; VAR69 <= 1'b1; VAR43 <= VAR59; VAR49 <= VAR11; VAR79 <= VAR77; VAR34 <= VAR60; VAR23 <= VAR81; end else begin VAR7 <= 9'b000100000; VAR66 <= 9'b000000001; VAR40 <= 1; end end default: begin VAR7 <= VAR7; VAR48 <= 4'b1111; VAR69 <= 1'b0; VAR24 <= 1'b0; end endcase end end assign VAR80 = VAR48[2 : 0] == 3'h5; always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR72 <= {3{1'b0}}; end else VAR72 <= (VAR72 << 1) | { {2{1'b0}}, VAR80 }; end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR65 <= 0; end else VAR65 <= VAR73; end always @(posedge clk or negedge VAR19) begin if (VAR19 == 0) VAR6 <= 0; end else if (1) VAR6 <= VAR72[2]; end assign VAR63 = VAR48[2 : 0]; assign VAR25 = VAR48; begin
apache-2.0
rkrajnc/minimig-de1
rtl/or1200/or1200_alu.v
10,001
module MODULE1( VAR13, VAR6, VAR38, VAR23, VAR30, VAR12, VAR25, VAR33, VAR20, VAR24, VAR39, VAR2, VAR8, VAR37, VAR15, flag ); parameter VAR34 = VAR17; input [VAR34-1:0] VAR13; input [VAR34-1:0] VAR6; input [VAR34-1:0] VAR38; input VAR23; input [VAR29-1:0] VAR30; input [VAR3-1:0] VAR12; input [VAR1-1:0] VAR25; input [4:0] VAR33; input [5:0] VAR20; output [VAR34-1:0] VAR24; output VAR39; output VAR2; output VAR8; output VAR37; input VAR15; input flag; reg [VAR34-1:0] VAR24; reg [VAR34-1:0] VAR36; reg [VAR34-1:0] VAR14; reg VAR39; reg VAR18; reg VAR2; reg VAR37; wire [VAR34-1:0] VAR4; wire [VAR34-1:0] VAR9; wire VAR5; wire VAR22; wire [VAR34-1:0] VAR31; wire [VAR34-1:0] VAR19; wire VAR28; wire [VAR34-1:0] VAR27; wire VAR7; reg VAR8; assign VAR4 = {VAR13[VAR34-1] ^ VAR25[3] , VAR13[VAR34-2:0]}; assign VAR9 = {VAR6[VAR34-1] ^ VAR25[3] , VAR6[VAR34-2:0]}; assign VAR5 = (VAR4 == VAR9); assign VAR22 = (VAR4 < VAR9); assign {VAR7, VAR31} = VAR13 + VAR6; assign {VAR28, VAR19} = VAR13 + VAR6 + {32'd0, VAR15}; assign VAR27 = VAR13 & VAR6; always @(VAR24) begin if (VAR24 === 32'VAR32) end always @(VAR30 or VAR13 or VAR6 or VAR31 or VAR27 or VAR23 or VAR36 or VAR38 or VAR14 or flag or VAR19 ) begin end casex (VAR30) else casex (VAR30) VAR35 VAR24 = VAR13[0] ? 1 : VAR13[1] ? 2 : VAR13[2] ? 3 : VAR13[3] ? 4 : VAR13[4] ? 5 : VAR13[5] ? 6 : VAR13[6] ? 7 : VAR13[7] ? 8 : VAR13[8] ? 9 : VAR13[9] ? 10 : VAR13[10] ? 11 : VAR13[11] ? 12 : VAR13[12] ? 13 : VAR13[13] ? 14 : VAR13[14] ? 15 : VAR13[15] ? 16 : VAR13[16] ? 17 : VAR13[17] ? 18 : VAR13[18] ? 19 : VAR13[19] ? 20 : VAR13[20] ? 21 : VAR13[21] ? 22 : VAR13[22] ? 23 : VAR13[23] ? 24 : VAR13[24] ? 25 : VAR13[25] ? 26 : VAR13[26] ? 27 : VAR13[27] ? 28 : VAR13[28] ? 29 : VAR13[29] ? 30 : VAR13[30] ? 31 : VAR13[31] ? 32 : 0; end VAR24 = VAR14; end VAR24 = VAR36; end VAR24 = VAR31; end VAR24 = VAR19; end VAR24 = VAR13 - VAR6; end VAR24 = VAR13 ^ VAR6; end VAR24 = VAR13 | VAR6; end VAR24 = VAR6; end if (VAR23) begin VAR24 = VAR38; end else begin VAR24 = VAR6 << 16; end end VAR24 = VAR38; end VAR24 = flag ? VAR13 : VAR6; end default: begin begin VAR24=VAR27; end endcase end always @(VAR33 or VAR20 or VAR13 or VAR6) begin casex (VAR33) 5'h1 : begin casex (VAR20[1:0]) 2'h0: VAR14 = {VAR13[31:8], VAR6[7:0]}; 2'h1: VAR14 = {VAR13[31:16], VAR6[7:0], VAR13[7:0]}; 2'h2: VAR14 = {VAR13[31:24], VAR6[7:0], VAR13[15:0]}; 2'h3: VAR14 = {VAR6[7:0], VAR13[23:0]}; endcase end 5'h2 : VAR14 = VAR13 | (1 << VAR20); 5'h3 : VAR14 = VAR13 & (32'hffffffff ^ (1 << VAR20)); default: begin VAR14 = VAR13; end endcase end always @(VAR30 or VAR31 or VAR27 or VAR18) begin casex (VAR30) VAR16 VAR26 VAR39 = (VAR31 == 32'h00000000); VAR2 = 1'b1; end VAR39 = (VAR19 == 32'h00000000); VAR2 = 1'b1; end VAR39 = (VAR27 == 32'h00000000); VAR2 = 1'b1; end VAR39 = VAR18; VAR2 = 1'b1; end default: begin VAR39 = 1'b0; VAR2 = 1'b0; end endcase end always @(VAR30 or VAR7 or VAR28 ) begin casex (VAR30) VAR16 VAR21 VAR8 = VAR7; VAR37 = 1'b1; end VAR8 = VAR28; VAR37 = 1'b1; end default: begin VAR8 = 1'b0; VAR37 = 1'b0; end endcase end always @(VAR12 or VAR13 or VAR6) begin case (VAR12) VAR10 : VAR36 = (VAR13 << VAR6[4:0]); VAR36 = (VAR13 >> VAR6[4:0]); VAR36 = (VAR13 << (6'd32-{1'b0, VAR6[4:0]})) | (VAR13 >> VAR6[4:0]); default: VAR36 = ({32{VAR13[31]}} << (6'd32-{1'b0, VAR6[4:0]})) | VAR13 >> VAR6[4:0]; endcase end always @(VAR25 or VAR5 or VAR22) begin case(VAR25[2:0]) VAR11: VAR18 = VAR5; VAR18 = ~VAR5; VAR18 = ~(VAR5 | VAR22); VAR18 = ~VAR22; VAR18 = VAR22; VAR18 = VAR5 | VAR22; default: VAR18 = 1'b0; endcase end always @(VAR25 or VAR4 or VAR9) begin case(VAR25[2:0]) VAR11: VAR18 = (VAR4 == VAR9); VAR18 = (VAR4 != VAR9); VAR18 = (VAR4 > VAR9); VAR18 = (VAR4 >= VAR9); VAR18 = (VAR4 < VAR9); VAR18 = (VAR4 <= VAR9); default: VAR18 = 1'b0; endcase end endmodule
gpl-3.0
antmicro/yosys
techlibs/intel/cycloneive/arith_map.v
3,237
module MODULE1 (input VAR41, input VAR20, input VAR24, output VAR40, output VAR27); wire VAR41; wire VAR20; wire VAR40; wire VAR24; wire VAR27; wire VAR28; assign VAR28 = 1'b1; VAR39 VAR10 (.VAR18(VAR27), .VAR15(VAR41), .VAR16(VAR20), .VAR1(VAR24), .VAR32(VAR28)); VAR39 VAR43 (.VAR18(VAR40), .VAR15(VAR24), .VAR16(VAR20), .VAR1(VAR41), .VAR32(VAR28)); endmodule module MODULE2(); endmodule module MODULE3(); endmodule module 80cycloneivealu (VAR22, VAR31, VAR12, VAR19, VAR9, VAR34, VAR6); parameter VAR42 = 0; parameter VAR29 = 0; parameter VAR7 = 1; parameter VAR36 = 1; parameter VAR33 = 1; input [VAR7-1:0] VAR22; input [VAR36-1:0] VAR31; output [VAR33-1:0] VAR9, VAR34; input VAR12, VAR19; output [VAR33:0] VAR6; wire VAR14 = VAR33 < 5; wire [VAR33-1:0] VAR11, VAR46; \pos #(.VAR42(VAR42), .VAR7(VAR7), .VAR33(VAR33)) VAR37 (.VAR22(VAR22), .VAR34(VAR11)); \pos #(.VAR42(VAR29), .VAR7(VAR36), .VAR33(VAR33)) VAR23 (.VAR22(VAR31), .VAR34(VAR46)); wire [VAR33-1:0] VAR13 = VAR11; wire [VAR33-1:0] VAR38 = VAR19 ? ~VAR46 : VAR46; wire [VAR33:0] VAR35 = {VAR6, VAR12}; MODULE1 MODULE1 (.VAR41(VAR13[0]), .VAR20(VAR38[0]), .VAR24(VAR35[0]), .VAR40(VAR45[1]), .VAR27(VAR34[0])); genvar VAR5; generate for (VAR5 = 1; VAR5 < VAR33; VAR5 = VAR5 + 1) begin:VAR21 VAR2 #(.VAR3(16'b0101101001010000), .VAR44("VAR26")) VAR25 (.VAR18(VAR34[VAR5]), .VAR4(VAR6[VAR5]), .VAR15(VAR38[VAR5]), .VAR16(1'b1), .VAR1(1'b1), .VAR32(1'b1), .VAR26(VAR35[VAR5])); end endgenerate assign VAR9 = VAR13 ^ VAR38; endmodule
isc
google/skywater-pdk-libs-sky130_fd_io
cells/top_power_hvc_wpad/sky130_fd_io__top_power_hvc_wpad.functional.v
1,097
module MODULE1 ( VAR17, VAR4, VAR5 ); inout VAR17; inout VAR4; inout VAR5; supply1 VAR7; supply1 VAR2; supply0 VAR1; supply1 VAR9; supply1 VAR6; supply1 VAR10; supply1 VAR15; supply1 VAR18; supply1 VAR16; supply1 VAR19; supply1 VAR8; supply1 VAR11; supply0 VAR12; supply0 VAR14; supply0 VAR3; supply0 VAR13; assign VAR9 = VAR17; endmodule
apache-2.0
johan92/yafpgatetris
ip_cores/vga/vga_time_generator.v
8,600
module MODULE1( clk, VAR24, VAR5, VAR4, VAR42, VAR15, VAR12, VAR26, VAR38, VAR21, VAR41, VAR1, VAR29, VAR20, VAR8, VAR6, VAR7, VAR28, VAR30 ); input clk; input VAR24; input [11:0] VAR5; input [11:0] VAR4; input [11:0] VAR42; input [11:0] VAR15; input [11:0] VAR12; input [11:0] VAR26; input [11:0] VAR38; input [11:0] VAR21; input VAR41; input VAR1; input VAR29; output reg VAR20; output reg VAR8; output VAR6; output reg VAR7; output reg [11:0] VAR28; output reg [11:0] VAR30; reg [11:0] VAR13; reg [11:0] VAR23; reg [11:0] VAR31; reg [11:0] VAR18; reg VAR40; reg VAR10; wire hde; wire [11:0] VAR34; wire VAR25; assign hde = (VAR19 >= VAR31 && VAR19 < VAR18)?1'b1:1'b0; assign VAR34 = VAR19 - VAR31; assign VAR25 = (VAR19+1 == VAR13)?1'b1:1'b0; reg [11:0]VAR19; reg [11:0] VAR9; always @(posedge clk or negedge VAR24) begin if (!VAR24) begin VAR19 <= 12'h000; VAR20 <= VAR41?1'b1:1'b0; VAR10 <= 1'b0; VAR28 <= 12'hfff; VAR9 <= 0; end else if (VAR9 != VAR5) begin VAR9 <= VAR5; VAR13 <= VAR5+VAR4+VAR42+VAR15; VAR23 <= (VAR5+VAR4+VAR42+VAR15 ) >> 1; VAR31 <= VAR42+VAR15; VAR18 <= VAR42+VAR15+VAR5; VAR40 <= VAR41; VAR19 <= 12'h000; VAR20 <= VAR41?1'b1:1'b0; VAR10 <= 1'b0; VAR28 <= 12'hfff; end else begin if (!VAR25) VAR19 <= VAR19+1'b1; end else VAR19 <= 0; if (VAR19 < VAR42) VAR20 <= VAR40?1'b1:1'b0; end else VAR20 <= VAR40?1'b0:1'b1; VAR28 <= (hde)?VAR34:12'hfff; VAR10 <= hde; end end reg VAR17; reg [11:0]VAR36; reg [11:0]VAR27; reg [11:0]VAR2; reg VAR32; reg VAR35; reg VAR11; reg VAR39; wire [11:0] VAR33; wire [11:0] VAR16; wire [11:0] VAR14; wire VAR37; assign VAR37 = (VAR3 >= VAR27 && VAR3 < VAR2)?1'b1:1'b0; assign VAR14 = VAR3 - VAR27; assign VAR16 = (VAR29)?(VAR12 >> 1):VAR12; assign VAR33 = VAR38+VAR21+VAR16+VAR26; reg [11:0] VAR3; reg [11:0] VAR22; always @(posedge clk or negedge VAR24) begin if (!VAR24) begin VAR3 <= 12'h000; VAR8 <= VAR1?1'b1:1'b0; VAR17 <= 1'b0; VAR30 <= 12'hfff; VAR7 <= 1'b0; VAR22 <= 0; end else if (VAR22 != VAR12) begin VAR22 <= VAR12; VAR27 <= VAR38+VAR21; VAR2 <= VAR38+VAR21+VAR16; VAR36 <= VAR33; VAR32 <= VAR1; VAR35 <= VAR29; VAR39 <= 1'b0; VAR3 <= 12'h000; VAR8 <= VAR1?1'b1:1'b0; VAR17 <= 1'b0; VAR30 <= 12'hfff; VAR7 <= 1'b0; end else if (VAR19 == 0 && VAR39) end VAR39 <= 1'b0; else if (VAR19 == VAR23 && (VAR39 || VAR7)) begin if (VAR39) VAR7 <= 1'b1; end else begin if (VAR3 < VAR38) VAR8 <= VAR32?1'b1:1'b0; end else VAR8 <= VAR32?1'b0:1'b1; end end else if (VAR19 == 0) begin if (VAR3+1 < VAR36) VAR3 <= VAR3+1'b1; end else begin VAR3 <= 0; if (VAR35) begin if (VAR7) VAR7 <= 1'b0; end else VAR39 <= 1'b1; end end if (!VAR7) begin if (VAR3 < VAR38) VAR8 <= VAR32?1'b1:1'b0; end else VAR8 <= VAR32?1'b0:1'b1; end VAR17 <= VAR37; if (!VAR37) VAR30 <= 12'hfff; else if (!VAR35) VAR30 <= VAR14; else if (VAR7) VAR30 <= (VAR14 << 1) + 1; else VAR30 <= VAR14 << 1; end end assign VAR6 = VAR10 & VAR17; endmodule
mit
ptracton/wb_soc_template
rtl/uart16550/rtl/verilog/uart_transmitter.v
12,272
module MODULE1 (clk, VAR16, VAR17, VAR40, VAR37, enable, VAR41, VAR5, VAR11, VAR2, VAR24); input clk; input VAR16; input [7:0] VAR17; input VAR40; input [7:0] VAR37; input enable; input VAR2; input VAR24; output VAR41; output [2:0] VAR5; output [VAR6-1:0] VAR11; reg [2:0] VAR5; reg [4:0] counter; reg [2:0] VAR3; reg [6:0] VAR22; reg VAR8; reg VAR14; reg VAR23; reg VAR15; wire [VAR28-1:0] VAR18; wire [VAR28-1:0] VAR4; wire VAR40; wire VAR25; wire [VAR6-1:0] VAR11; assign VAR18 = VAR37; VAR21 VAR26( .clk( clk ), .VAR16( VAR16 ), .VAR13( VAR18 ), .VAR9( VAR4 ), .VAR7( VAR40 ), .VAR20( VAR23 ), .VAR1( VAR25 ), .VAR12( VAR11 ), .VAR36( VAR2 ), .VAR32(VAR24) ); parameter VAR35 = 3'd0; parameter VAR29 = 3'd1; parameter VAR27 = 3'd2; parameter VAR38 = 3'd3; parameter VAR19 = 3'd4; parameter VAR30 = 3'd5; always @(posedge clk or posedge VAR16) begin if (VAR16) begin VAR5 <= VAR35; VAR8 <= 1'b1; counter <= 5'b0; VAR22 <= 7'b0; VAR15 <= 1'b0; VAR14 <= 1'b0; VAR23 <= 1'b0; VAR3 <= 3'b0; end else if (enable) begin case (VAR5) VAR35 : if (~|VAR11) begin VAR5 <= VAR35; VAR8 <= 1'b1; end else begin VAR23 <= 1'b0; VAR8 <= 1'b1; VAR5 <= VAR30; end VAR30 : begin VAR23 <= 1'b1; case (VAR17[1:0]) 2'b00 : begin VAR3 <= 3'b100; VAR14 <= ^VAR4[4:0]; end 2'b01 : begin VAR3 <= 3'b101; VAR14 <= ^VAR4[5:0]; end 2'b10 : begin VAR3 <= 3'b110; VAR14 <= ^VAR4[6:0]; end 2'b11 : begin VAR3 <= 3'b111; VAR14 <= ^VAR4[7:0]; end endcase {VAR22[6:0], VAR15} <= VAR4; VAR5 <= VAR29; end VAR29 : begin VAR23 <= 1'b0; if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin counter <= 0; VAR5 <= VAR27; end else counter <= counter - 5'd1; VAR8 <= 1'b0; end VAR27 : begin if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin if (VAR3 > 3'b0) begin VAR3 <= VAR3 - 3'd1; {VAR22[5:0],VAR15 } <= {VAR22[6:1], VAR22[0]}; VAR5 <= VAR27; end else if (~VAR17[VAR39]) begin VAR5 <= VAR19; end else begin case ({VAR17[VAR42],VAR17[VAR33]}) 2'b00: VAR15 <= ~VAR14; 2'b01: VAR15 <= 1'b1; 2'b10: VAR15 <= VAR14; 2'b11: VAR15 <= 1'b0; endcase VAR5 <= VAR38; end counter <= 0; end else counter <= counter - 5'd1; VAR8 <= VAR15; end VAR38 : begin if (~|counter) counter <= 5'b01111; end else if (counter == 5'b00001) begin counter <= 5'd0; VAR5 <= VAR19; end else counter <= counter - 5'd1; VAR8 <= VAR15; end VAR19 : begin if (~|counter) begin casez ({VAR17[VAR34],VAR17[VAR31]}) 3'b0??: counter <= 5'b01101; 3'b100: counter <= 5'b10101; default: counter <= 5'b11101; endcase end else if (counter == 5'b00001) begin counter <= 0; VAR5 <= VAR35; end else counter <= counter - 5'd1; VAR8 <= 1'b1; end default : VAR5 <= VAR35; endcase end else VAR23 <= 1'b0; end assign VAR41 = VAR17[VAR10] ? 1'b0 : VAR8; endmodule
mit
donnaware/AGC
rtl/de0/modules/ng_ALU.v
4,149
module MODULE1( input VAR4, input [100:0] VAR2, input [ 15:0] VAR14, output [ 15:0] VAR8 ); wire VAR19 = VAR2[VAR26(VAR19)]; wire VAR5 = VAR2[VAR26(VAR5)]; wire VAR18 = VAR2[VAR26(VAR18)]; wire VAR20 = VAR2[VAR26(VAR20)]; wire VAR7 = VAR2[VAR26(VAR7)]; wire VAR21 = VAR2[VAR26(VAR21)]; wire VAR10 = VAR2[VAR26(VAR10)]; wire VAR25 = VAR2[VAR26(VAR25)]; reg [15:0] VAR16; reg [15:0] VAR12; reg [15:0] VAR1; reg VAR3; always @(posedge VAR4) if(!VAR19) VAR16 <= VAR14; always @(posedge VAR4) if(!VAR18 | !VAR20) VAR1 <= VAR14; always @(posedge VAR4) if(!VAR18) VAR12 <= 16'h0000; else if(!VAR5) VAR12 <= VAR14; always @(posedge VAR4) if(VAR7 & !VAR18) VAR3 <= 1'b0; else if(!VAR7) VAR3 <= 1'b1; wire VAR9 = VAR24 | VAR3; wire VAR22 = VAR11[16]; wire [16:0] VAR11 = {1'b0,VAR12} + {1'b0,VAR1} + {16'h0,VAR9}; reg VAR24; reg VAR15; always@(posedge VAR4) VAR15 <= VAR22; always@(negedge VAR4) VAR24 <= VAR15; wire [15:0] VAR17 = VAR16; wire [15:0] VAR6 = VAR11[15:0]; reg [15:0] VAR23; wire [2:0] VAR13 = {VAR21, VAR10, VAR25}; always @(VAR17 or VAR6 or VAR13) begin case(VAR13) 3'b000: VAR23 = VAR17; 3'b001: VAR23 = VAR17; 3'b010: VAR23 = VAR17; 3'b011: VAR23 = VAR17; 3'b100: VAR23 = ~VAR17 | VAR6; 3'b101: VAR23 = ~VAR17; 3'b110: VAR23 = VAR6; 3'b111: VAR23 = 16'h0000; endcase end assign VAR8 = VAR23; endmodule
gpl-3.0
brianbennett/fpga_nes
hw/src/cpu/rp2a03.v
4,843
module MODULE1 ( input wire VAR5, input wire VAR17, input wire VAR42, input wire [ 7:0] din, input wire VAR24, input wire VAR31, output wire [ 7:0] dout, output wire [15:0] VAR10, output wire VAR36, output wire VAR27, input wire VAR48, input wire VAR49, output wire VAR51, output wire VAR15, input wire [ 3:0] VAR26, output wire VAR22, input wire [ 3:0] VAR40, input wire [ 7:0] VAR43, input wire VAR20, output wire [ 7:0] VAR30 ); wire VAR7; wire [ 7:0] VAR14; wire VAR46; wire [ 7:0] VAR4; wire [15:0] VAR29; wire VAR2; VAR23 VAR53( .VAR5(VAR5), .VAR17(VAR17), .VAR33(VAR7), .VAR40(VAR40), .VAR21(VAR43), .VAR20(VAR20), .din(VAR14), .VAR24(VAR24), .VAR31(VAR31), .VAR54(VAR46), .dout(VAR4), .VAR10(VAR29), .VAR36(VAR2), .VAR27(VAR27), .VAR25(VAR30) ); wire [7:0] VAR6; VAR12 VAR32( .VAR5(VAR5), .VAR17(VAR17), .VAR26(VAR26), .VAR13(VAR29), .din(VAR4), .VAR45(VAR2), .VAR22(VAR22), .dout(VAR6) ); wire [7:0] VAR34; VAR39 VAR47( .clk(VAR5), .rst(VAR17), .wr(~VAR2), .addr(VAR29), .din(VAR4[0]), .VAR50(VAR48), .VAR18(VAR49), .VAR51(VAR51), .VAR15(VAR15), .dout(VAR34) ); wire VAR35; wire [15:0] VAR41; wire [ 7:0] VAR28; wire VAR44; VAR8 VAR11( .VAR5(VAR5), .VAR17(VAR17), .VAR3(VAR29), .VAR16(VAR4), .VAR37(VAR14), .VAR52(VAR2), .VAR19(VAR35), .VAR1(VAR41), .VAR9(VAR28), .VAR38(VAR44) ); assign VAR7 = VAR42 & !VAR35; assign VAR14 = din | VAR34 | VAR6; assign VAR46 = 1'b1; assign dout = (VAR35) ? VAR28 : VAR4; assign VAR10 = (VAR35) ? VAR41 : VAR29; assign VAR36 = (VAR35) ? VAR44 : VAR2; endmodule
bsd-2-clause
ShepardSiegel/ocpi
libsrc/hdl/bsv/bram_patch/BRAM1_cur.v
2,806
module MODULE1(VAR8, VAR3, VAR6, VAR4, VAR11, VAR1 ); parameter VAR14 = 0; parameter VAR13 = 1; parameter VAR10 = 1; parameter VAR7 = 1; input VAR8; input VAR3; input VAR6; input [VAR13-1:0] VAR4; input [VAR10-1:0] VAR11; output [VAR10-1:0] VAR1; reg [VAR10-1:0] VAR2[0:VAR7-1]; reg [VAR10-1:0] VAR5; reg [VAR10-1:0] VAR12; integer VAR9;
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor4b/sky130_fd_sc_hdll__nor4b.functional.v
1,422
module MODULE1 ( VAR6 , VAR7 , VAR4 , VAR10 , VAR3 ); output VAR6 ; input VAR7 ; input VAR4 ; input VAR10 ; input VAR3; wire VAR8 ; wire VAR9; not VAR5 (VAR8 , VAR3 ); nor VAR1 (VAR9, VAR7, VAR4, VAR10, VAR8); buf VAR2 (VAR6 , VAR9 ); endmodule
apache-2.0
mammenx/pegasus
wxp/dgn/rtl/common/pkt_ff_async/pkt_ff_async.v
7,214
module MODULE1 #(VAR44 = 32, VAR54 = 128, VAR29=2) ( input VAR2, input VAR19, input VAR17, input VAR45, input VAR15, input VAR37, input VAR43, input [VAR44-1:0] VAR46, output VAR41, input VAR5, output VAR63, output VAR51, output VAR59, output [VAR44-1:0] VAR3, input VAR58, output VAR32 ); localparam VAR9 = VAR35(VAR54); reg [VAR9-1:0] VAR40; reg VAR21; reg [VAR9-1:0] VAR42; wire [VAR9-1:0] VAR61; wire [VAR9-1:0] VAR22; wire VAR31; wire VAR16; wire VAR14; wire VAR53; wire [VAR9-1:0] VAR55; wire VAR18; assign VAR16 = VAR15 & VAR41 & ~VAR5; assign VAR31 = VAR63 & VAR58; VAR13 VAR23 ( .clk (VAR2), .VAR20 (VAR19), .valid (VAR15), .VAR1 (VAR37), .VAR60 (VAR43), .VAR11 (VAR5), .VAR50 (VAR61) ); VAR25 VAR27 ( .clk (VAR17), .VAR20 (VAR45), .VAR56 (VAR31), .VAR33 (VAR22) ); VAR24 VAR7 ( .VAR28 (VAR46), .VAR8 (VAR22), .VAR48 (VAR17), .VAR52 (VAR61), .VAR38 (VAR2), .VAR49 (VAR16), .VAR39 (VAR3) ); always@(posedge VAR2, negedge VAR19) begin if(~VAR19) begin VAR40 <= 0; VAR21 <= 0; end else begin if(VAR15 & VAR41) begin if(VAR57) begin VAR40 <= VAR44; end else begin VAR40 <= VAR40 + VAR44; end end else begin VAR40 <= VAR40; end VAR21 <= VAR15 & VAR41 & VAR4 & ~VAR5; end end always@(posedge VAR17, negedge VAR45) begin if(~VAR45) begin VAR42 <= 0; end else begin if(VAR42 == 0) begin if(~VAR53) begin VAR42 <= VAR55; end end else if(VAR58) begin VAR42 <= VAR42 - VAR44; end end end assign VAR63 = (VAR42 > 0) ? 1'b1 : 1'b0; assign VAR51 = (VAR42 == VAR55) ? ~VAR53 : 1'b0; assign VAR59 = (VAR42 <= VAR44) ? VAR63 : 1'b0; assign VAR32 = 0; assign VAR18 = VAR59 & VAR58; assign VAR41 = ~VAR14; VAR34 VAR62 ( .VAR36 (~VAR19 | ~VAR45), .VAR28 (VAR40), .VAR6 (VAR17), .VAR12 (VAR18), .VAR47 (VAR2), .VAR64 (VAR21), .VAR39 (VAR55), .VAR10 (VAR53), .VAR30 (VAR14) ); endmodule
gpl-3.0
kyzhai/NUNY
src/hardware/ninjasymbol.v
6,433
module MODULE1 ( address, VAR32, VAR50); input [11:0] address; input VAR32; output [11:0] VAR50; tri1 VAR32; wire [11:0] VAR15; wire [11:0] VAR50 = VAR15[11:0]; VAR33 VAR20 ( .VAR11 (address), .VAR6 (VAR32), .VAR49 (VAR15), .VAR8 (1'b0), .VAR29 (1'b0), .VAR36 (1'b1), .VAR3 (1'b0), .VAR46 (1'b0), .VAR35 (1'b1), .VAR22 (1'b1), .VAR51 (1'b1), .VAR5 (1'b1), .VAR7 (1'b1), .VAR13 (1'b1), .VAR17 (1'b1), .VAR38 ({12{1'b1}}), .VAR44 (1'b1), .VAR47 (), .VAR27 (), .VAR40 (1'b1), .VAR45 (1'b1), .VAR2 (1'b0), .VAR25 (1'b0)); VAR20.VAR1 = "VAR19", VAR20.VAR37 = "VAR48", VAR20.VAR16 = "VAR48", VAR20.VAR23 = "../VAR26-new/MODULE1.VAR18", VAR20.VAR28 = "VAR9 VAR14", VAR20.VAR12 = "VAR21=VAR42", VAR20.VAR52 = "VAR33", VAR20.VAR41 = 4096, VAR20.VAR10 = "VAR4", VAR20.VAR34 = "VAR19", VAR20.VAR30 = "VAR31", VAR20.VAR24 = 12, VAR20.VAR39 = 12, VAR20.VAR43 = 1; endmodule
gpl-2.0
zeruniverse/pipelined_CPU
ISE project/pipeid.v
1,806
module MODULE1(VAR10,VAR18,VAR38,VAR39,VAR8,VAR37,VAR42,VAR49,VAR26,VAR24,VAR4,VAR19,VAR23,VAR3,VAR40,VAR27,VAR41,VAR45,VAR14,VAR13,VAR50,VAR35,VAR46,VAR33,VAR16,VAR7,VAR11,VAR22,VAR1,VAR20,VAR47,VAR21,VAR2,VAR6); input wire VAR10,VAR39,VAR8,VAR37,VAR40,VAR27,VAR3; input wire [4:0] VAR18,VAR38,VAR26,VAR2; input wire [31:0] VAR42,VAR49,VAR24,VAR4,VAR19,VAR23; output wire [7:0] VAR41,VAR45; output wire [1:0] VAR14; output wire VAR13,VAR50,VAR35,VAR46,VAR16,VAR20,VAR47; output wire [3:0] VAR33; output wire [31:0] VAR7,VAR11,VAR22,VAR6; output wire [4:0] VAR1,VAR21; wire [7:0] VAR28; wire [31:0] VAR31,VAR48,VAR36; wire VAR12,VAR17,VAR30; wire [1:0] VAR34,VAR9; VAR15 VAR44(VAR49[25:21],VAR49[20:16],VAR3,VAR2,VAR24,VAR26,~VAR40,VAR31,VAR48,VAR27,VAR6); VAR29 VAR43(VAR17,VAR49[15:0],VAR22); VAR32 VAR25(VAR14,VAR13,VAR49[31:26],VAR49[5:0],VAR49[25:21],VAR49[20:16],VAR18,VAR37,VAR10,VAR38,VAR8,VAR39,VAR50,VAR35,VAR46,VAR47,VAR33,VAR16,VAR20,VAR30,VAR12,VAR17,VAR9,VAR34); assign VAR21={27'b0,VAR49[10:6]}; assign VAR45={VAR49[4:0],2'b0}; VAR29 VAR5(1'b1,VAR49[15:0],VAR36); assign VAR28=VAR36[7:0]; assign VAR41=VAR42+(VAR28<<2); assign VAR1=(VAR12 == 0)?VAR49[15:11]:VAR49[20:16]; assign VAR30=(VAR7==VAR11)?1:0; assign VAR7=(VAR34==0)?VAR31:((VAR34==1)?VAR4:((VAR34==2)?VAR19:VAR23)); assign VAR11=(VAR9==0)?VAR48:((VAR9==1)?VAR4:((VAR9==2)?VAR19:VAR23)); endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v
1,997
module MODULE1 ,parameter VAR11 = 0) (input VAR10 ,input VAR1 ,input [VAR5-1:0] VAR6 ,output VAR7 ,output [VAR5-1:0] VAR2 ); VAR8 VAR4 (.VAR10 (VAR10) ,.VAR1(VAR1) ,.VAR7 (VAR7) ); VAR3 #(.VAR5(VAR5)) VAR9 (.VAR10(VAR10),.VAR6(VAR6),.VAR2(VAR2)); endmodule
bsd-3-clause
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_ocmc.v
4,054
module MODULE1( VAR68, VAR6, VAR11, VAR37, VAR28, VAR44, VAR55, VAR41, VAR9, VAR43, VAR3, VAR18, VAR61, VAR45, VAR7, VAR53, VAR62, VAR36, VAR27, VAR64, VAR23, VAR63, VAR38, VAR66, VAR58, VAR2 ); input VAR68; input VAR6; output VAR11; input VAR37; input VAR28; output VAR44; input[VAR16-1:0] VAR55; input[VAR65-1:0] VAR41; input[VAR35:0] VAR9; input[VAR16-1:0] VAR43; output[VAR65-1:0] VAR3; input[VAR35:0] VAR18; input [VAR33-1:0] VAR61; input [VAR33-1:0] VAR45; output VAR7; input VAR53; input VAR62; output VAR36; input[VAR16-1:0] VAR27; input[VAR65-1:0] VAR64; input[VAR35:0] VAR23; input[VAR16-1:0] VAR63; output[VAR65-1:0] VAR38; input[VAR35:0] VAR66; input[VAR33-1:0] VAR58; input[VAR33-1:0] VAR2; wire [VAR33-1:0] VAR31; wire VAR59; wire [VAR65-1:0] VAR13; wire [VAR16-1:0] VAR5; wire [VAR35:0] VAR69; reg VAR4; wire [VAR33-1:0] VAR71; reg [VAR65-1:0] VAR57; wire [VAR16-1:0] VAR20; wire [VAR35:0] VAR39; reg VAR15; wire VAR46; VAR29 VAR47 ( .VAR68(VAR68), .VAR6(VAR6), .VAR8(VAR61), .VAR40(VAR58), .VAR34(VAR37), .VAR26(VAR53), .VAR1(VAR41), .VAR51(VAR64), .VAR67(VAR55), .VAR70(VAR27), .VAR56(VAR9), .VAR42(VAR23), .VAR17(VAR11), .VAR10(VAR7), .VAR50(VAR31), .VAR12(VAR59), .VAR22(VAR13), .VAR54(VAR5), .VAR19(VAR69), .VAR49(VAR4) ); VAR32 VAR14 ( .VAR68(VAR68), .VAR6(VAR6), .VAR8(VAR45), .VAR40(VAR2), .VAR48(VAR28), .VAR60(VAR62), .VAR1(VAR3), .VAR51(VAR38), .VAR67(VAR43), .VAR70(VAR63), .VAR56(VAR18), .VAR42(VAR66), .VAR34(VAR44), .VAR26(VAR36), .VAR50(VAR71), .VAR12(VAR46), .VAR22(VAR57), .VAR54(VAR20), .VAR19(VAR39), .VAR24(VAR15) ); VAR25 VAR21(); reg [1:0] state; always@(posedge VAR6 or negedge VAR68) begin if(!VAR68) begin VAR4 <= 0; VAR15 <= 0; state <= 2'd0; end else begin case(state) 0:begin state <= 0; VAR4 <= 0; VAR15 <= 0; if(VAR59) begin VAR21.VAR30(VAR13 , VAR5, VAR69); VAR4 <= 1; state <= 1; end if(VAR46) begin VAR21.VAR52(VAR57,VAR20, VAR39); VAR15 <= 1; state <= 1; end end 1:begin VAR4 <= 0; VAR15 <= 0; state <= 0; end endcase end end endmodule
gpl-3.0
asicguy/gplgpu
hdl/altera_ddr3_128/alt_ddrx_rank_monitor.v
45,574
module MODULE1 # ( parameter VAR169 = 2, VAR16 = 4, VAR145 = 16, VAR139 = 3, VAR72 = "VAR33", VAR177 = 2, VAR168 = 1, VAR81 = 4, VAR119 = 4, VAR179 = 8, VAR37 = 0, VAR172 = 4, VAR12 = 3, VAR188 = 4, VAR133 = 10, VAR99 = 4, VAR13 = 5, VAR184 = 6, VAR91 = 3, VAR69 = 5, VAR97 = 4, VAR87 = 4, VAR1 = 3, VAR192 = 5, VAR162 = 5, VAR103 = 5, VAR3 = 6, VAR44 = 4, VAR132 = 4, VAR52 = 8, VAR173 = 2, VAR124 = 10, VAR20 = 13, VAR121 = 16, VAR68 = 4, VAR147 = 6 ) ( VAR143, VAR163, VAR36, VAR140, VAR150, VAR93, VAR148, VAR79, VAR186, VAR60, VAR95, VAR176, VAR66, VAR85, VAR92, VAR114, VAR51, VAR158, VAR105, VAR138, VAR155, VAR18, VAR64, VAR125, VAR56, VAR46, VAR19, VAR129, VAR26, VAR135, VAR109, VAR113, VAR83, VAR70, VAR82, VAR4, VAR31, VAR10, VAR96, VAR86, VAR73, VAR191, VAR65, VAR154, VAR111, VAR189, VAR32, VAR75, VAR165, VAR90, VAR175, VAR144, VAR61, VAR156, VAR84, VAR153, VAR160, VAR159, VAR181, VAR161, VAR55, VAR130, VAR171, VAR115, VAR118, VAR178, VAR108, VAR25, VAR126, VAR134, VAR47, VAR38, VAR77, VAR42, VAR8, VAR14, VAR136, VAR127, VAR112, VAR151, VAR23, VAR116, VAR30, VAR6, VAR27, VAR43, VAR15, VAR48, VAR190, VAR167, VAR28, VAR141, VAR2, VAR76, VAR142, VAR120, VAR50, VAR122, VAR80, VAR107, VAR157, VAR166, VAR17, VAR106, VAR89, VAR5, VAR40, VAR62, VAR182, VAR170, VAR146 ); input VAR143; input VAR163; input [VAR99 - 1 : 0] VAR92; input [VAR13 - 1 : 0] VAR114; input [VAR184 - 1 : 0] VAR51; input [VAR91 - 1 : 0] VAR158; input [VAR69 - 1 : 0] VAR105; input [VAR97 - 1 : 0] VAR138; input [VAR87 - 1 : 0] VAR155; input [VAR1 - 1 : 0] VAR18; input [VAR192 - 1 : 0] VAR64; input [VAR192 - 1 : 0] VAR125; input [VAR162 - 1 : 0] VAR56; input [VAR103 - 1 : 0] VAR46; input [VAR3 - 1 : 0] VAR19; input [VAR44 - 1 : 0] VAR129; input [VAR132 - 1 : 0] VAR26; input [VAR52 - 1 : 0] VAR135; input [VAR173 - 1 : 0] VAR109; input [VAR124 - 1 : 0] VAR113; input [VAR124 - 1 : 0] VAR83; input [VAR20 - 1 : 0] VAR70; input [VAR121 - 1 : 0] VAR82; input [VAR68 - 1 : 0] VAR4; input [VAR147 - 1 : 0] VAR31; input VAR10; input VAR96; input VAR86; input VAR73; input VAR191; input VAR65; input VAR154; input VAR111; input VAR189; input VAR32; input VAR75; input VAR165; input VAR90; input VAR175; input VAR144; input VAR61; input VAR156; input VAR84; input VAR153; input VAR160; input VAR159; input VAR181; input VAR161; input VAR55; input VAR130; input VAR171; input VAR115; input VAR118; input VAR178; input VAR108; input VAR25; input VAR126; input VAR134; input VAR47; input VAR38; input VAR77; input VAR42; input VAR8; input VAR14; input VAR136; input VAR127; input VAR112; input VAR151; input VAR23; input VAR116; input VAR30; input VAR6; input VAR27; input VAR43; input VAR15; input VAR48; input VAR190; input VAR167; input VAR28; input VAR141; input VAR2; input VAR76; input VAR142; input VAR120; input VAR50; input VAR122; input VAR80; input VAR107; input VAR157; input VAR36; input VAR140; input VAR150; input VAR93; input VAR148; input VAR79; input VAR186; input VAR60; input VAR95; input VAR176; input [VAR16 - 1 : 0] VAR66; input [VAR139 - 1 : 0] VAR85; output [VAR16 - 1 : 0] VAR166; output [VAR16 - 1 : 0] VAR17; output VAR106; output VAR89; output [VAR16 - 1 : 0] VAR5; output [VAR16 - 1 : 0] VAR40; output [VAR16 - 1 : 0] VAR62; output [VAR16 - 1 : 0] VAR182; output [VAR16 - 1 : 0] VAR170; output [VAR16 - 1 : 0] VAR146; localparam VAR131 = 32'h49444C45; localparam VAR11 = 32'h20205752; localparam VAR54 = 32'h20205244; localparam VAR57 = 32'h20415246; localparam VAR71 = 32'h2050444E; localparam VAR63 = 32'h20535246; localparam VAR183 = 7; localparam VAR104 = VAR147; localparam VAR58 = VAR121; localparam VAR180 = VAR20; localparam VAR110 = VAR133; localparam VAR35 = 32; reg [VAR16 - 1 : 0] VAR166; reg [VAR16 - 1 : 0] VAR17; reg [VAR183 - 1 : 0] VAR185; reg [31 : 0] VAR78; reg VAR187; reg VAR106; reg VAR89; reg [VAR192 - 1 : 0] VAR149 [VAR16 - 1 : 0]; reg [VAR16 - 1 : 0] VAR5; reg [VAR58 - 1 : 0] VAR88; reg VAR117; reg VAR22; wire [VAR16 - 1 : 0] VAR40; wire [VAR16 - 1 : 0] VAR62; wire [VAR16 - 1 : 0] VAR182; wire [VAR16 - 1 : 0] VAR170; wire [VAR16 - 1 : 0] VAR146; assign VAR170 = {VAR16{VAR22}}; generate genvar VAR45; genvar VAR24; for (VAR45 = 0;VAR45 < VAR16;VAR45 = VAR45 + 1) begin : VAR152 reg [VAR104 - 1 : 0] VAR9; reg [2 : 0] VAR29; reg [VAR35 - 1 : 0] VAR67; if (VAR72 == "VAR94") begin wire VAR41 = 1'b1; always @ begin if (VAR148 && VAR66 [VAR45] && VAR29 == 3'd3) VAR166 [VAR45] = 1'b0; end else if (VAR29 < 3'd4) VAR166 [VAR45] = 1'b1; end else VAR166 [VAR45] = 1'b0; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR9 <= 0; end else begin if (VAR148 && VAR66 [VAR45]) VAR9 <= VAR81; end else if (VAR9 != {VAR104{1'b1}}) VAR9 <= VAR9 + 1'b1; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR17 [VAR45] <= 1'b0; end else begin if (VAR148 && VAR66 [VAR45]) begin if (VAR116) VAR17 [VAR45] <= 1'b0; end else VAR17 [VAR45] <= 1'b1; end else if (VAR9 >= VAR4) VAR17 [VAR45] <= 1'b1; end else VAR17 [VAR45] <= 1'b0; end end end endgenerate always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR185 <= 0; end else begin if (VAR36 || VAR140) end VAR185 <= VAR81 + 1; else if (VAR185 != {VAR183{1'b1}}) VAR185 <= VAR185 + 1'b1; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR187 <= 1'b0; end else begin if (VAR140 || VAR36) begin if (VAR150) VAR187 <= 1'b1; end else VAR187 <= 1'b0; end end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR78 <= VAR131; VAR106 <= 1'b0; VAR89 <= 1'b0; end else begin case (VAR78) VAR131 : begin if (VAR36) begin VAR78 <= VAR11; if (VAR141) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (VAR28) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end else if (VAR140) begin VAR78 <= VAR54; if (VAR15) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (!VAR150) begin if (VAR48) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end else begin if (VAR190) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end end else begin VAR78 <= VAR131; VAR106 <= 1'b1; VAR89 <= 1'b1; end end VAR11 : begin if (VAR36) begin VAR78 <= VAR11; if (VAR157 && VAR2) VAR106 <= 1'b1; end else if (VAR141) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (VAR28) VAR89 <= 1'b1; else VAR89 <= 1'b0; end else if (VAR140) begin VAR78 <= VAR54; if (VAR15) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (!VAR150) begin if (VAR48) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end else begin if (VAR190) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end end else begin if (VAR157) begin if (VAR185 >= VAR125) VAR106 <= 1'b1; end else VAR106 <= 1'b0; end else begin if (VAR185 >= VAR64) VAR106 <= 1'b1; end else VAR106 <= 1'b0; end if (VAR185 >= VAR18) VAR89 <= 1'b1; else VAR89 <= 1'b0; end end VAR54 : begin if (VAR36) begin VAR78 <= VAR11; if (VAR157 && VAR2) VAR106 <= 1'b1; end else if (VAR141) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (VAR28) VAR89 <= 1'b1; else VAR89 <= 1'b0; end else if (VAR140) begin VAR78 <= VAR54; if (VAR15) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (!VAR150) begin if (VAR48) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end else begin if (VAR190) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end end else begin if (VAR185 >= VAR158) VAR106 <= 1'b1; end else VAR106 <= 1'b0; if (!VAR187) begin if (VAR185 >= VAR105) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end else begin if (VAR185 >= VAR138) VAR89 <= 1'b1; end else VAR89 <= 1'b0; end end end default : VAR78 <= VAR131; endcase end end generate genvar VAR7; for (VAR7 = 0;VAR7 < VAR16;VAR7 = VAR7 + 1) begin: VAR128 always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR149 [VAR7] <= 0; end else begin if (VAR36 && VAR66 [VAR7]) end VAR149 [VAR7] <= VAR81 + 1; else if (VAR149 [VAR7] != {VAR192{1'b1}}) VAR149 [VAR7] <= VAR149 [VAR7] + 1'b1; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR5 [VAR7] <= 1'b0; end else begin if (VAR149 [VAR7] >= VAR64) VAR5 [VAR7] <= 1'b1; end else VAR5 [VAR7] <= 1'b0; end end end endgenerate generate genvar VAR21; for (VAR21 = 0;VAR21 < VAR16;VAR21 = VAR21 + 1) begin : VAR101 reg [VAR110 - 1 : 0] VAR49; reg [31 : 0] VAR39; reg VAR74; reg VAR164; reg VAR100; reg VAR98; reg VAR53; reg VAR59; reg VAR123; assign VAR40 [VAR21] = VAR74; assign VAR62 [VAR21] = VAR164; assign VAR182 [VAR21] = VAR100; always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) VAR49 <= 0; end else begin if ((VAR186 || VAR60 || VAR176 || VAR95) && VAR66 [VAR21]) VAR49 <= VAR81; end else if (VAR49 != {VAR110{1'b1}}) VAR49 <= VAR49 + 1'b1; end end always @ begin VAR59 = VAR176 & VAR66 [VAR21]; end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) VAR123 <= 1'b0; end else VAR123 <= VAR59; end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR164 <= 1'b0; end else begin if (( VAR98) && (!VAR53)) VAR164 <= 1'b1; end else if ((!VAR98) && ( VAR53)) VAR164 <= 1'b0; end else if (( VAR59 ) && (!VAR123 )) VAR164 <= 1'b1; else if ((!VAR59 ) && ( VAR123 )) VAR164 <= 1'b0; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR39 <= VAR131; VAR74 <= 1'b0; VAR100 <= 1'b0; end else begin case (VAR39) VAR131 : begin VAR100 <= 1'b0; if (VAR186 && VAR66 [VAR21]) VAR74 <= 1'b0; end else if (VAR49 >= VAR26) VAR74 <= 1'b1; end else VAR74 <= 1'b0; if (VAR60 && VAR66 [VAR21]) begin VAR39 <= VAR57; VAR74 <= 1'b0; end if (VAR176 && VAR66 [VAR21]) begin VAR39 <= VAR63; VAR74 <= 1'b0; end if (VAR95 && VAR66 [VAR21]) begin VAR39 <= VAR71; VAR74 <= 1'b0; end end VAR57 : begin VAR100 <= 1'b0; if (VAR49 >= VAR135) begin VAR39 <= VAR131; VAR74 <= 1'b1; end else begin VAR39 <= VAR57; VAR74 <= 1'b0; end end VAR63 : begin if (VAR49 == VAR83) VAR100 <= 1'b1; end else VAR100 <= 1'b0; if (!(VAR176 && VAR66 [VAR21]) && VAR49 >= VAR113) begin VAR39 <= VAR131; VAR74 <= 1'b1; end else begin VAR39 <= VAR63; VAR74 <= 1'b0; end end VAR71 : begin VAR100 <= 1'b0; if (!(VAR95 && VAR66 [VAR21])) begin VAR39 <= VAR131; VAR74 <= 1'b1; end else begin VAR39 <= VAR71; VAR74 <= 1'b0; end end default : begin VAR39 <= VAR131; end endcase end end end endgenerate generate genvar VAR174; if (!VAR37) begin for (VAR174 = 0;VAR174 < VAR16;VAR174 = VAR174 + 1) begin : VAR34 reg [VAR180 - 1 : 0] VAR102; reg VAR137; assign VAR146 [VAR174] = VAR137; always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR102 <= 0; end else begin if (VAR60 & VAR66[VAR174]) VAR102 <= 3; end else if (VAR102 != {VAR180{1'b1}}) VAR102 <= VAR102 + 1'b1; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR137 <= 1'b0; end else begin if (VAR60 & VAR66[VAR174]) VAR137 <= 1'b0; end else if (VAR102 >= VAR70) VAR137 <= 1'b1; end else VAR137 <= 1'b0; end end end end else begin assign VAR146 = 0; end endgenerate always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR117 <= 1'b0; end else begin VAR117 <= VAR107; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR88 <= 0; end else begin if (!VAR107 && VAR117) VAR88 <= 3; end else if (VAR107 && VAR88 != {VAR58{1'b1}}) VAR88 <= VAR88 + 1'b1; end end always @ (posedge VAR143 or negedge VAR163) begin if (!VAR163) begin VAR22 <= 1'b0; end else begin if (VAR82 == 0) VAR22 <= 1'b0; end else begin if (!VAR107) VAR22 <= 1'b0; end else if (VAR88 >= VAR82) VAR22 <= 1'b1; end else VAR22 <= 1'b0; end end end endmodule
gpl-3.0
asicguy/gplgpu
hdl/bios_internal/bios_internal.v
3,575
module MODULE1 ( input VAR1, input VAR12, input VAR2, input VAR9, input VAR4, output reg VAR11 ); reg [31:0] VAR5; reg [23:0] VAR3; wire [15:0] VAR14; reg [4:0] counter; reg [1:0] VAR19; parameter VAR10 = 8'b00000011; parameter VAR15 = 0, VAR8 = 1, VAR16 = 2, VAR18 = 3; always @(posedge VAR1, negedge VAR12) begin if (!VAR12) begin VAR5 <= 'h0; counter <= 0; VAR19 <= VAR15; end else begin case (VAR19) VAR15: begin if (!VAR4 && VAR2) begin counter <= counter + 1; VAR5[~counter] <= VAR9; if (counter == 'h1e) begin VAR19 <= VAR16; counter <= 0; end end else if (VAR4) counter <= 0; end VAR16: VAR19 <= VAR8; VAR8: begin if (!VAR4 && !VAR2) begin counter <= counter + 1; VAR19 <= VAR18; end else if (VAR4) VAR19 <= VAR15; end VAR18: begin if (!VAR4 && !VAR2) begin counter <= counter + 1; if (counter == 'hf) VAR5 <= VAR5 + 2; if (~|counter) VAR19 <= VAR15; end else if (VAR4) VAR19 <= VAR15; end endcase end end wire [2:0] VAR6; assign VAR6 = ~counter[2:0]; always @* VAR11 = VAR14[{~counter[3], VAR6}]; VAR13 VAR7 ( .VAR20 (VAR2), .address (VAR5[15:1]), .VAR17 (VAR14) ); endmodule
gpl-3.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_axi_basic_tx.v
9,964
module MODULE1 #( parameter VAR5 = 128, parameter VAR28 = "VAR16", parameter VAR23 = "VAR45", parameter VAR41 = "VAR45", parameter VAR20 = 1, parameter VAR40 = (VAR5 == 128) ? 2 : 1, parameter VAR26 = VAR5 / 8 ) ( input [VAR5-1:0] VAR35, input VAR11, output VAR24, input [VAR26-1:0] VAR2, input VAR19, input [3:0] VAR43, input VAR34, input VAR46, output [VAR5-1:0] VAR31, output VAR38, output VAR36, output VAR8, input VAR17, output VAR18, output [VAR40-1:0] VAR39, output VAR47, output VAR14, input [5:0] VAR25, output VAR1, input VAR42, output VAR7, input VAR44, input [2:0] VAR27, input VAR22, input [1:0] VAR10, input [31:0] VAR9, input VAR30, input VAR12, output VAR21, input VAR4, input VAR6 ); wire VAR37; VAR32 #( .VAR5( VAR5 ), .VAR41( VAR41 ), .VAR20( VAR20 ), .VAR40( VAR40 ), .VAR26( VAR26 ) ) VAR33 ( .VAR35( VAR35 ), .VAR24( VAR24 ), .VAR11( VAR11 ), .VAR2( VAR2 ), .VAR19( VAR19 ), .VAR43( VAR43 ), .VAR31( VAR31 ), .VAR38( VAR38 ), .VAR36( VAR36 ), .VAR8( VAR8 ), .VAR17( VAR17 ), .VAR18( VAR18 ), .VAR39( VAR39 ), .VAR47( VAR47 ), .VAR14( VAR14 ), .VAR1( VAR1 ), .VAR44( VAR44 ), .VAR37( VAR37 ), .VAR4( VAR4 ), .VAR6( VAR6 ) ); generate if(VAR41 == "VAR45") begin : VAR15 VAR13 #( .VAR5( VAR5 ), .VAR28( VAR28 ), .VAR23( VAR23 ), .VAR20( VAR20 ) ) VAR3 ( .VAR35( VAR35 ), .VAR11( VAR11 ), .VAR43( VAR43 ), .VAR19( VAR19 ), .VAR34( VAR34 ), .VAR46( VAR46 ), .VAR25( VAR25 ), .VAR17( VAR17 ), .VAR42( VAR42 ), .VAR7( VAR7 ), .VAR44( VAR44 ), .VAR27( VAR27 ), .VAR22( VAR22 ), .VAR10( VAR10 ), .VAR9( VAR9 ), .VAR30( VAR30 ), .VAR12( VAR12 ), .VAR21( VAR21 ), .VAR37( VAR37 ), .VAR4( VAR4 ), .VAR6( VAR6 ) ); end else begin : VAR29 assign VAR37 = 1'b0; assign VAR21 = VAR34; assign VAR7 = VAR46; end endgenerate endmodule
gpl-2.0
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_operandmuxes.v
8,213
module MODULE1( clk, rst, VAR11, VAR17, VAR16, VAR15, VAR8, VAR9, VAR1, VAR14, VAR18, VAR5, VAR3, VAR10 ); parameter VAR13 = VAR19; input clk; input rst; input VAR11; input VAR17; input [VAR13-1:0] VAR16; input [VAR13-1:0] VAR15; input [VAR13-1:0] VAR8; input [VAR13-1:0] VAR9; input [VAR13-1:0] VAR1; input [VAR2-1:0] VAR14; input [VAR2-1:0] VAR18; output [VAR13-1:0] VAR5; output [VAR13-1:0] VAR3; output [VAR13-1:0] VAR10; reg [VAR13-1:0] VAR5; reg [VAR13-1:0] VAR3; reg [VAR13-1:0] VAR12; reg [VAR13-1:0] VAR10; reg VAR4; reg VAR6; always @(posedge clk or posedge rst) begin if (rst) begin VAR5 <= 32'd0; VAR4 <= 1'b0; end else if (!VAR17 && VAR11 && !VAR4) begin VAR5 <= VAR12; VAR4 <= 1'b1; end else if (!VAR17 && !VAR4) begin VAR5 <= VAR12; end else if (!VAR17 && !VAR11) VAR4 <= 1'b0; end always @(posedge clk or posedge rst) begin if (rst) begin VAR3 <= 32'd0; VAR6 <= 1'b0; end else if (!VAR17 && VAR11 && !VAR6) begin VAR3 <= VAR10; VAR6 <= 1'b1; end else if (!VAR17 && !VAR6) begin VAR3 <= VAR10; end else if (!VAR17 && !VAR11) VAR6 <= 1'b0; end always @(VAR8 or VAR9 or VAR16 or VAR14) begin end casex (VAR14) else casex (VAR14) VAR7 VAR12 = VAR8; VAR12 = VAR9; default: VAR12 = VAR16; endcase end always @(VAR1 or VAR8 or VAR9 or VAR15 or VAR18) begin end casex (VAR18) else casex (VAR18) VAR7 VAR10 = VAR1; VAR10 = VAR8; VAR10 = VAR9; default: VAR10 = VAR15; endcase end endmodule
gpl-2.0
AngelTerrones/ADA
rtl/ada_branch_unit.v
4,623
module MODULE1( input [5:0] VAR13, input [31:0] VAR2, input [31:0] VAR4, input [31:0] VAR19, input [20:0] VAR10, output [31:0] VAR1, output VAR15, output VAR12 ); reg [31:0] VAR17; reg VAR8; wire VAR22; wire VAR9; wire VAR21; wire VAR14; wire VAR7; wire VAR18; wire [31:0] VAR16; wire [31:0] VAR6; wire [5:0] VAR20; assign VAR1 = VAR17; assign VAR15 = VAR8; assign VAR12 = (VAR17[1:0] == 2'b00 ? 1'b0 : 1'b1) & VAR15; assign VAR22 = (VAR4) > (VAR19); assign VAR9 = VAR4 > VAR19; assign VAR21 = VAR22 | VAR7; assign VAR14 = VAR9 | VAR7; assign VAR7 = VAR4 == VAR19; assign VAR18 = ~VAR7; assign VAR16 = VAR2 + ( { VAR10, 2'b00 } ); assign VAR6 = VAR2 + ( { VAR10[VAR11], 2'b00 } ); assign VAR20 = VAR10[VAR5]; always @(*) begin case (VAR13) case(VAR20) default : begin VAR17 <= 32'VAR3; VAR8 <= 1'b0; end endcase end default : begin VAR17 <= 32'VAR3; VAR8 <= 1'b0; end endcase end endmodule
mit
aj-michael/Digital-Systems
Pong/Phase3/TermProjectPhase3/ipcore_dir/Clock50MHz/example_design/Clock50MHz_exdes.v
4,919
module MODULE1 parameter VAR27 = 100 ) ( input VAR5, input VAR10, output [1:1] VAR6, output VAR11, output VAR7 ); localparam VAR4 = 16; wire VAR17 = !VAR7 || VAR10; reg VAR9; reg VAR24; reg VAR18; reg VAR8; wire VAR20; wire VAR25; wire clk; reg [VAR4-1:0] counter; VAR23 VAR15 ( .VAR5 (VAR5), .VAR1 (VAR20), .VAR7 (VAR7)); assign VAR25 = ~clk; VAR14 VAR21 (.VAR13 (VAR6[1]), .VAR22 (clk), .VAR16 (VAR25), .VAR12 (1'b1), .VAR2 (1'b1), .VAR19 (1'b0), .VAR3 (1'b0), .VAR26 (1'b0)); assign clk = VAR20; always @(posedge VAR17 or posedge clk) begin if (VAR17) begin VAR9 <= 1'b1; VAR24 <= 1'b1; VAR18 <= 1'b1; VAR8 <= 1'b1; end else begin VAR9 <= 1'b0; VAR24 <= VAR9; VAR18 <= VAR24; VAR8 <= VAR18; end end always @(posedge clk or posedge VAR8) begin if (VAR8) begin end else begin end end assign VAR11 = counter[VAR4-1]; endmodule
mit
PyLCARS/PythonUberHDL
PYNQLearn/ClockDivider.v
1,271
module MODULE1 ( VAR6, VAR4, clk, rst ); input [31:0] VAR6; output VAR4; wire VAR4; input clk; input rst; reg [31:0] VAR2; reg VAR1; always @(posedge clk, posedge rst) begin: VAR3 if (rst) begin VAR2 <= 0; end else if ((({1'b0, VAR2}) == (({1'b0, VAR6}) - 1))) begin VAR2 <= 0; end else begin VAR2 <= (VAR2 + 1); end end always @(posedge clk, posedge rst) begin: VAR5 if (rst) begin VAR1 <= 0; end else if ((({1'b0, VAR2}) == (({1'b0, VAR6}) - 1))) begin VAR1 <= (!VAR1); end else begin VAR1 <= VAR1; end end assign VAR4 = VAR1; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlrtp/sky130_fd_sc_hdll__dlrtp.pp.blackbox.v
1,407
module MODULE1 ( VAR1 , VAR2, VAR4 , VAR7 , VAR6 , VAR3 , VAR5 , VAR8 ); output VAR1 ; input VAR2; input VAR4 ; input VAR7 ; input VAR6 ; input VAR3 ; input VAR5 ; input VAR8 ; endmodule
apache-2.0
alexforencich/xfcp
lib/eth/example/ExaNIC_X10/fpga/rtl/sync_signal.v
1,743
module MODULE1 #( parameter VAR2=1, parameter VAR1=2 )( input wire clk, input wire [VAR2-1:0] in, output wire [VAR2-1:0] out ); reg [VAR2-1:0] VAR3[VAR1-1:0]; assign out = VAR3[VAR1-1]; integer VAR4; always @(posedge clk) begin VAR3[0] <= in; for (VAR4 = 1; VAR4 < VAR1; VAR4 = VAR4 + 1) begin VAR3[VAR4] <= VAR3[VAR4-1]; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor4/sky130_fd_sc_ls__nor4.functional.v
1,329
module MODULE1 ( VAR2, VAR5, VAR3, VAR8, VAR6 ); output VAR2; input VAR5; input VAR3; input VAR8; input VAR6; wire VAR4; nor VAR1 (VAR4, VAR5, VAR3, VAR8, VAR6 ); buf VAR7 (VAR2 , VAR4 ); endmodule
apache-2.0
jncronin/jca
cpu/rom_tristate.v
1,339
module MODULE1(VAR3, VAR4, VAR2, VAR1); input VAR3; input VAR4; input [7:0] VAR2; output [7:0] VAR1; assign VAR1 = (~VAR3 & ~VAR4) ? VAR2 : 8'VAR5; endmodule
mit
Fabeltranm/FPGA-Game-D1
HW/RTL/06PCM-AUDIO-MICROFONO/Version_02/02 verilog/ProyectoDigital1/Microfono/fifo.v
2,257
module MODULE1 parameter VAR16 = 4, parameter VAR1 = 18 ) ( input clk, reset, input rd, wr, input [VAR1-1:0] VAR6, output [VAR1-1:0] VAR9, output VAR10, output VAR15 ); parameter VAR4 = (1 << VAR16); reg [VAR1-1:0] VAR17 [VAR4-1:0]; reg [VAR16-1:0] VAR5, VAR3; reg [VAR16-1:0] VAR14, VAR2; reg VAR7, VAR11, VAR8, VAR13; wire VAR12; assign VAR9 = VAR17[VAR14]; assign VAR12 = wr & ~VAR7; assign VAR15 = VAR7; assign VAR10 = VAR11; always @(posedge clk) begin if (VAR12) VAR17[VAR5] <= VAR6; end always @(posedge clk, posedge reset) begin if (reset) begin VAR5 <= 0; VAR14 <= 0; VAR7 <= 1'b0; VAR11 <= 1'b1; end else begin VAR5 <= VAR3; VAR14 <= VAR2; VAR7 <= VAR8; VAR11 <= VAR13; end end always @(posedge reset, posedge wr, posedge rd) begin if (reset) begin VAR3 = 0; VAR2 = 0; end else begin VAR8 = VAR7; VAR13 = VAR11; case ({wr, rd}) 2'b01: if (~VAR11) begin VAR2 = VAR14 + 1; VAR8 = 1'b0; if (VAR2==VAR5) VAR13 = 1'b1; end 2'b10: if (~VAR7) begin VAR3 = VAR5 + 1; VAR13 = 1'b0; if (VAR3==VAR14) VAR8 = 1'b1; end 2'b11: begin VAR3 = VAR5 + 1; VAR2 = VAR14 + 1; end endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkbuf/sky130_fd_sc_ls__clkbuf_1.v
2,034
module MODULE2 ( VAR2 , VAR4 , VAR5, VAR8, VAR1 , VAR3 ); output VAR2 ; input VAR4 ; input VAR5; input VAR8; input VAR1 ; input VAR3 ; VAR7 VAR6 ( .VAR2(VAR2), .VAR4(VAR4), .VAR5(VAR5), .VAR8(VAR8), .VAR1(VAR1), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR2, VAR4 ); output VAR2; input VAR4; supply1 VAR5; supply0 VAR8; supply1 VAR1 ; supply0 VAR3 ; VAR7 VAR6 ( .VAR2(VAR2), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/o21a/sky130_fd_sc_hvl__o21a.pp.symbol.v
1,348
module MODULE1 ( input VAR2 , input VAR8 , input VAR7 , output VAR1 , input VAR3 , input VAR6, input VAR4, input VAR5 ); endmodule
apache-2.0
schelleg/PYNQ
boards/ip/io_switch_1.1/src/io_switch.v
69,415
module MODULE1 #( parameter VAR95=28, parameter VAR100 = 2, parameter VAR13 = 3, parameter VAR92 = 2 ) ( input [31:0] VAR64, input [31:0] VAR36, input [31:0] VAR23, input [31:0] VAR7, input [31:0] VAR69, input [31:0] VAR3, input [31:0] VAR108, input [31:0] VAR104, input [VAR95-1:0] VAR101, output [VAR95-1:0] VAR112, output [VAR95-1:0] VAR121, output [VAR95-1:0] VAR30, input [VAR95-1:0] VAR32, input [VAR95-1:0] VAR48, output VAR70, input VAR120, output VAR35, input VAR34, output [VAR95-1:0] VAR60, output VAR33, input VAR88, input VAR91, output VAR1, input VAR66, input VAR29, output VAR97, input VAR53, input VAR18, output VAR46, input VAR105, input VAR116, output VAR26, input VAR31, input VAR89, output VAR43, input VAR21, input VAR59, output VAR37, input VAR87, input VAR72, input [VAR92-1:0] VAR12, input VAR28, output VAR78, input VAR39, input VAR38, output VAR9, input VAR119, input VAR115, output VAR76, input VAR67, input VAR122, input VAR103, input VAR86, input [VAR100-1:0] VAR63, output [VAR13-1:0] VAR61, input [VAR13-1:0] VAR102 ); wire [VAR95-1:0] VAR84, VAR10, VAR15, VAR50, VAR6, VAR90, VAR51, VAR57, VAR4, VAR96, VAR124, VAR109; wire [VAR95-1:0] VAR44, VAR54, VAR98, VAR49, VAR2, VAR22, VAR77, VAR11; wire VAR25, VAR118, VAR82, VAR94, VAR45, VAR16, VAR117, VAR71; assign VAR70 = | VAR84; assign VAR35 = | VAR10; assign VAR33 = | VAR15; assign VAR97 = | VAR50; assign VAR1 = | VAR6; assign VAR46 = | VAR90; assign VAR26 = | VAR51; assign VAR78 = | VAR57; assign VAR43 = | VAR4; assign VAR9 = | VAR96; assign VAR37 = | VAR124; assign VAR76 = | VAR109; assign VAR61[7] = | VAR44; assign VAR61[6] = | VAR54; assign VAR61[5] = | VAR98; assign VAR61[4] = | VAR49; assign VAR61[3] = | VAR2; assign VAR61[2] = | VAR22; assign VAR61[1] = | VAR77; assign VAR61[0] = | VAR11; genvar VAR114; generate case (VAR95) 8: begin : VAR107 for (VAR114=0; (VAR114 < 2) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR68 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=2; (VAR114 < 4) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR74 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]) ); end for (VAR114=4; (VAR114 < 6) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR126 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=6; (VAR114 < 8) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR42 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]) ); end end 16: begin for (VAR114=0; (VAR114 < 2) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR68 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86) ); end for (VAR114=2; (VAR114 < 4) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR74 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=4; (VAR114 < 6) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR126 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86) ); end for (VAR114=6; (VAR114 < 8) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR42 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=8; (VAR114 < 10) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR55 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86) ); end for (VAR114=10; (VAR114 < 12) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR52 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=12; (VAR114 < 14) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR27 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86) ); end for (VAR114=14; (VAR114 < 16) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR14 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end end 20: begin for (VAR114=0; (VAR114 < 3) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR125 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]) ); end for (VAR114=3; (VAR114 < 4) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR73 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]) ); end for (VAR114=4; (VAR114 < 8) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR79 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]) ); end for (VAR114=8; (VAR114 < 10) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR55 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=10; (VAR114 < 12) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR52 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=12; (VAR114 < 14) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR27 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=14; (VAR114 < 16) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR19 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]) ); end for (VAR114=16; (VAR114 < 20) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR113 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR69[8*((VAR114-16)+1)-1:8*(VAR114-16)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]) ); end end 28: begin for (VAR114=0; (VAR114 < 2) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR68 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]) ); end for (VAR114=2; (VAR114 < 4) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR74 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=4; (VAR114 < 7) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR47 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR102(VAR102) ); end for (VAR114=7; (VAR114 < 8) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR75 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=8; (VAR114 < 12) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR56 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28) ); end for (VAR114=12; (VAR114 < 14) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR27 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR63(VAR63) ); end for (VAR114=14; (VAR114 < 16) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR14 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]) ); end for (VAR114=16; (VAR114 < 17) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR80 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR69[8*((VAR114-16)+1)-1:8*(VAR114-16)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR103(VAR103), .VAR86(VAR86) ); end for (VAR114=17; (VAR114 < 19) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR5 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR69[8*((VAR114-16)+1)-1:8*(VAR114-16)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]) ); end for (VAR114=19; (VAR114 < 20) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR111 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR69[8*((VAR114-16)+1)-1:8*(VAR114-16)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]) ); end for (VAR114=20; (VAR114 < 22) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR24 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR3[8*((VAR114-20)+1)-1:8*(VAR114-20)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]) ); end for (VAR114=22; (VAR114 < 24) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR85 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR3[8*((VAR114-20)+1)-1:8*(VAR114-20)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]) ); end for (VAR114=24; (VAR114 < 28) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR106 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR108[8*((VAR114-24)+1)-1:8*(VAR114-24)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]) ); end end default: begin for (VAR114=0; (VAR114 < 4) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR62 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR64[8*(VAR114+1)-1:8*VAR114]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=4; (VAR114 < 8) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR79 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR36[8*((VAR114-4)+1)-1:8*(VAR114-4)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=8; (VAR114 < 12) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR56 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR23[8*((VAR114-8)+1)-1:8*(VAR114-8)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=12; (VAR114 < 16) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR19 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR7[8*((VAR114-12)+1)-1:8*(VAR114-12)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=16; (VAR114 < 20) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR113 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR69[8*((VAR114-16)+1)-1:8*(VAR114-16)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=20; (VAR114 < 24) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR123 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR3[8*((VAR114-20)+1)-1:8*(VAR114-20)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=24; (VAR114 < 28) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR106 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR108[8*((VAR114-24)+1)-1:8*(VAR114-24)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end for (VAR114=28; (VAR114 < 32) && (VAR114 < VAR95); VAR114=VAR114+1) begin: VAR40 VAR81 #(.VAR100(VAR100),.VAR13(VAR13),.VAR92(VAR92)) VAR17( .VAR8(VAR104[8*((VAR114-28)+1)-1:8*(VAR114-28)]), .VAR112(VAR112[VAR114]), .VAR121(VAR121[VAR114]), .VAR101(VAR101[VAR114]), .VAR30(VAR30[VAR114]), .VAR48(VAR48[VAR114]), .VAR32(VAR32[VAR114]), .VAR60(VAR60[VAR114]), .VAR120(VAR120), .VAR70(VAR84[VAR114]), .VAR34(VAR34), .VAR35(VAR10[VAR114]), .VAR63(VAR63), .VAR102(VAR102), .VAR41(VAR44[VAR114]), .VAR93(VAR54[VAR114]), .VAR65(VAR98[VAR114]), .VAR83(VAR49[VAR114]), .VAR20(VAR2[VAR114]), .VAR99(VAR22[VAR114]), .VAR58(VAR77[VAR114]), .VAR110(VAR11[VAR114]), .VAR31(VAR31), .VAR89(VAR89), .VAR26(VAR51[VAR114]), .VAR87(VAR87), .VAR72(VAR72), .VAR37(VAR124[VAR114]), .VAR21(VAR21), .VAR59(VAR59), .VAR43(VAR4[VAR114]), .VAR12(VAR12), .VAR28(VAR28), .VAR39(VAR39), .VAR38(VAR38), .VAR78(VAR57[VAR114]), .VAR67(VAR67), .VAR122(VAR122), .VAR76(VAR109[VAR114]), .VAR119(VAR119), .VAR115(VAR115), .VAR9(VAR96[VAR114]), .VAR103(VAR103), .VAR86(VAR86), .VAR88(VAR88), .VAR91(VAR91), .VAR33(VAR15[VAR114]), .VAR66(VAR66), .VAR29(VAR29), .VAR1(VAR6[VAR114]), .VAR53(VAR53), .VAR18(VAR18), .VAR97(VAR50[VAR114]), .VAR105(VAR105), .VAR116(VAR116), .VAR46(VAR90[VAR114]) ); end end endcase endgenerate endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
models/udp_dff_nsr_pp_pg_n/sky130_fd_sc_ms__udp_dff_nsr_pp_pg_n.blackbox.v
1,628
module MODULE1 ( VAR1 , VAR3 , VAR8 , VAR7 , VAR5 , VAR6, VAR4 , VAR2 ); output VAR1 ; input VAR3 ; input VAR8 ; input VAR7 ; input VAR5 ; input VAR6; input VAR4 ; input VAR2 ; endmodule
apache-2.0
sam-falvo/kestrel
cores/KCP53K/cpu2/rtl/verilog/xrs.v
2,391
module MODULE1( input VAR30, input [4:0] VAR1, input [63:0] VAR12, input [2:0] VAR15, output [63:0] VAR25, output [63:0] VAR19, input [4:0] VAR21, input [4:0] VAR18 ); wire [63:0] VAR4 = ((VAR15 == VAR24) ? {56'd0, VAR12[7:0]} : 0) | ((VAR15 == VAR8) ? {48'd0, VAR12[15:0]} : 0) | ((VAR15 == VAR6) ? {32'd0, VAR12[31:0]} : 0) | ((VAR15 == VAR9) ? {{56{VAR12[7]}}, VAR12[7:0]} : 0) | ((VAR15 == VAR17) ? {{48{VAR12[15]}}, VAR12[15:0]} : 0) | ((VAR15 == VAR20) ? {{32{VAR12[31]}}, VAR12[31:0]} : 0) | ((VAR15 == VAR16) ? VAR12 : 0) ; wire VAR23 = |VAR15; wire [63:0] VAR7, VAR14; reg [4:0] VAR13, VAR22; assign VAR25 = (|VAR13) ? VAR7 : 0; assign VAR19 = (|VAR22) ? VAR14 : 0; always @(posedge VAR30) begin VAR13 <= VAR21; VAR22 <= VAR18; end VAR10 VAR11( .VAR3(VAR4), .VAR23(VAR23), .VAR29(VAR1), .VAR28(VAR30), .VAR5(VAR7), .VAR26(VAR21), .VAR2(VAR30) ); VAR10 VAR27( .VAR3(VAR4), .VAR23(VAR23), .VAR29(VAR1), .VAR28(VAR30), .VAR5(VAR14), .VAR26(VAR18), .VAR2(VAR30) ); endmodule
mpl-2.0
HackSlash/SparcCool
cpu/register_file.v
3,504
module MODULE1 (VAR23, VAR16, VAR14, VAR33, VAR1, rst, clk); input [5:0] VAR23, VAR16; output [32:0] VAR14; input [32:0] VAR33; input VAR1, rst, clk; register VAR31(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR6(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR5(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR34(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR27(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR18(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR32(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR8(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR22(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR13(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR28(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR4(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR3(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR2(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR29(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR36(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR19(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR37(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR21(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR20(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR7(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR35(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR9(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR25(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR12(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR15(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR10(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR30(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR24(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR26(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR11(.VAR1(VAR1), .rst(rst), .clk(clk)); register VAR17(.VAR1(VAR1), .rst(rst), .clk(clk)); assign VAR31.in = 0; always @(posedge clk) begin if(VAR1) begin case(VAR16) 0: VAR31.in = VAR33; 1: VAR6.in = VAR33; 2: VAR5.in = VAR33; 3: VAR34.in = VAR33; 4: VAR27.in = VAR33; 5: VAR18.in = VAR33; 6: VAR32.in = VAR33; 7: VAR8.in = VAR33; 8: VAR22.in = VAR33; 9: VAR13.in = VAR33; 10: VAR28.in = VAR33; 11: VAR4.in = VAR33; 12: VAR3.in = VAR33; 13: VAR2.in = VAR33; 14: VAR29.in = VAR33; 15: VAR36.in = VAR33; 16: VAR19.in = VAR33; 17: VAR37.in = VAR33; 18: VAR21.in = VAR33; 19: VAR20.in = VAR33; 20: VAR7.in = VAR33; 21: VAR35.in = VAR33; 22: VAR9.in = VAR33; 23: VAR25.in = VAR33; 24: VAR12.in = VAR33; 25: VAR15.in = VAR33; 26: VAR10.in = VAR33; 27: VAR30.in = VAR33; 28: VAR24.in = VAR33; 29: VAR26.in = VAR33; 30: VAR11.in = VAR33; 31: VAR17.in = VAR33; endcase end else begin case(VAR23) 0: VAR14 = VAR31.out; 1: VAR14 = VAR6.out; 2: VAR14 = VAR5.out; 3: VAR14 = VAR34.out; 4: VAR14 = VAR27.out; 5: VAR14 = VAR18.out; 6: VAR14 = VAR32.out; 7: VAR14 = VAR8.out; 8: VAR14 = VAR22.out; 9: VAR14 = VAR13.out; 10: VAR14 = VAR28.out; 11: VAR14 = VAR4.out; 12: VAR14 = VAR3.out; 13: VAR14 = VAR2.out; 14: VAR14 = VAR29.out; 15: VAR14 = VAR36.out; 16: VAR14 = VAR19.out; 17: VAR14 = VAR37.out; 18: VAR14 = VAR21.out; 19: VAR14 = VAR20.out; 20: VAR14 = VAR7.out; 21: VAR14 = VAR35.out; 22: VAR14 = VAR9.out; 23: VAR14 = VAR25.out; 24: VAR14 = VAR12.out; 25: VAR14 = VAR15.out; 26: VAR14 = VAR10.out; 27: VAR14 = VAR30.out; 28: VAR14 = VAR24.out; 29: VAR14 = VAR26.out; 30: VAR14 = VAR11.out; 31: VAR14 = VAR17.out; endcase end end endmodule
unlicense
cafe-alpha/wascafe
v13/r07c_de10_20201010_abus3/wasca/synthesis/submodules/sd_fifo.v
4,094
module MODULE1 ( input [1:0] VAR1, input [7:0] VAR64, output [7:0] VAR24, input VAR37, input VAR11, input VAR33, input [1:0] VAR13, input [7:0] VAR40, output [7:0] VAR3, input VAR26, input VAR39, input VAR20, output [1:4] VAR47, output [1:4] VAR36, input rst ); wire [8:0] VAR41, VAR17, VAR57, VAR44, VAR61, VAR23, VAR22, VAR4; wire [8:0] VAR9, VAR52, VAR62, VAR29, VAR43, VAR58, VAR14, VAR49; wire VAR45, VAR16; wire [10:0] VAR5, VAR55; VAR63 VAR65 ( .VAR19(VAR41), .VAR59(VAR9), .VAR68((VAR1==2'd0) & VAR37 & !VAR47[1]), .clk(VAR33), .rst(rst) ); VAR63 VAR18 ( .VAR19(VAR17), .VAR59(VAR52), .VAR68((VAR13==2'd0) & VAR39 & !VAR36[1]), .clk(VAR20), .rst(rst) ); VAR34 ( .VAR60(9) ) VAR21 ( .VAR56(VAR41), .VAR51(VAR17), .VAR36(VAR36[1]), .VAR47(VAR47[1]), .VAR28(VAR33), .VAR38(VAR20), .rst(rst) ); VAR63 VAR50 ( .VAR19(VAR57), .VAR59(VAR62), .VAR68((VAR13==2'd1) & VAR26 & !VAR47[2]), .clk(VAR20), .rst(rst) ); VAR63 VAR42 ( .VAR19(VAR44), .VAR59(VAR29), .VAR68((VAR1==2'd1) & VAR11 & !VAR36[2]), .clk(VAR33), .rst(rst) ); VAR34 ( .VAR60(9) ) VAR6 ( .VAR56(VAR57), .VAR51(VAR44), .VAR36(VAR36[2]), .VAR47(VAR47[2]), .VAR28(VAR20), .VAR38(VAR33), .rst(rst) ); VAR63 VAR25 ( .VAR19(VAR61), .VAR59(VAR43), .VAR68((VAR1==2'd2) & VAR37 & !VAR47[3]), .clk(VAR33), .rst(rst) ); VAR63 VAR35 ( .VAR19(VAR23), .VAR59(VAR58), .VAR68((VAR13==2'd2) & VAR39 & !VAR36[3]), .clk(VAR20), .rst(rst) ); VAR34 ( .VAR60(9) ) VAR32 ( .VAR56(VAR61), .VAR51(VAR23), .VAR36(VAR36[3]), .VAR47(VAR47[3]), .VAR28(VAR33), .VAR38(VAR20), .rst(rst) ); VAR63 VAR31 ( .VAR19(VAR22), .VAR59(VAR14), .VAR68((VAR13==2'd3) & VAR26 & !VAR47[4]), .clk(VAR20), .rst(rst) ); VAR63 VAR2 ( .VAR19(VAR4), .VAR59(VAR49), .VAR68((VAR1==2'd3) & VAR11 & !VAR36[4]), .clk(VAR33), .rst(rst) ); VAR34 ( .VAR60(9) ) VAR48 ( .VAR56(VAR22), .VAR51(VAR4), .VAR36(VAR36[4]), .VAR47(VAR47[4]), .VAR28(VAR20), .VAR38(VAR33), .rst(rst) ); assign VAR45 = ((VAR1==2'd0) & !VAR47[1]) ? VAR37 : ((VAR1==2'd2) & !VAR47[3]) ? VAR37 : 1'b0; assign VAR16 = ((VAR13==2'd1) & !VAR47[2]) ? VAR26 : ((VAR13==2'd3) & !VAR47[4]) ? VAR26 : 1'b0; assign VAR5 = (VAR1==2'd0) ? {VAR1,VAR9} : (VAR1==2'd1) ? {VAR1,VAR29} : (VAR1==2'd2) ? {VAR1,VAR43} : {VAR1,VAR49}; assign VAR55 = (VAR13==2'd0) ? {VAR13,VAR52} : (VAR13==2'd1) ? {VAR13,VAR62} : (VAR13==2'd2) ? {VAR13,VAR58} : {VAR13,VAR14}; VAR12 VAR10 ( .VAR8(VAR64), .VAR67(VAR24), .VAR46(VAR5), .VAR30(VAR45), .VAR66(VAR33), .VAR27(VAR3), .VAR53(VAR55), .VAR15(VAR40), .VAR54(VAR16), .VAR7(VAR20) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a41o/sky130_fd_sc_ls__a41o_2.v
2,426
module MODULE2 ( VAR8 , VAR6 , VAR10 , VAR4 , VAR9 , VAR1 , VAR11, VAR3, VAR5 , VAR12 ); output VAR8 ; input VAR6 ; input VAR10 ; input VAR4 ; input VAR9 ; input VAR1 ; input VAR11; input VAR3; input VAR5 ; input VAR12 ; VAR2 VAR7 ( .VAR8(VAR8), .VAR6(VAR6), .VAR10(VAR10), .VAR4(VAR4), .VAR9(VAR9), .VAR1(VAR1), .VAR11(VAR11), .VAR3(VAR3), .VAR5(VAR5), .VAR12(VAR12) ); endmodule module MODULE2 ( VAR8 , VAR6, VAR10, VAR4, VAR9, VAR1 ); output VAR8 ; input VAR6; input VAR10; input VAR4; input VAR9; input VAR1; supply1 VAR11; supply0 VAR3; supply1 VAR5 ; supply0 VAR12 ; VAR2 VAR7 ( .VAR8(VAR8), .VAR6(VAR6), .VAR10(VAR10), .VAR4(VAR4), .VAR9(VAR9), .VAR1(VAR1) ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/fmcomms2/ac701/system_top.v
8,235
module MODULE1 ( VAR75, VAR68, VAR51, VAR62, VAR34, VAR3, VAR29, VAR7, VAR74, VAR106, VAR37, VAR38, VAR18, VAR48, VAR61, VAR97, VAR55, VAR47, VAR23, VAR53, VAR84, VAR70, VAR80, VAR63, VAR1, VAR13, VAR8, VAR60, VAR78, VAR98, VAR95, VAR104, VAR103, VAR85, VAR35, VAR76, VAR42, VAR89, VAR21, VAR100, VAR49, VAR92, VAR93, VAR33, VAR91, VAR31, VAR83, VAR15, enable, VAR45, VAR5, VAR77, VAR67, VAR44, VAR57, VAR87, VAR101, VAR43 ); input VAR75; input VAR68; input VAR51; input VAR62; output VAR34; output [13:0] VAR3; output [ 2:0] VAR29; output VAR7; output [ 0:0] VAR74; output [ 0:0] VAR106; output [ 0:0] VAR37; output [ 0:0] VAR38; output [ 7:0] VAR18; inout [63:0] VAR48; inout [ 7:0] VAR61; inout [ 7:0] VAR97; output [ 0:0] VAR55; output VAR47; output VAR23; output VAR53; output VAR84; output VAR70; inout VAR80; output VAR63; output VAR1; output [ 3:0] VAR13; input VAR8; input VAR60; input [ 3:0] VAR78; output VAR98; inout [ 6:0] VAR95; inout [12:0] VAR104; output VAR103; inout VAR85; inout VAR35; input VAR76; input VAR42; input VAR89; input VAR21; input [ 5:0] VAR100; input [ 5:0] VAR49; output VAR92; output VAR93; output VAR33; output VAR91; output [ 5:0] VAR31; output [ 5:0] VAR83; output VAR15; output enable; inout VAR45; inout VAR5; inout VAR77; inout [ 3:0] VAR67; inout [ 7:0] VAR44; output VAR57; output VAR87; output VAR101; input VAR43; wire [63:0] VAR46; wire [63:0] VAR69; wire [63:0] VAR6; wire [ 7:0] VAR25; wire VAR87; wire VAR101; wire VAR43; wire VAR41; wire VAR86; wire VAR58; wire VAR28; wire VAR66; assign VAR98 = 1'b1; assign VAR103 = 1'b1; assign VAR57 = VAR25[0]; assign enable = (VAR41 == 1'b1) ? VAR28 : VAR86; assign VAR15 = (VAR41 == 1'b1) ? VAR66 : VAR58; VAR9 #(.VAR10(17)) VAR36 ( .VAR102 (VAR6[48:32]), .VAR107 (VAR69[48:32]), .VAR99 (VAR46[48:32]), .VAR16 ({ VAR58, VAR86, VAR45, VAR5, VAR77, VAR67, VAR44})); VAR9 #(.VAR10(13)) VAR72 ( .VAR102 (VAR6[12:0]), .VAR107 (VAR69[12:0]), .VAR99 (VAR46[12:0]), .VAR16 (VAR104)); VAR26 VAR59 ( .VAR3 (VAR3), .VAR29 (VAR29), .VAR7 (VAR7), .VAR74 (VAR74), .VAR106 (VAR106), .VAR37 (VAR37), .VAR38 (VAR38), .VAR18 (VAR18), .VAR48 (VAR48), .VAR61 (VAR61), .VAR97 (VAR97), .VAR55 (VAR55), .VAR47 (VAR47), .VAR23 (VAR23), .VAR53 (VAR53), .VAR64 (VAR95), .VAR30 (VAR69[31:0]), .VAR73 (VAR6[31:0]), .VAR56 (VAR46[31:0]), .VAR90 (VAR69[63:32]), .VAR17 (VAR6[63:32]), .VAR32 (VAR46[63:32]), .VAR20 (VAR85), .VAR52 (VAR35), .VAR71 (1'b0), .VAR94 (1'b0), .VAR2 (1'b0), .VAR88 (1'b0), .VAR82 (1'b0), .VAR54 (VAR80), .VAR12 (VAR70), .VAR81 (VAR84), .VAR40 (VAR78), .VAR65 (VAR60), .VAR11 (VAR8), .VAR14 (VAR13), .VAR96 (VAR1), .VAR50 (VAR63), .VAR51 (VAR51), .VAR68 (VAR68), .VAR75 (VAR75), .VAR105 (VAR87), .VAR22 (VAR87), .VAR19 (VAR25), .VAR39 (VAR25), .VAR79 (VAR43), .VAR4 (VAR101), .VAR24 (VAR101), .VAR42 (VAR42), .VAR76 (VAR76), .VAR49 (VAR49), .VAR100 (VAR100), .VAR21 (VAR21), .VAR89 (VAR89), .VAR93 (VAR93), .VAR92 (VAR92), .VAR83 (VAR83), .VAR31 (VAR31), .VAR91 (VAR91), .VAR33 (VAR33), .VAR62 (VAR62), .VAR34 (VAR34), .enable (VAR28), .VAR15 (VAR66), .VAR27 (VAR41)); endmodule
gpl-3.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/or1200_spram_2048x32.v
12,824
module MODULE1( VAR66, VAR67, VAR20, clk, rst, VAR65, VAR63, VAR56, addr, VAR42, VAR24 ); parameter VAR41 = 11; parameter VAR72 = 32; input VAR66; input [VAR22 - 1:0] VAR20; output VAR67; input clk; input rst; input VAR65; input VAR63; input VAR56; input [VAR41-1:0] addr; input [VAR72-1:0] VAR42; output [VAR72-1:0] VAR24; assign VAR67 = VAR66; VAR31 #(VAR72, 1<<VAR41, VAR41) VAR29( VAR57 VAR29( VAR10 VAR29( .VAR66(VAR66), .VAR67(VAR67), .VAR20(VAR20), .VAR61(clk), .VAR7(~VAR65), .VAR33(~VAR63), .VAR48(addr), .VAR26(VAR42), .VAR34(~VAR56), .VAR14(VAR24) ); VAR39 VAR39( .VAR15(~VAR63), .VAR58(), .VAR13(~VAR56), .VAR45(), .VAR2(), .VAR35(addr), .VAR59(addr), .VAR42(VAR42), .VAR24(VAR24) ); VAR32 VAR32( .clk(clk), .VAR53(addr), .VAR16(VAR42), .VAR63(VAR63), .VAR56(VAR56), .VAR8(VAR65), .VAR70(VAR24) ); VAR6 #(1<<VAR41, VAR41-1, VAR72-1) VAR50( VAR5 VAR50( VAR6 VAR50( .VAR66(VAR66), .VAR67(VAR67), .VAR20(VAR20), .VAR21(clk), .VAR18(addr), .VAR12(VAR42), .VAR33(~VAR63), .VAR7(~VAR65), .VAR34(~VAR56), .VAR9(VAR24) ); VAR19 VAR68( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[1:0]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[1:0]) ); VAR19 VAR36( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[3:2]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[3:2]) ); VAR19 VAR62( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[5:4]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[5:4]) ); VAR19 VAR60( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[7:6]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[7:6]) ); VAR19 VAR71( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[9:8]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[9:8]) ); VAR19 VAR4( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[11:10]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[11:10]) ); VAR19 VAR1( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[13:12]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[13:12]) ); VAR19 VAR43( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[15:14]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[15:14]) ); VAR19 VAR40( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[17:16]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[17:16]) ); VAR19 VAR49( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[19:18]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[19:18]) ); VAR19 VAR54( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[21:20]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[21:20]) ); VAR19 VAR47( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[23:22]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[23:22]) ); VAR19 VAR27( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[25:24]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[25:24]) ); VAR19 VAR17( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[27:26]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[27:26]) ); VAR19 VAR51( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[29:28]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[29:28]) ); VAR19 VAR55( .VAR61(clk), .VAR38(rst), .VAR11(addr), .VAR12(VAR42[31:30]), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[31:30]) ); VAR52 VAR25( .VAR61(clk), .VAR69(rst), .VAR11(addr), .VAR12(VAR42[7:0]), .VAR44(1'b0), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[7:0]), .VAR37() ); VAR52 VAR30( .VAR61(clk), .VAR69(rst), .VAR11(addr), .VAR12(VAR42[15:8]), .VAR44(1'b0), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[15:8]), .VAR37() ); VAR52 VAR23( .VAR61(clk), .VAR69(rst), .VAR11(addr), .VAR12(VAR42[23:16]), .VAR44(1'b0), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[23:16]), .VAR37() ); VAR52 VAR3( .VAR61(clk), .VAR69(rst), .VAR11(addr), .VAR12(VAR42[31:24]), .VAR44(1'b0), .VAR46(VAR65), .VAR28(VAR63), .VAR64(VAR24[31:24]), .VAR37() ); wire wr; assign wr = VAR65 & VAR63;
gpl-3.0
hanw/sonic-lite
hw/verilog/si570/initial_config.v
3,245
module MODULE1( VAR2, VAR5, VAR3, VAR6, ); input VAR2; input VAR5; output VAR3; input VAR6; wire VAR3; reg [VAR1-1:0] VAR4; always@(posedge VAR2 or negedge VAR5) begin if (!VAR5) begin VAR4 <= 0; end else if (VAR4 == 20'hfffff) begin VAR4 <=20'hfffff; end else begin VAR4 <= VAR4 + 1; end end assign VAR3 = ((VAR4 == 20'hffffe)&VAR6) ? 1'b1: 1'b0; endmodule
mit
hj3938/FPGA-Imaging-Library
Geometry/Pan/HDL/Pan.srcs/sources_1/new/Pan.v
5,671
module MODULE1( clk, VAR10, VAR21, VAR29, VAR25, VAR9, VAR19, VAR16, VAR4, VAR12, VAR30, VAR15); parameter VAR11 = 0; parameter VAR14 = 8; parameter VAR22 = 320; parameter VAR13 = 240; parameter VAR24 = 9; input clk; input VAR10; input signed [VAR24 : 0] VAR21; input signed [VAR24 : 0] VAR29; input VAR25; input [VAR14 - 1 : 0] VAR9; input[VAR24 - 1 : 0] VAR19; input[VAR24 - 1 : 0] VAR16; output VAR4; output[VAR14 - 1 : 0] VAR12; output[VAR24 - 1 : 0] VAR30; output[VAR24 - 1 : 0] VAR15; reg[2 : 0] VAR20; reg signed [VAR24 : 0] VAR27, VAR6; reg signed [VAR24 : 0] VAR3, VAR1; reg signed [VAR24 : 0] VAR2, VAR26; reg VAR28, VAR17, VAR18, VAR7; genvar VAR5; generate always @(posedge clk or negedge VAR10 or negedge VAR25) begin if(~VAR10 || ~VAR25) VAR20 <= 0; end else if(VAR20 == 2) VAR20 <= VAR20; else VAR20 <= VAR20 + 1; end assign VAR4 = VAR20 == 2 ? 1 : 0; always @(posedge clk or negedge VAR10 or negedge VAR25) begin if(~VAR10 || ~VAR25) begin VAR27 <= 0; VAR6 <= 0; VAR3 <= 0; VAR1 <= 0; end else begin VAR27 <= VAR19 + VAR21; VAR6 <= VAR16 + VAR29; VAR3 <= VAR27; VAR1 <= VAR6; end end always @(posedge clk or negedge VAR10 or negedge VAR25) begin if(~VAR10 || ~VAR25) begin VAR2 <= 0; VAR26 <= 0; end else begin VAR2 <= VAR27 < 0 ? VAR27 + VAR22 : VAR27 - VAR22; VAR26 <= VAR6 < 0 ? VAR6 + VAR13 : VAR6 - VAR13; end end always @(posedge clk or negedge VAR10 or negedge VAR25) begin if(~VAR10 || ~VAR25) begin VAR28 <= 0; VAR17 <= 0; VAR18 <= 0; VAR7 <= 0; end else begin VAR28 <= VAR6 >= 0 ? 1 : 0; VAR17 <= VAR6 < VAR13 ? 1 : 0; VAR18 <= VAR27 >= 0 ? 1 : 0; VAR7 <= VAR27 < VAR22 ? 1 : 0; end end assign VAR30 = VAR18 & VAR7 & VAR4 ? VAR3 : VAR2; assign VAR15 = VAR28 & VAR17 & VAR4 ? VAR1 : VAR26; if(VAR11 == 0) begin for (VAR5 = 0; VAR5 < 2; VAR5 = VAR5 + 1) begin : buffer reg[VAR14 - 1 : 0] VAR23; if(VAR5 == 0) begin always @(posedge clk) VAR23 <= VAR9; end else begin always @(posedge clk) VAR23 <= buffer[VAR5 - 1].VAR23; end end assign VAR12 = VAR4 & VAR28 & VAR17 & VAR18 & VAR7 ? buffer[1].VAR23 : 0; end else begin reg[VAR14 - 1 : 0] VAR8; always @(posedge VAR25) VAR8 <= VAR9; assign VAR12 = VAR4 & VAR28 & VAR17 & VAR18 & VAR7 ? VAR8 : 0; end endgenerate endmodule
lgpl-2.1
jotego/jt51
syn/xilinx/ym09/hdl/debouncer.v
1,812
module MODULE1( input clk, input rst, input VAR2, output reg VAR8, output VAR1, output VAR9 ); reg VAR4; always @(posedge clk) VAR4 <= ~VAR2; reg VAR5; always @(posedge clk) VAR5 <= VAR4; reg [15:0] VAR3; wire VAR6 = (VAR8==VAR5); wire VAR7 = &VAR3; always @(posedge clk or posedge rst) begin if( rst ) begin VAR3 <= 16'h0; VAR8 <= 1'b0; end else if(VAR6) end VAR3 <= 16'h0; else begin VAR3 <= VAR3 + 1'd1; if(VAR7) VAR8 <= ~VAR8; end end assign VAR1 = ~VAR6 & VAR7 & ~VAR8; assign VAR9 = ~VAR6 & VAR7 & VAR8; endmodule
gpl-3.0
SymbiFlow/yosys
techlibs/common/techmap.v
15,811
module 90simplemapboolops; endmodule module 90simplemapreduceops; endmodule module 90simplemaplogicops; endmodule module 90simplemapcompareops; endmodule module 90simplemapvarious; endmodule module 90simplemapregisters; endmodule module 90shiftopsshrshlsshlsshr (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; parameter VAR72 = ""; localparam VAR19 = VAR72 == "VAR58" || VAR72 == "VAR44"; localparam VAR71 = VAR23 && VAR72 == "VAR30"; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; localparam VAR1 = VAR29(VAR74, VAR27); localparam VAR13 = VAR34(VAR78(VAR19 ? VAR27 : VAR23 ? VAR1 : VAR74) + 1, VAR54); wire [1023:0] VAR68 = "VAR67;;"; wire [1023:0] VAR45 = "VAR62; VAR80; VAR5; VAR57 -VAR2 -VAR14 -VAR49;;;"; integer VAR83; reg [VAR1-1:0] buffer; reg VAR46; always @* begin VAR46 = VAR54 > VAR13 ? |VAR59[VAR54-1:VAR13] : 1'b0; buffer = VAR46 ? {VAR1{VAR71 ? VAR33[VAR74-1] : 1'b0}} : {{VAR1-VAR74{VAR23 ? VAR33[VAR74-1] : 1'b0}}, VAR33}; for (VAR83 = 0; VAR83 < VAR13; VAR83 = VAR83+1) if (VAR59[VAR83]) begin if (VAR19) buffer = {buffer, (2**VAR83)'b0}; end else if (2**VAR83 < VAR1) buffer = {{2**VAR83{VAR71 ? buffer[VAR1-1] : 1'b0}}, buffer[VAR1-1 : 2**VAR83]}; end else buffer = {VAR1{VAR71 ? buffer[VAR1-1] : 1'b0}}; end end assign VAR16 = buffer; endmodule module 90shiftshiftx (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; parameter VAR72 = ""; parameter [VAR54-1:0] VAR24 = 0; parameter [VAR54-1:0] VAR77 = 0; localparam VAR21 = VAR72 == "VAR76" ? 1'b0 : 1'VAR69; wire VAR61 = VAR72 == "VAR53" ? VAR21 : (VAR23 ? VAR33[VAR74-1] : 1'b0); localparam VAR13 = VAR34(VAR78(VAR29(VAR74, VAR27)) + (VAR20 ? 2 : 1), VAR54); localparam VAR1 = VAR29(VAR74, VAR27) + (VAR20 ? 2**(VAR13-1) : 0); wire [1023:0] VAR68 = "VAR67;;"; wire [1023:0] VAR45 = "VAR80; VAR5; VAR57 -VAR2 -VAR14 -VAR49;;;"; integer VAR83; reg [VAR1-1:0] buffer; reg VAR46; always @* begin VAR46 = 0; buffer = {VAR1{VAR21}}; buffer[VAR27-1:0] = {VAR27{VAR61}}; buffer[VAR74-1:0] = VAR33; if (VAR54 > VAR13) begin if (VAR20) begin for (VAR83 = VAR13; VAR83 < VAR54; VAR83 = VAR83+1) if (VAR59[VAR83] != VAR59[VAR13-1]) VAR46 = 1; end else VAR46 = |VAR59[VAR54-1:VAR13]; if (VAR46) buffer = {VAR1{VAR21}}; end if (VAR20 && VAR59[VAR13-1]) buffer = {buffer, {2**(VAR13-1){VAR21}}}; for (VAR83 = 0; VAR83 < (VAR20 ? VAR13-1 : VAR13); VAR83 = VAR83+1) if (VAR59[VAR83]) begin if (2**VAR83 < VAR1) buffer = {{2**VAR83{VAR21}}, buffer[VAR1-1 : 2**VAR83]}; end else buffer = {VAR1{VAR21}}; end end assign VAR16 = buffer; endmodule module 90fa (VAR33, VAR59, VAR37, VAR75, VAR16); parameter VAR1 = 1; input [VAR1-1:0] VAR33, VAR59, VAR37; output [VAR1-1:0] VAR75, VAR16; wire [VAR1-1:0] VAR39, VAR42, VAR9; assign VAR39 = VAR33 ^ VAR59, VAR42 = VAR33 & VAR59, VAR9 = VAR37 & VAR39; assign VAR16 = VAR39 ^ VAR37, VAR75 = VAR42 | VAR9; endmodule module 90lcu (VAR51, VAR79, VAR7, VAR56); parameter VAR1 = 2; input [VAR1-1:0] VAR51, VAR79; input VAR7; output [VAR1-1:0] VAR56; integer VAR83, VAR81; reg [VAR1-1:0] VAR15, VAR84; wire [1023:0] VAR47 = "VAR67; VAR40 -VAR28"; always @* begin VAR15 = VAR51; VAR84 = VAR79; VAR84[0] = VAR84[0] | (VAR15[0] & VAR7); for (VAR83 = 1; VAR83 <= VAR78(VAR1); VAR83 = VAR83+1) begin for (VAR81 = 2**VAR83 - 1; VAR81 < VAR1; VAR81 = VAR81 + 2**VAR83) begin VAR84[VAR81] = VAR84[VAR81] | VAR15[VAR81] & VAR84[VAR81 - 2**(VAR83-1)]; VAR15[VAR81] = VAR15[VAR81] & VAR15[VAR81 - 2**(VAR83-1)]; end end for (VAR83 = VAR78(VAR1); VAR83 > 0; VAR83 = VAR83-1) begin for (VAR81 = 2**VAR83 + 2**(VAR83-1) - 1; VAR81 < VAR1; VAR81 = VAR81 + 2**VAR83) begin VAR84[VAR81] = VAR84[VAR81] | VAR15[VAR81] & VAR84[VAR81 - 2**(VAR83-1)]; VAR15[VAR81] = VAR15[VAR81] & VAR15[VAR81 - 2**(VAR83-1)]; end end end assign VAR56 = VAR84; endmodule module 90alu (VAR33, VAR59, VAR7, VAR26, VAR75, VAR16, VAR56); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR75, VAR16; input VAR7, VAR26; output [VAR27-1:0] VAR56; wire [VAR27-1:0] VAR35 = VAR60; wire [VAR27-1:0] VAR12 = VAR26 ? ~VAR17 : VAR17; wire [VAR27-1:0] VAR60, VAR17; \pos #(.VAR23(VAR23), .VAR74(VAR74), .VAR27(VAR27)) VAR8 (.VAR33(VAR33), .VAR16(VAR60)); \pos #(.VAR23(VAR20), .VAR74(VAR54), .VAR27(VAR27)) VAR64 (.VAR33(VAR59), .VAR16(VAR17)); \VAR52 #(.VAR1(VAR27)) VAR52 (.VAR51(VAR75), .VAR79(VAR35 & VAR12), .VAR7(VAR7), .VAR56(VAR56)); assign VAR75 = VAR35 ^ VAR12; assign VAR16 = VAR75 ^ {VAR56, VAR7}; endmodule module 90macc; endmodule module 90alumacc; endmodule module \VAR82 (VAR33, VAR59, VAR16, VAR55); parameter VAR1 = 1; input [VAR1-1:0] VAR33, VAR59; output [VAR1-1:0] VAR16, VAR55; wire [VAR1*VAR1-1:0] VAR25; assign VAR55 = VAR25[VAR1*VAR1-1:VAR1*(VAR1-1)]; genvar VAR83; generate begin for (VAR83 = 0; VAR83 < VAR1; VAR83=VAR83+1) begin:VAR10 wire [VAR1-1:0] VAR22; if (VAR83 == 0) begin:VAR50 assign VAR22 = VAR33; end else begin:VAR50 assign VAR22 = VAR25[VAR83*VAR1-1:(VAR83-1)*VAR1]; end assign VAR16[VAR1-(VAR83+1)] = VAR22 >= {VAR59, {VAR1-(VAR83+1){1'b0}}}; assign VAR25[(VAR83+1)*VAR1-1:VAR83*VAR1] = VAR16[VAR1-(VAR83+1)] ? VAR22 - {VAR59, {VAR1-(VAR83+1){1'b0}}} : VAR22; end end endgenerate endmodule module \VAR31 (VAR33, VAR59, VAR16, VAR55); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; localparam VAR1 = VAR74 >= VAR54 && VAR74 >= VAR27 ? VAR74 : VAR54 >= VAR74 && VAR54 >= VAR27 ? VAR54 : VAR27; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16, VAR55; wire [VAR1-1:0] VAR60, VAR17; \pos #(.VAR23(VAR23), .VAR74(VAR74), .VAR27(VAR1)) VAR8 (.VAR33(VAR33), .VAR16(VAR60)); \pos #(.VAR23(VAR20), .VAR74(VAR54), .VAR27(VAR1)) VAR64 (.VAR33(VAR59), .VAR16(VAR17)); wire [VAR1-1:0] VAR65, VAR41, VAR48, VAR32; assign VAR65 = VAR23 && VAR60[VAR1-1] ? -VAR60 : VAR60; assign VAR41 = VAR20 && VAR17[VAR1-1] ? -VAR17 : VAR17; \VAR82 #( .VAR1(VAR1) ) VAR82 ( .VAR33(VAR65), .VAR59(VAR41), .VAR16(VAR48), .VAR55(VAR32) ); assign VAR16 = VAR23 && VAR20 && (VAR60[VAR1-1] != VAR17[VAR1-1]) ? -VAR48 : VAR48; assign VAR55 = VAR23 && VAR20 && VAR60[VAR1-1] ? -VAR32 : VAR32; endmodule module 90div (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; \VAR31 #( .VAR23(VAR23), .VAR20(VAR20), .VAR74(VAR74), .VAR54(VAR54), .VAR27(VAR27) ) VAR18 ( .VAR33(VAR33), .VAR59(VAR59), .VAR16(VAR16) ); endmodule module 90mod (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; \VAR31 #( .VAR23(VAR23), .VAR20(VAR20), .VAR74(VAR74), .VAR54(VAR54), .VAR27(VAR27) ) VAR18 ( .VAR33(VAR33), .VAR59(VAR59), .VAR55(VAR16) ); endmodule module \VAR11 (VAR33, VAR59, VAR16, VAR55); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; localparam VAR1 = VAR74 >= VAR54 && VAR74 >= VAR27 ? VAR74 : VAR54 >= VAR74 && VAR54 >= VAR27 ? VAR54 : VAR27; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16, VAR55; wire [VAR1-1:0] VAR60, VAR17; \pos #(.VAR23(VAR23), .VAR74(VAR74), .VAR27(VAR1)) VAR8 (.VAR33(VAR33), .VAR16(VAR60)); \pos #(.VAR23(VAR20), .VAR74(VAR54), .VAR27(VAR1)) VAR64 (.VAR33(VAR59), .VAR16(VAR17)); wire [VAR1-1:0] VAR65, VAR41, VAR48, VAR32, VAR43; assign VAR65 = VAR23 && VAR60[VAR1-1] ? -VAR60 : VAR60; assign VAR41 = VAR20 && VAR17[VAR1-1] ? -VAR17 : VAR17; \VAR82 #( .VAR1(VAR1) ) VAR82 ( .VAR33(VAR65), .VAR59(VAR41), .VAR16(VAR48), .VAR55(VAR32) ); assign VAR16 = VAR23 && VAR20 && (VAR60[VAR1-1] != VAR17[VAR1-1]) ? (VAR32 == 0 ? -VAR48 : -VAR48-1) : VAR48; assign VAR43 = VAR23 && VAR20 && VAR60[VAR1-1] ? -VAR32 : VAR32; assign VAR55 = (VAR43 != 0) && VAR23 && VAR20 && (VAR60[VAR1-1] != VAR17[VAR1-1]) ? (VAR17) + (VAR43) : VAR43; endmodule module 90divfloor (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; \VAR11 #( .VAR23(VAR23), .VAR20(VAR20), .VAR74(VAR74), .VAR54(VAR54), .VAR27(VAR27) ) VAR18 ( .VAR33(VAR33), .VAR59(VAR59), .VAR16(VAR16) ); endmodule module 90modfloor (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; \VAR11 #( .VAR23(VAR23), .VAR20(VAR20), .VAR74(VAR74), .VAR54(VAR54), .VAR27(VAR27) ) VAR18 ( .VAR33(VAR33), .VAR59(VAR59), .VAR55(VAR16) ); endmodule module 90pow (VAR33, VAR59, VAR16); parameter VAR23 = 0; parameter VAR20 = 0; parameter VAR74 = 1; parameter VAR54 = 1; parameter VAR27 = 1; input [VAR74-1:0] VAR33; input [VAR54-1:0] VAR59; output [VAR27-1:0] VAR16; wire VAR66 = 1; endmodule module 90pmux (VAR33, VAR59, VAR36, VAR16); parameter VAR1 = 1; parameter VAR6 = 1; input [VAR1-1:0] VAR33; input [VAR1*VAR6-1:0] VAR59; input [VAR6-1:0] VAR36; output [VAR1-1:0] VAR16; wire [VAR1-1:0] VAR4; genvar VAR83, VAR81; generate wire [VAR1*VAR6-1:0] VAR3; for (VAR83 = 0; VAR83 < VAR6; VAR83 = VAR83 + 1) begin:VAR38 assign VAR3[VAR1*(VAR83+1)-1:VAR1*VAR83] = VAR59[VAR1*(VAR83+1)-1:VAR1*VAR83] & {VAR1{VAR36[VAR83]}}; end:VAR38 for (VAR83 = 0; VAR83 < VAR1; VAR83 = VAR83 + 1) begin:VAR63 wire [VAR6-1:0] VAR70; for (VAR81 = 0; VAR81 < VAR6; VAR81 = VAR81 + 1) begin:VAR73 assign VAR70[VAR81] = VAR3[VAR1*VAR81+VAR83]; end:VAR73 assign VAR4[VAR83] = |VAR70; end:VAR63 endgenerate assign VAR16 = |VAR36 ? VAR4 : VAR33; endmodule module 90lut; endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o221ai/sky130_fd_sc_ls__o221ai.symbol.v
1,402
module MODULE1 ( input VAR6, input VAR3, input VAR1, input VAR7, input VAR10, output VAR8 ); supply1 VAR9; supply0 VAR2; supply1 VAR4 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a31o/sky130_fd_sc_ms__a31o.behavioral.pp.v
2,026
module MODULE1 ( VAR14 , VAR1 , VAR11 , VAR15 , VAR13 , VAR10, VAR8, VAR7 , VAR3 ); output VAR14 ; input VAR1 ; input VAR11 ; input VAR15 ; input VAR13 ; input VAR10; input VAR8; input VAR7 ; input VAR3 ; wire VAR16 ; wire VAR9 ; wire VAR17; and VAR12 (VAR16 , VAR15, VAR1, VAR11 ); or VAR2 (VAR9 , VAR16, VAR13 ); VAR4 VAR6 (VAR17, VAR9, VAR10, VAR8); buf VAR5 (VAR14 , VAR17 ); endmodule
apache-2.0
zhaishaomin/ring_network-based-multicore-
fifo.v
3,222
module MODULE1 (VAR13, VAR8, VAR6, VAR16, VAR9, VAR15, VAR11, VAR2 ); input VAR13; input [18:0] VAR8; input VAR6; input VAR16; input VAR9; output[18:0] VAR15; output VAR11; output VAR2; wire VAR3; wire VAR5; reg [3:0] VAR12; reg [3:0] VAR7; reg VAR1; reg VAR4; reg [18:0] MODULE1 [0:15] ; reg [18:0] VAR10; reg [4:0] VAR14; always @(posedge VAR13 or posedge VAR6) begin if(VAR6) VAR14<=5'b0; end else if((!VAR9&&VAR16)||(VAR9&&!VAR16)) begin if(VAR16) VAR14<=VAR14+1'b1; end else VAR14<=VAR14-1'b1; end else VAR14<=VAR14; end always@(posedge VAR13 or posedge VAR6 ) if(VAR6) VAR12=4'b0000; else if(VAR9) VAR12=VAR12+1; always@(posedge VAR13 or posedge VAR6 ) if(VAR6) VAR7=4'b0000; else if(VAR16) VAR7=VAR7+1; always@(posedge VAR13 or posedge VAR6 ) if(VAR6) VAR1=0; else if(VAR5) VAR1=1; always@(posedge VAR13 or posedge VAR6 ) if(VAR6) VAR4=0; else if(VAR3) VAR4=1; always@(VAR16 ) begin if(VAR16) MODULE1[VAR7]=VAR8; end always@( VAR9) begin if(VAR9) VAR10=MODULE1[VAR12]; end else VAR10=19'h00000; end assign VAR5=((VAR14==5'b01111&&VAR16))? 1:0; assign VAR3=((VAR14==5'b00001&&VAR9))? 1:0; assign VAR15=VAR10; assign VAR11=VAR1; assign VAR2=VAR4; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41a/sky130_fd_sc_ls__o41a_1.v
2,411
module MODULE1 ( VAR2 , VAR7 , VAR11 , VAR3 , VAR5 , VAR12 , VAR4, VAR1, VAR10 , VAR6 ); output VAR2 ; input VAR7 ; input VAR11 ; input VAR3 ; input VAR5 ; input VAR12 ; input VAR4; input VAR1; input VAR10 ; input VAR6 ; VAR8 VAR9 ( .VAR2(VAR2), .VAR7(VAR7), .VAR11(VAR11), .VAR3(VAR3), .VAR5(VAR5), .VAR12(VAR12), .VAR4(VAR4), .VAR1(VAR1), .VAR10(VAR10), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR2 , VAR7, VAR11, VAR3, VAR5, VAR12 ); output VAR2 ; input VAR7; input VAR11; input VAR3; input VAR5; input VAR12; supply1 VAR4; supply0 VAR1; supply1 VAR10 ; supply0 VAR6 ; VAR8 VAR9 ( .VAR2(VAR2), .VAR7(VAR7), .VAR11(VAR11), .VAR3(VAR3), .VAR5(VAR5), .VAR12(VAR12) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlybuf4s18kapwr/sky130_fd_sc_lp__dlybuf4s18kapwr.blackbox.v
1,376
module MODULE1 ( VAR2, VAR7 ); output VAR2; input VAR7; supply1 VAR1 ; supply0 VAR4 ; supply1 VAR5; supply1 VAR6 ; supply0 VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o21ba/sky130_fd_sc_ls__o21ba.functional.v
1,467
module MODULE1 ( VAR2 , VAR5 , VAR1 , VAR3 ); output VAR2 ; input VAR5 ; input VAR1 ; input VAR3; wire VAR4 ; wire VAR9; nor VAR7 (VAR4 , VAR5, VAR1 ); nor VAR6 (VAR9, VAR3, VAR4 ); buf VAR8 (VAR2 , VAR9 ); endmodule
apache-2.0
mammenx/synesthesia_moksha
wxp/dgn/syn/limbus/synthesis/submodules/limbus_cpu_cpu_debug_slave_wrapper.v
9,028
module MODULE1 ( VAR33, VAR53, clk, VAR50, VAR11, VAR20, VAR17, VAR3, VAR10, VAR6, VAR26, VAR8, VAR4, VAR19, VAR54, VAR12, VAR13, VAR34, VAR25, VAR22, VAR48, VAR35, VAR57, VAR47, VAR56, VAR39, VAR40, VAR31, VAR41, VAR44, VAR21, VAR51, VAR46 ) ; output [ 37: 0] VAR48; output VAR35; output VAR57; output VAR47; output VAR56; output VAR39; output VAR40; output VAR31; output VAR41; output VAR44; output VAR21; output VAR51; output VAR46; input [ 31: 0] VAR33; input [ 31: 0] VAR53; input clk; input VAR50; input VAR11; input VAR20; input VAR17; input VAR3; input VAR10; input VAR6; input VAR26; input VAR8; input VAR4; input [ 35: 0] VAR19; input VAR54; input [ 6: 0] VAR12; input VAR13; input VAR34; input VAR25; input VAR22; wire [ 37: 0] VAR48; wire VAR35; wire [ 37: 0] VAR37; wire VAR57; wire VAR47; wire VAR56; wire VAR39; wire VAR40; wire VAR31; wire VAR41; wire VAR44; wire VAR21; wire VAR51; wire VAR46; wire VAR32; wire [ 1: 0] VAR49; wire [ 1: 0] VAR1; wire VAR7; wire VAR42; wire VAR30; wire VAR43; wire VAR55; wire VAR36; wire VAR28; VAR14 VAR29 ( .VAR33 (VAR33), .VAR53 (VAR53), .VAR50 (VAR50), .VAR11 (VAR11), .VAR20 (VAR20), .VAR17 (VAR17), .VAR3 (VAR3), .VAR5 (VAR49), .VAR27 (VAR1), .VAR35 (VAR35), .VAR18 (VAR7), .VAR10 (VAR10), .VAR6 (VAR6), .VAR26 (VAR26), .VAR8 (VAR8), .VAR37 (VAR37), .VAR57 (VAR57), .VAR38 (VAR30), .VAR52 (VAR43), .VAR15 (VAR55), .VAR4 (VAR4), .VAR19 (VAR19), .VAR54 (VAR54), .VAR12 (VAR12), .VAR13 (VAR13), .VAR34 (VAR34), .VAR25 (VAR25), .VAR22 (VAR22), .VAR23 (VAR32), .VAR9 (VAR42), .VAR2 (VAR28) ); VAR45 VAR24 ( .clk (clk), .VAR5 (VAR49), .VAR48 (VAR48), .VAR37 (VAR37), .VAR47 (VAR47), .VAR56 (VAR56), .VAR39 (VAR39), .VAR40 (VAR40), .VAR31 (VAR31), .VAR41 (VAR41), .VAR44 (VAR44), .VAR21 (VAR21), .VAR51 (VAR51), .VAR46 (VAR46), .VAR16 (VAR36), .VAR2 (VAR28) ); assign VAR30 = 1'b0; assign VAR43 = 1'b0; assign VAR42 = 1'b0; assign VAR32 = 1'b0; assign VAR7 = 1'b0; assign VAR28 = 1'b0; assign VAR36 = 1'b0; assign VAR49 = 2'b0; endmodule
gpl-3.0
chaohu/Daily-Learning
Verilog/lab5/lab5_1/lab5_3_1/lab5_3_1.srcs/sources_1/new/lab5_3_1.v
2,017
module MODULE1( input VAR6,reset,clk, output reg [2:0] VAR2, output reg [2:0] state,VAR1 ); parameter VAR4 = 0,VAR5 = 1,VAR7 = 3,VAR8 = 5,VAR3 = 7,VAR9 = 2; reg [5:0] VAR10 [7:0]; begin begin end begin begin begin end begin end begin end begin end begin end begin end
mit
ServerTech/neptune
code/reg_array_dual.v
2,158
module MODULE1(clk, rst, VAR9, VAR11, VAR3, VAR13, VAR10, VAR7, VAR1, VAR6, VAR4); parameter VAR5 = 'd16; parameter VAR12 = 'd8; parameter VAR2 = 'd3; input wire clk , rst ; input wire VAR9 , VAR11 ; input wire [VAR2-1:0] VAR3 , VAR13 ; input [VAR5-1:0] VAR10 , VAR7 ; output reg VAR1 ; output reg [VAR5-1:0] VAR6 , VAR4 ; reg [VAR5-1:0] VAR8 [0:VAR12-1] ; always@(posedge clk) begin if(rst) VAR1 <= 1'b0; end else if((VAR9 && VAR11) && (VAR3 && VAR13)) VAR1 <= 1'b1; end always@(posedge clk) begin VAR6 [VAR5-1:0] <= VAR8[VAR3] [VAR5-1:0]; VAR4 [VAR5-1:0] <= VAR8[VAR13] [VAR5-1:0]; end always@(posedge clk) begin if(VAR9) VAR8[VAR3] [VAR5-1:0] <= VAR10 [VAR5-1:0]; if(VAR11) VAR8[VAR13] [VAR5-1:0] <= VAR7 [VAR5-1:0]; end endmodule
mit
DougFirErickson/parallella-hw
fpga/src/emmu/hdl/emmu.v
5,932
module MODULE1 ( VAR32, VAR16, VAR22, VAR15, VAR18, VAR29, VAR39, VAR36, clk, reset, VAR8, VAR28, VAR5, VAR20, VAR13, VAR37, VAR26, VAR7, VAR35, VAR6, VAR2, VAR21, VAR33 ); parameter VAR27 = 32; parameter VAR9 = 32; parameter VAR24 = 12; parameter VAR30 = 64; parameter VAR40 = VAR30-VAR9+VAR24; parameter VAR34 = VAR24+1; input clk; input reset; input VAR8; input VAR28; input VAR5; input VAR20; input [15:0] VAR13; input [VAR27-1:0] VAR37; output [VAR27-1:0] VAR32; input VAR26; input VAR7; input [1:0] VAR35; input [3:0] VAR6; input [VAR9-1:0] VAR2; input [VAR9-1:0] VAR21; input [VAR27-1:0] VAR33; output VAR16; output VAR22; output [1:0] VAR15; output [3:0] VAR18; output [63:0] VAR29; output [VAR9-1:0] VAR39; output [VAR27-1:0] VAR36; reg VAR16; reg VAR22; reg [1:0] VAR15; reg [3:0] VAR18; reg [VAR9-1:0] VAR39; reg [VAR27-1:0] VAR36; reg [VAR9-1:0] VAR1; wire [47:0] VAR12; wire [63:0] VAR38; wire VAR10; wire [5:0] VAR17; assign VAR10 = VAR5 & VAR20; assign VAR17[5:0] = (VAR10 & ~VAR13[2]) ? 6'b001111 : (VAR10 & VAR13[2]) ? 6'b110000 : 6'b000000 ; assign VAR38[63:0] = {VAR37[31:0], VAR37[31:0]}; VAR31 #(.VAR27(48),.VAR9(12)) VAR31 ( .VAR25 (VAR12[47:0]), .VAR23 (VAR28), .VAR19 (VAR17[5:0]), .VAR3 (VAR13[14:3]), .VAR4 (VAR38[47:0]), .VAR14 (clk), .VAR11 (VAR26), .VAR41 (VAR2[31:20]) ); always @ (posedge clk) VAR16 <= VAR26; always @ (posedge clk) if(VAR26) begin VAR22 <= VAR7; VAR36[VAR27-1:0] <= VAR33[VAR27-1:0]; VAR39[VAR9-1:0] <= VAR21[VAR9-1:0]; VAR1[VAR9-1:0] <= VAR2[VAR9-1:0]; VAR18[3:0] <= VAR6[3:0]; VAR15[1:0] <= VAR35[1:0]; end assign VAR29[63:0] = VAR8 ? {VAR12[43:0], VAR1[19:0]} : {32'b0,VAR1[31:0]}; endmodule
gpl-3.0
Obijuan/ACC
hw/roadmap/05-click-counter2/click_counter2.v
3,473
module MODULE3 (input VAR23, input clk, input rst, output VAR1, output VAR7, output VAR32, output VAR30, output VAR35, output VAR6, output VAR14, output VAR33); wire VAR29, VAR24; wire VAR20, VAR26; wire VAR37; VAR5 #( .VAR27(6'VAR25 101001), .VAR16(1'VAR25 1) ) VAR15 ( .VAR3(clk), .VAR36(VAR24) ); VAR5 #( .VAR27(6'VAR25 101001), .VAR16(1'VAR25 1) ) VAR22 ( .VAR3(rst), .VAR36(VAR26) ); assign VAR20 = ~VAR26; assign VAR37 = ~VAR24; MODULE1 MODULE1 ( .clk(VAR23), .VAR34(VAR37), .VAR13(VAR29) ); reg [7:0] counter; always @(posedge VAR29 or posedge VAR20) begin if (VAR20==1'b1) counter <= 7'b0; end else counter <= counter + 1; end assign VAR1 = counter[0]; assign VAR7 = counter[1]; assign VAR32 = counter[2]; assign VAR30 = counter[3]; assign VAR35 = counter[4]; assign VAR6 = counter[5]; assign VAR14 = counter[6]; assign VAR33 = counter[7]; endmodule module MODULE1(input wire clk, input wire VAR34, output wire VAR13); localparam VAR31 = 0; localparam VAR8 = 1; localparam VAR2 = 2; localparam VAR19 = 3; reg [1:0] state = VAR31; reg [1:0] VAR17; reg out = 0; reg VAR12 = 0; assign VAR13 = out; always @(posedge clk) state <= VAR17; always @(*) begin VAR17 = state; VAR12 = 0; out = 0; case (state) VAR31: begin VAR12 = 0; out = 0; if (VAR34) VAR17 = VAR8; end VAR8: begin VAR12 = 1; out = 1; if (VAR28) VAR17 = VAR2; end VAR2: begin VAR12 = 0; out = 1; if (VAR34 == 0) VAR17 = VAR19; end VAR19: begin VAR12 = 1; out = 0; if (VAR28) VAR17 = VAR31; end default: begin end endcase end assign VAR13 = out; wire VAR28; MODULE2 #( .VAR21(16) ) VAR4 ( .VAR29(clk), .VAR11(VAR12), .VAR10(VAR28) ); endmodule module MODULE2(input wire VAR29, input wire VAR11, output wire VAR10); parameter VAR21 = 22; reg [VAR21-1:0] VAR18 = 0; assign VAR10 = VAR18[VAR21-1]; always @(posedge(VAR29)) begin if (!VAR11) VAR18 <= 0; end else VAR18 <= VAR18 + 1; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkbuf/sky130_fd_sc_hdll__clkbuf_12.v
2,056
module MODULE1 ( VAR4 , VAR8 , VAR3, VAR6, VAR2 , VAR5 ); output VAR4 ; input VAR8 ; input VAR3; input VAR6; input VAR2 ; input VAR5 ; VAR1 VAR7 ( .VAR4(VAR4), .VAR8(VAR8), .VAR3(VAR3), .VAR6(VAR6), .VAR2(VAR2), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR4, VAR8 ); output VAR4; input VAR8; supply1 VAR3; supply0 VAR6; supply1 VAR2 ; supply0 VAR5 ; VAR1 VAR7 ( .VAR4(VAR4), .VAR8(VAR8) ); endmodule
apache-2.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_reg_map.v
4,353
module MODULE1(); parameter VAR28 = 32'h20000000; parameter VAR25 = 32'h10000000; reg [VAR2-1:0] VAR7 [0:(VAR25/VAR11)-1]; reg [VAR2-1:0] VAR34 [0:(VAR25/VAR11)-1]; parameter VAR23 = 26; reg [VAR2-1:0] VAR15 [0:(VAR28/VAR11)-1]; parameter VAR23 = 27; task automatic VAR20; input VAR33; begin end endtask task automatic VAR19; input [VAR35-1:0] address; input [VAR2-1:0] VAR10; reg [VAR35-1:0] addr; begin addr = address >> 2; case(addr[VAR35-1:VAR23]) 14 : VAR7[addr[VAR23-1:0]] = VAR10; 15 : VAR34[addr[VAR23-1:0]] = VAR10; endcase VAR15[addr[VAR23-1:0]] = VAR10; end endtask task automatic VAR1; input [VAR35-1:0] addr; input [VAR2-1:0] VAR10; begin case(addr[VAR35-1:VAR23]) 6'h0E : VAR7[addr[VAR23-1:0]] = VAR10; 6'h0F : VAR34[addr[VAR23-1:0]] = VAR10; endcase VAR15[addr[VAR23-1:0]] = VAR10; end endtask task automatic VAR21; input [VAR35-1:0] addr; output [VAR2-1:0] VAR10; begin case(addr[VAR35-1:VAR23]) 6'h0E : VAR10 = VAR7[addr[VAR23-1:0]]; 6'h0F : VAR10 = VAR34[addr[VAR23-1:0]]; endcase VAR10 = VAR15[addr[VAR23-1:0]]; end endtask task VAR32; output[VAR24-1 :0] VAR10; input [VAR35-1:0] VAR8; input [VAR30:0] VAR4; integer VAR12; reg [VAR35-1:0] addr; reg [VAR2-1:0] VAR27; reg [VAR24-1:0] VAR26; integer VAR29; begin addr = VAR8 >> VAR9; VAR29 = VAR4; %0d VAR14",, VAR36, VAR8,VAR4 ); VAR21(addr,VAR26[VAR24-1 : VAR24- VAR2]); if(VAR4 < VAR11 ) begin repeat(VAR22 - VAR11) VAR26 = VAR26 >> 8; end else begin VAR29 = VAR29 - VAR11; addr = addr+1; while (VAR29 > (VAR11-1) ) begin VAR26 = VAR26 >> VAR2; VAR21(addr,VAR26[VAR24-1 : VAR24-VAR2]); addr = addr+1; VAR29 = VAR29 - VAR11; end VAR21(addr,VAR27); while(VAR29 > 0) begin VAR26 = VAR26 >> 8; VAR26[VAR24-1 : VAR24-8] = VAR27[7:0]; VAR27 = VAR27 >> 8; VAR29 = VAR29 - 1; end repeat(VAR22 - VAR4) VAR26 = VAR26 >> 8; end VAR10 = VAR26; VAR16("[%0d] : %0s : VAR31 VAR6 VAR18 VAR5 VAR3 address (0x%0h), VAR17 VAR13(0x%0h)",, VAR36, VAR8, VAR10 ); end endtask begin
gpl-3.0
hsnuonly/PikachuVolleyFPGA
VGA.ip_user_files/ip/num/num_stub.v
1,252
module MODULE1(VAR4, VAR5, VAR2, VAR3, VAR1) ; input VAR4; input [0:0]VAR5; input [12:0]VAR2; input [11:0]VAR3; output [11:0]VAR1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o2bb2a/sky130_fd_sc_lp__o2bb2a.functional.v
1,562
module MODULE1 ( VAR12 , VAR3, VAR8, VAR9 , VAR7 ); output VAR12 ; input VAR3; input VAR8; input VAR9 ; input VAR7 ; wire VAR4 ; wire VAR10 ; wire VAR6; nand VAR5 (VAR4 , VAR8, VAR3 ); or VAR1 (VAR10 , VAR7, VAR9 ); and VAR2 (VAR6, VAR4, VAR10); buf VAR11 (VAR12 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/mux2/sky130_fd_sc_hdll__mux2_12.v
2,209
module MODULE2 ( VAR1 , VAR2 , VAR3 , VAR9 , VAR4, VAR6, VAR10 , VAR5 ); output VAR1 ; input VAR2 ; input VAR3 ; input VAR9 ; input VAR4; input VAR6; input VAR10 ; input VAR5 ; VAR8 VAR7 ( .VAR1(VAR1), .VAR2(VAR2), .VAR3(VAR3), .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6), .VAR10(VAR10), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR1 , VAR2, VAR3, VAR9 ); output VAR1 ; input VAR2; input VAR3; input VAR9 ; supply1 VAR4; supply0 VAR6; supply1 VAR10 ; supply0 VAR5 ; VAR8 VAR7 ( .VAR1(VAR1), .VAR2(VAR2), .VAR3(VAR3), .VAR9(VAR9) ); endmodule
apache-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/wr_fifo32to256.v
13,843
module MODULE1( rst, VAR239, VAR35, din, VAR156, VAR8, dout, VAR406, VAR151, VAR266, VAR388 ); input rst; input VAR239; input VAR35; input [31 : 0] din; input VAR156; input VAR8; output [255 : 0] dout; output VAR406; output VAR151; output [9 : 0] VAR266; output [12 : 0] VAR388; VAR325 #( .VAR115(0), .VAR378(0), .VAR238(0), .VAR203(0), .VAR336(0), .VAR240(0), .VAR119(0), .VAR225(32), .VAR381(1), .VAR207(1), .VAR354(1), .VAR338(64), .VAR113(4), .VAR122(1), .VAR195(0), .VAR306(1), .VAR334(64), .VAR107(4), .VAR21(8), .VAR209(4), .VAR32(4), .VAR258(4), .VAR366(0), .VAR2(0), .VAR395(0), .VAR77(13), .VAR356("VAR99"), .VAR411(32), .VAR87(1), .VAR55(32), .VAR218(64), .VAR241(32), .VAR358(64), .VAR125(2), .VAR233("0"), .VAR181(256), .VAR104(0), .VAR108(1), .VAR139(0), .VAR128(0), .VAR418(0), .VAR321(0), .VAR320(0), .VAR177(0), .VAR343(0), .VAR216("VAR132"), .VAR251(1), .VAR232(0), .VAR27(0), .VAR45(0), .VAR287(0), .VAR391(0), .VAR145(0), .VAR337(0), .VAR94(0), .VAR180(0), .VAR185(0), .VAR24(0), .VAR124(0), .VAR276(0), .VAR133(0), .VAR410(1), .VAR28(0), .VAR12(0), .VAR249(0), .VAR316(0), .VAR95(0), .VAR100(0), .VAR429(0), .VAR20(0), .VAR267(0), .VAR84(0), .VAR425(0), .VAR71(0), .VAR270(0), .VAR168(0), .VAR187(0), .VAR246(0), .VAR234(0), .VAR160(0), .VAR304(0), .VAR182(0), .VAR376(1), .VAR242(0), .VAR165(1), .VAR428(0), .VAR101(0), .VAR213(0), .VAR73(0), .VAR385(0), .VAR80(1), .VAR255(0), .VAR172(2), .VAR222(1), .VAR38(1), .VAR200(1), .VAR224(1), .VAR175(1), .VAR264(1), .VAR4(0), .VAR134(0), .VAR401(1), .VAR7("VAR99"), .VAR14(1), .VAR293(0), .VAR277(0), .VAR352(0), .VAR223(1), .VAR344("8kx4"), .VAR149(4), .VAR129(1022), .VAR97(1022), .VAR365(1022), .VAR17(1022), .VAR157(1022), .VAR51(1022), .VAR315(5), .VAR362(0), .VAR426(0), .VAR230(0), .VAR65(0), .VAR257(0), .VAR220(0), .VAR179(0), .VAR166(8191), .VAR263(1023), .VAR408(1023), .VAR245(1023), .VAR396(1023), .VAR150(1023), .VAR307(1023), .VAR184(8190), .VAR72(0), .VAR284(0), .VAR136(0), .VAR167(0), .VAR314(0), .VAR64(0), .VAR383(0), .VAR5(0), .VAR74(10), .VAR302(1024), .VAR198(1), .VAR34(10), .VAR53(0), .VAR235(0), .VAR345(0), .VAR155(0), .VAR16(0), .VAR31(0), .VAR226(0), .VAR63(2), .VAR221(0), .VAR137(0), .VAR292(0), .VAR42(0), .VAR412(1), .VAR259(0), .VAR318(0), .VAR188(0), .VAR112(0), .VAR191(0), .VAR326(0), .VAR278(0), .VAR146(0), .VAR162(0), .VAR349(0), .VAR405(0), .VAR361(0), .VAR153(0), .VAR377(0), .VAR386(13), .VAR18(8192), .VAR335(1024), .VAR309(16), .VAR186(1024), .VAR286(16), .VAR159(1024), .VAR75(16), .VAR208(1), .VAR61(13), .VAR135(10), .VAR347(4), .VAR281(10), .VAR118(4), .VAR174(10), .VAR387(4), .VAR70(1), .VAR202(0) ) VAR328 ( .VAR103(rst), .VAR81(VAR239), .VAR37(VAR35), .VAR403(din), .VAR22(VAR156), .VAR201(VAR8), .VAR369(dout), .VAR248(VAR406), .VAR111(VAR151), .VAR66(VAR266), .VAR297(VAR388), .VAR90(), .VAR355(), .VAR397(), .VAR253(), .VAR76(), .VAR311(), .VAR415(), .VAR413(), .VAR294(), .VAR333(), .VAR117(), .VAR375(), .VAR373(), .VAR363(), .VAR164(), .VAR394(), .VAR173(), .VAR39(), .VAR56(), .VAR305(), .VAR49(), .VAR199(), .VAR319(), .VAR236(), .VAR143(), .VAR332(), .VAR10(), .VAR310(), .VAR254(), .VAR140(), .VAR85(), .VAR60(), .VAR260(), .VAR371(), .VAR3(), .VAR44(), .VAR313(), .VAR170(), .VAR6(), .VAR398(), .VAR275(), .VAR83(), .VAR29(), .VAR346(), .VAR57(), .VAR421(), .VAR33(), .VAR204(), .VAR231(), .VAR116(), .VAR364(), .VAR98(), .VAR368(), .VAR54(), .VAR389(), .VAR152(), .VAR121(), .VAR379(), .VAR400(), .VAR290(), .VAR393(), .VAR102(), .VAR247(), .VAR357(), .VAR171(), .VAR296(), .VAR206(), .VAR265(), .VAR417(), .VAR390(), .VAR41(), .VAR25(), .VAR89(), .VAR30(), .VAR227(), .VAR88(), .VAR197(), .VAR161(), .VAR215(), .VAR138(), .VAR301(), .VAR342(), .VAR183(), .VAR163(), .VAR13(), .VAR11(), .VAR1(), .VAR217(), .VAR367(), .VAR15(), .VAR298(), .VAR68(), .VAR43(), .VAR178(), .VAR46(), .VAR327(), .VAR399(), .VAR158(), .VAR382(), .VAR341(), .VAR82(), .VAR272(), .VAR256(), .VAR291(), .VAR331(), .VAR268(), .VAR420(), .VAR36(), .VAR144(), .VAR127(), .VAR58(), .VAR317(), .VAR427(), .VAR261(), .VAR283(), .VAR339(), .VAR106(), .VAR109(), .VAR380(), .VAR48(), .VAR212(), .VAR423(), .VAR308(), .VAR359(), .VAR350(), .VAR62(), .VAR271(), .VAR96(), .VAR419(), .VAR300(), .VAR105(), .VAR189(), .VAR93(), .VAR404(), .VAR86(), .VAR154(), .VAR250(), .VAR219(), .VAR273(), .VAR424(), .VAR194(), .VAR324(), .VAR114(), .VAR289(), .VAR229(), .VAR126(), .VAR142(), .VAR192(), .VAR282(), .VAR285(), .VAR9(), .VAR52(), .VAR50(), .VAR353(), .VAR312(), .VAR123(), .VAR299(), .VAR348(), .VAR288(), .VAR262(), .VAR409(), .VAR130(), .VAR329(), .VAR23(), .VAR92(), .VAR79(), .VAR148(), .VAR295(), .VAR193(), .VAR372(), .VAR176(), .VAR323(), .VAR322(), .VAR205(), .VAR244(), .VAR416(), .VAR374(), .VAR78(), .VAR169(), .VAR196(), .VAR274(), .VAR26(), .VAR392(), .VAR141(), .VAR59(), .VAR407(), .VAR243(), .VAR280(), .VAR67(), .VAR414(), .VAR228(), .VAR237(), .VAR120(), .VAR370(), .VAR19(), .VAR402(), .VAR69(), .VAR351(), .VAR110(), .VAR252(), .VAR340(), .VAR330(), .VAR131(), .VAR40(), .VAR190(), .VAR303(), .VAR422(), .VAR211(), .VAR91(), .VAR384(), .VAR210(), .VAR360(), .VAR47(), .VAR279(), .VAR147(), .VAR269(), .VAR214() ); endmodule
gpl-2.0
ptracton/wb_dsp
rtl/fifo_to_sram.v
2,500
module MODULE1 ( VAR12, VAR3, VAR2, VAR9, VAR4, VAR13, VAR10, VAR6, VAR7, VAR5, VAR14, VAR1 ) ; parameter VAR8=32; input VAR9; input VAR4; input VAR13; input VAR10; input VAR6; input [5:0] VAR7; input [5:0] VAR5; input VAR14; output wire VAR12; input [VAR8-1:0] VAR1; output reg [VAR8-1:0] VAR3; output reg VAR2; reg VAR11; assign VAR12 = VAR14; always @(posedge VAR9) if (VAR4) begin VAR11 <= 0; end else begin if (VAR13) begin VAR11 <= 0; end else if ((VAR7 >= VAR5) && !VAR11) begin VAR11 <= 1; end end always @(posedge VAR9) if (VAR4) begin VAR3 <= 0; VAR2 <= 0; end else begin if ((VAR11 && !VAR12) || (VAR14 & !VAR13)) begin VAR3 <= VAR1; VAR2 <= 1; end else if (VAR6) begin VAR2 <= 0; end else begin end end endmodule
mit
scalable-networks/ext
uhd/fpga/usrp1/megacells/add32.v
8,527
module MODULE2 ( VAR29, VAR4, VAR2) ; input [7:0] VAR29; input [7:0] VAR4; output [7:0] VAR2; wire [7:0] VAR31; wire [0:0] VAR1; wire [0:0] VAR16; wire [0:0] VAR23; wire [0:0] VAR22; wire [0:0] VAR27; wire [0:0] VAR10; wire [0:0] VAR18; wire [7:0] VAR30; wire [7:0] VAR6; VAR32 VAR3 ( .VAR21(1'b0), .VAR28(VAR31[0:0]), .VAR25(VAR1[0:0]), .VAR29(VAR30[0:0]), .VAR4(VAR6[0:0])); VAR3.VAR15 = "true", VAR3.VAR20 = "96e8", VAR3.VAR14 = "VAR33", VAR3.VAR13 = "VAR21", VAR3.VAR24 = "VAR32"; VAR32 VAR26 ( .VAR21(VAR1[0:0]), .VAR28(VAR31[1:1]), .VAR25(VAR16[0:0]), .VAR29(VAR30[1:1]), .VAR4(VAR6[1:1])); VAR26.VAR15 = "true", VAR26.VAR20 = "96e8", VAR26.VAR14 = "VAR33", VAR26.VAR13 = "VAR21", VAR26.VAR24 = "VAR32"; VAR32 VAR9 ( .VAR21(VAR16[0:0]), .VAR28(VAR31[2:2]), .VAR25(VAR23[0:0]), .VAR29(VAR30[2:2]), .VAR4(VAR6[2:2])); VAR9.VAR15 = "true", VAR9.VAR20 = "96e8", VAR9.VAR14 = "VAR33", VAR9.VAR13 = "VAR21", VAR9.VAR24 = "VAR32"; VAR32 VAR19 ( .VAR21(VAR23[0:0]), .VAR28(VAR31[3:3]), .VAR25(VAR22[0:0]), .VAR29(VAR30[3:3]), .VAR4(VAR6[3:3])); VAR19.VAR15 = "true", VAR19.VAR20 = "96e8", VAR19.VAR14 = "VAR33", VAR19.VAR13 = "VAR21", VAR19.VAR24 = "VAR32"; VAR32 VAR34 ( .VAR21(VAR22[0:0]), .VAR28(VAR31[4:4]), .VAR25(VAR27[0:0]), .VAR29(VAR30[4:4]), .VAR4(VAR6[4:4])); VAR34.VAR15 = "true", VAR34.VAR20 = "96e8", VAR34.VAR14 = "VAR33", VAR34.VAR13 = "VAR21", VAR34.VAR24 = "VAR32"; VAR32 VAR7 ( .VAR21(VAR27[0:0]), .VAR28(VAR31[5:5]), .VAR25(VAR10[0:0]), .VAR29(VAR30[5:5]), .VAR4(VAR6[5:5])); VAR7.VAR15 = "true", VAR7.VAR20 = "96e8", VAR7.VAR14 = "VAR33", VAR7.VAR13 = "VAR21", VAR7.VAR24 = "VAR32"; VAR32 VAR17 ( .VAR21(VAR10[0:0]), .VAR28(VAR31[6:6]), .VAR25(VAR18[0:0]), .VAR29(VAR30[6:6]), .VAR4(VAR6[6:6])); VAR17.VAR15 = "true", VAR17.VAR20 = "96e8", VAR17.VAR14 = "VAR33", VAR17.VAR13 = "VAR21", VAR17.VAR24 = "VAR32"; VAR32 VAR5 ( .VAR21(VAR18[0:0]), .VAR28(VAR31[7:7]), .VAR29(VAR30[7:7]), .VAR4(VAR6[7:7])); VAR5.VAR15 = "true", VAR5.VAR20 = "9696", VAR5.VAR14 = "VAR8", VAR5.VAR13 = "VAR21", VAR5.VAR24 = "VAR32"; assign VAR30 = VAR29, VAR6 = VAR4; assign VAR2 = VAR31; endmodule module MODULE1 ( VAR29, VAR4, VAR2); input [7:0] VAR29; input [7:0] VAR4; output [7:0] VAR2; wire [7:0] VAR11; wire [7:0] VAR2 = VAR11[7:0]; MODULE2 MODULE1 ( .VAR29 (VAR29), .VAR4 (VAR4), .VAR2 (VAR11)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and3/sky130_fd_sc_hdll__and3.blackbox.v
1,262
module MODULE1 ( VAR7, VAR6, VAR8, VAR3 ); output VAR7; input VAR6; input VAR8; input VAR3; supply1 VAR5; supply0 VAR2; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41a/sky130_fd_sc_ls__o41a_2.v
2,411
module MODULE2 ( VAR10 , VAR5 , VAR2 , VAR9 , VAR7 , VAR6 , VAR1, VAR8, VAR3 , VAR11 ); output VAR10 ; input VAR5 ; input VAR2 ; input VAR9 ; input VAR7 ; input VAR6 ; input VAR1; input VAR8; input VAR3 ; input VAR11 ; VAR4 VAR12 ( .VAR10(VAR10), .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR7(VAR7), .VAR6(VAR6), .VAR1(VAR1), .VAR8(VAR8), .VAR3(VAR3), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR10 , VAR5, VAR2, VAR9, VAR7, VAR6 ); output VAR10 ; input VAR5; input VAR2; input VAR9; input VAR7; input VAR6; supply1 VAR1; supply0 VAR8; supply1 VAR3 ; supply0 VAR11 ; VAR4 VAR12 ( .VAR10(VAR10), .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR7(VAR7), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a211o/sky130_fd_sc_hd__a211o.behavioral.v
1,539
module MODULE1 ( VAR14 , VAR2, VAR7, VAR9, VAR11 ); output VAR14 ; input VAR2; input VAR7; input VAR9; input VAR11; supply1 VAR12; supply0 VAR1; supply1 VAR10 ; supply0 VAR6 ; wire VAR4 ; wire VAR8; and VAR5 (VAR4 , VAR2, VAR7 ); or VAR3 (VAR8, VAR4, VAR11, VAR9); buf VAR13 (VAR14 , VAR8 ); endmodule
apache-2.0
aj-michael/Digital-Systems
Lab2-ManualKeypadScannerAndEncoder/DebouncerWithoutLatch.v
1,220
module MODULE1(VAR8, VAR2, VAR10, VAR6) ; input VAR8, VAR10, VAR6; output reg VAR2; parameter VAR1=0, VAR5=1, VAR11=2, VAR12=3; reg [1:0] VAR4, VAR9; wire VAR7; reg VAR3; always @ (posedge VAR6) begin if(VAR10==1) VAR4 <= 0; end else VAR4<=VAR9; case (VAR4) 0: begin VAR2<=0; VAR3<=1; end 1: begin VAR2<=0; VAR3<=0; end 2: begin VAR2<=0; VAR3<=0; end 3: begin VAR2<=1; VAR3<=0; end endcase end always@(VAR4 or VAR8 or VAR7) case (VAR4) end 0: if (VAR8==0) VAR9<=VAR1; else VAR9<=VAR5; end 1: if (VAR7==0) VAR9<=VAR5; else VAR9<=VAR11; 2: if (VAR8==0) VAR9<=VAR1; else VAR9<=VAR12; 3: if (VAR8==0) VAR9<=VAR1; else VAR9<=VAR12; endcase VAR13 VAR14(VAR3,VAR7,VAR6); endmodule
mit
gralco/click-clock-board
mojo_io_shield/source/elevator.v
3,404
module MODULE1 ( clk, VAR2, rst, en, VAR9, VAR4, VAR7, VAR8 ); input clk; input VAR2; input rst; input en; output [7:0] VAR9; reg [7:0] VAR9; output [17:0] VAR4; reg [17:0] VAR4; output [7:0] VAR7; wire [7:0] VAR7; output [3:0] VAR8; wire [3:0] VAR8; reg [3:0] VAR6 [0:6-1]; reg [1:0] VAR1, VAR5; assign VAR7[0] = (((VAR6[VAR1+2][0] && (!VAR6[VAR1+2][1]) && (!VAR6[VAR1+2][2]) && (!VAR6[VAR1+2][3])) || ((!VAR6[VAR1+2][0]) && (!VAR6[VAR1+2][1]) && VAR6[VAR1+2][2]) || (VAR6[VAR1+2][1] && VAR6[VAR1+2][3])) != 0); assign VAR7[1] = (((VAR6[VAR1+2][0] && (!VAR6[VAR1+2][1]) && VAR6[VAR1+2][2]) || ((!VAR6[VAR1+2][0]) && VAR6[VAR1+2][1] && VAR6[VAR1+2][2]) || (VAR6[VAR1+2][1] && VAR6[VAR1+2][3])) != 0); assign VAR7[2] = ((((!VAR6[VAR1+2][0]) && VAR6[VAR1+2][1] && (!VAR6[VAR1+2][2])) || (VAR6[VAR1+2][2] && VAR6[VAR1+2][3])) != 0); assign VAR7[3] = (((VAR6[VAR1+2][0] && (!VAR6[VAR1+2][1]) && (!VAR6[VAR1+2][2]) && (!VAR6[VAR1+2][3])) || (VAR6[VAR1+2][0] && VAR6[VAR1+2][1] && VAR6[VAR1+2][2]) || ((!VAR6[VAR1+2][0]) && (!VAR6[VAR1+2][1]) && VAR6[VAR1+2][2])) != 0); assign VAR7[4] = ((VAR6[VAR1+2][0] || ((!VAR6[VAR1+2][1]) && VAR6[VAR1+2][2])) != 0); assign VAR7[5] = (((VAR6[VAR1+2][0] && (!VAR6[VAR1+2][2]) && (!VAR6[VAR1+2][3])) || (VAR6[VAR1+2][0] && VAR6[VAR1+2][1]) || (VAR6[VAR1+2][1] && (!VAR6[VAR1+2][2]))) != 0); assign VAR7[6] = (((VAR6[VAR1+2][0] && VAR6[VAR1+2][1] && VAR6[VAR1+2][2]) || ((!VAR6[VAR1+2][1]) && (!VAR6[VAR1+2][2]) && (!VAR6[VAR1+2][3]))) != 0); assign VAR7[7] = 1'b1 && ~(~VAR1[0] && VAR1[1]); assign VAR8[0] = ~(~VAR1[0] && ~VAR1[1]); assign VAR8[1] = ~(VAR1[0] && ~VAR1[1]); assign VAR8[2] = ~(~VAR1[0] && VAR1[1]); assign VAR8[3] = ~(VAR1[0] && VAR1[1]) || (VAR6[5] == 0); always @(VAR1) begin VAR5 = VAR1 + 1'b1; end always @(posedge VAR2, negedge VAR2) begin if (rst) begin VAR1 <= 1'b0; end else begin VAR1 <= VAR5; end end always @(posedge clk, posedge rst) begin: VAR3 if (rst) begin VAR6[0] <= 0; VAR6[1] <= 0; VAR6[2] <= 0; VAR6[3] <= 0; VAR6[4] <= 0; end else if (en) begin VAR6[0] <= ((VAR6[0] + 1) % 10); VAR6[1] <= ((VAR6[1] + (VAR6[0] == 9)) % 6); VAR6[2] <= ((VAR6[2] + ((VAR6[0] == 9) && (VAR6[1] == 5))) % 10); VAR6[3] <= ((VAR6[3] + ((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9))) % 6); VAR6[4] <= (((VAR6[4] + ((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9) && (VAR6[3] == 5))) % (10 - (7 * VAR6[5]))) + ((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9) && (VAR6[3] == 5) && (VAR6[4] == 2) && (VAR6[5] != 0))); end end always @(posedge clk, posedge rst) begin: VAR10 if (rst) begin VAR6[5] <= 0; VAR4 <= 18'b0; VAR9 <= 8'b0; end else if (en) begin VAR6[5] <= ((VAR6[5] != 0) ^ (((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9) && (VAR6[3] == 5) && (VAR6[4] == 9)) || ((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9) && (VAR6[3] == 5) && (VAR6[4] == 2) && (VAR6[5] != 0)))); VAR4 <= {18{(VAR4[0] ^ ((VAR6[0] == 9) && (VAR6[1] == 5) && (VAR6[2] == 9) && (VAR6[3] == 5) && (VAR6[4] == 1) && (VAR6[5] != 0)))}}; VAR9 <= (VAR6[0]+10*VAR6[1]+1) % 60; end end endmodule
gpl-3.0
kyzhai/NUNY
src/hardware/two_new2.v
6,389
module MODULE1 ( address, VAR23, VAR8); input [9:0] address; input VAR23; output [11:0] VAR8; tri1 VAR23; wire [11:0] VAR40; wire [11:0] VAR8 = VAR40[11:0]; VAR25 VAR19 ( .VAR30 (address), .VAR27 (VAR23), .VAR13 (VAR40), .VAR44 (1'b0), .VAR41 (1'b0), .VAR45 (1'b1), .VAR46 (1'b0), .VAR35 (1'b0), .VAR22 (1'b1), .VAR21 (1'b1), .VAR6 (1'b1), .VAR26 (1'b1), .VAR33 (1'b1), .VAR12 (1'b1), .VAR9 (1'b1), .VAR37 ({12{1'b1}}), .VAR34 (1'b1), .VAR20 (), .VAR50 (), .VAR42 (1'b1), .VAR36 (1'b1), .VAR16 (1'b0), .VAR43 (1'b0)); VAR19.VAR48 = "VAR31", VAR19.VAR7 = "VAR14", VAR19.VAR1 = "VAR14", VAR19.VAR2 = "../VAR15/MODULE1.VAR49", VAR19.VAR24 = "VAR17 VAR51", VAR19.VAR29 = "VAR4=VAR28", VAR19.VAR52 = "VAR25", VAR19.VAR11 = 1024, VAR19.VAR5 = "VAR10", VAR19.VAR39 = "VAR31", VAR19.VAR18 = "VAR3", VAR19.VAR38 = 10, VAR19.VAR32 = 12, VAR19.VAR47 = 1; endmodule
gpl-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerHdl_Open_Loop_Control.v
4,817
module MODULE1 ( VAR27, reset, VAR14, VAR29, VAR7, VAR35, VAR4, VAR34, VAR33, VAR6, VAR32 ); input VAR27; input reset; input VAR14; input VAR29; input signed [17:0] VAR7; input signed [17:0] VAR35; input signed [17:0] VAR4; output signed [17:0] VAR34; output signed [17:0] VAR33; output signed [17:0] VAR6; output signed [17:0] VAR32; wire signed [17:0] VAR20; wire signed [17:0] VAR10; wire signed [17:0] VAR12; wire signed [17:0] VAR31; wire signed [17:0] VAR21; wire signed [17:0] VAR25; wire signed [17:0] VAR13; wire signed [17:0] VAR24; assign VAR20 = 18'VAR5; VAR22 VAR11 (.VAR27(VAR27), .reset(reset), .VAR14(VAR14), .VAR16(VAR29), .VAR1(VAR7), .VAR35(VAR35), .VAR4(VAR4), .VAR15(VAR10), .VAR19(VAR12) ); VAR28 VAR23 (.VAR30(VAR12), .VAR8(VAR31), .VAR36(VAR21) ); VAR9 VAR17 (.VAR3(VAR20), .VAR37(VAR10), .VAR8(VAR31), .VAR36(VAR21), .VAR18(VAR25), .VAR26(VAR13), .VAR2(VAR24) ); assign VAR34 = VAR25; assign VAR33 = VAR13; assign VAR6 = VAR24; assign VAR32 = VAR12; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlclkp/sky130_fd_sc_ms__dlclkp.symbol.v
1,276
module MODULE1 ( input VAR5 , input VAR3, output VAR2 ); supply1 VAR4; supply0 VAR1; supply1 VAR7 ; supply0 VAR6 ; endmodule
apache-2.0
rkrajnc/minimig-mist
rtl/fifo/sync_fifo.v
2,929
module MODULE1 #( parameter VAR2 = 16, parameter VAR4 = 32 )( input wire clk, input wire VAR9, input wire rst, input wire [ VAR4-1:0] VAR7, output wire [ VAR4-1:0] VAR1, input wire VAR14, input wire VAR5, output wire VAR12, output wire VAR13 ); function integer VAR3; input [31:0] VAR17; integer VAR10; begin VAR10 = VAR17; for(VAR3 = 0; VAR10 > 0; VAR3 = VAR3 + 1) VAR10 = VAR10 >> 1; end endfunction localparam VAR16 = VAR3(VAR2-1) + 1; localparam VAR8 = VAR3(VAR2-1); reg [VAR16-1:0] VAR18; reg [VAR8-1:0] VAR15, VAR11; reg [ VAR4-1:0] VAR6 [0:VAR2-1]; always @ (posedge clk or posedge rst) begin if (rst) VAR15 <= 1'b0; end else if (VAR9) begin if (VAR14 && !VAR12) VAR15 <= VAR15 + 1'b1; end end always @ (posedge clk) begin if (VAR9) begin if (VAR14 && !VAR12) VAR6[VAR15] <= VAR7; end end always @ (posedge clk or posedge rst) begin if (rst) VAR18 <= 'd0; end else if (VAR9) begin if (VAR5 && !VAR14 && (VAR18 != 'd0)) VAR18 <= VAR18 - 'd1; end else if (VAR14 && !VAR5 && (VAR18 != VAR2)) VAR18 <= VAR18 + 'd1; end end assign VAR12 = (VAR18 == (VAR2)); assign VAR13 = (VAR18 == 'd0); always @ (posedge clk or posedge rst) begin if (rst) VAR11 <= 1'b0; end else if (VAR9) begin if (VAR5 && !VAR13) VAR11 <= VAR11 + 1'b1; end end assign VAR1 = VAR6[VAR11]; endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/xor3/gf180mcu_fd_sc_mcu9t5v0__xor3_2.functional.pp.v
1,583
module MODULE1( VAR13, VAR3, VAR16, VAR17, VAR2, VAR19 ); input VAR3, VAR13, VAR16; inout VAR2, VAR19; output VAR17; wire VAR8; and VAR14( VAR8, VAR3, VAR13, VAR16 ); wire VAR15; not VAR7( VAR15, VAR13 ); wire VAR9; not VAR21( VAR9, VAR16 ); wire VAR1; and VAR4( VAR1, VAR15, VAR9, VAR3 ); wire VAR11; not VAR5( VAR11, VAR3 ); wire VAR18; and VAR10( VAR18, VAR11, VAR9, VAR13 ); wire VAR6; and VAR20( VAR6, VAR11, VAR15, VAR16 ); or VAR12( VAR17, VAR8, VAR1, VAR18, VAR6 ); endmodule
apache-2.0
lvd2/zxevo
fpga/sdload/trunk/video/video_fetch.v
3,455
module MODULE1( input wire clk, input wire VAR7, input wire VAR1, input wire VAR13, input wire VAR9, input wire VAR6, output reg VAR4, input wire [15:0] VAR10, input wire VAR3, output reg VAR5, output reg [63:0] VAR11 ); reg [3:0] VAR8; reg [1:0] VAR2; reg VAR12; reg [15:0] VAR14 [0:3]; always @(posedge clk) if( VAR9 && VAR13 ) VAR5 <= 1'b1; else if( VAR6 ) VAR5 <= 1'b0; always @(posedge clk) if( VAR7 ) begin if( VAR9 ) VAR8 <= 0; end else VAR8 <= VAR8 + 1; end always @(posedge clk) if( (VAR8==1) && VAR1 ) VAR4 <= 1'b1; else VAR4 <= 1'b0; always @(posedge clk) if( (VAR8==0) && VAR1 ) VAR12 <= 1'b1; else VAR12 <= 1'b0; always @(posedge clk) if( VAR12 ) VAR2 <= 0; else if( VAR3 ) VAR2 <= VAR2 + 1; always @(posedge clk) if( VAR3 ) VAR14[VAR2] <= VAR10; always @(posedge clk) if( VAR4 ) begin VAR11[ 7:0 ] <= VAR14[0][15:8 ]; VAR11[15:8 ] <= VAR14[0][ 7:0 ]; VAR11[23:16] <= VAR14[1][15:8 ]; VAR11[31:24] <= VAR14[1][ 7:0 ]; VAR11[39:32] <= VAR14[2][15:8 ]; VAR11[47:40] <= VAR14[2][ 7:0 ]; VAR11[55:48] <= VAR14[3][15:8 ]; VAR11[63:56] <= VAR14[3][ 7:0 ]; end endmodule
gpl-3.0
cr88192/bgbtech_bjx1core
bjx1c32b/ExUnit.v
14,399
module MODULE1( VAR101, reset, VAR148, VAR133, VAR59, VAR68, VAR26, VAR184, VAR140, VAR193, VAR94, VAR77 ); input VAR101; input reset; output[31:0] VAR148; inout[127:0] VAR133; output VAR59; output VAR68; input VAR26; output[31:0] VAR184; inout[31:0] VAR140; output VAR193; output VAR94; input[1:0] VAR77; assign VAR148 = 32'VAR176; assign VAR133 = 128'VAR176; assign VAR59 = 0; assign VAR68 = 0; assign VAR184 = VAR136; assign VAR140 = VAR7 ? VAR16 : 32'VAR176; assign VAR193 = VAR161; assign VAR94 = VAR7; assign VAR174 = VAR77; wire[3:0] VAR131; wire[1:0] VAR12; VAR109 VAR98( VAR101, reset, VAR131, VAR184, VAR140, VAR193, VAR94, VAR12 ); wire[63:0] VAR166; wire[31:0] VAR38; wire[31:0] VAR110; wire[31:0] VAR143; wire[31:0] VAR81; wire[31:0] VAR155; wire[31:0] VAR89; wire[31:0] VAR3; wire[31:0] VAR153; wire[31:0] VAR60; wire[31:0] VAR57; wire[31:0] VAR37; reg[7:0] VAR17; reg[7:0] VAR31; reg[31:0] VAR172; reg[127:0] VAR123; wire[127:0] VAR49; wire[1:0] VAR116; reg VAR118; reg VAR102; reg[4:0] VAR189; reg[127:0] VAR180; wire[127:0] VAR80; wire[31:0] VAR36; wire VAR122; wire VAR127; reg[1:0] VAR173; reg[31:0] VAR48; wire[31:0] VAR16; wire[31:0] VAR136; wire VAR161; wire VAR7; wire[1:0] VAR174; VAR84 VAR95( VAR101, reset, VAR172, VAR123, VAR49, VAR116, VAR118, VAR102, VAR189, VAR180, VAR80, VAR36, VAR122, VAR127, VAR173, VAR48, VAR16, VAR136, VAR161, VAR7, VAR174 ); reg[31:0] VAR142; reg[63:0] VAR162; wire[63:0] VAR99; wire[1:0] VAR121; reg VAR27; reg VAR175; reg[4:0] VAR92; reg[127:0] VAR43; wire[127:0] VAR198; wire[31:0] VAR88; wire VAR196; wire VAR75; reg[1:0] VAR179; wire[4:0] VAR6; VAR20 VAR82( VAR101, reset, VAR162, VAR99, VAR142, VAR121, VAR27, VAR175, VAR92, VAR43, VAR198, VAR88, VAR179, VAR196, VAR75, VAR6 ); reg[31:0] VAR66; reg[31:0] VAR62; wire[47:0] VAR183; wire[1:0] VAR144; reg[127:0] VAR41; wire[31:0] VAR129; wire VAR29; reg[1:0] VAR167; VAR135 VAR5(VAR101, reset, VAR66, VAR183, VAR144, VAR41, VAR129, VAR29, VAR167); reg[47:0] VAR120; reg[47:0] VAR33; reg[15:0] VAR50; reg[31:0] VAR64; reg[31:0] VAR10; wire[6:0] VAR65; wire[6:0] VAR128; wire[6:0] VAR178; wire[31:0] VAR74; wire[3:0] VAR104; wire[3:0] VAR164; wire[7:0] VAR1; reg[6:0] VAR160; reg[31:0] VAR191; reg[6:0] VAR30; reg[31:0] VAR71; VAR156 VAR168( VAR101, VAR33[47:0], VAR50, VAR65, VAR128, VAR178, VAR74, VAR104, VAR164, VAR1); wire[31:0] VAR19; wire[31:0] VAR132; wire[31:0] VAR146; VAR186 VAR96( VAR101, reset || (VAR17 != 8'h55), VAR128, VAR132, VAR178, VAR146, VAR65, VAR19, VAR160, VAR191, VAR10, VAR52, VAR74, VAR155, VAR73, VAR38, VAR165, VAR57, VAR34, VAR166, VAR181, VAR37, VAR32, VAR89, VAR69, VAR3, VAR76, VAR153, VAR100, VAR60, VAR192, VAR110, VAR44, VAR143, VAR40, VAR81, VAR195 ); wire[63:0] VAR103; wire[63:0] VAR55; wire[63:0] VAR107; reg[1:0] VAR51; reg[1:0] VAR39; wire[31:0] VAR194; reg[6:0] VAR24; reg[63:0] VAR124; reg[6:0] VAR45; reg[63:0] VAR154; assign VAR103=0; assign VAR55=0; assign VAR107=0; assign VAR194 = VAR143; reg[7:0] VAR86; reg[31:0] VAR158; reg[31:0] VAR139; reg[31:0] VAR85; reg[63:0] VAR91; reg[63:0] VAR188; reg[63:0] VAR111; reg[47:0] VAR108; reg[15:0] VAR78; reg[31:0] VAR113; reg[31:0] VAR177; reg[6:0] VAR53; reg[6:0] VAR163; reg[6:0] VAR54; reg[31:0] VAR97; reg[3:0] VAR105; reg[3:0] VAR134; reg[7:0] VAR13; reg[31:0] VAR18; reg[31:0] VAR35; reg[31:0] VAR67; reg[63:0] VAR87; reg[63:0] VAR157; reg[63:0] VAR93; wire[6:0] VAR197; wire[31:0] VAR28; wire[1:0] VAR185; wire[31:0] VAR112; wire[31:0] VAR72; wire VAR150; wire VAR125; wire[4:0] VAR42; wire[7:0] VAR15; wire[31:0] VAR22; wire[31:0] VAR187; wire[31:0] VAR141; wire[63:0] VAR137; wire[31:0] VAR151; wire[31:0] VAR83; wire[31:0] VAR147; wire[31:0] VAR8; wire[31:0] VAR117; wire[31:0] VAR171; VAR159 VAR56(VAR101, reset, VAR13, VAR105, VAR163, VAR35, VAR54, VAR67, VAR53, VAR18, VAR97, VAR2, VAR197, VAR28, VAR185, VAR112, VAR72, VAR150, VAR125, VAR42, VAR15, VAR155, VAR22, VAR38, VAR187, VAR113, VAR141, VAR166, VAR137, VAR37, VAR171, VAR89, VAR151, VAR3, VAR83, VAR153, VAR147, VAR60, VAR8, VAR110, VAR117 ); reg[31:0] VAR73; reg[31:0] VAR165; reg[31:0] VAR34; reg[63:0] VAR181; reg[31:0] VAR69; reg[31:0] VAR76; reg[31:0] VAR100; reg[31:0] VAR192; reg[31:0] VAR44; reg[31:0] VAR40; reg[31:0] VAR195; reg[31:0] VAR32; wire[6:0] VAR14; wire[63:0] VAR149; wire[1:0] VAR115; wire[31:0] VAR114; wire[31:0] VAR145; wire[31:0] VAR126; assign VAR14 = VAR119; assign VAR149 = 0; assign VAR115 = 0; assign VAR126 = VAR81; reg[31:0] VAR2; reg[31:0] VAR58; reg[15:0] VAR46; reg[3:0] VAR90; reg VAR52; always @* begin VAR50=0; VAR50[0]=1; VAR50[1]=VAR81[19]; VAR50[2]=VAR81[20]; VAR50[3]=VAR81[21]; VAR50[4]=VAR81[24]; VAR50[5]=VAR155[31]; VAR50[6]=VAR155[12]; VAR31=8'h55; if(reset) VAR31=8'h00; VAR90=VAR134; VAR52 = 0; if(VAR144!=VAR70) VAR52 = 1; if(VAR150||VAR125) begin if(VAR121!=VAR70) VAR52 = 1; end if(VAR185==VAR170) VAR52 = 1; VAR180 = 0; VAR173 = VAR106; VAR48 = 0; VAR51 = 0; VAR39 = VAR115; if(VAR81[19]) VAR51 = 1; if(VAR81[20]) VAR51 = 1; VAR86 = VAR1; case(VAR13) VAR130: VAR86 = VAR4; VAR79: VAR86 = VAR4; VAR11: VAR86 = VAR4; VAR21: VAR86 = VAR4; VAR169: VAR86 = VAR4; VAR9: VAR86 = VAR4; default: begin end endcase VAR2 = VAR66 + {28'h0, VAR90}; VAR58 = VAR66 + 32'h4; VAR158 = VAR19; VAR139 = VAR132; VAR85 = VAR146; VAR188 = VAR55; VAR111 = VAR107; VAR91 = VAR103; VAR30 = VAR197; VAR71 = VAR28; VAR45 = VAR14; VAR154 = VAR149; if(VAR128 == VAR30) VAR139 = VAR71; if(VAR178 == VAR30) VAR85 = VAR71; if(VAR65 == VAR30) VAR158 = VAR71; if(VAR128 == VAR30) VAR188 = VAR154; if(VAR178 == VAR30) VAR111 = VAR154; if(VAR65 == VAR30) VAR91 = VAR154; VAR73 = VAR22; VAR165 = VAR187; VAR34 = VAR141; VAR181 = VAR137; VAR69 = VAR151; VAR76 = VAR83; VAR100 = VAR147; VAR192 = VAR8; VAR44 = VAR117; VAR120 = VAR183; if(VAR141 != VAR2) begin VAR120 = 48'h0F090F090E09; end VAR40 = VAR194; VAR195 = VAR126; VAR195[11] = VAR131[3]; VAR32 = VAR171; if(VAR17 != 8'h55) begin VAR34 = 32'hA0000000; VAR52 = 1; end VAR182("VAR23: %VAR61 %VAR61 %VAR61 VAR47:VAR63%VAR61=%VAR61 VAR138:VAR63%VAR61=%VAR61 VAR190:VAR63%VAR61=%VAR61 VAR25:VAR63%VAR61=%VAR61", VAR113, VAR108, VAR13, VAR163, VAR35, VAR54, VAR67, VAR53, VAR18, VAR30, VAR71); end always @ (posedge VAR101) begin VAR17 <= VAR31; VAR142 <= VAR112; VAR162 <= {32'h0, VAR72}; VAR27 <= VAR150; VAR175 <= VAR125; VAR92 <= VAR42; VAR160 <= VAR30; VAR191 <= VAR71; if((VAR150||VAR125) && (VAR121==VAR70)) begin VAR160 <= VAR65; VAR191 <= VAR99[31:0]; VAR24 <= VAR65; VAR124 <= VAR99; end else begin VAR160 <= VAR30; VAR191 <= VAR71; VAR24 <= VAR45; VAR124 <= VAR154; end if(VAR29) begin VAR172 <= VAR129; VAR123 <= 0; VAR118 <= VAR29; VAR102 <= 0; VAR189 <= 1; VAR41 <= VAR49; VAR167 <= VAR116; VAR43 <= 128'VAR152; if(VAR196 || VAR75) VAR179 <= VAR170; end else VAR179 <= VAR106; end else if(VAR196 || VAR75) begin VAR172 <= VAR88; VAR123 <= VAR198; VAR118 <= VAR196; VAR102 <= VAR75; VAR189 <= VAR6; VAR43 <= VAR49; VAR179 <= VAR116; VAR41 <= 128'VAR152; if(VAR29) VAR167 <= VAR170; end else VAR167 <= VAR106; end else begin VAR172 <= 0; VAR123 <= 0; VAR118 <= 0; VAR102 <= 0; VAR189 <= 1; end if(VAR17 != 8'h55) begin VAR66 <= 32'hA0000000; VAR62 <= 0; VAR64 <= 32'hA0000000; VAR10 <= 0; VAR33 <= 0; VAR108 <= 0; VAR78 <= 0; VAR113 <= 32'hA0000000; VAR177 <= 0; VAR105 <= 0; VAR134 <= 0; end else if(!VAR52) begin VAR66 <= VAR34; VAR62 <= VAR73; VAR64 <= VAR66; VAR10 <= VAR62; VAR33 <= VAR120; VAR108 <= VAR33; VAR78 <= VAR50; VAR113 <= VAR64; VAR177 <= VAR10; VAR53 <= VAR65; VAR163 <= VAR128; VAR54 <= VAR178; VAR97 <= VAR74; VAR105 <= VAR104; VAR134 <= VAR164; VAR13 <= VAR86; VAR18 <= VAR158; VAR35 <= VAR139; VAR67 <= VAR85; VAR87 <= VAR91; VAR157 <= VAR188; VAR93 <= VAR111; end else begin end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3b/sky130_fd_sc_hd__or3b_1.v
2,209
module MODULE2 ( VAR5 , VAR2 , VAR9 , VAR3 , VAR8, VAR10, VAR7 , VAR6 ); output VAR5 ; input VAR2 ; input VAR9 ; input VAR3 ; input VAR8; input VAR10; input VAR7 ; input VAR6 ; VAR1 VAR4 ( .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR3(VAR3), .VAR8(VAR8), .VAR10(VAR10), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR5 , VAR2 , VAR9 , VAR3 ); output VAR5 ; input VAR2 ; input VAR9 ; input VAR3; supply1 VAR8; supply0 VAR10; supply1 VAR7 ; supply0 VAR6 ; VAR1 VAR4 ( .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
sh-chris110/chris
FPGA/chris.bak/Qsys/soc_design/synthesis/soc_design.v
30,586
module MODULE1 ( input wire VAR5, input wire VAR143, input wire VAR130, output wire VAR207 ); wire VAR96; wire [31:0] VAR122; wire VAR174; wire VAR241; wire [17:0] VAR77; wire [3:0] VAR167; wire VAR87; wire VAR111; wire VAR197; wire [31:0] VAR38; wire [3:0] VAR106; wire [31:0] VAR133; wire VAR48; wire [17:0] VAR230; wire VAR148; wire VAR4; wire [3:0] VAR43; wire VAR75; wire [31:0] VAR123; wire VAR158; wire [0:0] VAR203; wire VAR70; wire VAR59; wire [31:0] VAR208; wire VAR142; wire [31:0] VAR82; wire [0:0] VAR31; wire VAR112; wire [3:0] VAR15; wire VAR168; wire [31:0] VAR229; wire [31:0] VAR52; wire [0:0] VAR23; wire [31:0] VAR79; wire VAR161; wire VAR26; wire [8:0] VAR60; wire VAR137; wire [3:0] VAR209; wire VAR88; wire [31:0] VAR215; wire VAR164; wire [31:0] VAR69; wire [14:0] VAR145; wire [3:0] VAR113; wire VAR139; wire [31:0] VAR27; wire VAR91; wire VAR180; wire [15:0] VAR237; wire [2:0] VAR204; wire VAR58; wire [15:0] VAR7; wire VAR66; wire VAR195; wire VAR191; wire [31:0] VAR72; wire VAR41; wire VAR178; VAR144 VAR37 ( .clk (VAR96), .VAR94 (~VAR41), .VAR226 (VAR75), .VAR146 (VAR203), .VAR131 (~VAR70), .VAR109 (VAR123), .VAR83 (~VAR59), .VAR136 (VAR208), .VAR233 (VAR158), .VAR86 (VAR195) ); VAR242 VAR33 ( .clk (VAR96), .address (VAR145), .VAR25 (VAR91), .VAR224 (VAR164), .write (VAR139), .VAR199 (VAR69), .VAR190 (VAR27), .VAR201 (VAR113), .reset (VAR41), .VAR115 (VAR178) ); VAR220 VAR97 ( .clk (VAR96), .VAR152 (~VAR41), .address (VAR204), .VAR190 (VAR7), .VAR199 (VAR237), .VAR224 (VAR180), .VAR89 (~VAR58), .irq (VAR191) ); VAR39 VAR28 ( .VAR183 (VAR96), .VAR152 (~VAR41), .VAR199 (VAR52), .address (VAR23) ); VAR135 VAR189 ( .clk (VAR96), .reset (VAR41), .address (VAR31), .VAR224 (VAR142), .VAR201 (VAR15), .read (VAR112), .write (VAR168), .VAR190 (VAR229), .VAR199 (VAR82), .irq (VAR66), .VAR211 (VAR130), .VAR20 (VAR207) ); VAR182 VAR170 ( .clk (VAR96), .VAR152 (~VAR41), .VAR115 (VAR178), .VAR235 (VAR77), .VAR175 (VAR167), .VAR108 (VAR87), .VAR165 (VAR122), .VAR16 (VAR174), .VAR129 (VAR197), .VAR210 (VAR38), .VAR56 (VAR106), .VAR240 (VAR111), .VAR228 (VAR241), .VAR65 (VAR230), .VAR126 (VAR148), .VAR19 (VAR133), .VAR81 (VAR48), .VAR121 (VAR43), .VAR107 (VAR4), .irq (VAR72), .VAR62 (), .VAR98 (VAR60), .VAR22 (VAR209), .VAR243 (VAR26), .VAR40 (VAR137), .VAR186 (VAR79), .VAR156 (VAR161), .VAR73 (VAR88), .VAR11 (VAR215), .VAR6 () ); VAR159 VAR36 ( .VAR143 (VAR143), .rst (~VAR5), .VAR244 (VAR96), .VAR173 () ); VAR153 VAR1 ( .VAR96 (VAR96), .VAR171 (VAR41), .VAR17 (VAR77), .VAR217 (VAR174), .VAR8 (VAR106), .VAR63 (VAR167), .VAR114 (VAR87), .VAR194 (VAR122), .VAR176 (VAR111), .VAR93 (VAR197), .VAR151 (VAR38), .VAR219 (VAR241), .VAR206 (VAR230), .VAR29 (VAR48), .VAR118 (VAR43), .VAR181 (VAR148), .VAR193 (VAR133), .VAR141 (VAR4), .VAR9 (VAR203), .VAR119 (VAR59), .VAR172 (VAR70), .VAR116 (VAR123), .VAR138 (VAR208), .VAR125 (VAR158), .VAR187 (VAR75), .VAR99 (VAR60), .VAR90 (VAR88), .VAR117 (VAR137), .VAR47 (VAR79), .VAR92 (VAR215), .VAR231 (VAR209), .VAR50 (VAR161), .VAR127 (VAR26), .VAR35 (VAR145), .VAR162 (VAR139), .VAR205 (VAR69), .VAR24 (VAR27), .VAR103 (VAR113), .VAR227 (VAR164), .VAR163 (VAR91), .VAR42 (VAR204), .VAR169 (VAR58), .VAR102 (VAR237), .VAR104 (VAR7), .VAR101 (VAR180), .VAR185 (VAR23), .VAR192 (VAR52), .VAR147 (VAR31), .VAR49 (VAR168), .VAR202 (VAR112), .VAR132 (VAR82), .VAR67 (VAR229), .VAR57 (VAR15), .VAR124 (VAR142) ); VAR221 VAR160 ( .clk (VAR96), .reset (VAR41), .VAR184 (VAR66), .VAR30 (VAR195), .VAR120 (VAR191), .VAR3 (VAR72) ); VAR14 #( .VAR134 (1), .VAR238 ("VAR200"), .VAR239 (2), .VAR179 (1), .VAR198 (1), .VAR212 (3), .VAR44 (1), .VAR74 (0), .VAR12 (0), .VAR95 (0), .VAR45 (0), .VAR85 (0), .VAR196 (0), .VAR213 (0), .VAR214 (0), .VAR78 (0), .VAR54 (0), .VAR223 (0), .VAR18 (0), .VAR10 (0), .VAR177 (0), .VAR53 (0), .VAR21 (0), .VAR166 (0) ) VAR154 ( .VAR225 (~VAR5), .clk (VAR96), .VAR34 (VAR41), .VAR115 (VAR178), .VAR188 (1'b0), .VAR222 (1'b0), .VAR218 (1'b0), .VAR46 (1'b0), .VAR128 (1'b0), .VAR100 (1'b0), .VAR155 (1'b0), .VAR150 (1'b0), .VAR245 (1'b0), .VAR55 (1'b0), .VAR149 (1'b0), .VAR216 (1'b0), .VAR32 (1'b0), .VAR64 (1'b0), .VAR51 (1'b0), .VAR246 (1'b0), .VAR110 (1'b0), .VAR236 (1'b0), .VAR157 (1'b0), .VAR84 (1'b0), .VAR61 (1'b0), .VAR105 (1'b0), .VAR2 (1'b0), .VAR232 (1'b0), .VAR76 (1'b0), .VAR13 (1'b0), .VAR140 (1'b0), .VAR80 (1'b0), .VAR68 (1'b0), .VAR71 (1'b0), .VAR234 (1'b0) ); endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_ddr_sig_bot_b.v
81,006
module MODULE1(VAR143 ,VAR265 ,VAR235 ,VAR244 , VAR268 ,VAR12 ,VAR193 ,VAR245 ,VAR79 , VAR62 ,VAR304 ,VAR83 ,VAR333 , VAR401 ,VAR305 ,VAR314 ,VAR349 , VAR182 ,VAR392 ,VAR118 ,VAR269 , VAR44 ,VAR337 ,VAR217 ,VAR46 ,VAR66 , VAR60 ,VAR339 ,VAR243 ,VAR81 ,VAR380 , VAR32 ,VAR406 ,VAR172 ,VAR4 , VAR71 ,VAR398 ,VAR323 ,VAR264 , VAR328 ,VAR185 , VAR95, VAR324 ,VAR331 ,VAR376, VAR212 ,VAR383 , VAR247 ,VAR47 ,VAR41 , VAR2 ,VAR271 , VAR322 ,\VAR77[63] ,\VAR77[62] , \VAR77[61] ,\VAR77[60] ,\VAR77[59] ,\VAR77[58] ,\VAR77[57] , \VAR77[56] ,\VAR77[55] ,\VAR77[54] ,\VAR77[53] ,\VAR77[52] , \VAR77[51] ,\VAR77[50] ,\VAR77[49] ,\VAR77[48] ,\VAR77[47] , \VAR77[46] ,\VAR77[45] ,\VAR77[44] ,\VAR77[43] ,\VAR77[42] , \VAR77[41] ,\VAR77[40] ,\VAR77[39] ,\VAR77[38] ,\VAR77[37] , \VAR77[36] ,\VAR77[35] ,\VAR77[34] ,\VAR77[33] ,\VAR77[32] , \VAR162[255] ,\VAR162[254] ,\VAR162[253] ,\VAR162[252] ,\VAR162[251] , \VAR162[250] ,\VAR162[249] ,\VAR162[248] ,\VAR162[247] ,\VAR162[246] , \VAR162[245] ,\VAR162[244] ,\VAR162[243] ,\VAR162[242] ,\VAR162[241] , \VAR162[240] ,\VAR162[239] ,\VAR162[238] ,\VAR162[237] ,\VAR162[236] , \VAR162[235] ,\VAR162[234] ,\VAR162[233] ,\VAR162[232] ,\VAR162[231] , \VAR162[230] ,\VAR162[229] ,\VAR162[228] ,\VAR162[227] ,\VAR162[226] , \VAR162[225] ,\VAR162[224] ,VAR260 , VAR129 ,VAR274 ,VAR147 ,\VAR407[127] ,\VAR407[126] , \VAR407[125] ,\VAR407[124] ,\VAR407[123] ,\VAR407[122] , \VAR407[121] ,\VAR407[120] ,\VAR407[119] ,\VAR407[118] , \VAR407[117] ,\VAR407[116] ,\VAR407[115] ,\VAR407[114] , \VAR407[113] ,\VAR407[112] ,\VAR407[111] ,\VAR407[110] , \VAR407[109] ,\VAR407[108] ,\VAR407[107] ,\VAR407[106] , \VAR407[105] ,\VAR407[104] ,\VAR407[103] ,\VAR407[102] , \VAR407[101] ,\VAR407[100] ,\VAR407[99] ,\VAR407[98] , \VAR407[97] ,\VAR407[96] ,VAR51 ,\VAR77[287] , \VAR77[286] ,\VAR77[285] , \VAR77[284] ,\VAR77[283] , \VAR77[282] ,\VAR77[281] , \VAR77[280] ,\VAR77[143] , \VAR77[142] ,\VAR77[141] , \VAR77[140] ,\VAR77[139] , \VAR77[138] ,\VAR77[137] , \VAR77[136] ,\VAR287[31] ,\VAR287[30] , \VAR287[29] ,\VAR287[28] ,\VAR287[27] , \VAR287[26] ,\VAR287[25] ,\VAR287[24] , \VAR287[15] ,\VAR287[14] ,\VAR287[13] , \VAR287[12] ,\VAR287[11] ,\VAR287[10] , \VAR287[9] ,\VAR287[8] ,VAR390 , \VAR77[127] ,\VAR77[126] , \VAR77[125] ,\VAR77[124] , \VAR77[123] ,\VAR77[122] , \VAR77[121] ,\VAR77[120] , \VAR77[119] ,\VAR77[118] , \VAR77[117] ,\VAR77[116] , \VAR77[115] ,\VAR77[114] , \VAR77[113] ,\VAR77[112] , \VAR77[111] ,\VAR77[110] , \VAR77[109] ,\VAR77[108] , \VAR77[107] ,\VAR77[106] , \VAR77[105] ,\VAR77[104] , \VAR77[103] ,\VAR77[102] , \VAR77[101] ,\VAR77[100] , \VAR77[99] ,\VAR77[98] ,\VAR77[97] ,\VAR77[96] ,VAR18 ,\VAR162[191] , \VAR162[190] ,\VAR162[189] ,\VAR162[188] ,\VAR162[187] ,\VAR162[186] , \VAR162[185] ,\VAR162[184] ,\VAR162[183] ,\VAR162[182] ,\VAR162[181] , \VAR162[180] ,\VAR162[179] ,\VAR162[178] ,\VAR162[177] ,\VAR162[176] , \VAR162[175] ,\VAR162[174] ,\VAR162[173] ,\VAR162[172] ,\VAR162[171] , \VAR162[170] ,\VAR162[169] ,\VAR162[168] ,\VAR162[167] ,\VAR162[166] , \VAR162[165] ,\VAR162[164] ,\VAR162[163] ,\VAR162[162] ,\VAR162[161] , \VAR162[160] ,VAR263 ,VAR142, \VAR162[127] , \VAR162[126] ,\VAR162[125] ,\VAR162[124] ,\VAR162[123] ,\VAR162[122] , \VAR162[121] ,\VAR162[120] ,\VAR162[119] ,\VAR162[118] ,\VAR162[117] , \VAR162[116] ,\VAR162[115] ,\VAR162[114] ,\VAR162[113] ,\VAR162[112] , \VAR162[111] ,\VAR162[110] ,\VAR162[109] ,\VAR162[108] ,\VAR162[107] , \VAR162[106] ,\VAR162[105] ,\VAR162[104] ,\VAR162[103] ,\VAR162[102] , \VAR162[101] ,\VAR162[100] ,\VAR162[99] ,\VAR162[98] ,\VAR162[97] ,\VAR162[96] ,VAR17 ,VAR409 ,VAR84 , \VAR77[271] ,\VAR77[270] , \VAR77[269] ,\VAR77[268] , \VAR77[267] ,\VAR77[266] , \VAR77[265] ,\VAR77[264] , \VAR77[263] ,\VAR77[262] , \VAR77[261] ,\VAR77[260] , \VAR77[259] ,\VAR77[258] , \VAR77[257] ,\VAR77[256] , \VAR77[255] ,\VAR77[254] , \VAR77[253] ,\VAR77[252] , \VAR77[251] ,\VAR77[250] , \VAR77[249] ,\VAR77[248] , \VAR77[247] ,\VAR77[246] , \VAR77[245] ,\VAR77[244] , \VAR77[243] ,\VAR77[242] , \VAR77[241] ,\VAR77[240] ,VAR3 , \VAR52[7] ,\VAR52[6] ,\VAR52[5] ,\VAR52[4] ,VAR211 ,VAR191 , VAR261 ,VAR130 ,VAR13 ,VAR237 , VAR158 ,VAR242 ,VAR168 ,VAR99 ,VAR290 , VAR202 ,VAR365 ,VAR256 ,VAR196 ,VAR253 ,VAR228 ,VAR90 ,\VAR407[63] ,\VAR407[62] ,\VAR407[61] , \VAR407[60] ,\VAR407[59] ,\VAR407[58] ,\VAR407[57] , \VAR407[56] ,\VAR407[55] ,\VAR407[54] ,\VAR407[53] , \VAR407[52] ,\VAR407[51] ,\VAR407[50] ,\VAR407[49] , \VAR407[48] ,\VAR407[47] ,\VAR407[46] ,\VAR407[45] , \VAR407[44] ,\VAR407[43] ,\VAR407[42] ,\VAR407[41] , \VAR407[40] ,\VAR407[39] ,\VAR407[38] ,\VAR407[37] , \VAR407[36] ,\VAR407[35] ,\VAR407[34] ,\VAR407[33] , \VAR407[32] ,\VAR52[35] ,\VAR52[34] ,\VAR52[33] , \VAR52[32] ,\VAR52[31] ,VAR206 ,\VAR52[16] , \VAR52[15] ,\VAR52[14] ,\VAR52[13] ,\VAR52[26] , \VAR52[25] ,\VAR52[24] ,\VAR52[23] ,\VAR52[22] , VAR402 ,VAR226 ,VAR350 , VAR145 ,VAR302 ,\VAR162[63] , \VAR162[62] ,\VAR162[61] ,\VAR162[60] , \VAR162[59] ,\VAR162[58] ,\VAR162[57] , \VAR162[56] ,\VAR162[55] ,\VAR162[54] , \VAR162[53] ,\VAR162[52] ,\VAR162[51] , \VAR162[50] ,\VAR162[49] ,\VAR162[48] , \VAR162[47] ,\VAR162[46] ,\VAR162[45] , \VAR162[44] ,\VAR162[43] ,\VAR162[42] , \VAR162[41] ,\VAR162[40] ,\VAR162[39] , \VAR162[38] ,\VAR162[37] ,\VAR162[36] , \VAR162[35] ,\VAR162[34] ,\VAR162[33] , \VAR162[32] ,\VAR77[207] , \VAR77[206] ,\VAR77[205] , \VAR77[204] ,\VAR77[203] , \VAR77[202] ,\VAR77[201] , \VAR77[200] ,\VAR77[199] , \VAR77[198] ,\VAR77[197] , \VAR77[196] ,\VAR77[195] , \VAR77[194] ,\VAR77[193] , \VAR77[192] ,\VAR77[191] , \VAR77[190] ,\VAR77[189] , \VAR77[188] ,\VAR77[187] , \VAR77[186] ,\VAR77[185] , \VAR77[184] ,\VAR77[183] , \VAR77[182] ,\VAR77[181] , \VAR77[180] ,\VAR77[179] , \VAR77[178] ,\VAR77[177] , \VAR77[176] ); output VAR95 ; output [143:72] VAR268 ; output [143:72] VAR193 ; input [7:0] VAR143 ; input [7:0] VAR265 ; input [143:72] VAR235 ; input [143:72] VAR244 ; input [7:0] VAR245 ; input [8:1] VAR81 ; input [8:1] VAR380 ; input [1:0] VAR264 ; input [4:0] VAR212 ; input [1:0] VAR247 ; input [1:0] VAR41 ; input [8:1] VAR51 ; input [1:0] VAR18 ; input [1:0] VAR261 ; input [4:0] VAR237 ; input [8:1] VAR256 ; input [1:0] VAR196 ; input [3:0] VAR228 ; input [10:10] VAR206 ; input [1:0] VAR402 ; inout [7:0] VAR79 ; inout [3:0] VAR129 ; inout [15:8] VAR390 ; inout [10:10] VAR130 ; inout [1:0] VAR90 ; output VAR314 ; output VAR349 ; output VAR182 ; output VAR392 ; output VAR118 ; output VAR269 ; output VAR44 ; output VAR337 ; output VAR60 ; output VAR32 ; output VAR172 ; output VAR4 ; output VAR71 ; output \VAR162[255] ; output \VAR162[254] ; output \VAR162[253] ; output \VAR162[252] ; output \VAR162[251] ; output \VAR162[250] ; output \VAR162[249] ; output \VAR162[248] ; output \VAR162[247] ; output \VAR162[246] ; output \VAR162[245] ; output \VAR162[244] ; output \VAR162[243] ; output \VAR162[242] ; output \VAR162[241] ; output \VAR162[240] ; output \VAR162[239] ; output \VAR162[238] ; output \VAR162[237] ; output \VAR162[236] ; output \VAR162[235] ; output \VAR162[234] ; output \VAR162[233] ; output \VAR162[232] ; output \VAR162[231] ; output \VAR162[230] ; output \VAR162[229] ; output \VAR162[228] ; output \VAR162[227] ; output \VAR162[226] ; output \VAR162[225] ; output \VAR162[224] ; output \VAR287[31] ; output \VAR287[30] ; output \VAR287[29] ; output \VAR287[28] ; output \VAR287[27] ; output \VAR287[26] ; output \VAR287[25] ; output \VAR287[24] ; output \VAR287[15] ; output \VAR287[14] ; output \VAR287[13] ; output \VAR287[12] ; output \VAR287[11] ; output \VAR287[10] ; output \VAR287[9] ; output \VAR287[8] ; output \VAR162[191] ; output \VAR162[190] ; output \VAR162[189] ; output \VAR162[188] ; output \VAR162[187] ; output \VAR162[186] ; output \VAR162[185] ; output \VAR162[184] ; output \VAR162[183] ; output \VAR162[182] ; output \VAR162[181] ; output \VAR162[180] ; output \VAR162[179] ; output \VAR162[178] ; output \VAR162[177] ; output \VAR162[176] ; output \VAR162[175] ; output \VAR162[174] ; output \VAR162[173] ; output \VAR162[172] ; output \VAR162[171] ; output \VAR162[170] ; output \VAR162[169] ; output \VAR162[168] ; output \VAR162[167] ; output \VAR162[166] ; output \VAR162[165] ; output \VAR162[164] ; output \VAR162[163] ; output \VAR162[162] ; output \VAR162[161] ; output \VAR162[160] ; output \VAR162[127] ; output \VAR162[126] ; output \VAR162[125] ; output \VAR162[124] ; output \VAR162[123] ; output \VAR162[122] ; output \VAR162[121] ; output \VAR162[120] ; output \VAR162[119] ; output \VAR162[118] ; output \VAR162[117] ; output \VAR162[116] ; output \VAR162[115] ; output \VAR162[114] ; output \VAR162[113] ; output \VAR162[112] ; output \VAR162[111] ; output \VAR162[110] ; output \VAR162[109] ; output \VAR162[108] ; output \VAR162[107] ; output \VAR162[106] ; output \VAR162[105] ; output \VAR162[104] ; output \VAR162[103] ; output \VAR162[102] ; output \VAR162[101] ; output \VAR162[100] ; output \VAR162[99] ; output \VAR162[98] ; output \VAR162[97] ; output \VAR162[96] ; output VAR191 ; output VAR365 ; output VAR145 ; output \VAR162[63] ; output \VAR162[62] ; output \VAR162[61] ; output \VAR162[60] ; output \VAR162[59] ; output \VAR162[58] ; output \VAR162[57] ; output \VAR162[56] ; output \VAR162[55] ; output \VAR162[54] ; output \VAR162[53] ; output \VAR162[52] ; output \VAR162[51] ; output \VAR162[50] ; output \VAR162[49] ; output \VAR162[48] ; output \VAR162[47] ; output \VAR162[46] ; output \VAR162[45] ; output \VAR162[44] ; output \VAR162[43] ; output \VAR162[42] ; output \VAR162[41] ; output \VAR162[40] ; output \VAR162[39] ; output \VAR162[38] ; output \VAR162[37] ; output \VAR162[36] ; output \VAR162[35] ; output \VAR162[34] ; output \VAR162[33] ; output \VAR162[32] ; input VAR12 ; input VAR62 ; input VAR304 ; input VAR83 ; input VAR333 ; input VAR401 ; input VAR305 ; input VAR217 ; input VAR46 ; input VAR66 ; input VAR339 ; input VAR243 ; input VAR406 ; input VAR398 ; input VAR323 ; input VAR328 ; input VAR185 ; input VAR324 ; input VAR331 ; input VAR376 ; input VAR383 ; input VAR47 ; input VAR2 ; input VAR271 ; input VAR322 ; input \VAR77[63] ; input \VAR77[62] ; input \VAR77[61] ; input \VAR77[60] ; input \VAR77[59] ; input \VAR77[58] ; input \VAR77[57] ; input \VAR77[56] ; input \VAR77[55] ; input \VAR77[54] ; input \VAR77[53] ; input \VAR77[52] ; input \VAR77[51] ; input \VAR77[50] ; input \VAR77[49] ; input \VAR77[48] ; input \VAR77[47] ; input \VAR77[46] ; input \VAR77[45] ; input \VAR77[44] ; input \VAR77[43] ; input \VAR77[42] ; input \VAR77[41] ; input \VAR77[40] ; input \VAR77[39] ; input \VAR77[38] ; input \VAR77[37] ; input \VAR77[36] ; input \VAR77[35] ; input \VAR77[34] ; input \VAR77[33] ; input \VAR77[32] ; input VAR260 ; input \VAR77[287] ; input \VAR77[286] ; input \VAR77[285] ; input \VAR77[284] ; input \VAR77[283] ; input \VAR77[282] ; input \VAR77[281] ; input \VAR77[280] ; input \VAR77[143] ; input \VAR77[142] ; input \VAR77[141] ; input \VAR77[140] ; input \VAR77[139] ; input \VAR77[138] ; input \VAR77[137] ; input \VAR77[136] ; input \VAR77[127] ; input \VAR77[126] ; input \VAR77[125] ; input \VAR77[124] ; input \VAR77[123] ; input \VAR77[122] ; input \VAR77[121] ; input \VAR77[120] ; input \VAR77[119] ; input \VAR77[118] ; input \VAR77[117] ; input \VAR77[116] ; input \VAR77[115] ; input \VAR77[114] ; input \VAR77[113] ; input \VAR77[112] ; input \VAR77[111] ; input \VAR77[110] ; input \VAR77[109] ; input \VAR77[108] ; input \VAR77[107] ; input \VAR77[106] ; input \VAR77[105] ; input \VAR77[104] ; input \VAR77[103] ; input \VAR77[102] ; input \VAR77[101] ; input \VAR77[100] ; input \VAR77[99] ; input \VAR77[98] ; input \VAR77[97] ; input \VAR77[96] ; input VAR263 ; input VAR142 ; input VAR17 ; input VAR84 ; input \VAR77[271] ; input \VAR77[270] ; input \VAR77[269] ; input \VAR77[268] ; input \VAR77[267] ; input \VAR77[266] ; input \VAR77[265] ; input \VAR77[264] ; input \VAR77[263] ; input \VAR77[262] ; input \VAR77[261] ; input \VAR77[260] ; input \VAR77[259] ; input \VAR77[258] ; input \VAR77[257] ; input \VAR77[256] ; input \VAR77[255] ; input \VAR77[254] ; input \VAR77[253] ; input \VAR77[252] ; input \VAR77[251] ; input \VAR77[250] ; input \VAR77[249] ; input \VAR77[248] ; input \VAR77[247] ; input \VAR77[246] ; input \VAR77[245] ; input \VAR77[244] ; input \VAR77[243] ; input \VAR77[242] ; input \VAR77[241] ; input \VAR77[240] ; input VAR3 ; input VAR211 ; input VAR13 ; input VAR158 ; input VAR242 ; input VAR168 ; input VAR99 ; input VAR290 ; input VAR202 ; input VAR253 ; input VAR226 ; input VAR350 ; input VAR302 ; input \VAR77[207] ; input \VAR77[206] ; input \VAR77[205] ; input \VAR77[204] ; input \VAR77[203] ; input \VAR77[202] ; input \VAR77[201] ; input \VAR77[200] ; input \VAR77[199] ; input \VAR77[198] ; input \VAR77[197] ; input \VAR77[196] ; input \VAR77[195] ; input \VAR77[194] ; input \VAR77[193] ; input \VAR77[192] ; input \VAR77[191] ; input \VAR77[190] ; input \VAR77[189] ; input \VAR77[188] ; input \VAR77[187] ; input \VAR77[186] ; input \VAR77[185] ; input \VAR77[184] ; input \VAR77[183] ; input \VAR77[182] ; input \VAR77[181] ; input \VAR77[180] ; input \VAR77[179] ; input \VAR77[178] ; input \VAR77[177] ; input \VAR77[176] ; inout VAR274 ; inout VAR147 ; inout \VAR407[127] ; inout \VAR407[126] ; inout \VAR407[125] ; inout \VAR407[124] ; inout \VAR407[123] ; inout \VAR407[122] ; inout \VAR407[121] ; inout \VAR407[120] ; inout \VAR407[119] ; inout \VAR407[118] ; inout \VAR407[117] ; inout \VAR407[116] ; inout \VAR407[115] ; inout \VAR407[114] ; inout \VAR407[113] ; inout \VAR407[112] ; inout \VAR407[111] ; inout \VAR407[110] ; inout \VAR407[109] ; inout \VAR407[108] ; inout \VAR407[107] ; inout \VAR407[106] ; inout \VAR407[105] ; inout \VAR407[104] ; inout \VAR407[103] ; inout \VAR407[102] ; inout \VAR407[101] ; inout \VAR407[100] ; inout \VAR407[99] ; inout \VAR407[98] ; inout \VAR407[97] ; inout \VAR407[96] ; inout VAR409 ; inout \VAR52[7] ; inout \VAR52[6] ; inout \VAR52[5] ; inout \VAR52[4] ; inout \VAR407[63] ; inout \VAR407[62] ; inout \VAR407[61] ; inout \VAR407[60] ; inout \VAR407[59] ; inout \VAR407[58] ; inout \VAR407[57] ; inout \VAR407[56] ; inout \VAR407[55] ; inout \VAR407[54] ; inout \VAR407[53] ; inout \VAR407[52] ; inout \VAR407[51] ; inout \VAR407[50] ; inout \VAR407[49] ; inout \VAR407[48] ; inout \VAR407[47] ; inout \VAR407[46] ; inout \VAR407[45] ; inout \VAR407[44] ; inout \VAR407[43] ; inout \VAR407[42] ; inout \VAR407[41] ; inout \VAR407[40] ; inout \VAR407[39] ; inout \VAR407[38] ; inout \VAR407[37] ; inout \VAR407[36] ; inout \VAR407[35] ; inout \VAR407[34] ; inout \VAR407[33] ; inout \VAR407[32] ; inout \VAR52[35] ; inout \VAR52[34] ; inout \VAR52[33] ; inout \VAR52[32] ; inout \VAR52[31] ; inout \VAR52[16] ; inout \VAR52[15] ; inout \VAR52[14] ; inout \VAR52[13] ; inout \VAR52[26] ; inout \VAR52[25] ; inout \VAR52[24] ; inout \VAR52[23] ; inout \VAR52[22] ; wire [7:0] VAR209 ; wire [7:0] VAR135 ; wire [7:0] VAR284 ; wire [7:0] VAR296 ; wire [7:0] VAR109 ; wire [7:0] VAR74 ; wire [7:0] VAR311 ; wire [7:0] VAR359 ; wire [1:0] VAR169 ; wire [7:0] VAR221 ; wire [7:0] VAR293 ; wire [7:0] VAR270 ; wire [7:0] VAR7 ; wire [7:0] VAR76 ; wire [7:0] VAR59 ; wire [1:0] VAR348 ; wire [7:0] VAR208 ; wire [1:0] VAR257 ; wire [1:0] VAR303 ; wire [1:0] VAR370 ; wire [7:0] VAR89 ; wire [7:0] VAR362 ; wire [1:0] VAR411 ; wire [7:0] VAR164 ; wire [7:0] VAR375 ; wire [1:0] VAR379 ; wire [7:0] VAR320 ; wire [4:0] VAR166 ; wire [7:0] VAR73 ; wire [4:0] VAR136 ; wire [7:0] VAR110 ; wire [4:0] VAR21 ; wire [7:0] VAR10 ; wire [7:0] VAR372 ; wire [7:0] VAR20 ; wire [4:0] VAR299 ; wire [7:0] VAR313 ; wire [4:0] VAR132 ; wire [7:0] VAR23 ; wire [7:0] VAR335 ; wire [7:0] VAR30 ; wire [7:0] VAR318 ; wire [1:0] VAR354 ; wire [1:0] VAR61 ; wire [4:0] VAR98 ; wire [4:0] VAR127 ; wire [1:0] VAR393 ; wire [1:0] VAR170 ; wire [1:0] VAR174 ; wire [1:0] VAR92 ; wire [1:0] VAR326 ; wire [1:0] VAR397 ; wire [4:0] VAR317 ; wire [1:0] VAR200 ; wire [1:0] VAR330 ; wire [4:0] VAR167 ; wire [1:0] VAR123 ; wire [1:0] VAR57 ; wire [1:0] VAR396 ; wire [1:0] VAR249 ; wire [4:0] VAR213 ; wire [1:0] VAR352 ; wire [1:0] VAR361 ; wire [1:0] VAR86 ; wire [1:0] VAR28 ; wire [1:0] VAR85 ; wire [1:0] VAR177 ; wire [1:0] VAR115 ; wire [1:0] VAR124 ; wire [1:0] VAR198 ; wire VAR78 ; wire VAR179 ; wire VAR104 ; wire VAR201 ; wire VAR288 ; wire VAR141 ; wire VAR34 ; wire VAR399 ; wire VAR395 ; wire VAR319 ; wire VAR367 ; wire VAR6 ; wire VAR155 ; wire VAR11 ; wire VAR329 ; wire VAR258 ; wire VAR56 ; wire VAR254 ; wire VAR252 ; wire VAR343 ; wire VAR75 ; wire VAR371 ; wire VAR111 ; wire VAR336 ; wire VAR259 ; wire VAR180 ; wire VAR334 ; wire VAR63 ; wire VAR240 ; wire VAR351 ; wire VAR117 ; wire VAR199 ; wire VAR369 ; wire VAR31 ; wire VAR108 ; wire VAR210 ; wire VAR364 ; wire VAR341 ; wire VAR173 ; wire VAR403 ; wire VAR15 ; wire VAR64 ; wire VAR327 ; wire VAR152 ; wire VAR408 ; wire VAR42 ; wire VAR105 ; wire VAR8 ; wire VAR282 ; wire VAR385 ; wire VAR24 ; wire VAR188 ; wire VAR266 ; wire VAR232 ; wire VAR218 ; wire VAR222 ; wire VAR50 ; wire VAR189 ; wire VAR207 ; wire VAR195 ; wire VAR262 ; wire VAR338 ; wire VAR93 ; wire VAR54 ; wire VAR103 ; wire VAR214 ; wire VAR187 ; wire VAR234 ; wire VAR281 ; wire VAR215 ; wire VAR67 ; wire VAR137 ; wire VAR410 ; wire VAR223 ; wire VAR227 ; wire VAR373 ; wire VAR120 ; wire VAR65 ; wire VAR29 ; wire VAR43 ; wire VAR48 ; wire VAR294 ; wire VAR133 ; wire VAR126 ; wire VAR224 ; wire VAR289 ; wire VAR183 ; wire VAR286 ; wire VAR176 ; wire VAR255 ; wire VAR301 ; wire VAR119 ; wire VAR332 ; wire VAR238 ; wire VAR112 ; wire VAR131 ; wire VAR273 ; wire VAR357 ; wire VAR285 ; wire VAR45 ; wire VAR236 ; wire VAR400 ; wire VAR308 ; wire VAR405 ; wire VAR113 ; wire VAR298 ; wire VAR190 ; wire VAR171 ; wire VAR194 ; wire VAR1 ; wire VAR321 ; wire VAR220 ; wire VAR70 ; wire VAR94 ; wire VAR91 ; wire VAR203 ; wire VAR204 ; wire VAR100 ; wire VAR19 ; wire VAR101 ; wire VAR389 ; wire VAR58 ; wire VAR248 ; wire VAR363 ; wire VAR233 ; wire VAR297 ; wire VAR353 ; wire VAR356 ; wire VAR37 ; wire VAR139 ; wire VAR72 ; wire VAR310 ; wire VAR342 ; wire VAR140 ; wire VAR394 ; wire VAR153 ; wire VAR106 ; wire VAR163 ; wire VAR197 ; wire VAR246 ; wire VAR128 ; wire VAR280 ; wire VAR272 ; wire VAR160 ; wire VAR360 ; wire VAR82 ; wire VAR25 ; wire VAR5 ; wire VAR157 ; wire VAR283 ; wire VAR381 ; wire VAR184 ; wire VAR114 ; wire VAR16 ; wire VAR388 ; wire VAR344 ; wire VAR250 ; wire VAR161 ; wire VAR386 ; wire VAR116 ; wire VAR49 ; wire VAR291 ; wire VAR384 ; wire VAR315 ; VAR178 VAR239 ( .VAR235 ({VAR235[87:72] } ), .VAR244 ({VAR244[87:72] } ), .VAR268 ({VAR268[87:72] } ), .VAR193 ({VAR193[87:72] } ), .VAR143 ({VAR320[0] ,VAR320[1] ,VAR320[2] ,VAR320[3] , VAR320[4] ,VAR320[5] ,VAR320[6] ,VAR320[7] } ), .VAR265 ({VAR10[0] ,VAR10[1] ,VAR10[2] ,VAR10[3] , VAR10[4] ,VAR10[5] ,VAR10[6] ,VAR10[7] } ), .VAR33 ({\VAR77[247] ,\VAR77[246] , \VAR77[245] ,\VAR77[244] , \VAR77[243] ,\VAR77[242] , \VAR77[241] ,\VAR77[240] , \VAR77[183] ,\VAR77[182] , \VAR77[181] ,\VAR77[180] , \VAR77[179] ,\VAR77[178] , \VAR77[177] ,\VAR77[176] } ), .VAR154 ({\VAR77[103] ,\VAR77[102] , \VAR77[101] ,\VAR77[100] , \VAR77[99] ,\VAR77[98] , \VAR77[97] ,\VAR77[96] , \VAR77[39] ,\VAR77[38] , \VAR77[37] ,\VAR77[36] , \VAR77[35] ,\VAR77[34] , \VAR77[33] ,\VAR77[32] } ), .VAR162 ({\VAR162[103] ,\VAR162[102] , \VAR162[101] ,\VAR162[100] , \VAR162[99] ,\VAR162[98] , \VAR162[97] ,\VAR162[96] , \VAR162[39] ,\VAR162[38] , \VAR162[37] ,\VAR162[36] , \VAR162[35] ,\VAR162[34] , \VAR162[33] ,\VAR162[32] } ), .VAR292 ({\VAR162[231] ,\VAR162[230] ,\VAR162[229] ,\VAR162[228] , \VAR162[227] ,\VAR162[226] , \VAR162[225] ,\VAR162[224] , \VAR162[167] ,\VAR162[166] , \VAR162[165] ,\VAR162[164] , \VAR162[163] ,\VAR162[162] , \VAR162[161] ,\VAR162[160] } ), .VAR231 ({\VAR407[103] ,\VAR407[102] ,\VAR407[101] , \VAR407[100] ,\VAR407[99] ,\VAR407[98] ,\VAR407[97] , \VAR407[96] ,\VAR407[39] ,\VAR407[38] ,\VAR407[37] , \VAR407[36] ,\VAR407[35] ,\VAR407[34] ,\VAR407[33] , \VAR407[32] } ), .VAR237 ({VAR136[0] ,VAR136[1] ,VAR136[2] ,VAR136[3] , VAR136[4] } ), .VAR196 ({VAR28[0] ,VAR28[1] } ), .VAR402 ({VAR61[0] ,VAR61[1] } ), .VAR18 ({VAR303[0] ,VAR303[1] } ), .VAR81 ({VAR164[0] ,VAR164[1] ,VAR164[2] ,VAR164[3] , VAR164[4] ,VAR164[5] ,VAR164[6] ,VAR164[7] } ), .VAR380 ({VAR293[0] ,VAR293[1] ,VAR293[2] ,VAR293[3] , VAR293[4] ,VAR293[5] ,VAR293[6] ,VAR293[7] } ), .VAR186 ({\VAR52[31] ,\VAR52[22] ,\VAR52[13] , \VAR52[4] } ), .VAR212 ({VAR127[0] ,VAR127[1] ,VAR127[2] ,VAR127[3] , VAR127[4] } ), .VAR264 ({VAR124[0] ,VAR124[1] } ), .VAR247 ({VAR115[0] ,VAR115[1] } ), .VAR41 ({VAR354[0] ,VAR354[1] } ), .VAR51 ({VAR109[0] ,VAR109[1] ,VAR109[2] ,VAR109[3] , VAR109[4] ,VAR109[5] ,VAR109[6] ,VAR109[7] } ), .VAR256 ({VAR372[0] ,VAR372[1] ,VAR372[2] ,VAR372[3] , VAR372[4] ,VAR372[5] ,VAR372[6] ,VAR372[7] } ), .VAR401 (VAR203 ), .VAR12 (VAR43 ), .VAR62 (VAR310 ), .VAR323 (VAR176 ), .VAR398 (VAR398 ), .VAR302 (VAR302 ), .VAR83 (VAR29 ), .VAR158 (VAR408 ), .VAR13 (VAR403 ), .VAR84 (VAR210 ), .VAR406 (VAR369 ), .VAR263 (VAR64 ), .VAR142 (VAR142 ), .VAR271 (VAR252 ), .VAR226 (VAR254 ), .VAR217 (VAR258 ), .VAR46 (VAR334 ), .VAR243 (VAR395 ), .VAR350 (VAR367 ), .VAR66 (VAR199 ), .VAR339 (VAR11 ), .VAR17 (VAR17 ), .VAR365 (VAR321 ), .VAR121 (VAR130[10] ), .VAR150 (VAR90[1] ), .VAR88 (VAR409 ), .VAR404 (VAR90[0] ), .VAR267 (VAR206[10] ), .VAR156 (VAR261[1] ), .VAR39 (VAR261[0] ), .VAR148 (VAR253 ), .VAR211 (VAR211 ), .VAR191 (VAR54 ), .VAR383 (VAR400 ), .VAR328 (VAR357 ), .VAR185 (VAR112 ), .VAR324 (VAR301 ), .VAR331 (VAR236 ), .VAR376 (VAR376 ), .VAR47 (VAR386 ), .VAR2 (VAR344 ), .VAR202 (VAR16 ), .VAR3 (VAR8 ), .VAR305 (VAR140 ), .VAR290 (VAR24 ), .VAR99 (VAR188 ), .VAR304 (VAR371 ), .VAR168 (VAR266 ), .VAR333 (VAR232 ), .VAR242 (VAR282 ) ); VAR178 VAR138 ( .VAR235 ({VAR235[103:88] } ), .VAR244 ({VAR244[103:88] } ), .VAR268 ({VAR268[103:88] } ), .VAR193 ({VAR193[103:88] } ), .VAR143 ({VAR73[0] ,VAR73[1] ,VAR73[2] ,VAR73[3] , VAR73[4] ,VAR73[5] ,VAR73[6] ,VAR73[7] } ), .VAR265 ({VAR296[0] ,VAR296[1] ,VAR296[2] ,VAR296[3] , VAR296[4] ,VAR296[5] ,VAR296[6] ,VAR296[7] } ), .VAR33 ({\VAR77[255] ,\VAR77[254] , \VAR77[253] ,\VAR77[252] , \VAR77[251] ,\VAR77[250] , \VAR77[249] ,\VAR77[248] , \VAR77[191] ,\VAR77[190] , \VAR77[189] ,\VAR77[188] , \VAR77[187] ,\VAR77[186] , \VAR77[185] ,\VAR77[184] } ), .VAR154 ({\VAR77[111] ,\VAR77[110] , \VAR77[109] ,\VAR77[108] , \VAR77[107] ,\VAR77[106] , \VAR77[105] ,\VAR77[104] , \VAR77[47] ,\VAR77[46] , \VAR77[45] ,\VAR77[44] , \VAR77[43] ,\VAR77[42] , \VAR77[41] ,\VAR77[40] } ), .VAR162 ({\VAR162[111] ,\VAR162[110] , \VAR162[109] ,\VAR162[108] , \VAR162[107] ,\VAR162[106] , \VAR162[105] ,\VAR162[104] , \VAR162[47] ,\VAR162[46] , \VAR162[45] ,\VAR162[44] , \VAR162[43] ,\VAR162[42] , \VAR162[41] ,\VAR162[40] } ), .VAR292 ({\VAR162[239] ,\VAR162[238] ,\VAR162[237] ,\VAR162[236] , \VAR162[235] ,\VAR162[234] , \VAR162[233] ,\VAR162[232] , \VAR162[175] ,\VAR162[174] , \VAR162[173] ,\VAR162[172] , \VAR162[171] ,\VAR162[170] , \VAR162[169] ,\VAR162[168] } ), .VAR231 ({\VAR407[111] ,\VAR407[110] ,\VAR407[109] , \VAR407[108] ,\VAR407[107] ,\VAR407[106] ,\VAR407[105] , \VAR407[104] ,\VAR407[47] ,\VAR407[46] ,\VAR407[45] , \VAR407[44] ,\VAR407[43] ,\VAR407[42] ,\VAR407[41] , \VAR407[40] } ), .VAR237 ({VAR21[0] ,VAR21[1] ,VAR21[2] ,VAR21[3] , VAR21[4] } ), .VAR196 ({VAR177[0] ,VAR177[1] } ), .VAR402 ({VAR169[0] ,VAR169[1] } ), .VAR18 ({VAR92[0] ,VAR92[1] } ), .VAR81 ({VAR318[0] ,VAR318[1] ,VAR318[2] ,VAR318[3] , VAR318[4] ,VAR318[5] ,VAR318[6] ,VAR318[7] } ), .VAR380 ({VAR284[0] ,VAR284[1] ,VAR284[2] ,VAR284[3] , VAR284[4] ,VAR284[5] ,VAR284[6] ,VAR284[7] } ), .VAR186 ({\VAR52[32] ,\VAR52[23] ,\VAR52[14] , \VAR52[5] } ), .VAR212 ({VAR167[0] ,VAR167[1] ,VAR167[2] ,VAR167[3] , VAR167[4] } ), .VAR264 ({VAR123[0] ,VAR123[1] } ), .VAR247 ({VAR352[0] ,VAR352[1] } ), .VAR41 ({VAR198[0] ,VAR198[1] } ), .VAR51 ({VAR59[0] ,VAR59[1] ,VAR59[2] ,VAR59[3] , VAR59[4] ,VAR59[5] ,VAR59[6] ,VAR59[7] } ), .VAR256 ({VAR311[0] ,VAR311[1] ,VAR311[2] ,VAR311[3] , VAR311[4] ,VAR311[5] ,VAR311[6] ,VAR311[7] } ), .VAR401 (VAR281 ), .VAR12 (VAR120 ), .VAR62 (VAR119 ), .VAR323 (VAR262 ), .VAR398 (VAR398 ), .VAR302 (VAR302 ), .VAR83 (VAR234 ), .VAR158 (VAR67 ), .VAR13 (VAR50 ), .VAR84 (VAR137 ), .VAR406 (VAR141 ), .VAR263 (VAR222 ), .VAR142 (VAR142 ), .VAR271 (VAR65 ), .VAR226 (VAR343 ), .VAR217 (VAR104 ), .VAR46 (VAR218 ), .VAR243 (VAR42 ), .VAR350 (VAR207 ), .VAR66 (VAR338 ), .VAR339 (VAR214 ), .VAR17 (VAR321 ), .VAR365 (VAR285 ), .VAR121 (VAR79[7] ), .VAR150 (VAR274 ), .VAR88 (VAR129[0] ), .VAR404 (VAR147 ), .VAR267 (VAR245[7] ), .VAR156 (VAR322 ), .VAR39 (VAR260 ), .VAR148 (VAR228[0] ), .VAR211 (VAR54 ), .VAR191 (VAR246 ), .VAR383 (VAR289 ), .VAR328 (VAR131 ), .VAR185 (VAR45 ), .VAR324 (VAR298 ), .VAR331 (VAR103 ), .VAR376 (VAR376 ), .VAR47 (VAR373 ), .VAR2 (VAR220 ), .VAR202 (VAR201 ), .VAR3 (VAR160 ), .VAR305 (VAR273 ), .VAR290 (VAR133 ), .VAR99 (VAR126 ), .VAR304 (VAR227 ), .VAR168 (VAR111 ), .VAR333 (VAR259 ), .VAR242 (VAR114 ) ); VAR178 VAR87 ( .VAR235 ({VAR235[119:104] } ), .VAR244 ({VAR244[119:104] } ), .VAR268 ({VAR268[119:104] } ), .VAR193 ({VAR193[119:104] } ), .VAR143 ({VAR208[0] ,VAR208[1] ,VAR208[2] ,VAR208[3] , VAR208[4] ,VAR208[5] ,VAR208[6] ,VAR208[7] } ), .VAR265 ({VAR359[0] ,VAR359[1] ,VAR359[2] ,VAR359[3] , VAR359[4] ,VAR359[5] ,VAR359[6] ,VAR359[7] } ), .VAR33 ({\VAR77[263] ,\VAR77[262] , \VAR77[261] ,\VAR77[260] , \VAR77[259] ,\VAR77[258] , \VAR77[257] ,\VAR77[256] , \VAR77[199] ,\VAR77[198] , \VAR77[197] ,\VAR77[196] , \VAR77[195] ,\VAR77[194] , \VAR77[193] ,\VAR77[192] } ), .VAR154 ({\VAR77[119] ,\VAR77[118] , \VAR77[117] ,\VAR77[116] , \VAR77[115] ,\VAR77[114] , \VAR77[113] ,\VAR77[112] , \VAR77[55] ,\VAR77[54] , \VAR77[53] ,\VAR77[52] , \VAR77[51] ,\VAR77[50] , \VAR77[49] ,\VAR77[48] } ), .VAR162 ({\VAR162[119] ,\VAR162[118] , \VAR162[117] ,\VAR162[116] , \VAR162[115] ,\VAR162[114] , \VAR162[113] ,\VAR162[112] , \VAR162[55] ,\VAR162[54] , \VAR162[53] ,\VAR162[52] , \VAR162[51] ,\VAR162[50] , \VAR162[49] ,\VAR162[48] } ), .VAR292 ({\VAR162[247] ,\VAR162[246] ,\VAR162[245] ,\VAR162[244] , \VAR162[243] ,\VAR162[242] , \VAR162[241] ,\VAR162[240] , \VAR162[183] ,\VAR162[182] , \VAR162[181] ,\VAR162[180] , \VAR162[179] ,\VAR162[178] , \VAR162[177] ,\VAR162[176] } ), .VAR231 ({\VAR407[119] ,\VAR407[118] ,\VAR407[117] , \VAR407[116] ,\VAR407[115] ,\VAR407[114] ,\VAR407[113] , \VAR407[112] ,\VAR407[55] ,\VAR407[54] ,\VAR407[53] , \VAR407[52] ,\VAR407[51] ,\VAR407[50] ,\VAR407[49] , \VAR407[48] } ), .VAR237 ({VAR98[0] ,VAR98[1] ,VAR98[2] ,VAR98[3] , VAR98[4] } ), .VAR196 ({VAR326[0] ,VAR326[1] } ), .VAR402 ({VAR411[0] ,VAR411[1] } ), .VAR18 ({VAR397[0] ,VAR397[1] } ), .VAR81 ({VAR221[0] ,VAR221[1] ,VAR221[2] ,VAR221[3] , VAR221[4] ,VAR221[5] ,VAR221[6] ,VAR221[7] } ), .VAR380 ({VAR362[0] ,VAR362[1] ,VAR362[2] ,VAR362[3] , VAR362[4] ,VAR362[5] ,VAR362[6] ,VAR362[7] } ), .VAR186 ({\VAR52[33] ,\VAR52[24] ,\VAR52[15] , \VAR52[6] } ), .VAR212 ({VAR213[0] ,VAR213[1] ,VAR213[2] ,VAR213[3] , VAR213[4] } ), .VAR264 ({VAR330[0] ,VAR330[1] } ), .VAR247 ({VAR174[0] ,VAR174[1] } ), .VAR41 ({VAR85[0] ,VAR85[1] } ), .VAR51 ({VAR335[0] ,VAR335[1] ,VAR335[2] ,VAR335[3] , VAR335[4] ,VAR335[5] ,VAR335[6] ,VAR335[7] } ), .VAR256 ({VAR74[0] ,VAR74[1] ,VAR74[2] ,VAR74[3] , VAR74[4] ,VAR74[5] ,VAR74[6] ,VAR74[7] } ), .VAR401 (VAR157 ), .VAR12 (VAR187 ), .VAR62 (VAR189 ), .VAR323 (VAR34 ), .VAR398 (VAR398 ), .VAR302 (VAR302 ), .VAR83 (VAR93 ), .VAR158 (VAR224 ), .VAR13 (VAR255 ), .VAR84 (VAR238 ), .VAR406 (VAR405 ), .VAR263 (VAR286 ), .VAR142 (VAR142 ), .VAR271 (VAR385 ), .VAR226 (VAR1 ), .VAR217 (VAR70 ), .VAR46 (VAR78 ), .VAR243 (VAR280 ), .VAR350 (VAR360 ), .VAR66 (VAR25 ), .VAR339 (VAR6 ), .VAR17 (VAR285 ), .VAR365 (VAR308 ), .VAR121 (VAR129[1] ), .VAR150 (VAR129[2] ), .VAR88 (VAR79[2] ), .VAR404 (VAR129[3] ), .VAR267 (VAR228[1] ), .VAR156 (VAR228[2] ), .VAR39 (VAR228[3] ), .VAR148 (VAR245[2] ), .VAR211 (VAR246 ), .VAR191 (VAR215 ), .VAR383 (VAR179 ), .VAR328 (VAR283 ), .VAR185 (VAR248 ), .VAR324 (VAR184 ), .VAR331 (VAR101 ), .VAR376 (VAR376 ), .VAR47 (VAR128 ), .VAR2 (VAR272 ), .VAR202 (VAR291 ), .VAR3 (VAR332 ), .VAR305 (VAR195 ), .VAR290 (VAR183 ), .VAR99 (VAR336 ), .VAR304 (VAR105 ), .VAR168 (VAR363 ), .VAR333 (VAR63 ), .VAR242 (VAR75 ) ); VAR178 VAR40 ( .VAR235 ({VAR235[135:120] } ), .VAR244 ({VAR244[135:120] } ), .VAR268 ({VAR268[135:120] } ), .VAR193 ({VAR193[135:120] } ), .VAR143 ({VAR110[0] ,VAR110[1] ,VAR110[2] ,VAR110[3] , VAR110[4] ,VAR110[5] ,VAR110[6] ,VAR110[7] } ), .VAR265 ({VAR23[0] ,VAR23[1] ,VAR23[2] ,VAR23[3] , VAR23[4] ,VAR23[5] ,VAR23[6] ,VAR23[7] } ), .VAR33 ({\VAR77[271] ,\VAR77[270] , \VAR77[269] ,\VAR77[268] , \VAR77[267] ,\VAR77[266] , \VAR77[265] ,\VAR77[264] , \VAR77[207] ,\VAR77[206] , \VAR77[205] ,\VAR77[204] , \VAR77[203] ,\VAR77[202] , \VAR77[201] ,\VAR77[200] } ), .VAR154 ({\VAR77[127] ,\VAR77[126] , \VAR77[125] ,\VAR77[124] , \VAR77[123] ,\VAR77[122] , \VAR77[121] ,\VAR77[120] , \VAR77[63] ,\VAR77[62] , \VAR77[61] ,\VAR77[60] , \VAR77[59] ,\VAR77[58] , \VAR77[57] ,\VAR77[56] } ), .VAR162 ({\VAR162[127] ,\VAR162[126] , \VAR162[125] ,\VAR162[124] , \VAR162[123] ,\VAR162[122] , \VAR162[121] ,\VAR162[120] , \VAR162[63] ,\VAR162[62] , \VAR162[61] ,\VAR162[60] , \VAR162[59] ,\VAR162[58] , \VAR162[57] ,\VAR162[56] } ), .VAR292 ({\VAR162[255] ,\VAR162[254] ,\VAR162[253] ,\VAR162[252] , \VAR162[251] ,\VAR162[250] , \VAR162[249] ,\VAR162[248] , \VAR162[191] ,\VAR162[190] , \VAR162[189] ,\VAR162[188] , \VAR162[187] ,\VAR162[186] , \VAR162[185] ,\VAR162[184] } ), .VAR231 ({\VAR407[127] ,\VAR407[126] ,\VAR407[125] , \VAR407[124] ,\VAR407[123] ,\VAR407[122] ,\VAR407[121] , \VAR407[120] ,\VAR407[63] ,\VAR407[62] ,\VAR407[61] , \VAR407[60] ,\VAR407[59] ,\VAR407[58] ,\VAR407[57] , \VAR407[56] } ), .VAR237 ({VAR317[0] ,VAR317[1] ,VAR317[2] ,VAR317[3] , VAR317[4] } ), .VAR196 ({VAR379[0] ,VAR379[1] } ), .VAR402 ({VAR393[0] ,VAR393[1] } ), .VAR18 ({VAR200[0] ,VAR200[1] } ), .VAR81 ({VAR270[0] ,VAR270[1] ,VAR270[2] ,VAR270[3] , VAR270[4] ,VAR270[5] ,VAR270[6] ,VAR270[7] } ), .VAR380 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR186 ({\VAR52[34] ,\VAR52[25] ,\VAR52[16] , \VAR52[7] } ), .VAR212 ({VAR299[0] ,VAR299[1] ,VAR299[2] ,VAR299[3] , VAR299[4] } ), .VAR264 ({VAR361[0] ,VAR361[1] } ), .VAR247 ({VAR86[0] ,VAR86[1] } ), .VAR41 ({VAR348[0] ,VAR348[1] } ), .VAR51 ({VAR20[0] ,VAR20[1] ,VAR20[2] ,VAR20[3] , VAR20[4] ,VAR20[5] ,VAR20[6] ,VAR20[7] } ), .VAR256 ({VAR30[0] ,VAR30[1] ,VAR30[2] ,VAR30[3] , VAR30[4] ,VAR30[5] ,VAR30[6] ,VAR30[7] } ), .VAR401 (VAR106 ), .VAR12 (VAR116 ), .VAR62 (VAR49 ), .VAR323 (VAR91 ), .VAR398 (VAR398 ), .VAR302 (VAR302 ), .VAR83 (VAR250 ), .VAR158 (VAR240 ), .VAR13 (VAR163 ), .VAR84 (VAR204 ), .VAR406 (VAR82 ), .VAR263 (VAR94 ), .VAR142 (VAR142 ), .VAR271 (VAR388 ), .VAR226 (VAR161 ), .VAR217 (VAR197 ), .VAR46 (VAR356 ), .VAR243 (VAR37 ), .VAR350 (VAR139 ), .VAR66 (VAR342 ), .VAR339 (VAR153 ), .VAR17 (VAR308 ), .VAR365 (VAR58 ), .VAR121 (VAR79[3] ), .VAR150 (VAR79[4] ), .VAR88 (VAR79[6] ), .VAR404 (VAR79[5] ), .VAR267 (VAR245[3] ), .VAR156 (VAR245[4] ), .VAR39 (VAR245[5] ), .VAR148 (VAR245[6] ), .VAR211 (VAR215 ), .VAR191 (VAR5 ), .VAR383 (VAR410 ), .VAR328 (VAR113 ), .VAR185 (VAR100 ), .VAR324 (VAR190 ), .VAR331 (VAR223 ), .VAR376 (VAR376 ), .VAR47 (VAR399 ), .VAR2 (VAR171 ), .VAR202 (VAR194 ), .VAR3 (VAR288 ), .VAR305 (VAR315 ), .VAR290 (VAR48 ), .VAR99 (VAR294 ), .VAR304 (VAR19 ), .VAR168 (VAR72 ), .VAR333 (VAR394 ), .VAR242 (VAR353 ) ); VAR325 VAR216 ( .VAR96 ({VAR372[0] ,VAR372[1] ,VAR372[2] ,VAR372[3] , VAR372[4] ,VAR372[5] ,VAR372[6] ,VAR372[7] } ), .VAR53 ({VAR109[0] ,VAR109[1] ,VAR109[2] ,VAR109[3] , VAR109[4] ,VAR109[5] ,VAR109[6] ,VAR109[7] } ), .VAR358 ({VAR354[0] ,VAR354[1] } ), .VAR107 ({VAR115[0] ,VAR115[1] } ), .VAR277 ({VAR124[0] ,VAR124[1] } ), .VAR149 ({VAR256 } ), .VAR144 ({VAR51 } ), .VAR312 ({VAR127[0] ,VAR127[1] ,VAR127[2] ,VAR127[3] , VAR127[4] } ), .VAR387 ({VAR41 } ), .VAR36 ({VAR320[0] ,VAR320[1] ,VAR320[2] ,VAR320[3] , VAR320[4] ,VAR320[5] ,VAR320[6] ,VAR320[7] } ), .VAR378 ({VAR143 } ), .VAR134 ({VAR212 } ), .VAR275 ({VAR264 } ), .VAR278 ({VAR247 } ), .VAR276 (VAR118 ), .VAR229 (VAR392 ), .VAR307 (VAR182 ), .VAR316 (VAR349 ), .VAR279 (VAR314 ), .VAR377 (VAR60 ), .VAR97 (VAR16 ), .VAR368 (VAR344 ), .VAR345 (VAR176 ), .VAR219 (VAR301 ), .VAR340 (VAR112 ), .VAR27 (VAR357 ), .VAR347 (VAR236 ), .VAR300 (VAR232 ), .VAR192 (VAR266 ), .VAR122 (VAR188 ), .VAR309 (VAR24 ), .VAR69 (VAR282 ), .VAR38 (VAR8 ), .VAR374 (VAR202 ), .VAR175 (VAR2 ), .VAR241 (VAR400 ), .VAR80 (VAR140 ), .VAR151 (VAR71 ), .VAR366 (VAR62 ), .VAR14 (VAR310 ), .VAR26 (VAR302 ), .VAR55 (VAR331 ), .VAR346 (VAR185 ), .VAR225 (VAR386 ), .VAR125 (VAR328 ), .VAR382 (VAR47 ), .VAR205 (VAR383 ), .VAR306 (VAR324 ), .VAR251 (VAR323 ) ); VAR325 VAR22 ( .VAR96 ({VAR293[0] ,VAR293[1] ,VAR293[2] ,VAR293[3] , VAR293[4] ,VAR293[5] ,VAR293[6] ,VAR293[7] } ), .VAR53 ({VAR164[0] ,VAR164[1] ,VAR164[2] ,VAR164[3] , VAR164[4] ,VAR164[5] ,VAR164[6] ,VAR164[7] } ), .VAR358 ({VAR303[0] ,VAR303[1] } ), .VAR107 ({VAR61[0] ,VAR61[1] } ), .VAR277 ({VAR28[0] ,VAR28[1] } ), .VAR149 ({VAR380 } ), .VAR144 ({VAR81 } ), .VAR312 ({VAR136[0] ,VAR136[1] ,VAR136[2] ,VAR136[3] , VAR136[4] } ), .VAR387 ({VAR18 } ), .VAR36 ({VAR10[0] ,VAR10[1] ,VAR10[2] ,VAR10[3] , VAR10[4] ,VAR10[5] ,VAR10[6] ,VAR10[7] } ), .VAR378 ({VAR265 } ), .VAR134 ({VAR237 } ), .VAR275 ({VAR196 } ), .VAR278 ({VAR402 } ), .VAR276 (VAR145 ), .VAR229 (VAR4 ), .VAR307 (VAR172 ), .VAR316 (VAR32 ), .VAR279 (VAR337 ), .VAR377 (VAR44 ), .VAR97 (VAR258 ), .VAR368 (VAR254 ), .VAR345 (VAR371 ), .VAR219 (VAR369 ), .VAR340 (VAR210 ), .VAR27 (VAR403 ), .VAR347 (VAR64 ), .VAR300 (VAR203 ), .VAR192 (VAR11 ), .VAR122 (VAR199 ), .VAR309 (VAR367 ), .VAR69 (VAR395 ), .VAR38 (VAR334 ), .VAR374 (VAR217 ), .VAR175 (VAR226 ), .VAR241 (VAR408 ), .VAR80 (VAR43 ), .VAR151 (VAR269 ), .VAR366 (VAR83 ), .VAR14 (VAR29 ), .VAR26 (VAR302 ), .VAR55 (VAR263 ), .VAR346 (VAR84 ), .VAR225 (VAR252 ), .VAR125 (VAR13 ), .VAR382 (VAR271 ), .VAR205 (VAR158 ), .VAR306 (VAR406 ), .VAR251 (VAR304 ) ); VAR325 VAR181 ( .VAR96 ({VAR311[0] ,VAR311[1] ,VAR311[2] ,VAR311[3] , VAR311[4] ,VAR311[5] ,VAR311[6] ,VAR311[7] } ), .VAR53 ({VAR59[0] ,VAR59[1] ,VAR59[2] ,VAR59[3] , VAR59[4] ,VAR59[5] ,VAR59[6] ,VAR59[7] } ), .VAR358 ({VAR198[0] ,VAR198[1] } ), .VAR107 ({VAR352[0] ,VAR352[1] } ), .VAR277 ({VAR123[0] ,VAR123[1] } ), .VAR149 ({VAR372[0] ,VAR372[1] ,VAR372[2] ,VAR372[3] , VAR372[4] ,VAR372[5] ,VAR372[6] ,VAR372[7] } ), .VAR144 ({VAR109[0] ,VAR109[1] ,VAR109[2] ,VAR109[3] , VAR109[4] ,VAR109[5] ,VAR109[6] ,VAR109[7] } ), .VAR312 ({VAR167[0] ,VAR167[1] ,VAR167[2] ,VAR167[3] , VAR167[4] } ), .VAR387 ({VAR354[0] ,VAR354[1] } ), .VAR36 ({VAR73[0] ,VAR73[1] ,VAR73[2] ,VAR73[3] , VAR73[4] ,VAR73[5] ,VAR73[6] ,VAR73[7] } ), .VAR378 ({VAR320[0] ,VAR320[1] ,VAR320[2] ,VAR320[3] , VAR320[4] ,VAR320[5] ,VAR320[6] ,VAR320[7] } ), .VAR134 ({VAR127[0] ,VAR127[1] ,VAR127[2] ,VAR127[3] , VAR127[4] } ), .VAR275 ({VAR124[0] ,VAR124[1] } ), .VAR278 ({VAR115[0] ,VAR115[1] } ), .VAR276 (VAR232 ), .VAR229 (VAR266 ), .VAR307 (VAR188 ), .VAR316 (VAR24 ), .VAR279 (VAR282 ), .VAR377 (VAR8 ), .VAR97 (VAR201 ), .VAR368 (VAR220 ), .VAR345 (VAR262 ), .VAR219 (VAR298 ), .VAR340 (VAR45 ), .VAR27 (VAR131 ), .VAR347 (VAR103 ), .VAR300 (VAR259 ), .VAR192 (VAR111 ), .VAR122 (VAR126 ), .VAR309 (VAR133 ), .VAR69 (VAR114 ), .VAR38 (VAR160 ), .VAR374 (VAR16 ), .VAR175 (VAR344 ), .VAR241 (VAR289 ), .VAR80 (VAR273 ), .VAR151 (VAR140 ), .VAR366 (VAR310 ), .VAR14 (VAR119 ), .VAR26 (VAR302 ), .VAR55 (VAR236 ), .VAR346 (VAR112 ), .VAR225 (VAR373 ), .VAR125 (VAR357 ), .VAR382 (VAR386 ), .VAR205 (VAR400 ), .VAR306 (VAR301 ), .VAR251 (VAR176 ) ); VAR325 VAR9 ( .VAR96 ({VAR284[0] ,VAR284[1] ,VAR284[2] ,VAR284[3] , VAR284[4] ,VAR284[5] ,VAR284[6] ,VAR284[7] } ), .VAR53 ({VAR318[0] ,VAR318[1] ,VAR318[2] ,VAR318[3] , VAR318[4] ,VAR318[5] ,VAR318[6] ,VAR318[7] } ), .VAR358 ({VAR92[0] ,VAR92[1] } ), .VAR107 ({VAR169[0] ,VAR169[1] } ), .VAR277 ({VAR177[0] ,VAR177[1] } ), .VAR149 ({VAR293[0] ,VAR293[1] ,VAR293[2] ,VAR293[3] , VAR293[4] ,VAR293[5] ,VAR293[6] ,VAR293[7] } ), .VAR144 ({VAR164[0] ,VAR164[1] ,VAR164[2] ,VAR164[3] , VAR164[4] ,VAR164[5] ,VAR164[6] ,VAR164[7] } ), .VAR312 ({VAR21[0] ,VAR21[1] ,VAR21[2] ,VAR21[3] , VAR21[4] } ), .VAR387 ({VAR303[0] ,VAR303[1] } ), .VAR36 ({VAR296[0] ,VAR296[1] ,VAR296[2] ,VAR296[3] , VAR296[4] ,VAR296[5] ,VAR296[6] ,VAR296[7] } ), .VAR378 ({VAR10[0] ,VAR10[1] ,VAR10[2] ,VAR10[3] , VAR10[4] ,VAR10[5] ,VAR10[6] ,VAR10[7] } ), .VAR134 ({VAR136[0] ,VAR136[1] ,VAR136[2] ,VAR136[3] , VAR136[4] } ), .VAR275 ({VAR28[0] ,VAR28[1] } ), .VAR278 ({VAR61[0] ,VAR61[1] } ), .VAR276 (VAR203 ), .VAR229 (VAR11 ), .VAR307 (VAR199 ), .VAR316 (VAR367 ), .VAR279 (VAR395 ), .VAR377 (VAR334 ), .VAR97 (VAR104 ), .VAR368 (VAR343 ), .VAR345 (VAR227 ), .VAR219 (VAR141 ), .VAR340 (VAR137 ), .VAR27 (VAR50 ), .VAR347 (VAR222 ), .VAR300 (VAR281 ), .VAR192 (VAR214 ), .VAR122 (VAR338 ), .VAR309 (VAR207 ), .VAR69 (VAR42 ), .VAR38 (VAR218 ), .VAR374 (VAR258 ), .VAR175 (VAR254 ), .VAR241 (VAR67 ), .VAR80 (VAR120 ), .VAR151 (VAR43 ), .VAR366 (VAR29 ), .VAR14 (VAR234 ), .VAR26 (VAR302 ), .VAR55 (VAR64 ), .VAR346 (VAR210 ), .VAR225 (VAR65 ), .VAR125 (VAR403 ), .VAR382 (VAR252 ), .VAR205 (VAR408 ), .VAR306 (VAR369 ), .VAR251 (VAR371 ) ); VAR325 VAR295 ( .VAR96 ({VAR74[0] ,VAR74[1] ,VAR74[2] ,VAR74[3] , VAR74[4] ,VAR74[5] ,VAR74[6] ,VAR74[7] } ), .VAR53 ({VAR335[0] ,VAR335[1] ,VAR335[2] ,VAR335[3] , VAR335[4] ,VAR335[5] ,VAR335[6] ,VAR335[7] } ), .VAR358 ({VAR85[0] ,VAR85[1] } ), .VAR107 ({VAR174[0] ,VAR174[1] } ), .VAR277 ({VAR330[0] ,VAR330[1] } ), .VAR149 ({VAR311[0] ,VAR311[1] ,VAR311[2] ,VAR311[3] , VAR311[4] ,VAR311[5] ,VAR311[6] ,VAR311[7] } ), .VAR144 ({VAR59[0] ,VAR59[1] ,VAR59[2] ,VAR59[3] , VAR59[4] ,VAR59[5] ,VAR59[6] ,VAR59[7] } ), .VAR312 ({VAR213[0] ,VAR213[1] ,VAR213[2] ,VAR213[3] , VAR213[4] } ), .VAR387 ({VAR198[0] ,VAR198[1] } ), .VAR36 ({VAR208[0] ,VAR208[1] ,VAR208[2] ,VAR208[3] , VAR208[4] ,VAR208[5] ,VAR208[6] ,VAR208[7] } ), .VAR378 ({VAR73[0] ,VAR73[1] ,VAR73[2] ,VAR73[3] , VAR73[4] ,VAR73[5] ,VAR73[6] ,VAR73[7] } ), .VAR134 ({VAR167[0] ,VAR167[1] ,VAR167[2] ,VAR167[3] , VAR167[4] } ), .VAR275 ({VAR123[0] ,VAR123[1] } ), .VAR278 ({VAR352[0] ,VAR352[1] } ), .VAR276 (VAR259 ), .VAR229 (VAR111 ), .VAR307 (VAR126 ), .VAR316 (VAR133 ), .VAR279 (VAR114 ), .VAR377 (VAR160 ), .VAR97 (VAR291 ), .VAR368 (VAR272 ), .VAR345 (VAR34 ), .VAR219 (VAR184 ), .VAR340 (VAR248 ), .VAR27 (VAR283 ), .VAR347 (VAR101 ), .VAR300 (VAR63 ), .VAR192 (VAR363 ), .VAR122 (VAR336 ), .VAR309 (VAR183 ), .VAR69 (VAR75 ), .VAR38 (VAR332 ), .VAR374 (VAR201 ), .VAR175 (VAR220 ), .VAR241 (VAR179 ), .VAR80 (VAR195 ), .VAR151 (VAR273 ), .VAR366 (VAR119 ), .VAR14 (VAR189 ), .VAR26 (VAR302 ), .VAR55 (VAR103 ), .VAR346 (VAR45 ), .VAR225 (VAR128 ), .VAR125 (VAR131 ), .VAR382 (VAR373 ), .VAR205 (VAR289 ), .VAR306 (VAR298 ), .VAR251 (VAR262 ) ); VAR146 VAR102 ( .VAR268 ({VAR268[143:136] } ), .VAR235 ({VAR235[143:136] } ), .VAR244 ({VAR244[143:136] } ), .VAR193 ({VAR193[143:136] } ), .VAR143 ({VAR135[0] ,VAR135[1] ,VAR135[2] ,VAR135[3] , VAR135[4] ,VAR135[5] ,VAR135[6] ,VAR135[7] } ), .VAR265 ({VAR209[0] ,VAR209[1] ,VAR209[2] ,VAR209[3] , VAR209[4] ,VAR209[5] ,VAR209[6] ,VAR209[7] } ), .VAR231 ({VAR390 } ), .VAR162 ({\VAR287[15] ,\VAR287[14] , \VAR287[13] ,\VAR287[12] , \VAR287[11] ,\VAR287[10] ,\VAR287[9] ,\VAR287[8] } ), .VAR292 ({\VAR287[31] ,\VAR287[30] , \VAR287[29] ,\VAR287[28] , \VAR287[27] ,\VAR287[26] , \VAR287[25] ,\VAR287[24] } ), .VAR33 ({\VAR77[287] ,\VAR77[286] , \VAR77[285] ,\VAR77[284] , \VAR77[283] ,\VAR77[282] , \VAR77[281] ,\VAR77[280] } ), .VAR154 ({\VAR77[143] ,\VAR77[142] , \VAR77[141] ,\VAR77[140] , \VAR77[139] ,\VAR77[138] , \VAR77[137] ,\VAR77[136] } ), .VAR186 ({\VAR52[35] ,\VAR52[26] } ), .VAR237 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] } ), .VAR196 ({VAR370[0] ,VAR370[1] } ), .VAR402 ({VAR170[0] ,VAR170[1] } ), .VAR18 ({VAR249[0] ,VAR249[1] } ), .VAR81 ({VAR313[0] ,VAR313[1] ,VAR313[2] ,VAR313[3] , VAR313[4] ,VAR313[5] ,VAR313[6] ,VAR313[7] } ), .VAR380 ({VAR375[0] ,VAR375[1] ,VAR375[2] ,VAR375[3] , VAR375[4] ,VAR375[5] ,VAR375[6] ,VAR375[7] } ), .VAR212 ({VAR166[0] ,VAR166[1] ,VAR166[2] ,VAR166[3] , VAR166[4] } ), .VAR264 ({VAR396[0] ,VAR396[1] } ), .VAR247 ({VAR257[0] ,VAR257[1] } ), .VAR41 ({VAR57[0] ,VAR57[1] } ), .VAR51 ({VAR7[0] ,VAR7[1] ,VAR7[2] ,VAR7[3] , VAR7[4] ,VAR7[5] ,VAR7[6] ,VAR7[7] } ), .VAR256 ({VAR76[0] ,VAR76[1] ,VAR76[2] ,VAR76[3] , VAR76[4] ,VAR76[5] ,VAR76[6] ,VAR76[7] } ), .VAR305 (VAR305 ), .VAR323 (VAR341 ), .VAR62 (VAR381 ), .VAR304 (VAR173 ), .VAR83 (VAR384 ), .VAR365 (VAR365 ), .VAR159 (VAR79[1] ), .VAR230 (VAR79[0] ), .VAR12 (VAR12 ), .VAR191 (VAR191 ), .VAR267 (VAR245[1] ), .VAR17 (VAR58 ), .VAR333 (VAR333 ), .VAR302 (VAR302 ), .VAR158 (VAR233 ), .VAR13 (VAR117 ), .VAR84 (VAR31 ), .VAR406 (VAR108 ), .VAR263 (VAR351 ), .VAR142 (VAR142 ), .VAR271 (VAR152 ), .VAR226 (VAR389 ), .VAR217 (VAR297 ), .VAR46 (VAR46 ), .VAR350 (VAR350 ), .VAR66 (VAR66 ), .VAR339 (VAR339 ), .VAR243 (VAR243 ), .VAR383 (VAR364 ), .VAR328 (VAR155 ), .VAR185 (VAR327 ), .VAR324 (VAR329 ), .VAR156 (VAR245[0] ), .VAR331 (VAR15 ), .VAR376 (VAR376 ), .VAR47 (VAR319 ), .VAR2 (VAR56 ), .VAR202 (VAR180 ), .VAR3 (VAR3 ), .VAR290 (VAR290 ), .VAR99 (VAR99 ), .VAR168 (VAR168 ), .VAR242 (VAR242 ), .VAR398 (VAR398 ), .VAR401 (VAR401 ), .VAR211 (VAR5 ) ); VAR325 VAR165 ( .VAR96 ({VAR362[0] ,VAR362[1] ,VAR362[2] ,VAR362[3] , VAR362[4] ,VAR362[5] ,VAR362[6] ,VAR362[7] } ), .VAR53 ({VAR221[0] ,VAR221[1] ,VAR221[2] ,VAR221[3] , VAR221[4] ,VAR221[5] ,VAR221[6] ,VAR221[7] } ), .VAR358 ({VAR397[0] ,VAR397[1] } ), .VAR107 ({VAR411[0] ,VAR411[1] } ), .VAR277 ({VAR326[0] ,VAR326[1] } ), .VAR149 ({VAR284[0] ,VAR284[1] ,VAR284[2] ,VAR284[3] , VAR284[4] ,VAR284[5] ,VAR284[6] ,VAR284[7] } ), .VAR144 ({VAR318[0] ,VAR318[1] ,VAR318[2] ,VAR318[3] , VAR318[4] ,VAR318[5] ,VAR318[6] ,VAR318[7] } ), .VAR312 ({VAR98[0] ,VAR98[1] ,VAR98[2] ,VAR98[3] , VAR98[4] } ), .VAR387 ({VAR92[0] ,VAR92[1] } ), .VAR36 ({VAR359[0] ,VAR359[1] ,VAR359[2] ,VAR359[3] , VAR359[4] ,VAR359[5] ,VAR359[6] ,VAR359[7] } ), .VAR378 ({VAR296[0] ,VAR296[1] ,VAR296[2] ,VAR296[3] , VAR296[4] ,VAR296[5] ,VAR296[6] ,VAR296[7] } ), .VAR134 ({VAR21[0] ,VAR21[1] ,VAR21[2] ,VAR21[3] , VAR21[4] } ), .VAR275 ({VAR177[0] ,VAR177[1] } ), .VAR278 ({VAR169[0] ,VAR169[1] } ), .VAR276 (VAR281 ), .VAR229 (VAR214 ), .VAR307 (VAR338 ), .VAR316 (VAR207 ), .VAR279 (VAR42 ), .VAR377 (VAR218 ), .VAR97 (VAR70 ), .VAR368 (VAR1 ), .VAR345 (VAR105 ), .VAR219 (VAR405 ), .VAR340 (VAR238 ), .VAR27 (VAR255 ), .VAR347 (VAR286 ), .VAR300 (VAR157 ), .VAR192 (VAR6 ), .VAR122 (VAR25 ), .VAR309 (VAR360 ), .VAR69 (VAR280 ), .VAR38 (VAR78 ), .VAR374 (VAR104 ), .VAR175 (VAR343 ), .VAR241 (VAR224 ), .VAR80 (VAR187 ), .VAR151 (VAR120 ), .VAR366 (VAR234 ), .VAR14 (VAR93 ), .VAR26 (VAR302 ), .VAR55 (VAR222 ), .VAR346 (VAR137 ), .VAR225 (VAR385 ), .VAR125 (VAR50 ), .VAR382 (VAR65 ), .VAR205 (VAR67 ), .VAR306 (VAR141 ), .VAR251 (VAR227 ) ); VAR325 VAR35 ( .VAR96 ({VAR30[0] ,VAR30[1] ,VAR30[2] ,VAR30[3] , VAR30[4] ,VAR30[5] ,VAR30[6] ,VAR30[7] } ), .VAR53 ({VAR20[0] ,VAR20[1] ,VAR20[2] ,VAR20[3] , VAR20[4] ,VAR20[5] ,VAR20[6] ,VAR20[7] } ), .VAR358 ({VAR348[0] ,VAR348[1] } ), .VAR107 ({VAR86[0] ,VAR86[1] } ), .VAR277 ({VAR361[0] ,VAR361[1] } ), .VAR149 ({VAR74[0] ,VAR74[1] ,VAR74[2] ,VAR74[3] , VAR74[4] ,VAR74[5] ,VAR74[6] ,VAR74[7] } ), .VAR144 ({VAR335[0] ,VAR335[1] ,VAR335[2] ,VAR335[3] , VAR335[4] ,VAR335[5] ,VAR335[6] ,VAR335[7] } ), .VAR312 ({VAR299[0] ,VAR299[1] ,VAR299[2] ,VAR299[3] , VAR299[4] } ), .VAR387 ({VAR85[0] ,VAR85[1] } ), .VAR36 ({VAR110[0] ,VAR110[1] ,VAR110[2] ,VAR110[3] , VAR110[4] ,VAR110[5] ,VAR110[6] ,VAR110[7] } ), .VAR378 ({VAR208[0] ,VAR208[1] ,VAR208[2] ,VAR208[3] , VAR208[4] ,VAR208[5] ,VAR208[6] ,VAR208[7] } ), .VAR134 ({VAR213[0] ,VAR213[1] ,VAR213[2] ,VAR213[3] , VAR213[4] } ), .VAR275 ({VAR330[0] ,VAR330[1] } ), .VAR278 ({VAR174[0] ,VAR174[1] } ), .VAR276 (VAR63 ), .VAR229 (VAR363 ), .VAR307 (VAR336 ), .VAR316 (VAR183 ), .VAR279 (VAR75 ), .VAR377 (VAR332 ), .VAR97 (VAR194 ), .VAR368 (VAR171 ), .VAR345 (VAR91 ), .VAR219 (VAR190 ), .VAR340 (VAR100 ), .VAR27 (VAR113 ), .VAR347 (VAR223 ), .VAR300 (VAR394 ), .VAR192 (VAR72 ), .VAR122 (VAR294 ), .VAR309 (VAR48 ), .VAR69 (VAR353 ), .VAR38 (VAR288 ), .VAR374 (VAR291 ), .VAR175 (VAR272 ), .VAR241 (VAR410 ), .VAR80 (VAR315 ), .VAR151 (VAR195 ), .VAR366 (VAR189 ), .VAR14 (VAR49 ), .VAR26 (VAR302 ), .VAR55 (VAR101 ), .VAR346 (VAR248 ), .VAR225 (VAR399 ), .VAR125 (VAR283 ), .VAR382 (VAR128 ), .VAR205 (VAR179 ), .VAR306 (VAR184 ), .VAR251 (VAR34 ) ); VAR325 VAR355 ( .VAR96 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR53 ({VAR270[0] ,VAR270[1] ,VAR270[2] ,VAR270[3] , VAR270[4] ,VAR270[5] ,VAR270[6] ,VAR270[7] } ), .VAR358 ({VAR200[0] ,VAR200[1] } ), .VAR107 ({VAR393[0] ,VAR393[1] } ), .VAR277 ({VAR379[0] ,VAR379[1] } ), .VAR149 ({VAR362[0] ,VAR362[1] ,VAR362[2] ,VAR362[3] , VAR362[4] ,VAR362[5] ,VAR362[6] ,VAR362[7] } ), .VAR144 ({VAR221[0] ,VAR221[1] ,VAR221[2] ,VAR221[3] , VAR221[4] ,VAR221[5] ,VAR221[6] ,VAR221[7] } ), .VAR312 ({VAR317[0] ,VAR317[1] ,VAR317[2] ,VAR317[3] , VAR317[4] } ), .VAR387 ({VAR397[0] ,VAR397[1] } ), .VAR36 ({VAR23[0] ,VAR23[1] ,VAR23[2] ,VAR23[3] , VAR23[4] ,VAR23[5] ,VAR23[6] ,VAR23[7] } ), .VAR378 ({VAR359[0] ,VAR359[1] ,VAR359[2] ,VAR359[3] , VAR359[4] ,VAR359[5] ,VAR359[6] ,VAR359[7] } ), .VAR134 ({VAR98[0] ,VAR98[1] ,VAR98[2] ,VAR98[3] , VAR98[4] } ), .VAR275 ({VAR326[0] ,VAR326[1] } ), .VAR278 ({VAR411[0] ,VAR411[1] } ), .VAR276 (VAR157 ), .VAR229 (VAR6 ), .VAR307 (VAR25 ), .VAR316 (VAR360 ), .VAR279 (VAR280 ), .VAR377 (VAR78 ), .VAR97 (VAR197 ), .VAR368 (VAR161 ), .VAR345 (VAR19 ), .VAR219 (VAR82 ), .VAR340 (VAR204 ), .VAR27 (VAR163 ), .VAR347 (VAR94 ), .VAR300 (VAR106 ), .VAR192 (VAR153 ), .VAR122 (VAR342 ), .VAR309 (VAR139 ), .VAR69 (VAR37 ), .VAR38 (VAR356 ), .VAR374 (VAR70 ), .VAR175 (VAR1 ), .VAR241 (VAR240 ), .VAR80 (VAR116 ), .VAR151 (VAR187 ), .VAR366 (VAR93 ), .VAR14 (VAR250 ), .VAR26 (VAR302 ), .VAR55 (VAR286 ), .VAR346 (VAR238 ), .VAR225 (VAR388 ), .VAR125 (VAR255 ), .VAR382 (VAR385 ), .VAR205 (VAR224 ), .VAR306 (VAR405 ), .VAR251 (VAR105 ) ); VAR325 VAR68 ( .VAR96 ({VAR76[0] ,VAR76[1] ,VAR76[2] ,VAR76[3] , VAR76[4] ,VAR76[5] ,VAR76[6] ,VAR76[7] } ), .VAR53 ({VAR7[0] ,VAR7[1] ,VAR7[2] ,VAR7[3] , VAR7[4] ,VAR7[5] ,VAR7[6] ,VAR7[7] } ), .VAR358 ({VAR57[0] ,VAR57[1] } ), .VAR107 ({VAR257[0] ,VAR257[1] } ), .VAR277 ({VAR396[0] ,VAR396[1] } ), .VAR149 ({VAR30[0] ,VAR30[1] ,VAR30[2] ,VAR30[3] , VAR30[4] ,VAR30[5] ,VAR30[6] ,VAR30[7] } ), .VAR144 ({VAR20[0] ,VAR20[1] ,VAR20[2] ,VAR20[3] , VAR20[4] ,VAR20[5] ,VAR20[6] ,VAR20[7] } ), .VAR312 ({VAR166[0] ,VAR166[1] ,VAR166[2] ,VAR166[3] , VAR166[4] } ), .VAR387 ({VAR348[0] ,VAR348[1] } ), .VAR36 ({VAR135[0] ,VAR135[1] ,VAR135[2] ,VAR135[3] , VAR135[4] ,VAR135[5] ,VAR135[6] ,VAR135[7] } ), .VAR378 ({VAR110[0] ,VAR110[1] ,VAR110[2] ,VAR110[3] , VAR110[4] ,VAR110[5] ,VAR110[6] ,VAR110[7] } ), .VAR134 ({VAR299[0] ,VAR299[1] ,VAR299[2] ,VAR299[3] , VAR299[4] } ), .VAR275 ({VAR361[0] ,VAR361[1] } ), .VAR278 ({VAR86[0] ,VAR86[1] } ), .VAR276 (VAR394 ), .VAR229 (VAR72 ), .VAR307 (VAR294 ), .VAR316 (VAR48 ), .VAR279 (VAR353 ), .VAR377 (VAR288 ), .VAR97 (VAR180 ), .VAR368 (VAR56 ), .VAR345 (VAR341 ), .VAR219 (VAR329 ), .VAR340 (VAR327 ), .VAR27 (VAR155 ), .VAR347 (VAR15 ), .VAR300 (VAR333 ), .VAR192 (VAR168 ), .VAR122 (VAR99 ), .VAR309 (VAR290 ), .VAR69 (VAR242 ), .VAR38 (VAR3 ), .VAR374 (VAR194 ), .VAR175 (VAR171 ), .VAR241 (VAR364 ), .VAR80 (VAR305 ), .VAR151 (VAR315 ), .VAR366 (VAR49 ), .VAR14 (VAR381 ), .VAR26 (VAR302 ), .VAR55 (VAR223 ), .VAR346 (VAR100 ), .VAR225 (VAR319 ), .VAR125 (VAR113 ), .VAR382 (VAR399 ), .VAR205 (VAR410 ), .VAR306 (VAR190 ), .VAR251 (VAR91 ) ); VAR325 VAR391 ( .VAR96 ({VAR375[0] ,VAR375[1] ,VAR375[2] ,VAR375[3] , VAR375[4] ,VAR375[5] ,VAR375[6] ,VAR375[7] } ), .VAR53 ({VAR313[0] ,VAR313[1] ,VAR313[2] ,VAR313[3] , VAR313[4] ,VAR313[5] ,VAR313[6] ,VAR313[7] } ), .VAR358 ({VAR249[0] ,VAR249[1] } ), .VAR107 ({VAR170[0] ,VAR170[1] } ), .VAR277 ({VAR370[0] ,VAR370[1] } ), .VAR149 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR144 ({VAR270[0] ,VAR270[1] ,VAR270[2] ,VAR270[3] , VAR270[4] ,VAR270[5] ,VAR270[6] ,VAR270[7] } ), .VAR312 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] } ), .VAR387 ({VAR200[0] ,VAR200[1] } ), .VAR36 ({VAR209[0] ,VAR209[1] ,VAR209[2] ,VAR209[3] , VAR209[4] ,VAR209[5] ,VAR209[6] ,VAR209[7] } ), .VAR378 ({VAR23[0] ,VAR23[1] ,VAR23[2] ,VAR23[3] , VAR23[4] ,VAR23[5] ,VAR23[6] ,VAR23[7] } ), .VAR134 ({VAR317[0] ,VAR317[1] ,VAR317[2] ,VAR317[3] , VAR317[4] } ), .VAR275 ({VAR379[0] ,VAR379[1] } ), .VAR278 ({VAR393[0] ,VAR393[1] } ), .VAR276 (VAR106 ), .VAR229 (VAR153 ), .VAR307 (VAR342 ), .VAR316 (VAR139 ), .VAR279 (VAR37 ), .VAR377 (VAR356 ), .VAR97 (VAR297 ), .VAR368 (VAR389 ), .VAR345 (VAR173 ), .VAR219 (VAR108 ), .VAR340 (VAR31 ), .VAR27 (VAR117 ), .VAR347 (VAR351 ), .VAR300 (VAR401 ), .VAR192 (VAR339 ), .VAR122 (VAR66 ), .VAR309 (VAR350 ), .VAR69 (VAR243 ), .VAR38 (VAR46 ), .VAR374 (VAR197 ), .VAR175 (VAR161 ), .VAR241 (VAR233 ), .VAR80 (VAR12 ), .VAR151 (VAR116 ), .VAR366 (VAR250 ), .VAR14 (VAR384 ), .VAR26 (VAR302 ), .VAR55 (VAR94 ), .VAR346 (VAR204 ), .VAR225 (VAR152 ), .VAR125 (VAR163 ), .VAR382 (VAR388 ), .VAR205 (VAR240 ), .VAR306 (VAR82 ), .VAR251 (VAR19 ) ); assign VAR95 = VAR376; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a2111o/sky130_fd_sc_ms__a2111o.symbol.v
1,393
module MODULE1 ( input VAR9, input VAR4, input VAR3, input VAR1, input VAR2, output VAR8 ); supply1 VAR6; supply0 VAR5; supply1 VAR10 ; supply0 VAR7 ; endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/tmu2/rtl/tmu2_qpram32_ss.v
1,928
module MODULE1 #( parameter VAR17 = 11 ) ( input VAR10, input [VAR17-1:0] VAR12, output [31:0] VAR11, input [VAR17-1:0] VAR22, output [31:0] VAR1, input [VAR17-1:0] VAR18, output [31:0] VAR24, input [VAR17-1:0] VAR21, output [31:0] VAR16, input VAR6, input [VAR17-1-1:0] VAR5, input [63:0] VAR19 ); wire [63:0] VAR4; wire [63:0] VAR20; wire [63:0] VAR7; wire [63:0] VAR15; reg VAR3, VAR2, VAR23, VAR8; always @(posedge VAR10) begin VAR3 <= VAR12[0]; VAR2 <= VAR22[0]; VAR23 <= VAR18[0]; VAR8 <= VAR21[0]; end VAR9 #( .VAR17(VAR17-1), .VAR14(64) ) VAR13 ( .VAR10(VAR10), .VAR12(VAR12[VAR17-1:1]), .VAR11(VAR4), .VAR22(VAR22[VAR17-1:1]), .VAR1(VAR20), .VAR18(VAR18[VAR17-1:1]), .VAR24(VAR7), .VAR21(VAR21[VAR17-1:1]), .VAR16(VAR15), .VAR6(VAR6), .VAR5(VAR5), .VAR19(VAR19) ); assign VAR11 = VAR3 ? VAR4[31:0] : VAR4[63:32]; assign VAR1 = VAR2 ? VAR20[31:0] : VAR20[63:32]; assign VAR24 = VAR23 ? VAR7[31:0] : VAR7[63:32]; assign VAR16 = VAR8 ? VAR15[31:0] : VAR15[63:32]; endmodule
lgpl-3.0
sgq995/rc4-de0-nano-soc
fpga/hps/ip/edge_detect/altera_edge_detector.v
2,382
module MODULE1 #( parameter VAR18 = 0, parameter VAR16 = 0, parameter VAR19 = 0 ) ( input clk, input VAR10, input VAR17, output VAR13 ); localparam VAR11 = 0, VAR1 = 1, VAR12 = 2; localparam VAR9 = VAR16 ? 1'b1 : 1'b0; localparam VAR5 = VAR16 ? 1'b0 : 1'b1; reg [1:0] state, VAR15; reg VAR2; wire VAR3; assign VAR3 = (VAR19)? VAR13 : 1'b0; assign VAR4 = VAR10 | VAR3; generate if (VAR18 > 1) begin: VAR14 integer VAR6; reg [VAR18-1:0] VAR8; always @(posedge clk or negedge VAR4) begin if (!VAR4) VAR8 <= {{VAR18}{1'b0}}; end else begin for (VAR6 = 1; VAR6 < VAR18; VAR6 = VAR6+1) begin VAR8[VAR6] <= VAR8[VAR6-1]; end VAR8[0] <= VAR2; end end assign VAR13 = |VAR8; end else begin: VAR7 reg VAR20; always @(posedge clk or negedge VAR4) begin if (!VAR4) VAR20 <= 1'b0; end else VAR20 <= VAR2; end assign VAR13 = VAR20; end endgenerate always @(posedge clk) begin if (!VAR10) state <= VAR11; end else state <= VAR15; end always @(*) begin VAR15 = state; VAR2 = 1'b0; case (state) VAR11 : begin VAR2 = 1'b0; if (VAR17 == VAR5) VAR15 = VAR1; end else VAR15 = VAR11; end VAR1 : begin VAR2 = 1'b0; if (VAR17 == VAR9) VAR15 = VAR12; end else VAR15 = VAR1; end VAR12 : begin VAR2 = 1'b1; if (VAR17 == VAR5) VAR15 = VAR1; end else VAR15 = VAR11; end default : begin VAR2 = 1'b0; VAR15 = VAR11; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4bb/sky130_fd_sc_ms__nand4bb.behavioral.v
1,532
module MODULE1 ( VAR4 , VAR3, VAR5, VAR7 , VAR8 ); output VAR4 ; input VAR3; input VAR5; input VAR7 ; input VAR8 ; supply1 VAR11; supply0 VAR2; supply1 VAR10 ; supply0 VAR6 ; wire VAR12; wire VAR14; nand VAR1 (VAR12, VAR8, VAR7 ); or VAR13 (VAR14, VAR5, VAR3, VAR12); buf VAR9 (VAR4 , VAR14 ); endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/vgafb/rtl/vgafb_asfifo_xilinx.v
1,960
module MODULE1 #( parameter VAR19 = 18, parameter VAR3 = 11 ) ( output [17:0] VAR25, output VAR8, input VAR4, input VAR17, input [17:0] VAR7, output VAR33, input VAR28, input VAR32, input VAR10 ); wire VAR21; wire VAR27; VAR16 #( .VAR19(9), .VAR35("VAR14") ) VAR1 ( .VAR15(), .VAR13(), .VAR11(VAR25[7:0]), .VAR29(VAR25[8]), .VAR2(VAR27), .VAR34(VAR21), .VAR31(), .VAR5(), .VAR12(), .VAR20(), .VAR22(VAR7[7:0]), .VAR23(VAR7[8]), .VAR6(VAR17), .VAR24(VAR4 & ~VAR27 & ~VAR10), .VAR30(VAR10), .VAR26(VAR32), .VAR9(VAR28 & ~VAR21 & ~VAR10) ); assign VAR8 = VAR27; assign VAR33 = VAR21; VAR16 #( .VAR19(9), .VAR35("VAR14") ) VAR18 ( .VAR15(), .VAR13(), .VAR11(VAR25[16:9]), .VAR29(VAR25[17]), .VAR2(), .VAR34(), .VAR31(), .VAR5(), .VAR12(), .VAR20(), .VAR22(VAR7[16:9]), .VAR23(VAR7[17]), .VAR6(VAR17), .VAR24(VAR4 & ~VAR27 & ~VAR10), .VAR30(VAR10), .VAR26(VAR32), .VAR9(VAR28 & ~VAR21 & ~VAR10) ); endmodule
lgpl-3.0
olgirard/openmsp430
fpga/altera_de0_nano_soc/rtl/verilog/opengfx430/ogfx_gpu_dma.v
30,149
module MODULE1 ( VAR124, VAR45, VAR32, VAR52, VAR27, VAR113, VAR56, VAR131, VAR93, VAR53, VAR44, VAR62, VAR100, VAR47, VAR5, VAR99, VAR10, VAR19, VAR90, VAR63, VAR110, VAR106, VAR69, VAR16, VAR23, VAR129, VAR103, VAR101, VAR39, VAR60 ); output VAR124; output VAR45; output [VAR67:0] VAR32; output [15:0] VAR52; output VAR27; output VAR113; input VAR56; input VAR131; input [VAR128:0] VAR93; input VAR53; input VAR44; input VAR62; input [15:0] VAR100; input [3:0] VAR47; input [VAR92:0] VAR5; input [VAR92:0] VAR99; input [VAR128:0] VAR10; input VAR19; input VAR90; input VAR63; input [15:0] VAR110; input [VAR92:0] VAR106; input [2:0] VAR69; input VAR16; input VAR23; input VAR129; input VAR103; input VAR101; input [15:0] VAR39; input VAR60; wire VAR82 = (VAR69 == 3'b000); wire VAR85 = (VAR69 == 3'b001); wire VAR11 = (VAR69 == 3'b010); wire VAR125 = (VAR69 == 3'b011); wire VAR78 = ~(VAR125 | VAR11 | VAR85 | VAR82); wire VAR83 = (VAR47 == 4'b0000); wire VAR132 = (VAR47 == 4'b0001); wire VAR71 = (VAR47 == 4'b0010); wire VAR130 = (VAR47 == 4'b0011); wire VAR72 = (VAR47 == 4'b0100); wire VAR117 = (VAR47 == 4'b0101); wire VAR54 = (VAR47 == 4'b0110); wire VAR26 = (VAR47 == 4'b0111); wire VAR81 = (VAR47 == 4'b1000); wire VAR79 = (VAR47 == 4'b1001); wire VAR88 = (VAR47 == 4'b1010); wire VAR50 = (VAR47 == 4'b1011); wire VAR105 = (VAR47 == 4'b1100); wire VAR22 = (VAR47 == 4'b1101); wire VAR104 = (VAR47 == 4'b1110); wire VAR43 = (VAR47 == 4'b1111); wire VAR55; wire VAR75; function [15:0] VAR21; input [3:0] VAR61; begin VAR21 = 16'h0000; VAR21[VAR61] = 1'b1; end endfunction parameter VAR20 = 3'h0; parameter VAR94 = 3'h1; parameter VAR73 = 3'h2; parameter VAR6 = 3'h3; parameter VAR109 = 3'h4; parameter VAR126 = 3'h5; reg [2:0] VAR42; reg [2:0] VAR87; wire VAR17 = (VAR129 & ~VAR71) | VAR103; wire VAR34 = (VAR23 | VAR103 | VAR129) & (~(VAR83 | VAR132 | VAR22 | VAR104 | VAR43) | ~VAR78); wire VAR57 = (VAR23 | VAR103 | VAR129) & ~VAR75; wire VAR38 = (VAR42==VAR6) | (((VAR42==VAR109) | (VAR42==VAR126)) & ~VAR75) ? VAR60 : 1'b1; always @(VAR42 or VAR101 or VAR17 or VAR34 or VAR38 or VAR55 or VAR57) case (VAR42) VAR20 : VAR87 = ~VAR101 ? VAR20 : VAR94 ; VAR94 : VAR87 = VAR17 ? VAR6 : VAR34 ? VAR109 : VAR57 ? VAR126 : VAR73 ; VAR73 : VAR87 = VAR55 ? VAR20 : VAR73 ; VAR6 : VAR87 = ~VAR38 ? VAR6 : VAR34 ? VAR109 : VAR126 ; VAR109 : VAR87 = ~VAR38 ? VAR109 : VAR57 ? VAR126 : VAR55 ? VAR20 : VAR6 ; VAR126 : VAR87 = ~VAR38 ? VAR126 : VAR55 ? VAR20 : VAR17 ? VAR6 : VAR34 ? VAR109 : VAR126 ; default : VAR87 = VAR20; endcase always @(posedge VAR56 or posedge VAR131) if (VAR131) VAR42 <= VAR20; else if (~VAR16) VAR42 <= VAR20; else VAR42 <= VAR87; wire VAR116 = (VAR42==VAR94); wire VAR51 = (VAR42==VAR73) | ((VAR42==VAR109) & VAR75) | ((VAR42==VAR126) & VAR38 ) ; assign VAR124 = (VAR42==VAR20) & ~VAR101; assign VAR45 = (VAR42!=VAR20); reg [VAR92:0] VAR58; wire VAR64; reg [VAR92:0] VAR74; wire VAR48; wire VAR112 = VAR116; wire VAR123 = VAR51 & VAR48 & ~VAR64; always @(posedge VAR56 or posedge VAR131) if (VAR131) VAR58 <= {{VAR92{1'h0}},1'b1}; else if (VAR112) VAR58 <= VAR99; else if (VAR123) VAR58 <= VAR58-{{VAR92{1'h0}},1'b1}; assign VAR64 = (VAR58=={{VAR92{1'h0}}, 1'b1}); wire VAR18 = VAR116 | VAR123; wire VAR49 = VAR51 & ~VAR48; always @(posedge VAR56 or posedge VAR131) if (VAR131) VAR74 <= {{VAR92{1'h0}},1'b1}; else if (VAR18) VAR74 <= VAR5; else if (VAR49) VAR74 <= VAR74-{{VAR92{1'h0}},1'b1}; assign VAR48 = (VAR74=={{VAR92{1'h0}}, 1'b1}); assign VAR55 = VAR64 & VAR48; reg [VAR128:0] VAR15; wire [VAR128:0] VAR40; wire VAR70 = VAR51 & VAR17; wire [VAR128:0] VAR89 = VAR101 ? VAR10 : VAR40; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR15 <= {VAR128+1{1'b0}}; else if (VAR101 | VAR70) VAR15 <= VAR89; VAR66 VAR3 ( .VAR65 ( VAR40 ), .VAR56 ( VAR56 ), .VAR131 ( VAR131 ), .VAR106 ( VAR106 ), .VAR95 ( VAR82 ), .VAR91 ( VAR85 ), .VAR37 ( VAR11 ), .VAR77 ( VAR125 ), .VAR4 ( VAR78 ), .VAR24 ( VAR15 ), .VAR120 ( VAR116 ), .VAR35 ( VAR70 ), .VAR33 ( VAR5 ), .VAR97 ( VAR90 ), .VAR31 ( VAR63 ), .VAR98 ( VAR19 ) ); reg [15:0] VAR25; wire [15:0] VAR12 = VAR21(VAR89[3:0]); wire [15:0] VAR118 = ({16{VAR82 }} & VAR12 ) | ({16{VAR85 }} & {{2{VAR12[14]}}, {2{VAR12[12]}}, {2{VAR12[10]}}, {2{VAR12[8] }}, {2{VAR12[6] }}, {2{VAR12[4] }}, {2{VAR12[2] }}, {2{VAR12[0] }}}) | ({16{VAR11 }} & {{4{VAR12[12]}}, {4{VAR12[8] }}, {4{VAR12[4] }}, {4{VAR12[0] }}}) | ({16{VAR125 }} & {{8{VAR12[8] }}, {8{VAR12[0] }}}) | ({16{VAR78}} & {16{1'b1}} ) ; wire [15:0] VAR68 = ({16{VAR82 }} & 16'h0001) | ({16{VAR85 }} & 16'h0003) | ({16{VAR11 }} & 16'h000f) | ({16{VAR125 }} & 16'h00ff) | ({16{VAR78}} & 16'hffff) ; wire [15:0] VAR102 = VAR23 ? VAR68 : VAR118 ; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR25 <= 16'h0000; else if (VAR101 | VAR70) VAR25 <= VAR102; reg [VAR128:0] VAR1; wire [VAR128:0] VAR29; wire VAR9 = VAR51; wire [VAR128:0] VAR108 = VAR101 ? VAR93 : VAR29; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR1 <= {VAR128+1{1'b0}}; else if (VAR101 | VAR9) VAR1 <= VAR108; VAR66 VAR86 ( .VAR65 ( VAR29 ), .VAR56 ( VAR56 ), .VAR131 ( VAR131 ), .VAR106 ( VAR106 ), .VAR95 ( VAR82 ), .VAR91 ( VAR85 ), .VAR37 ( VAR11 ), .VAR77 ( VAR125 ), .VAR4 ( VAR78 ), .VAR24 ( VAR1 ), .VAR120 ( VAR116 ), .VAR35 ( VAR9 ), .VAR33 ( VAR5 ), .VAR97 ( VAR44 ), .VAR31 ( VAR62 ), .VAR98 ( VAR53 ) ); reg [15:0] VAR46; wire [15:0] VAR119 = VAR21(VAR108[3:0]); wire [15:0] VAR107 = ({16{VAR82 }} & VAR119 ) | ({16{VAR85 }} & {{2{VAR119[14]}}, {2{VAR119[12]}}, {2{VAR119[10]}}, {2{VAR119[8] }}, {2{VAR119[6] }}, {2{VAR119[4] }}, {2{VAR119[2] }}, {2{VAR119[0] }}}) | ({16{VAR11 }} & {{4{VAR119[12]}}, {4{VAR119[8] }}, {4{VAR119[4] }}, {4{VAR119[0] }}}) | ({16{VAR125 }} & {{8{VAR119[8] }}, {8{VAR119[0] }}}) | ({16{VAR78}} & {16{1'b1}} ) ; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR46 <= 16'h0000; else if (VAR101 | VAR9) VAR46 <= VAR107; wire [15:0] VAR114 = ((VAR23 ? VAR100 : VAR39) & VAR25); wire VAR111 = (|VAR114); wire [1:0] VAR115 = {(|{VAR114[15], VAR114[13], VAR114[11], VAR114[9], VAR114[7], VAR114[5], VAR114[3], VAR114[1]}), (|{VAR114[14], VAR114[12], VAR114[10], VAR114[8], VAR114[6], VAR114[4], VAR114[2], VAR114[0]})}; wire [3:0] VAR122 = {(|{VAR114[15], VAR114[11], VAR114[7] , VAR114[3]}), (|{VAR114[14], VAR114[10], VAR114[6] , VAR114[2]}), (|{VAR114[13], VAR114[9] , VAR114[5] , VAR114[1]}), (|{VAR114[12], VAR114[8] , VAR114[4] , VAR114[0]})}; wire [7:0] VAR28 = {(|{VAR114[15], VAR114[7]}), (|{VAR114[14], VAR114[6]}), (|{VAR114[13], VAR114[5]}), (|{VAR114[12], VAR114[4]}), (|{VAR114[11], VAR114[3]}), (|{VAR114[10], VAR114[2]}), (|{VAR114[9] , VAR114[1]}), (|{VAR114[8] , VAR114[0]})}; wire [15:0] VAR59 = VAR114; wire [15:0] VAR7 = ({16{VAR82 }} & {16{VAR111}}) | ({16{VAR85 }} & {8{VAR115}}) | ({16{VAR11 }} & {4{VAR122}}) | ({16{VAR125 }} & {2{VAR28}}) | ({16{VAR78}} & VAR59 ) ; reg VAR13; wire VAR84 = ((VAR42==VAR6) & VAR38) | (VAR23 & VAR116); always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR13 <= 1'b0; else VAR13 <= VAR84; reg [15:0] VAR14; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR14 <= 16'h0000; else if (VAR13) VAR14 <= VAR7; wire [15:0] VAR2 = VAR13 ? VAR7 : VAR14; reg VAR8; wire VAR76 = ((VAR42==VAR109) & VAR38); always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR8 <= 1'b0; else VAR8 <= VAR76; reg [15:0] VAR127; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR127 <= 16'h0000; else if (VAR8) VAR127 <= VAR39; wire [15:0] VAR36 = VAR8 ? VAR39 : VAR127; wire [15:0] VAR30 = ({16{VAR82 }} & {16{VAR110[0] }}) | ({16{VAR85 }} & {8{VAR110[1:0]}}) | ({16{VAR11 }} & {4{VAR110[3:0]}}) | ({16{VAR125 }} & {2{VAR110[7:0]}}) | ({16{VAR78}} & VAR110 ) ; wire VAR121 = ((VAR103 & VAR13 ) | (VAR129 & VAR13 & (VAR22 | VAR104 | VAR43)) | (VAR23 & (VAR22 | VAR104 | VAR43)) ) & (VAR7==VAR30); reg VAR41; always @ (posedge VAR56 or posedge VAR131) if (VAR131) VAR41 <= 1'b0; else if (VAR51 | (VAR42==VAR20)) VAR41 <= 1'b0; else if (VAR121) VAR41 <= 1'b1; assign VAR75 = (VAR121 | VAR41); wire [15:0] VAR96 = ({16{VAR82 }} & {16{VAR100[0] }}) | ({16{VAR85 }} & {8{VAR100[1:0]}}) | ({16{VAR11 }} & {4{VAR100[3:0]}}) | ({16{VAR125 }} & {2{VAR100[7:0]}}) | ({16{VAR78}} & VAR100 ) ; wire [15:0] VAR80 = ({16{VAR83}} & ( VAR2 )) | ({16{VAR132}} & (~VAR2 )) | ({16{VAR71}} & ( ~VAR36)) | ({16{VAR130}} & ( VAR2 & VAR36)) | ({16{VAR72}} & ( VAR2 | VAR36)) | ({16{VAR117}} & ( VAR2 ^ VAR36)) | ({16{VAR54}} & ~( VAR2 & VAR36)) | ({16{VAR26}} & ~( VAR2 | VAR36)) | ({16{VAR81}} & ~( VAR2 ^ VAR36)) | ({16{VAR79}} & (~VAR2 & VAR36)) | ({16{VAR88}} & ( VAR2 & ~VAR36)) | ({16{VAR50}} & (~VAR2 | VAR36)) | ({16{VAR105}} & ( VAR2 | ~VAR36)) | ({16{VAR22}} & ( 16'h0000 )) | ({16{VAR104}} & ( 16'hffff )) | ({16{VAR43}} & ( VAR96 )) ; assign VAR52 = (VAR80 & VAR46) | (VAR36 & ~VAR46); assign VAR32 = (VAR42==VAR6) ? VAR15[VAR128:4] : VAR1[VAR128:4] ; assign VAR27 = ~( (VAR42==VAR126) & ~VAR75) ; assign VAR113 = ~( (VAR42==VAR6) | ((VAR42==VAR109) & ~VAR75) | ((VAR42==VAR126) & ~VAR75)); endmodule
bsd-3-clause
olgirard/openmsp430
fpga/altera_de0_nano_soc/rtl/verilog/mega/ram_16x8k.v
7,533
module MODULE1 ( address, VAR3, VAR22, VAR34, VAR5, VAR42, VAR56); input [12:0] address; input [1:0] VAR3; input VAR22; input VAR34; input [15:0] VAR5; input VAR42; output [15:0] VAR56; tri1 [1:0] VAR3; tri1 VAR22; tri1 VAR34; wire [15:0] VAR7; wire [15:0] VAR56 = VAR7[15:0]; VAR47 VAR19 ( .VAR49 (address), .VAR29 (VAR3), .VAR17 (VAR34), .VAR40 (VAR22), .VAR8 (VAR5), .VAR38 (VAR42), .VAR50 (VAR7), .VAR41 (1'b0), .VAR6 (1'b0), .VAR9 (1'b1), .VAR14 (1'b0), .VAR11 (1'b0), .VAR16 (1'b1), .VAR23 (1'b1), .VAR24 (1'b1), .VAR26 (1'b1), .VAR18 (1'b1), .VAR54 (1'b1), .VAR46 (), .VAR55 (), .VAR25 (1'b1), .VAR48 (1'b1), .VAR45 (1'b0)); VAR19.VAR36 = 8, VAR19.VAR15 = "VAR44", VAR19.VAR28 = "VAR30", VAR19.VAR13 = "VAR27 VAR21", VAR19.VAR39 = "VAR53=VAR10", VAR19.VAR37 = "VAR47", VAR19.VAR58 = 8192, VAR19.VAR2 = "VAR57", VAR19.VAR32 = "VAR43", VAR19.VAR35 = "VAR33", VAR19.VAR1 = "VAR12", VAR19.VAR31 = "VAR51", VAR19.VAR4 = 13, VAR19.VAR20 = 16, VAR19.VAR52 = 2; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/diode/sky130_fd_sc_ms__diode.symbol.v
1,245
module MODULE1 ( input VAR1 ); supply1 VAR5; supply0 VAR2; supply1 VAR3 ; supply0 VAR4 ; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/cmp/rtl/ff_jbi_sc2_1.v
3,300
module MODULE1( VAR20, VAR8, VAR3, VAR11, VAR10, VAR14, VAR24, VAR30, VAR9, VAR29, VAR25, VAR32, VAR31, VAR6, VAR1, VAR17, VAR13, VAR12, VAR27, VAR23, VAR26, VAR7 ); output [31:0] VAR20; output [31:0] VAR8; output [6:0] VAR3; output VAR11; output VAR10; output VAR14; output VAR24; output VAR30; output VAR9; input [31:0] VAR25; input [31:0] VAR32; input [6:0] VAR31; input VAR6; input VAR1; input VAR17; input VAR13; input VAR12; input VAR27; input VAR23; input VAR26, VAR7; output VAR29; wire VAR22; VAR19 VAR21(.VAR29(VAR29), .VAR5(VAR22), .VAR28(VAR23), .VAR7(VAR7)); VAR2 #(32) VAR16 (.VAR18(VAR20[31:0]), .din(VAR25[31:0]), .clk(VAR23), .VAR7(1'b0), .VAR26(), .VAR29() ); VAR2 #(32) VAR15 (.VAR18(VAR8[31:0]), .din(VAR32[31:0]), .clk(VAR23), .VAR7(1'b0), .VAR26(), .VAR29() ); VAR2 #(13) VAR4 (.VAR18({ VAR3[6:0], VAR11, VAR10, VAR14, VAR24, VAR30, VAR9}), .din({ VAR31[6:0], VAR6, VAR1, VAR17, VAR13, VAR12, VAR27}), .clk(VAR23), .VAR7(1'b0), .VAR26(), .VAR29() ); endmodule
gpl-2.0
richard42/CoCo3FPGA
PH2_CLK_bb.v
2,935
module MODULE1 ( VAR2, VAR1); input VAR2; output VAR1; endmodule
bsd-3-clause
aselectroworks/HDL
rtl/verilog/fs_counter.v
4,026
module MODULE1( VAR3 , VAR8 , VAR2 , VAR4 ); input VAR3; input VAR8; input VAR2; output [3:0] VAR4; reg [9:0] VAR6; reg [9:0] VAR1; reg [2:0] VAR7; always @ (posedge VAR2 or negedge VAR3) begin if(VAR2) begin VAR7[0] <= 1'b0; end else begin VAR7[2:0] <= {VAR7[1:0], VAR8}; end end wire VAR5 = (VAR7[1] ^ VAR7[2]) & ~VAR7[1]; always @ (posedge VAR3 or posedge VAR2) begin if(VAR2) begin VAR1[9:0] <= 10'b0; VAR6[9:0] <= 10'b0; end else begin if(VAR5) begin VAR1[9:0] <= VAR6[9:0]; VAR6[9:0] <= 10'b0; end else begin VAR6[9:0] <= VAR6[9:0] + 1'b1; end end end assign VAR4 = (VAR1[9:0] >= 10'd662) ? 4'b0000 : (VAR1[9:0] >= 10'd534 && VAR1[9:0] < 662) ? 4'b0001 : (VAR1[9:0] >= 10'd448 && VAR1[9:0] < 534) ? 4'b0010 : (VAR1[9:0] >= 10'd331 && VAR1[9:0] < 448) ? 4'b0100 : (VAR1[9:0] >= 10'd267 && VAR1[9:0] < 331) ? 4'b0101 : (VAR1[9:0] >= 10'd224 && VAR1[9:0] < 267) ? 4'b0110 : (VAR1[9:0] >= 10'd165 && VAR1[9:0] < 224) ? 4'b1000 : (VAR1[9:0] >= 10'd133 && VAR1[9:0] < 165) ? 4'b1001 : (VAR1[9:0] >= 10'd112 && VAR1[9:0] < 133) ? 4'b1010 : (VAR1[9:0] >= 10'd82 && VAR1[9:0] < 112) ? 4'b1100 : (VAR1[9:0] >= 10'd66 && VAR1[9:0] < 82) ? 4'b1101 : (VAR1[9:0] >= 10'd1 && VAR1[9:0] < 66) ? 4'b1110 : 4'b1111; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or4bb/sky130_fd_sc_hs__or4bb_2.v
2,187
module MODULE2 ( VAR8 , VAR2 , VAR1 , VAR4 , VAR7 , VAR5, VAR9 ); output VAR8 ; input VAR2 ; input VAR1 ; input VAR4 ; input VAR7 ; input VAR5; input VAR9; VAR6 VAR3 ( .VAR8(VAR8), .VAR2(VAR2), .VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7), .VAR5(VAR5), .VAR9(VAR9) ); endmodule module MODULE2 ( VAR8 , VAR2 , VAR1 , VAR4, VAR7 ); output VAR8 ; input VAR2 ; input VAR1 ; input VAR4; input VAR7; supply1 VAR5; supply0 VAR9; VAR6 VAR3 ( .VAR8(VAR8), .VAR2(VAR2), .VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor3/sky130_fd_sc_lp__nor3_lp.v
2,206
module MODULE1 ( VAR5 , VAR7 , VAR2 , VAR10 , VAR6, VAR3, VAR9 , VAR4 ); output VAR5 ; input VAR7 ; input VAR2 ; input VAR10 ; input VAR6; input VAR3; input VAR9 ; input VAR4 ; VAR8 VAR1 ( .VAR5(VAR5), .VAR7(VAR7), .VAR2(VAR2), .VAR10(VAR10), .VAR6(VAR6), .VAR3(VAR3), .VAR9(VAR9), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR5, VAR7, VAR2, VAR10 ); output VAR5; input VAR7; input VAR2; input VAR10; supply1 VAR6; supply0 VAR3; supply1 VAR9 ; supply0 VAR4 ; VAR8 VAR1 ( .VAR5(VAR5), .VAR7(VAR7), .VAR2(VAR2), .VAR10(VAR10) ); endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/tmp/ucore/pps_wb.v
9,110
module MODULE1 ( VAR26,VAR25, VAR17, VAR9,VAR1,VAR22,VAR24, VAR28,VAR3,VAR11, VAR2,VAR21,VAR10, VAR23,VAR4, VAR15,VAR16,VAR18,VAR13 ); parameter VAR20 = VAR27; input VAR26; input VAR25; input [31:0] VAR17; input VAR9; input [6:0] VAR1; input [1:0] VAR22; input [31:0] VAR24; input [VAR8-1:0] VAR28; input VAR11; input [31:0] VAR3; output reg [VAR8-1:0] VAR2; output reg VAR21; output reg [31:0] VAR10; output reg VAR23; output reg VAR4; input [VAR8-1:0] VAR15; input [VAR8-1:0] VAR16; input VAR18; input VAR13; wire VAR14,VAR5; wire VAR19,VAR29; assign VAR14 = VAR15[5:0] == 6'b0 || VAR18 ==0; assign VAR5 = ~VAR14 && VAR15 == VAR28 && VAR11 ==1 ; assign VAR19 = VAR16[5:0] == 6'b0 || VAR13 ==0; assign VAR29 = ~VAR19 && VAR16 == VAR28 && VAR11 ==1 ; reg [31:0] VAR12; always @(VAR1,VAR22[1:0],VAR17,VAR24) begin case(VAR1) VAR6: begin case(VAR22[1]) 1'b1: VAR12 = {{16{VAR17[31]}},VAR17[31:16]}; 1'b0: VAR12 = {{16{VAR17[15]}},VAR17[15:0]}; default:VAR12 = 32'VAR7; endcase end begin case(VAR22[1]) 1'b1: VAR12 = {16'b0,VAR17[31:16]}; 1'b0: VAR12 = {16'b0,VAR17[15:0]}; default:VAR12 = 32'VAR7; endcase end begin case(VAR22[1:0]) 2'b11: VAR12 = {{24{VAR17[31]}},VAR17[31:24]}; 2'b10: VAR12 = {{24{VAR17[23]}},VAR17[23:16]}; 2'b01: VAR12 = {{24{VAR17[15]}},VAR17[15:8]}; 2'b00: VAR12 = {{24{VAR17[7]}},VAR17[7:0]}; endcase end begin case(VAR22[1:0]) 2'b11: VAR12 = {24'b0,VAR17[31:24]}; 2'b10: VAR12 = {24'b0,VAR17[23:16]}; 2'b01: VAR12 = {24'b0,VAR17[15:8]}; 2'b00: VAR12 = {24'b0,VAR17[7:0]}; endcase end begin VAR12 = VAR17; end begin case(VAR22[1:0]) 2'b11: VAR12 = {VAR24[31:8],VAR17[31:24]}; 2'b10: VAR12 = {VAR24[31:16],VAR17[31:16]}; 2'b01: VAR12 = {VAR24[31:24],VAR17[31:8]}; 2'b00: VAR12 = VAR17; endcase end begin case(VAR22[1:0]) 2'b11: VAR12 = VAR17; 2'b10: VAR12 = {VAR17[23:0],VAR24[7:0]}; 2'b01: VAR12 = {VAR17[15:0],VAR24[15:0]}; 2'b00: VAR12 = {VAR17[7:0],VAR24[23:0]}; endcase end default : VAR12 = 32'VAR7; endcase end always @(posedge VAR26 or posedge VAR25) begin if (VAR25 == 1) begin VAR2 <= #VAR20 VAR8'VAR7; VAR21 <= #VAR20 0; VAR10 <= #VAR20 32'VAR7; VAR23 <= #VAR20 0; VAR4 <= #VAR20 0; end else begin VAR2 <= #VAR20 VAR28; VAR21 <= #VAR20 VAR11; VAR10 <= #VAR20 VAR9? VAR12:VAR3; VAR23 <= #VAR20 VAR5; VAR4 <= #VAR20 VAR29; end end endmodule
mit
defano/digital-design
knight-rider/rtl/knight-rider.v
2,192
module MODULE1 ( clk, reset, VAR4); input clk; input reset; output [7:0] VAR4; reg [20:0] VAR2; reg [7:0] VAR4; reg VAR3; assign VAR1 = VAR2 == 21'h1FFFFF; always@ (posedge clk or negedge reset) if (!reset) VAR2 <= 21'h0; else VAR2 <= VAR2 + 1; always@ (posedge clk or negedge reset) if (!reset) VAR4[7:0] <= 8'b10000000; else if (VAR1 && VAR3) VAR4[7:0] <= VAR4[7:0] << 1; else if (VAR1) VAR4[7:0] <= VAR4[7:0] >> 1; always@ (posedge clk or negedge reset) if (!reset) VAR3 <= 1'b0; else if (VAR4[7:0] == 8'b10000000) VAR3 <= 1'b0; else if (VAR4[7:0] == 8'b00000001) VAR3 <= 1'b1; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor3b/sky130_fd_sc_lp__nor3b.pp.blackbox.v
1,348
module MODULE1 ( VAR3 , VAR4 , VAR6 , VAR7 , VAR5, VAR1, VAR8 , VAR2 ); output VAR3 ; input VAR4 ; input VAR6 ; input VAR7 ; input VAR5; input VAR1; input VAR8 ; input VAR2 ; endmodule
apache-2.0
hhuang25/uwaterloo_ece224
ANT - Copy/seven_seg_middle_pio.v
2,206
module MODULE1 ( address, VAR6, clk, VAR9, VAR2, VAR8, VAR1, VAR7 ) ; output [ 15: 0] VAR1; output [ 15: 0] VAR7; input [ 1: 0] address; input VAR6; input clk; input VAR9; input VAR2; input [ 15: 0] VAR8; wire VAR3; reg [ 15: 0] VAR5; wire [ 15: 0] VAR1; wire [ 15: 0] VAR4; wire [ 15: 0] VAR7; assign VAR3 = 1; assign VAR4 = {16 {(address == 0)}} & VAR5; always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR5 <= 0; end else if (VAR6 && ~VAR2 && (address == 0)) VAR5 <= VAR8[15 : 0]; end assign VAR7 = VAR4; assign VAR1 = VAR5; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or2/sky130_fd_sc_lp__or2.pp.blackbox.v
1,254
module MODULE1 ( VAR5 , VAR2 , VAR4 , VAR7, VAR6, VAR3 , VAR1 ); output VAR5 ; input VAR2 ; input VAR4 ; input VAR7; input VAR6; input VAR3 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2.functional.v
1,344
module MODULE1 ( VAR2, VAR4 ); output VAR2; input VAR4; wire VAR1; not VAR5 (VAR1, VAR4 ); buf VAR3 (VAR2 , VAR1 ); endmodule
apache-2.0
anderson1008/NOCulator
hring/hw/bless_mc/paraPortAlloc_st1.v
1,985
module MODULE1( VAR2, VAR13, VAR14, VAR7, VAR12, VAR3, VAR5 ); input [VAR16-2:0] VAR14; input [(VAR16-1)*3-1:0] VAR7; input VAR2, VAR13; input [VAR4-1:0] VAR12; output [VAR16-2:0] VAR3, VAR5; wire [VAR16-2:0] VAR11 [0:2]; wire [VAR16-2:0] VAR9, VAR1, VAR10; wire [VAR4-1:0] VAR15; assign VAR11 [0] = VAR7 [0+:4]; assign VAR11 [1] = VAR7 [4+:4]; assign VAR11 [2] = VAR7 [8+:4]; genvar VAR6; generate for (VAR6=0; VAR6<VAR16-1; VAR6=VAR6+1) begin : VAR8 assign VAR9 [VAR6] = VAR14[VAR6] && ~VAR11[0][VAR6] && ~VAR11[1][VAR6] && ~VAR11[2][VAR6]; end endgenerate assign VAR15 = 5 - VAR12; assign VAR1 [0] = VAR9[0]; assign VAR1 [1] = VAR15 - VAR9[0] > 0 ? VAR9[1] : 1'b0; assign VAR1 [2] = VAR15 - VAR9[0] - VAR9[1] > 0 ? VAR9[2] : 1'b0; assign VAR1 [3] = VAR15 - VAR9[0] - VAR9[1] - VAR9[2] > 0 ? VAR9[3] : 1'b0; assign VAR10 = VAR9 [0] ? 4'b0001 : VAR9 [1] ? 4'b0010 : VAR9 [2] ? 4'b0100 : VAR9 [3] ? 4'b1000 : 4'b0; assign VAR3 = (VAR13 | ~VAR2) ? VAR10 : VAR1; assign VAR5 = VAR2 ? (|VAR3 ? 4'h0: VAR3 ^ VAR14) : VAR10 ^ VAR14; endmodule
mit
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/tq/butterfly_32.v
6,412
module MODULE1( enable, VAR15, VAR4, VAR23, VAR42, VAR21, VAR19, VAR40, VAR59, VAR52, VAR45, VAR32, VAR3, VAR16, VAR36, VAR37, VAR25, VAR54, VAR8, VAR9, VAR26, VAR51, VAR66, VAR18, VAR53, VAR38, VAR50, VAR49, VAR41, VAR27, VAR31, VAR5, VAR56, o0, o1, o2, o3, o4, o5, o6, o7, VAR65, VAR35, o10, o11, o12, o13, o14, o15, o16, o17, VAR33, VAR48, o20, o21, o22, o23, o24, o25, o26, o27, VAR60, VAR24, o30, o31 ); input enable; input signed [26:0] VAR15; input signed [26:0] VAR4; input signed [26:0] VAR23; input signed [26:0] VAR42; input signed [26:0] VAR21; input signed [26:0] VAR19; input signed [26:0] VAR40; input signed [26:0] VAR59; input signed [26:0] VAR52; input signed [26:0] VAR45; input signed [26:0] VAR32; input signed [26:0] VAR3; input signed [26:0] VAR16; input signed [26:0] VAR36; input signed [26:0] VAR37; input signed [26:0] VAR25; input signed [26:0] VAR54; input signed [26:0] VAR8; input signed [26:0] VAR9; input signed [26:0] VAR26; input signed [26:0] VAR51; input signed [26:0] VAR66; input signed [26:0] VAR18; input signed [26:0] VAR53; input signed [26:0] VAR38; input signed [26:0] VAR50; input signed [26:0] VAR49; input signed [26:0] VAR41; input signed [26:0] VAR27; input signed [26:0] VAR31; input signed [26:0] VAR5; input signed [26:0] VAR56; output signed [27:0] o0; output signed [27:0] o1; output signed [27:0] o2; output signed [27:0] o3; output signed [27:0] o4; output signed [27:0] o5; output signed [27:0] o6; output signed [27:0] o7; output signed [27:0] VAR65; output signed [27:0] VAR35; output signed [27:0] o10; output signed [27:0] o11; output signed [27:0] o12; output signed [27:0] o13; output signed [27:0] o14; output signed [27:0] o15; output signed [27:0] o16; output signed [27:0] o17; output signed [27:0] VAR33; output signed [27:0] VAR48; output signed [27:0] o20; output signed [27:0] o21; output signed [27:0] o22; output signed [27:0] o23; output signed [27:0] o24; output signed [27:0] o25; output signed [27:0] o26; output signed [27:0] o27; output signed [27:0] VAR60; output signed [27:0] VAR24; output signed [27:0] o30; output signed [27:0] o31; wire signed [27:0] b0; wire signed [27:0] b1; wire signed [27:0] VAR10; wire signed [27:0] VAR11; wire signed [27:0] VAR57; wire signed [27:0] VAR64; wire signed [27:0] VAR30; wire signed [27:0] VAR12; wire signed [27:0] VAR61; wire signed [27:0] VAR62; wire signed [27:0] b10; wire signed [27:0] b11; wire signed [27:0] VAR46; wire signed [27:0] VAR17; wire signed [27:0] VAR2; wire signed [27:0] VAR63; wire signed [27:0] VAR34; wire signed [27:0] VAR47; wire signed [27:0] VAR20; wire signed [27:0] VAR39; wire signed [27:0] VAR7; wire signed [27:0] VAR55; wire signed [27:0] VAR28; wire signed [27:0] VAR6; wire signed [27:0] VAR14; wire signed [27:0] VAR58; wire signed [27:0] VAR22; wire signed [27:0] VAR29; wire signed [27:0] VAR44; wire signed [27:0] VAR13; wire signed [27:0] VAR43; wire signed [27:0] VAR1; assign b0=VAR15+VAR56; assign b1=VAR4+VAR5; assign VAR10=VAR23+VAR31; assign VAR11=VAR42+VAR27; assign VAR57=VAR21+VAR41; assign VAR64=VAR19+VAR49; assign VAR30=VAR40+VAR50; assign VAR12=VAR59+VAR38; assign VAR61=VAR52+VAR53; assign VAR62=VAR45+VAR18; assign b10=VAR32+VAR66; assign b11=VAR3+VAR51; assign VAR46=VAR16+VAR26; assign VAR17=VAR36+VAR9; assign VAR2=VAR37+VAR8; assign VAR63=VAR25+VAR54; assign VAR34=VAR25-VAR54; assign VAR47=VAR37-VAR8; assign VAR20=VAR36-VAR9; assign VAR39=VAR16-VAR26; assign VAR7=VAR3-VAR51; assign VAR55=VAR32-VAR66; assign VAR28=VAR45-VAR18; assign VAR6=VAR52-VAR53; assign VAR14=VAR59-VAR38; assign VAR58=VAR40-VAR50; assign VAR22=VAR19-VAR49; assign VAR29=VAR21-VAR41; assign VAR44=VAR42-VAR27; assign VAR13=VAR23-VAR31; assign VAR43=VAR4-VAR5; assign VAR1=VAR15-VAR56; assign o0=enable?b0:VAR15; assign o1=enable?b1:VAR4; assign o2=enable?VAR10:VAR23; assign o3=enable?VAR11:VAR42; assign o4=enable?VAR57:VAR21; assign o5=enable?VAR64:VAR19; assign o6=enable?VAR30:VAR40; assign o7=enable?VAR12:VAR59; assign VAR65=enable?VAR61:VAR52; assign VAR35=enable?VAR62:VAR45; assign o10=enable?b10:VAR32; assign o11=enable?b11:VAR3; assign o12=enable?VAR46:VAR16; assign o13=enable?VAR17:VAR36; assign o14=enable?VAR2:VAR37; assign o15=enable?VAR63:VAR25; assign o16=enable?VAR34:VAR54; assign o17=enable?VAR47:VAR8; assign VAR33=enable?VAR20:VAR9; assign VAR48=enable?VAR39:VAR26; assign o20=enable?VAR7:VAR51; assign o21=enable?VAR55:VAR66; assign o22=enable?VAR28:VAR18; assign o23=enable?VAR6:VAR53; assign o24=enable?VAR14:VAR38; assign o25=enable?VAR58:VAR50; assign o26=enable?VAR22:VAR49; assign o27=enable?VAR29:VAR41; assign VAR60=enable?VAR44:VAR27; assign VAR24=enable?VAR13:VAR31; assign o30=enable?VAR43:VAR5; assign o31=enable?VAR1:VAR56; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlrtn/sky130_fd_sc_hdll__dlrtn_1.v
2,374
module MODULE2 ( VAR9 , VAR4, VAR7 , VAR1 , VAR6 , VAR5 , VAR3 , VAR2 ); output VAR9 ; input VAR4; input VAR7 ; input VAR1 ; input VAR6 ; input VAR5 ; input VAR3 ; input VAR2 ; VAR8 VAR10 ( .VAR9(VAR9), .VAR4(VAR4), .VAR7(VAR7), .VAR1(VAR1), .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR9 , VAR4, VAR7 , VAR1 ); output VAR9 ; input VAR4; input VAR7 ; input VAR1 ; supply1 VAR6; supply0 VAR5; supply1 VAR3 ; supply0 VAR2 ; VAR8 VAR10 ( .VAR9(VAR9), .VAR4(VAR4), .VAR7(VAR7), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/buf/sky130_fd_sc_ms__buf_16.v
1,999
module MODULE2 ( VAR3 , VAR4 , VAR7, VAR2, VAR6 , VAR1 ); output VAR3 ; input VAR4 ; input VAR7; input VAR2; input VAR6 ; input VAR1 ; VAR8 VAR5 ( .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7), .VAR2(VAR2), .VAR6(VAR6), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR3, VAR4 ); output VAR3; input VAR4; supply1 VAR7; supply0 VAR2; supply1 VAR6 ; supply0 VAR1 ; VAR8 VAR5 ( .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0