repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
parallella/oh
|
mio/hdl/mrx.v
| 3,500 |
module MODULE1 # ( parameter VAR9 = 104, parameter VAR4 = 32, parameter VAR13 = 8, parameter VAR29 = 16, parameter VAR2 = "VAR28" )
( input clk, input VAR24, input VAR15,
input [1:0] VAR3,
input VAR14, input [4:0] VAR18, input [1:0] VAR7, input [VAR4-1:0] VAR12, input VAR17, output VAR6, output VAR8, output VAR21, input VAR26, input VAR27, input [VAR13-1:0] VAR19, output VAR5, output VAR11, output [VAR9-1:0] VAR16, input VAR10 );
wire VAR22; wire [63:0] VAR23; wire [7:0] VAR20;
VAR25 #(.VAR9(VAR9),
.VAR4(VAR4),
.VAR29(VAR29),
.VAR2(VAR2))
VAR25 (
.VAR5 (VAR5),
.VAR11 (VAR11),
.VAR16 (VAR16[VAR9-1:0]),
.clk (clk),
.VAR24 (VAR24),
.VAR17 (VAR17),
.VAR18 (VAR18[4:0]),
.VAR14 (VAR14),
.VAR12 (VAR12[VAR4-1:0]),
.VAR7 (VAR7[1:0]),
.VAR22 (VAR22),
.VAR20 (VAR20[7:0]),
.VAR23 (VAR23[63:0]),
.VAR26 (VAR26),
.VAR10 (VAR10));
VAR1 #(.VAR13(VAR13))
VAR1 (
.VAR22 (VAR22),
.VAR20 (VAR20[7:0]),
.VAR23 (VAR23[63:0]),
.VAR24 (VAR24),
.VAR15 (VAR15),
.VAR3 (VAR3[1:0]),
.VAR26 (VAR26),
.VAR19 (VAR19[VAR13-1:0]),
.VAR27 (VAR27));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/tapvgnd/sky130_fd_sc_hd__tapvgnd_1.v
| 1,938 |
module MODULE2 (
VAR1,
VAR4,
VAR3 ,
VAR5
);
input VAR1;
input VAR4;
input VAR3 ;
input VAR5 ;
VAR2 VAR6 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE2 ();
supply1 VAR1;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR5 ;
VAR2 VAR6 ();
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/busdrivernovlp2/sky130_fd_sc_lp__busdrivernovlp2.behavioral.v
| 1,402 |
module MODULE1 (
VAR1 ,
VAR7 ,
VAR8
);
output VAR1 ;
input VAR7 ;
input VAR8;
supply1 VAR3;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR6 ;
bufif0 VAR2 (VAR1 , VAR7, VAR8 );
endmodule
|
apache-2.0
|
glenux/contrib-linguist
|
samples/Verilog/mux.v
| 1,180 |
module MODULE1(VAR1,VAR4,sum,VAR2,out);
input [3:0] VAR1,VAR4;
input [4:0] sum;
input [1:0] VAR2;
output [3:0] out;
reg VAR3;
always @ (sum)
begin
if (sum[4] == 1)
VAR3 <= 4'b0001;
end
else
VAR3 <= 4'b0000;
end
reg out;
always @(VAR2,sum,VAR3,VAR4,VAR1)
begin
if (VAR2 == 2'b00)
out <= sum[3:0];
end
else if (VAR2 == 2'b01)
out <= VAR3;
else if (VAR2 == 2'b10)
out <= VAR4;
else if (VAR2 == 2'b11)
out <= VAR1;
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/aoi21/gf180mcu_fd_sc_mcu9t5v0__aoi21_4.behavioral.v
| 1,495 |
module MODULE1( VAR6, VAR7, VAR3, VAR5 );
input VAR6, VAR7, VAR5;
output VAR3;
VAR4 VAR1(.VAR6(VAR6),.VAR7(VAR7),.VAR3(VAR3),.VAR5(VAR5));
VAR4 VAR2(.VAR6(VAR6),.VAR7(VAR7),.VAR3(VAR3),.VAR5(VAR5));
|
apache-2.0
|
chebykinn/university
|
circuitry/lab4/src/hdl/master_wb.v
| 3,585 |
module MODULE1(
input clk,
input rst,
output reg VAR20,
input wire VAR12,
input wire VAR9,
input wire [31:0] VAR3,
input wire [31:0] VAR4,
output reg [31:0] VAR13,
input VAR16,
input [31:0] VAR15,
input VAR21,
output reg [31:0] VAR7,
output reg VAR14,
output [3:0] VAR11,
output reg [31:0] VAR10,
output reg VAR17,
output reg VAR5
);
reg [1:0] VAR19;
assign VAR11 = 4'b1111;
localparam VAR2 = 0;
localparam VAR1 = 1;
localparam VAR22 = 2;
localparam VAR8 = 3;
reg VAR6, VAR18;
always@(posedge clk, posedge rst)
if(rst) begin
VAR19 <= VAR2;
VAR20 <= 1'b0;
VAR10 <= 0;
VAR7 <= 0;
VAR14 <= 1'b0;
VAR5 <= 1'b0;
VAR17 <= 1'b0;
VAR13 <= 0;
VAR6 <= 0;
VAR18 <= 0;
end else begin
VAR6 <= VAR12;
VAR18 <= VAR9;
VAR20 <= 1'b0;
case(VAR19)
VAR2:
if(VAR9 && !VAR18) begin
VAR10 <= VAR3;
VAR7 <= VAR4;
VAR14 <= 1'b1;
VAR5 <= 1'b1;
VAR17 <= 1'b1;
VAR19 <= VAR1;
end else if (VAR12 && !VAR6) begin
VAR10 <= VAR3;
VAR5 <= 1'b1;
VAR17 <= 1'b1;
VAR19 <= VAR22;
end else if (VAR16) begin
VAR20 <= 1'b1;
VAR19 <= VAR8;
end
VAR1:
if(VAR21) begin
VAR14 <= 1'b0;
VAR5 <= 1'b0;
VAR17 <= 1'b0;
VAR20 <= 1'b1;
VAR19 <= VAR2;
end
VAR22:
if(VAR21) begin
VAR5 <= 1'b0;
VAR17 <= 1'b0;
VAR13 <= VAR15;
VAR20 <= 1'b1;
VAR19 <= VAR2;
end
VAR8:
if(!VAR16)
VAR19 <= VAR2;
endcase
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/ip_repo/xilinx_com_hls_nco_1_0/hdl/verilog/nco.v
| 7,324 |
module MODULE1 (
VAR32,
VAR62,
VAR75,
VAR60,
VAR79,
VAR73,
VAR45,
VAR77,
VAR80,
VAR57,
VAR58,
VAR7,
VAR27,
VAR47,
VAR46,
VAR41,
VAR34,
VAR29,
VAR55
);
parameter VAR6 = 1'b1;
parameter VAR56 = 1'b0;
parameter VAR59 = 2'b1;
parameter VAR43 = 2'b10;
parameter VAR48 = 32;
parameter VAR40 = 8;
parameter VAR3 = 6;
parameter VAR35 = 32;
parameter VAR28 = 32'b00000000000000000000000000000000;
parameter VAR52 = 1'b1;
parameter VAR11 = 32'b1;
parameter VAR82 = 32'b100;
parameter VAR9 = 32'b1111;
parameter VAR81 = 1'b1;
parameter VAR5 = (VAR48 / VAR40);
parameter VAR1 = (VAR35 / VAR40);
input VAR32;
output VAR62;
input [VAR3 - 1 : 0] VAR75;
input VAR60;
output VAR79;
input [VAR48 - 1 : 0] VAR73;
input [VAR5 - 1 : 0] VAR45;
input VAR77;
output VAR80;
input [VAR3 - 1 : 0] VAR57;
output VAR58;
input VAR7;
output [VAR48 - 1 : 0] VAR27;
output [1:0] VAR47;
output VAR46;
input VAR41;
output [1:0] VAR34;
input VAR29;
input VAR55;
reg VAR70;
wire [15:0] VAR20;
reg VAR53;
wire [15:0] VAR30;
reg [15:0] VAR33 = 16'b0000000000000000;
wire [11:0] VAR2;
reg VAR86;
wire [15:0] VAR65;
wire VAR44;
reg [1:0] VAR18 = 2'b1;
reg VAR83;
reg VAR61;
wire [63:0] VAR13;
wire [15:0] VAR67;
reg VAR84;
reg VAR51;
wire [11:0] VAR22;
reg [1:0] VAR69;
VAR72 #(
.VAR21( 16 ),
.VAR36( 4096 ),
.VAR8( 12 ))
VAR76(
.clk( VAR29 ),
.reset( VAR70 ),
.VAR19( VAR2 ),
.VAR16( VAR86 ),
.VAR23( VAR65 )
);
VAR78 #(
.VAR39( VAR3 ),
.VAR35( VAR48 ))
VAR49(
.VAR63( VAR32 ),
.VAR64( VAR62 ),
.VAR26( VAR75 ),
.VAR17( VAR60 ),
.VAR74( VAR79 ),
.VAR31( VAR73 ),
.VAR37( VAR45 ),
.VAR14( VAR77 ),
.VAR25( VAR80 ),
.VAR68( VAR57 ),
.VAR71( VAR58 ),
.VAR42( VAR7 ),
.VAR50( VAR27 ),
.VAR66( VAR47 ),
.VAR10( VAR46 ),
.VAR38( VAR41 ),
.VAR54( VAR34 ),
.VAR85( VAR29 ),
.VAR4( VAR70 ),
.VAR12( VAR44 ),
.VAR20( VAR20 ),
.VAR53( VAR53 ),
.VAR30( VAR30 )
);
always @ (posedge VAR29)
begin : VAR15
if (VAR70 == 1'b1) begin
VAR18 <= VAR59;
end else begin
VAR18 <= VAR69;
end
end
always @(posedge VAR29)
begin
if ((VAR6 == VAR83)) begin
VAR33 <= VAR67;
end
end
always @ (VAR61)
begin
if (VAR61) begin
VAR83 = VAR6;
end else begin
VAR83 = VAR56;
end
end
always @ (VAR51)
begin
if (VAR51) begin
VAR84 = VAR6;
end else begin
VAR84 = VAR56;
end
end
always @ (VAR83)
begin
if ((VAR6 == VAR83)) begin
VAR86 = VAR6;
end else begin
VAR86 = VAR56;
end
end
always @ (VAR84)
begin
if ((VAR6 == VAR84)) begin
VAR53 = VAR6;
end else begin
VAR53 = VAR56;
end
end
always @ (VAR18)
begin
case (VAR18)
VAR59 :
begin
VAR69 = VAR43;
end
VAR43 :
begin
VAR69 = VAR59;
end
default :
begin
VAR69 = 'VAR24;
end
endcase
end
assign VAR22 = {{VAR67[VAR9 : VAR82]}};
always @ (VAR55)
begin
VAR70 = ~VAR55;
end
always @ (VAR18)
begin
VAR61 = (VAR18[VAR28] == VAR52);
end
always @ (VAR18)
begin
VAR51 = (VAR52 == VAR18[VAR11]);
end
assign VAR44 = VAR6;
assign VAR67 = (VAR33 + VAR30);
assign VAR2 = VAR13;
assign VAR20 = VAR65;
assign VAR13 = VAR22;
endmodule
|
mit
|
toomij/DE2Labs
|
Lab2/lab2_part7.v
| 2,240 |
module MODULE1 (VAR10, VAR1, VAR5, VAR8);
input [5:0] VAR10;
output [0:6] VAR5, VAR8;
output [5:0] VAR1;
reg [3:0] VAR6, VAR9;
assign VAR1 = VAR10;
always begin
if (VAR10[5:0] > 59) begin
VAR6 = 6;
VAR9 = VAR10[5:0] - 60;
end else if (VAR10[5:0] > 49) begin
VAR6 = 5;
VAR9 = VAR10[5:0] - 50;
end else if (VAR10[5:0] > 39) begin
VAR6 = 4;
VAR9 = VAR10[5:0] - 40;
end else if (VAR10[5:0] > 29) begin
VAR6 = 3;
VAR9 = VAR10[5:0] - 30;
end else if (VAR10[5:0] > 19) begin
VAR6 = 2;
VAR9 = VAR10[5:0] - 20;
end else if (VAR10[5:0] > 9) begin
VAR6 = 1;
VAR9 = VAR10[5:0] - 10;
end else begin
VAR6 = 0;
VAR9 = VAR10[5:0];
end end
MODULE2 VAR3 (VAR6, VAR5);
MODULE2 VAR4 (VAR9, VAR8);
endmodule
module MODULE2 (VAR7, VAR2);
input [3:0] VAR7;
output [0:6] VAR2;
assign VAR2[0] = ((~VAR7[3] & ~VAR7[2] & ~VAR7[1] & VAR7[0]) | (~VAR7[3] & VAR7[2] & ~VAR7[1] & ~VAR7[0]));
assign VAR2[1] = ((~VAR7[3] & VAR7[2] & ~VAR7[1] & VAR7[0]) | (~VAR7[3] & VAR7[2] & VAR7[1] & ~VAR7[0]));
assign VAR2[2] = (~VAR7[3] & ~VAR7[2] & VAR7[1] & ~VAR7[0]);
assign VAR2[3] = ((~VAR7[3] & ~VAR7[2] & ~VAR7[1] & VAR7[0]) | (~VAR7[3] & VAR7[2] & ~VAR7[1] & ~VAR7[0]) | (~VAR7[3] & VAR7[2] & VAR7[1] & VAR7[0]) | (VAR7[3] & ~VAR7[2] & ~VAR7[1] & VAR7[0]));
assign VAR2[4] = ~((~VAR7[2] & ~VAR7[0]) | (VAR7[1] & ~VAR7[0]));
assign VAR2[5] = ((~VAR7[3] & ~VAR7[2] & ~VAR7[1] & VAR7[0]) | (~VAR7[3] & ~VAR7[2] & VAR7[1] & ~VAR7[0]) | (~VAR7[3] & ~VAR7[2] & VAR7[1] & VAR7[0]) | (~VAR7[3] & VAR7[2] & VAR7[1] & VAR7[0]));
assign VAR2[6] = ((~VAR7[3] & ~VAR7[2] & ~VAR7[1] & VAR7[0]) | (~VAR7[3] & ~VAR7[2] & ~VAR7[1] & ~VAR7[0]) | (~VAR7[3] & VAR7[2] & VAR7[1] & VAR7[0]));
endmodule
|
gpl-2.0
|
benreynwar/fpga-sdrlib
|
verilog/fpgamath/qa_multiply_complex.v
| 1,639 |
module MODULE1
parameter VAR12 = 32,
parameter VAR2 = 1
)
(
input wire clk,
input wire VAR9,
input wire [VAR12-1:0] VAR18,
input wire VAR17,
input wire [VAR2-1:0] VAR3,
input wire [VAR20-1:0] VAR7,
input wire VAR21,
output wire [VAR12-1:0] VAR14,
output reg VAR15,
output reg [VAR2-1:0] VAR8,
output wire [VAR20-1:0] VAR11,
output wire VAR4,
output reg VAR13
);
reg [VAR12-1:0] VAR1;
reg counter;
reg VAR19;
always @ (posedge clk)
begin
VAR15 <= 1'b0;
if (~VAR9)
begin
VAR19 <= 1'b0;
counter <= 1'b0;
VAR13 <= 1'b0;
end
else if (VAR17)
begin
if (((~VAR19)& (VAR18 != {VAR12{1'b0}})) | (counter == 1'd0))
begin
VAR19 <= 1'b1;
VAR1 <= VAR18;
counter <= 1'b1;
end
else if (counter == 1'b1)
begin
counter <= 1'b0;
VAR15 <= 1'b1;
end
end
end
VAR16 #(VAR12) VAR6
(.clk(clk),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR5(VAR18),
.VAR10(VAR14)
);
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/controller/rank_common.v
| 15,104 |
module MODULE1 #
(
parameter VAR4 = 100,
parameter VAR7 = "VAR77",
parameter VAR59 = 40,
parameter VAR36 = 4,
parameter VAR63 = 2,
parameter VAR73 = 4,
parameter VAR39 = 39,
parameter VAR26 = 640000
)
(
VAR3, VAR60, VAR71, VAR16,
VAR55, VAR74, VAR58,
VAR65,
clk, rst, VAR38, VAR49, VAR66,
VAR80, VAR56, VAR32, VAR12,
VAR42, VAR6
);
function integer VAR22 (input integer VAR43); begin
VAR43 = VAR43 - 1;
for (VAR22=1; VAR43>1; VAR22=VAR22+1)
VAR43 = VAR43 >> 1;
end
endfunction
input clk;
input rst;
localparam VAR52 = 1;
localparam VAR23 = VAR22(VAR59 + 1);
input VAR38;
reg VAR15;
generate
begin : VAR1
reg [VAR23-1:0] VAR69;
reg [VAR23-1:0] VAR64;
wire VAR18 =
(VAR69 == VAR52[VAR23-1:0]);
always @(VAR38 or VAR69
or VAR18) begin
VAR64 = VAR69;
if (~VAR38 || VAR18)
VAR64 = VAR59[VAR23-1:0];
end
else if (|VAR69)
VAR64 = VAR69 - VAR52[VAR23-1:0];
end
always @(posedge clk) VAR15 <=
end
endgenerate
output wire VAR3;
assign VAR3 = VAR15;
localparam VAR10 = VAR22(VAR39 + 1);
wire VAR75;
generate
begin : VAR47
reg [VAR10-1:0] VAR45;
reg [VAR10-1:0] VAR27;
always @(VAR38 or VAR15
or VAR75 or VAR45) begin
VAR27 = VAR45;
if (~VAR38 || VAR75)
VAR27 = VAR39[VAR10-1:0];
end
else if (|VAR45 && VAR15)
VAR27 =
VAR45 - VAR52[VAR10-1:0];
end
assign VAR75 = (VAR45 ==
VAR52[VAR10-1:0]) && VAR15;
end
endgenerate
output wire VAR60;
assign VAR60 = VAR75;
localparam VAR61 = VAR22(VAR26 + 1);
input VAR49;
input VAR66;
reg VAR68;
reg VAR83 = 1'b0;
generate
if (VAR7 == "VAR77") begin : VAR48
reg VAR9 = 1'b0;
if (VAR26 !=0) begin : VAR70
reg [VAR61-1:0] VAR81;
reg [VAR61-1:0] VAR29;
always @(VAR38 or VAR15
or VAR9 or VAR81) begin
VAR29 = VAR81;
if (~VAR38 || VAR9)
VAR29 = VAR26[VAR61-1:0];
end
else if (|VAR81 && VAR15)
VAR29 = VAR81 - VAR52[VAR61-1:0];
end
always @(VAR15 or VAR81)
VAR9 = (VAR81 ==
VAR52[VAR61-1:0] && VAR15);
end
begin : VAR25
wire VAR72 = VAR66 && VAR68;
reg VAR51;
wire VAR78 = ~rst && (VAR7 == "VAR77") &&
((~VAR38 && (VAR26 != 0)) ||
(VAR51 && ~VAR72) ||
VAR9 ||
(VAR49 && VAR38));
always @(VAR38 or VAR51)
VAR83 = VAR38 && VAR51;
end end
endgenerate
input[VAR73-1:0] VAR80;
input VAR56;
reg VAR20;
reg [VAR63-1:0] VAR8;
input [7:0] VAR32;
input [7:0] VAR12;
generate
begin : VAR31
reg VAR37;
reg VAR44;
wire VAR33 = VAR37 || VAR44 ||
VAR20 || VAR56;
wire [VAR73:0] VAR57 = {VAR83, VAR80[VAR73-1:0]};
wire VAR11 = |VAR57 && ~VAR33;
wire [VAR73:0] VAR50;
wire [VAR73:0] VAR5;
VAR46 #
(.VAR2 (VAR73+1))
VAR13
(.VAR62 (VAR50),
.VAR41 (VAR5),
.VAR82 (VAR37),
.VAR40 (VAR5),
.req (VAR57),
.VAR14 (1'b0),
.clk (clk),
.rst (rst));
wire [7:0] VAR67 = VAR32 | VAR12;
integer VAR79;
reg [VAR63-1:0] VAR54;
wire VAR30 = ~rst && (VAR37
? VAR5[VAR73]
: VAR68);
always @(VAR5 or VAR8 or VAR30
or VAR67 or rst or VAR37) begin
if (rst) VAR54 = {VAR63{1'b0}};
end
else begin
VAR54 = VAR8;
if (VAR30) begin
VAR54 = VAR8 + VAR52[VAR63-1:0];
for (VAR79=0; VAR79<8; VAR79=VAR79+1)
if (~VAR67[VAR54])
VAR54 = VAR54 + VAR52[VAR63-1:0];
end
else
if (VAR37)
for (VAR79=0; VAR79<VAR73; VAR79=VAR79+1)
if (VAR5[VAR79]) VAR54 = VAR79[VAR63-1:0];
end
end
end endgenerate
output wire VAR71;
assign VAR71 = VAR68;
output wire VAR16;
assign VAR16 = VAR20;
output wire [VAR63-1:0] VAR55;
assign VAR55 = VAR8;
input [VAR73-1:0] VAR42;
reg VAR17;
reg [VAR63-1:0] VAR53;
input VAR6;
output wire [VAR73-1:0] VAR74;
generate
begin : VAR19
reg VAR37;
wire VAR76 = VAR37 || VAR17;
wire VAR11 =
VAR38 && (|VAR42 && ~VAR76);
wire VAR34 = VAR38 &&
(VAR37 || (VAR17 && ~VAR6));
wire [VAR73-1:0] VAR24;
reg [VAR73-1:0] VAR21;
VAR46 #
(.VAR2 (VAR73))
VAR28
(.VAR62 (VAR24[VAR73-1:0]),
.VAR41 (),
.VAR82 (VAR37),
.VAR40 (VAR21[VAR73-1:0]),
.req (VAR42[VAR73-1:0]),
.VAR14 (1'b0),
.clk (clk),
.rst (rst));
always @(posedge clk) VAR21 = VAR11
? VAR24
: VAR21;
integer VAR79;
reg [VAR63-1:0] VAR35;
always @(VAR21 or VAR53
or VAR37) begin
VAR35 = VAR53;
if (VAR37)
for (VAR79=0; VAR79<VAR73; VAR79=VAR79+1)
if (VAR21[VAR79])
VAR35 = VAR79[VAR63-1:0];
end
always @(posedge clk) VAR53 <=
assign VAR74 =
VAR21 & {VAR73{VAR6}};
end endgenerate
output wire VAR58;
assign VAR58 = VAR17;
output wire [VAR63-1:0] VAR65;
assign VAR65 = VAR53;
endmodule
|
lgpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/old/emon/hdl/axi_emon.v
| 6,744 |
module MODULE1 (
VAR14, VAR3, VAR46, VAR4,
VAR20, VAR7, VAR16, VAR23,
VAR11,
VAR41, VAR8, VAR19, VAR18,
VAR33, VAR2, VAR32, VAR40,
VAR9, VAR5, VAR6, VAR24,
VAR39, VAR30, VAR36,
VAR35, VAR10, VAR15,
VAR22, VAR1, VAR44,
VAR13, VAR12, VAR21,
VAR31
);
parameter VAR25 = 32; parameter VAR34 = 32; parameter VAR38 = VAR25/8; parameter VAR45 = 6; parameter VAR37 = 32;
input VAR41; input VAR8;
input [VAR34-1:0] VAR19; input [2:0] VAR18; input VAR33; output VAR14;
input [VAR25-1:0] VAR2; input [VAR38-1:0] VAR32; input VAR40; output VAR3;
input VAR9; output [1:0] VAR46; output VAR4;
input [VAR34-1:0] VAR5; input [2:0] VAR6; input VAR24; output VAR20;
output [VAR25-1:0] VAR7; output [1:0] VAR16; output VAR23; input VAR39;
input VAR30;
input VAR36;
input VAR35;
input VAR10;
input VAR15;
input VAR22;
input VAR1;
input VAR44;
input VAR13;
input VAR12;
input VAR21;
input VAR31;
output [5:0] VAR11;
wire VAR43; wire [VAR45-1:0] VAR28; wire [VAR37-1:0] VAR27; wire [VAR25-1:0] VAR29; wire VAR17;
VAR26 VAR26(
.VAR14 (VAR14),
.VAR3 (VAR3),
.VAR46 (VAR46[1:0]),
.VAR4 (VAR4),
.VAR20 (VAR20),
.VAR7 (VAR7[VAR25-1:0]),
.VAR16 (VAR16[1:0]),
.VAR23 (VAR23),
.VAR28 (VAR28[VAR45-1:0]),
.VAR43 (VAR43),
.VAR17 (VAR17),
.VAR27 (VAR27[VAR37-1:0]),
.VAR41 (VAR41),
.VAR8 (VAR8),
.VAR19 (VAR19[VAR34-1:0]),
.VAR18 (VAR18[2:0]),
.VAR33 (VAR33),
.VAR2 (VAR2[VAR25-1:0]),
.VAR32 (VAR32[VAR38-1:0]),
.VAR40 (VAR40),
.VAR9 (VAR9),
.VAR5 (VAR5[VAR34-1:0]),
.VAR6 (VAR6[2:0]),
.VAR24 (VAR24),
.VAR39 (VAR39),
.VAR29 (VAR29[VAR37-1:0]));
VAR42 VAR42(
.VAR29 (VAR29[VAR25-1:0]),
.VAR11 (VAR11[5:0]),
.clk (clk),
.reset (reset),
.VAR43 (VAR43),
.VAR17 (VAR17),
.VAR28 (VAR28[5:0]),
.VAR27 (VAR27[VAR25-1:0]),
.VAR30 (VAR30),
.VAR36 (VAR36),
.VAR35 (VAR35),
.VAR10 (VAR10),
.VAR15 (VAR15),
.VAR22 (VAR22),
.VAR1 (VAR1),
.VAR44 (VAR44),
.VAR13 (VAR13),
.VAR12 (VAR12),
.VAR21 (VAR21),
.VAR31 (VAR31));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/einvp/sky130_fd_sc_ms__einvp.symbol.v
| 1,329 |
module MODULE1 (
input VAR2 ,
output VAR5 ,
input VAR7
);
supply1 VAR6;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/azpr_soc/cpu/rtl/id_stage.v
| 7,148 |
module MODULE1 (
input wire clk, input wire reset,
input wire [VAR28] VAR48, input wire [VAR28] VAR5, output wire [VAR18] VAR49, output wire [VAR18] VAR47,
input wire VAR42, input wire [VAR28] VAR45, input wire [VAR18] VAR1, input wire VAR33, input wire [VAR28] VAR43,
input wire [VAR23] VAR50, input wire [VAR28] VAR3, output wire [VAR18] VAR19,
input wire VAR34, input wire VAR41, output wire [VAR6] VAR35, output wire VAR20, output wire VAR4,
input wire [VAR6] VAR2, input wire [VAR28] VAR38, input wire VAR16,
output wire [VAR6] VAR21, output wire VAR12, output wire [VAR24] VAR10, output wire [VAR28] VAR9, output wire [VAR28] VAR37, output wire VAR8, output wire [VAR15] VAR51, output wire [VAR28] VAR26, output wire [VAR46] VAR30, output wire [VAR18] VAR14, output wire VAR31, output wire [VAR22] VAR11 );
wire [VAR24] VAR36; wire [VAR28] VAR39; wire [VAR28] VAR7; wire VAR25; wire [VAR15] VAR29; wire [VAR28] VAR13; wire [VAR46] VAR44; wire [VAR18] VAR40; wire VAR17; wire [VAR22] VAR27;
decoder decoder (
.VAR2 (VAR2), .VAR38 (VAR38), .VAR16 (VAR16),
.VAR48 (VAR48), .VAR5 (VAR5), .VAR49 (VAR49), .VAR47 (VAR47),
.VAR12 (VAR12), .VAR14 (VAR14), .VAR31 (VAR31), .VAR51 (VAR51), .VAR42 (VAR42), .VAR45 (VAR45), .VAR1 (VAR1), .VAR33 (VAR33), .VAR43 (VAR43),
.VAR50 (VAR50), .VAR3 (VAR3), .VAR19 (VAR19),
.VAR36 (VAR36), .VAR39 (VAR39), .VAR7 (VAR7), .VAR35 (VAR35), .VAR20 (VAR20), .VAR25 (VAR25), .VAR29 (VAR29), .VAR13 (VAR13), .VAR44 (VAR44), .VAR40 (VAR40), .VAR17 (VAR17), .VAR27 (VAR27), .VAR4 (VAR4) );
VAR32 VAR32 (
.clk (clk), .reset (reset),
.VAR36 (VAR36), .VAR39 (VAR39), .VAR7 (VAR7), .VAR25 (VAR25), .VAR29 (VAR29), .VAR13 (VAR13), .VAR44 (VAR44), .VAR40 (VAR40), .VAR17 (VAR17), .VAR27 (VAR27),
.VAR34 (VAR34), .VAR41 (VAR41),
.VAR2 (VAR2), .VAR16 (VAR16),
.VAR21 (VAR21), .VAR12 (VAR12), .VAR10 (VAR10), .VAR9 (VAR9), .VAR37 (VAR37), .VAR8 (VAR8), .VAR51 (VAR51), .VAR26 (VAR26), .VAR30 (VAR30), .VAR14 (VAR14), .VAR31 (VAR31), .VAR11 (VAR11) );
endmodule
|
apache-2.0
|
bangonkali/quartus-sockit
|
soc_system/synthesis/submodules/alt_vipitc131_common_sample_counter.v
| 1,560 |
module MODULE1
VAR6 = 0,
VAR9 = 0,
VAR10 = 0)
(
input wire rst,
input wire clk,
input wire VAR8,
input wire VAR11,
input wire VAR7,
output wire VAR2,
output wire VAR1,
output wire [VAR10-1:0] VAR3);
generate
if(VAR6 == 1) begin
assign VAR2 = VAR11;
assign VAR1 = 1'b1;
assign VAR3 = 1'b0;
end else begin
reg [VAR10-1:0] VAR5;
wire VAR4;
assign VAR4 = VAR5 == (VAR6 - 1);
always @ (posedge rst or posedge clk) begin
if(rst) begin
VAR5 <= {VAR10{1'b0}};
end else begin
if(VAR8)
VAR5 <= {{VAR10-1{1'b0}}, VAR11};
end
else
VAR5 <= (VAR11) ? (VAR4) ? {VAR10{1'b0}} : VAR5 + 1 : VAR5;
end
end
assign VAR2 = (VAR7) ? VAR11 : VAR11 & VAR4;
assign VAR1 = (VAR7) ? 1'b1 : (VAR5 == {VAR10{1'b0}});
assign VAR3 = VAR5;
end
endgenerate
endmodule
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/tmp/spree/data_mem.v
| 7,619 |
module MODULE3( clk, VAR23, en,
VAR30,
VAR5,
VAR24, VAR15, VAR1,
VAR12,
VAR7,
VAR4,
VAR19,
VAR20,
VAR21
);
parameter VAR13=32;
parameter VAR29=32;
parameter VAR6=4; parameter VAR3=16;
parameter VAR8=16384;
input clk;
input VAR23;
input en;
input [31:0] VAR24;
input [31:0] VAR15;
input VAR1;
input [VAR13-1:0] VAR5;
input [4-1:0] VAR12;
input [VAR29-1:0] VAR30;
output [VAR29-1:0] VAR7;
output [VAR6-1:0] VAR19;
output VAR4;
output [31:0] VAR20;
input [31:0] VAR21;
wire [VAR6-1:0] VAR19;
wire [VAR29-1:0] VAR21;
wire [VAR29-1:0] VAR20;
wire VAR11;
wire [1:0] VAR22;
wire [2:0] VAR9;
assign VAR11=VAR12[3];
assign VAR4 = VAR11 & en & ~VAR5[31];
register VAR18(VAR5[1:0],clk,VAR23,en,VAR22);
register VAR25(VAR12[2:0],clk,VAR23,en,VAR9);
MODULE2 MODULE1(
.VAR17(VAR30),
.VAR5(VAR5[1:0]),
.VAR2(VAR12[1:0]),
.VAR19(VAR19),
.VAR14(VAR20));
MODULE1 MODULE2(
.VAR21(VAR21),
.VAR5(VAR22[1:0]),
.VAR28(VAR9[1:0]),
.VAR10(VAR9[2]),
.VAR7(VAR7));
endmodule
module MODULE2(
VAR17, VAR5,
VAR2,
VAR19,
VAR14); parameter VAR26=32;
input [VAR26-1:0] VAR17;
input [1:0] VAR5;
input [1:0] VAR2;
output [3:0] VAR19;
output [VAR26-1:0] VAR14;
reg [3:0] VAR19;
reg [VAR26-1:0] VAR14;
always @(VAR17 or VAR5 or VAR2)
begin
case (VAR2)
2'b11:
case(VAR5[1:0])
0:
begin
VAR19=4'b1000;
VAR14={VAR17[7:0],24'b0};
end
1:
begin
VAR19=4'b0100;
VAR14={8'b0,VAR17[7:0],16'b0};
end
2:
begin
VAR19=4'b0010;
VAR14={16'b0,VAR17[7:0],8'b0};
end
default:
begin
VAR19=4'b0001;
VAR14={24'b0,VAR17[7:0]};
end
endcase
2'b01:
case(VAR5[1])
0:
begin
VAR19=4'b1100;
VAR14={VAR17[15:0],16'b0};
end
default:
begin
VAR19=4'b0011;
VAR14={16'b0,VAR17[15:0]};
end
endcase
default:
begin
VAR19=4'b1111;
VAR14=VAR17;
end
endcase
end
endmodule
module MODULE1(
VAR21,
VAR5,
VAR28,
VAR10,
VAR7);
parameter VAR26=32;
input [VAR26-1:0] VAR21;
input [1:0] VAR5;
input [1:0] VAR28;
input VAR10;
output [VAR26-1:0] VAR7;
reg [VAR26-1:0] VAR7;
always @(VAR21 or VAR5 or VAR28 or VAR10)
begin
case (VAR28)
2'b11:
begin
case (VAR5[1:0])
0: VAR7[7:0]=VAR21[31:24];
1: VAR7[7:0]=VAR21[23:16];
2: VAR7[7:0]=VAR21[15:8];
default: VAR7[7:0]=VAR21[7:0];
endcase
VAR7[31:8]={24{VAR10&VAR7[7]}};
end
2'b01:
begin
case (VAR5[1])
0: VAR7[15:0]=VAR21[31:16];
default: VAR7[15:0]=VAR21[15:0];
endcase
VAR7[31:16]={16{VAR10&VAR7[15]}};
end
default:
VAR7=VAR21;
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o221ai/sky130_fd_sc_ms__o221ai.symbol.v
| 1,402 |
module MODULE1 (
input VAR10,
input VAR3,
input VAR7,
input VAR2,
input VAR9,
output VAR6
);
supply1 VAR1;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o311ai/sky130_fd_sc_hd__o311ai.symbol.v
| 1,380 |
module MODULE1 (
input VAR5,
input VAR2,
input VAR7,
input VAR4,
input VAR10,
output VAR9
);
supply1 VAR1;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
Triple-Z/COExperiment_Repo
|
Project_Assignment_OnBoard/data_ram.v
| 3,984 |
module MODULE1(
input clk, input [3:0] VAR3, input [4:0] addr, input [31:0] VAR5, output reg [31:0] VAR6,
input [4 :0] VAR2,
output reg [31:0] VAR1
);
reg [31:0] VAR4[31:0];
always @(posedge clk) begin
if (VAR3[3])
begin
VAR4[addr][31:24] <= VAR5[31:24];
end
end
always @(posedge clk)
begin
if (VAR3[2])
begin
VAR4[addr][23:16] <= VAR5[23:16];
end
end
always @(posedge clk)
begin
if (VAR3[1])
begin
VAR4[addr][15: 8] <= VAR5[15: 8];
end
end
always @(posedge clk)
begin
if (VAR3[0])
begin
VAR4[addr][7 : 0] <= VAR5[7 : 0];
end
end
always @
begin
case (VAR2)
5'd0 : VAR1 <= VAR4[0 ];
5'd1 : VAR1 <= VAR4[1 ];
5'd2 : VAR1 <= VAR4[2 ];
5'd3 : VAR1 <= VAR4[3 ];
5'd4 : VAR1 <= VAR4[4 ];
5'd5 : VAR1 <= VAR4[5 ];
5'd6 : VAR1 <= VAR4[6 ];
5'd7 : VAR1 <= VAR4[7 ];
5'd8 : VAR1 <= VAR4[8 ];
5'd9 : VAR1 <= VAR4[9 ];
5'd10: VAR1 <= VAR4[10];
5'd11: VAR1 <= VAR4[11];
5'd12: VAR1 <= VAR4[12];
5'd13: VAR1 <= VAR4[13];
5'd14: VAR1 <= VAR4[14];
5'd15: VAR1 <= VAR4[15];
5'd16: VAR1 <= VAR4[16];
5'd17: VAR1 <= VAR4[17];
5'd18: VAR1 <= VAR4[18];
5'd19: VAR1 <= VAR4[19];
5'd20: VAR1 <= VAR4[20];
5'd21: VAR1 <= VAR4[21];
5'd22: VAR1 <= VAR4[22];
5'd23: VAR1 <= VAR4[23];
5'd24: VAR1 <= VAR4[24];
5'd25: VAR1 <= VAR4[25];
5'd26: VAR1 <= VAR4[26];
5'd27: VAR1 <= VAR4[27];
5'd28: VAR1 <= VAR4[28];
5'd29: VAR1 <= VAR4[29];
5'd30: VAR1 <= VAR4[30];
5'd31: VAR1 <= VAR4[31];
endcase
end
endmodule
|
mit
|
OpticalMeasurementsSystems/2DImageProcessing
|
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v
| 16,257 |
module MODULE1 #
(
parameter VAR71 = "VAR29",
parameter integer VAR30 = 0,
parameter integer VAR25 = 0,
parameter integer VAR26 = 5, parameter integer VAR97 = 64 )
(
input wire VAR95, input wire VAR52, output wire VAR10, input wire [VAR97-1:0] VAR51, input wire VAR92, output wire VAR45, output wire [VAR97-1:0] VAR61, output wire VAR105, input wire VAR82 );
genvar VAR32;
genvar VAR36;
integer VAR19;
wire [VAR26-1:0] addr;
wire VAR64;
wire VAR96;
wire VAR93;
reg VAR75;
wire VAR49;
wire VAR20;
wire [VAR26-1:0] VAR47;
wire [VAR26-1:0] VAR11;
wire [VAR26-1:0] VAR39;
wire VAR60;
wire [VAR97-1:0] VAR89; wire VAR38; wire VAR104;
assign VAR60 = ( (addr == {{VAR26-1{1'b1}}, 1'b0}) & VAR49 & ~VAR104 ) |
( VAR64 & ~VAR104 );
assign VAR45 = ~VAR64;
assign VAR96 = (addr == {VAR26{1'b0}});
assign VAR93 = (VAR75 & ~VAR96) |
(VAR96 & VAR92) |
(VAR75 & ~(VAR104 & VAR75));
always @ (posedge VAR95) begin
if (VAR52) begin
VAR75 <= 1'b0;
end else begin
VAR75 <= VAR93;
end
end
assign VAR38 = VAR75;
generate
if ( VAR71 == "VAR14" || VAR30 == 0 ) begin : VAR24
reg VAR5;
assign VAR49 = VAR92 & ~VAR64;
assign VAR20 = (VAR92 | ~VAR96);
assign VAR39[0] = VAR49;
always @ (posedge VAR95) begin
if (VAR52) begin
VAR5 <= 1'b0;
end else if ( VAR75 ) begin
VAR5 <= VAR60;
end
end
assign VAR64 = VAR5;
end else begin : VAR70
wire VAR3;
wire VAR86;
wire VAR17;
wire VAR41;
wire VAR13;
wire VAR58;
assign VAR17 = ~VAR64;
VAR7 #
(
.VAR71(VAR71)
) VAR85
(
.VAR84(VAR92),
.VAR16(1'b1),
.VAR57(VAR3)
);
VAR7 #
(
.VAR71(VAR71)
) VAR87
(
.VAR84(VAR3),
.VAR16(1'b1),
.VAR57(VAR86)
);
VAR7 #
(
.VAR71(VAR71)
) VAR34
(
.VAR84(VAR86),
.VAR16(VAR17),
.VAR57(VAR49)
);
assign VAR41 = ~VAR96;
VAR53 #
(
.VAR71(VAR71)
) VAR80
(
.VAR84(VAR49),
.VAR78(VAR41),
.VAR77(VAR20)
);
VAR7 #
(
.VAR71(VAR71)
) VAR101
(
.VAR84(VAR49),
.VAR16(1'b1),
.VAR57(VAR13)
);
VAR7 #
(
.VAR71(VAR71)
) VAR2
(
.VAR84(VAR13),
.VAR16(1'b1),
.VAR57(VAR58)
);
VAR7 #
(
.VAR71(VAR71)
) VAR94
(
.VAR84(VAR58),
.VAR16(1'b1),
.VAR57(VAR39[0])
);
VAR31 #(
.VAR81(1'b0) ) VAR65 (
.VAR6(VAR64), .VAR12(VAR95), .VAR56(VAR75), .VAR88(VAR52), .VAR59(VAR60) );
end
endgenerate
generate
if ( VAR71 == "VAR14" ) begin : VAR100
reg [VAR26-1:0] VAR68;
always @ (posedge VAR95) begin
if (VAR52) begin
VAR68 <= {VAR26{1'b0}};
end else if ( VAR75 ) begin
if ( VAR49 & ~(VAR104 & VAR75) ) begin
VAR68 <= VAR68 + 1'b1;
end else if ( ~VAR49 & (VAR104 & VAR75) & ~VAR96 ) begin
VAR68 <= VAR68 - 1'b1;
end
else begin
VAR68 <= VAR68;
end
end
else begin
VAR68 <= VAR68;
end
end
assign addr = VAR68;
end else begin : VAR35
for (VAR32 = 0; VAR32 < VAR26 ; VAR32 = VAR32 + 1) begin : VAR74
assign VAR47[VAR32] = ((VAR104 & VAR75) ^ addr[VAR32]) & VAR20;
if ( VAR32 < VAR26 - 1 ) begin : VAR23
VAR8 VAR43 (
.VAR22(addr[VAR32]),
.VAR48(VAR39[VAR32]),
.VAR16(VAR47[VAR32]),
.VAR77(VAR39[VAR32+1])
);
end
else begin : VAR73
end
VAR33 VAR102 (
.VAR27(VAR47[VAR32]),
.VAR48(VAR39[VAR32]),
.VAR77(VAR11[VAR32])
);
VAR31 #(
.VAR81(1'b0) ) VAR40 (
.VAR6(addr[VAR32]), .VAR12(VAR95), .VAR56(VAR75), .VAR88(VAR52), .VAR59(VAR11[VAR32]) );
end end endgenerate
generate
if ( VAR71 == "VAR14" ) begin : VAR91
reg [VAR97-1:0] VAR50[2 ** VAR26-1:0];
always @ (posedge VAR95) begin
if ( VAR49 ) begin
for (VAR19 = 0; VAR19 < 2 ** VAR26-1 ; VAR19 = VAR19 + 1) begin
VAR50[VAR19+1] <= VAR50[VAR19];
end
VAR50[0] <= VAR51;
end
end
assign VAR89 = VAR50[addr];
end else begin : VAR103
for (VAR36 = 0; VAR36 < VAR97 ; VAR36 = VAR36 + 1) begin : VAR15
if ( VAR26 == 5 ) begin : VAR99
VAR98 # (
.VAR81(32'h00000000) ) VAR1 (
.VAR6(VAR89[VAR36]), .VAR46(), .VAR62(addr), .VAR56(VAR49), .VAR72(VAR95), .VAR59(VAR51[VAR36]) );
end else begin : VAR90
VAR54 # (
.VAR81(32'h00000000) ) VAR66 (
.VAR6(VAR89[VAR36]), .VAR21(), .VAR37(addr[0]), .VAR83(addr[1]), .VAR67(addr[2]), .VAR4(addr[3]), .VAR56(VAR49), .VAR72(VAR95), .VAR59(VAR51[VAR36]) );
end
end end endgenerate
generate
if ( VAR25 != 0 ) begin : VAR76
wire [VAR97-1:0] VAR55; wire VAR63;
if ( VAR71 == "VAR14" ) begin : VAR28
reg [VAR97-1:0] VAR44; reg VAR18;
always @ (posedge VAR95) begin
if (VAR52) begin
VAR44 <= {VAR97{1'b0}};
VAR18 <= 1'b0;
end else begin
if ( VAR104 ) begin
VAR44 <= VAR89;
VAR18 <= VAR38;
end
end
end
assign VAR55 = VAR44;
assign VAR63 = VAR18;
end else begin : VAR42
reg [VAR97-1:0] VAR79; reg VAR69;
always @ *
begin
if ( VAR104 ) begin
VAR79 <= VAR89;
VAR69 <= VAR38;
end else begin
VAR79 <= VAR55;
VAR69 <= VAR63;
end
end
for (VAR36 = 0; VAR36 < VAR97 ; VAR36 = VAR36 + 1) begin : VAR15
VAR31 #(
.VAR81(1'b0) ) VAR40 (
.VAR6(VAR55[VAR36]), .VAR12(VAR95), .VAR56(1'b1), .VAR88(VAR52), .VAR59(VAR79[VAR36]) );
end
VAR31 #(
.VAR81(1'b0) ) VAR40 (
.VAR6(VAR63), .VAR12(VAR95), .VAR56(1'b1), .VAR88(VAR52), .VAR59(VAR69) );
end
assign VAR10 = ~VAR38 & ~VAR63;
assign VAR61 = VAR55;
assign VAR105 = VAR63;
assign VAR104 = ( VAR82 & VAR63 ) | ~VAR63;
end else begin : VAR9
assign VAR10 = ~VAR38;
assign VAR61 = VAR89;
assign VAR105 = VAR38;
assign VAR104 = VAR82;
end
endgenerate
endmodule
|
gpl-2.0
|
ThotIP/async_fifo
|
src/vlog/async_bidir_fifo.v
| 5,398 |
module MODULE1
parameter VAR26 = 8,
parameter VAR32 = 4,
parameter VAR46 = "VAR45" ) (
input wire VAR22,
input wire VAR28,
input wire VAR48,
input wire [VAR26-1:0] VAR57,
input wire VAR65,
output wire [VAR26-1:0] VAR31,
output wire VAR61,
output wire VAR38,
output wire VAR62,
output wire VAR63,
input wire VAR14,
input wire VAR50,
input wire VAR42,
input wire VAR69,
input wire [VAR26-1:0] VAR9,
input wire VAR35,
output wire [VAR26-1:0] VAR17,
output wire VAR29,
output wire VAR6,
output wire VAR64,
output wire VAR1,
input wire VAR43 );
wire [VAR32-1:0] VAR55, VAR19;
wire [VAR32-1:0] VAR47, VAR24, VAR33, VAR37;
wire [ VAR32:0] VAR72, VAR2, VAR23, VAR34;
wire [ VAR32:0] VAR40, VAR58, VAR21, VAR11;
assign VAR55 = VAR14 ? VAR47 : VAR24;
assign VAR19 = VAR43 ? VAR33 : VAR37;
VAR53 #(VAR32)
VAR7
(
.VAR71 (VAR22),
.VAR41 (VAR28),
.VAR70 (VAR58),
.VAR51 (VAR11)
);
VAR53 #(VAR32)
VAR67
(
.VAR71 (VAR22),
.VAR41 (VAR28),
.VAR70 (VAR2),
.VAR51 (VAR34)
);
VAR52 #(VAR32)
VAR56
(
.VAR27 (VAR22),
.VAR20 (VAR28),
.VAR30 (VAR48),
.VAR3 (VAR34),
.VAR12 (VAR38),
.VAR13 (VAR61),
.VAR54 (VAR47),
.VAR44 (VAR72)
);
VAR49 #(VAR32)
VAR60
(
.VAR4 (VAR22),
.VAR5 (VAR28),
.VAR15 (VAR65),
.VAR16 (VAR11),
.VAR18 (VAR63),
.VAR36 (VAR62),
.VAR59 (VAR24),
.VAR8 (VAR40)
);
VAR53 #(VAR32)
VAR66
(
.VAR71 (VAR50),
.VAR41 (VAR42),
.VAR70 (VAR72),
.VAR51 (VAR23)
);
VAR53 #(VAR32)
VAR68
(
.VAR71 (VAR50),
.VAR41 (VAR42),
.VAR70 (VAR40),
.VAR51 (VAR21)
);
VAR52 #(VAR32)
VAR10
(
.VAR27 (VAR50),
.VAR20 (VAR42),
.VAR30 (VAR69),
.VAR3 (VAR21),
.VAR12 (VAR6),
.VAR13 (VAR29),
.VAR54 (VAR33),
.VAR44 (VAR58)
);
VAR49 #(VAR32)
VAR39
(
.VAR4 (VAR50),
.VAR5 (VAR42),
.VAR15 (VAR35),
.VAR16 (VAR23),
.VAR18 (VAR1),
.VAR36 (VAR64),
.VAR59 (VAR37),
.VAR8 (VAR2)
);
VAR25 #(VAR26, VAR32, VAR46)
VAR25
(
.VAR22 (VAR22),
.VAR57 (VAR57),
.VAR31 (VAR31),
.VAR55 (VAR55),
.VAR65 (VAR65 & !VAR14),
.VAR48 (VAR48 & VAR14),
.VAR50 (VAR50),
.VAR9 (VAR9),
.VAR17 (VAR17),
.VAR19 (VAR19),
.VAR35 (VAR35 & !VAR43),
.VAR69 (VAR69 & VAR43)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrtp/sky130_fd_sc_lp__dlrtp.symbol.v
| 1,428 |
module MODULE1 (
input VAR8 ,
output VAR6 ,
input VAR3,
input VAR5
);
supply1 VAR1;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
P3Stor/P3Stor
|
DDR3/ip_top/example_top.v
| 38,103 |
module MODULE1 #
(
parameter VAR174 = 200,
parameter VAR235 = "VAR323",
parameter VAR352 = "VAR78",
parameter VAR48 = 8,
parameter VAR37 = 2,
parameter VAR80 = 4,
parameter VAR197 = 2,
parameter VAR58 = 2500,
parameter VAR280 = "VAR185",
parameter VAR198 = "VAR27",
parameter VAR136 = 1,
parameter VAR42 = 3,
parameter VAR372 = 1,
parameter VAR373 = 3,
parameter VAR290 = 2,
parameter VAR217 = 2,
parameter VAR286 = 10,
parameter VAR328 = 2,
parameter VAR224 = 8,
parameter VAR296 = 64,
parameter VAR334 = 8,
parameter VAR226 = 15,
parameter VAR349 = "8",
parameter VAR159 = 2,
parameter VAR106 = "1T" ,
parameter VAR210 = "VAR204",
parameter VAR139 = "VAR185",
parameter VAR346 = "VAR185",
parameter VAR68 = "40",
parameter VAR175 = "120",
parameter VAR130 = "VAR194",
parameter VAR85 = "VAR27",
parameter VAR87 = 3,
parameter VAR39 = 5,
parameter VAR246 = 0,
parameter VAR228 = 0,
parameter VAR241 = 24'h020100,
parameter VAR35 = 40'h0706050403,
parameter VAR243 = 0,
parameter VAR319 = 0,
parameter VAR370 = 1000000,
parameter VAR133 = 7800000,
parameter VAR359 = 128000000,
parameter VAR364 = 29,
parameter VAR171 = "VAR27",
parameter VAR371 = "VAR27",
parameter VAR82 = 100,
parameter VAR248 = "VAR337",
parameter VAR24 = "VAR337",
parameter VAR150 = 2,
parameter VAR17 = 3,
parameter VAR54 = "VAR148",
parameter VAR302 = "VAR363",
parameter VAR206 = "VAR281",
parameter VAR187 = 32'h00000000,
parameter VAR298 = 32'h00000000,
parameter VAR284 = 32'h00ffffff,
parameter VAR155 = 32'hff000000,
parameter VAR190 = 11,
parameter VAR253 = 1,
parameter VAR207 = "VAR15",
parameter VAR260 = 2,
parameter VAR170 = 256, parameter VAR259 = 128, parameter VAR232 = 8 )
(
input VAR16, input VAR95, inout [VAR296-1:0] VAR14,
output [VAR226-1:0] VAR233,
output [VAR373-1:0] VAR120,
output VAR41,
output VAR23,
output VAR382,
output VAR303,
output [(VAR328*VAR136)-1:0] VAR295,
output [(VAR328*VAR136)-1:0] VAR242,
output [VAR217-1:0] VAR338,
output [VAR224-1:0] VAR162,
inout [VAR334-1:0] VAR255,
inout [VAR334-1:0] VAR200,
output [VAR290-1:0] VAR219,
output [VAR290-1:0] VAR12,
inout VAR86,
output VAR331,
output VAR178,
output VAR236,
output [7:0] VAR104,
output [7:0] VAR44,
input [7:0] VAR251,
input [7:0] VAR182,
input VAR231,
input VAR196,
input VAR266,
input VAR13,
output VAR252,
output VAR114,
output VAR368,
output VAR237,
output VAR132,
output [7:0] VAR310,
input [7:0] VAR22,
inout [VAR232-1:0] VAR83,
inout VAR227,
output VAR330,
output VAR257,
output VAR158,
output VAR342,
output VAR268,
output [7:0] VAR8,
input [7:0] VAR203,
inout [VAR232-1:0] VAR63,
inout VAR92,
output VAR314,
output VAR189,
output VAR273,
output VAR188,
output VAR53,
output [7:0] VAR116,
input [7:0] VAR59,
inout [VAR232-1:0] VAR89,
inout VAR218,
output VAR308,
output VAR46,
output VAR329,
output VAR360,
output VAR327,
output [7:0] VAR313,
input [7:0] VAR279,
inout [VAR232-1:0] VAR307,
inout VAR348,
output VAR320,
output VAR166,
output VAR244,
output VAR149,
output VAR140,
output [7:0] VAR256,
input [7:0] VAR292,
inout [VAR232-1:0] VAR43,
inout VAR163,
output VAR102,
output VAR56,
output VAR151,
output VAR357,
output VAR376,
output [7:0] VAR154,
input [7:0] VAR20,
inout [VAR232-1:0] VAR335,
inout VAR193,
output VAR119,
output VAR341,
output VAR316,
output VAR74,
output VAR117,
output [7:0] VAR369,
input [7:0] VAR362,
inout [VAR232-1:0] VAR64,
inout VAR111,
output VAR75,
output VAR69,
output VAR250,
output VAR137,
output VAR191,
output [7:0] VAR79,
input [7:0] VAR208,
inout [VAR232-1:0] VAR315,
inout VAR76
);
reg [28:0] VAR7;
always @( posedge clk or posedge rst)
begin
if (rst)
VAR7 <= 0;
end
else
VAR7 <= VAR7 + 1;
end
assign VAR178 = VAR7[27];
function integer VAR99;
input [7:0] in;
begin
if(in == "8")
VAR99 = 8;
end
else if(in == "4")
VAR99 = 4;
else
VAR99 = 0;
end
endfunction
localparam VAR245 = VAR58 * VAR197;
localparam VAR186 = 64;
localparam VAR141 = (VAR371 == "VAR27") ? VAR186 : VAR296;
localparam VAR110 = VAR99(VAR349);
localparam VAR45 = VAR141 * 4;
localparam VAR3 = VAR45 / 8;
wire VAR25;
wire VAR325;
wire VAR332;
wire VAR358;
wire VAR1;
wire VAR51;
wire VAR100;
wire VAR374;
wire rst;
wire clk;
wire VAR160;
wire VAR216;
wire VAR377;
wire VAR168;
wire VAR285;
wire [(VAR159)-1:0] VAR127;
wire VAR383;
wire VAR161;
wire [VAR3-1:0] VAR354;
wire [3:0] VAR340;
wire [47:0] VAR31;
wire [47:0] VAR29;
wire [VAR364-1:0] VAR306;
wire [2:0] VAR356;
wire VAR112;
wire VAR36;
wire VAR88;
wire [VAR45-1:0] VAR195;
wire VAR176;
wire [VAR45-1:0] VAR73;
wire VAR131;
wire VAR60;
wire VAR263;
wire VAR324;
wire VAR153; wire [VAR170-1:0]VAR361; wire VAR173; wire VAR129;
wire VAR223;
wire VAR143;
wire [VAR259-1:0] VAR234;
wire VAR366 = !VAR173;
wire VAR277; wire [VAR259-1:0]VAR212; wire VAR146; wire VAR135;
wire VAR300;
wire VAR211;
wire [VAR259-1:0] VAR90;
wire [VAR170-1:0]VAR272; wire VAR287; wire VAR294;
wire VAR254;
wire VAR220;
wire VAR67;
wire [VAR259-1:0] VAR209;
wire [VAR259-1:0]VAR183; wire VAR62; wire VAR108; wire VAR288;
wire VAR229;
wire VAR72;
wire [VAR259-1:0] VAR126;
assign VAR161 = 1'b0;
assign VAR113 = 1'b0;
VAR311 VAR138
(
.VAR184 (VAR331),
.VAR267 (VAR374),
.VAR345 (1'b0),
.VAR269 (1'b1)
);
VAR311 VAR19
(
.VAR184 (VAR86),
.VAR267 (VAR100),
.VAR345 (1'b0),
.VAR269 (1'b1)
);
assign VAR25 = 1'b0;
assign VAR325 = 1'b0;
assign VAR332 = 1'b0;
assign VAR358 = 1'b0;
VAR94 VAR375
( .VAR147 (VAR95),
.VAR21 (VAR270),
.VAR47 (VAR4),
.VAR262 (VAR262));
VAR105 VAR156
( .VAR147(VAR16), .VAR21(VAR322), .VAR47(VAR52), .VAR343(VAR32), .VAR28(VAR28), .VAR262(VAR262)); VAR239 #
(
.VAR82 (VAR82),
.VAR235 (VAR235),
.VAR207 (VAR207),
.VAR253 (VAR253)
)
VAR123
(
.VAR25 (VAR25),
.VAR325 (VAR325),
.VAR95 (VAR4),
.VAR13 (VAR13),
.VAR51 (VAR51)
);
VAR202 #
(
.VAR207 (VAR207)
)
VAR240
(
.VAR332 (VAR332),
.VAR358 (VAR358),
.VAR16 (VAR270),
.VAR1 (VAR1)
);
VAR61 #
(
.VAR82 (VAR82),
.VAR165 (VAR245),
.VAR197 (VAR197),
.VAR352 (VAR352),
.VAR48 (VAR48),
.VAR37 (VAR37),
.VAR80 (VAR80),
.VAR253 (VAR253)
)
VAR38
(
.VAR160 (VAR160),
.clk (clk),
.VAR216 (VAR216),
.VAR333 (rst),
.VAR1 (VAR1),
.VAR13 (VAR13),
.VAR51 (VAR51),
.VAR305 (VAR377),
.VAR145 (VAR168),
.VAR386 (VAR285)
);
VAR344 #
(
.VAR106 (VAR106),
.VAR373 (VAR373),
.VAR290 (VAR290),
.VAR217 (VAR217),
.VAR197 (VAR197),
.VAR286 (VAR286),
.VAR328 (VAR328),
.VAR224 (VAR224),
.VAR136 (VAR136),
.VAR280 (VAR280),
.VAR235 (VAR235),
.VAR296 (VAR296),
.VAR334 (VAR334),
.VAR42 (VAR42),
.VAR210 (VAR210),
.VAR130 (VAR130),
.VAR346 (VAR346),
.VAR372 (VAR372),
.VAR174 (VAR174),
.VAR85 (VAR85),
.VAR226 (VAR226),
.VAR68 (VAR68),
.VAR175 (VAR175),
.VAR198 (VAR198),
.VAR139 (VAR139),
.VAR87 (VAR87),
.VAR39 (VAR39),
.VAR246 (VAR246),
.VAR228 (VAR228),
.VAR241 (VAR241),
.VAR35 (VAR35),
.VAR243 (VAR243),
.VAR319 (VAR319),
.VAR370 (VAR370),
.VAR133 (VAR133),
.VAR359 (VAR359),
.VAR349 (VAR349),
.VAR159 (VAR159),
.VAR58 (VAR58),
.VAR364 (VAR364),
.VAR82 (VAR82),
.VAR171 (VAR171),
.VAR371 (VAR371),
.VAR141 (VAR141),
.VAR45 (VAR45),
.VAR3 (VAR3)
)
VAR274
(
.clk (clk),
.VAR160 (VAR160),
.VAR216 (VAR216),
.rst (rst),
.VAR301 (VAR233),
.VAR192 (VAR120),
.VAR115 (VAR23),
.VAR275 (VAR12),
.VAR225 (VAR219),
.VAR93 (VAR338),
.VAR353 (VAR295),
.VAR128 (VAR162),
.VAR10 (VAR242),
.VAR55 (VAR41),
.VAR71 (VAR303),
.VAR249 (VAR383),
.VAR91 (VAR382),
.VAR103 (VAR14),
.VAR2 (VAR200),
.VAR181 (VAR255),
.VAR168 (VAR168),
.VAR285 (VAR285),
.VAR377 (VAR377),
.VAR236 (VAR236),
.VAR127 (VAR127),
.VAR30 (VAR340),
.VAR195 (VAR195),
.VAR157 (VAR157),
.VAR176 (VAR176),
.VAR88 (VAR88),
.VAR60 (VAR60),
.VAR306 (VAR306),
.VAR356 (VAR356),
.VAR112 (VAR112),
.VAR161 (VAR161),
.VAR36 (1'b1),
.VAR73 (VAR73),
.VAR131 (VAR131),
.VAR354 (VAR354),
.VAR263 (VAR263),
.VAR109 (1'b1),
.VAR77 (VAR77),
.VAR134 (VAR134),
.VAR385 (VAR385),
.VAR378 (VAR378),
.VAR101 (VAR101),
.VAR336 (VAR336),
.VAR70 (VAR70),
.VAR124 (VAR124),
.VAR271 (VAR271),
.VAR199 (VAR199),
.VAR169 (VAR169),
.VAR381 (VAR381),
.VAR172 (VAR172),
.VAR40 (VAR40),
.VAR276 (VAR276),
.VAR339 (VAR339),
.VAR367 (VAR367),
.VAR309 (VAR309),
.VAR5 (VAR5),
.VAR9 (VAR9),
.VAR26 (VAR26),
.VAR50 (VAR50),
.VAR350 (VAR350),
.VAR97 (VAR97),
.VAR283 (VAR283),
.VAR261 (VAR261),
.VAR384 (VAR384),
.VAR33 (VAR33),
.VAR11 (VAR11),
.VAR247 (VAR247),
.VAR278 (VAR278),
.VAR230 (VAR230)
);
VAR6 VAR347(
.VAR332(VAR231),
.VAR358(VAR196),
.VAR355(VAR266),
.VAR104(VAR104),
.VAR44(VAR44),
.VAR251(VAR251),
.VAR182(VAR182),
.VAR299(VAR324),
.VAR98(VAR288),
.VAR107(VAR229),
.VAR264(VAR72),
.VAR167(VAR126),
.VAR380(VAR254),
.VAR164(VAR220),
.VAR351(VAR67),
.VAR222(VAR209),
.VAR312(VAR135),
.VAR121(VAR300),
.VAR297(VAR211),
.VAR387(VAR90),
.VAR304(VAR129),
.VAR122(VAR223),
.VAR291(VAR143),
.VAR152(VAR234),
.VAR214(VAR366)
);
VAR321 VAR84 ( .rst(rst), .VAR57(VAR324), .VAR34(clk), .din(VAR234), .VAR265(VAR143), .VAR142(VAR153), .dout(VAR361), .VAR66(VAR129), .VAR125(VAR223), .VAR65(), .VAR96(), .VAR326(), .VAR177(), .VAR215(VAR173) );
VAR118 VAR221 ( .rst(rst), .VAR57(VAR324), .VAR34(clk), .din(VAR90), .VAR265(VAR211), .VAR142(VAR277), .dout(VAR212), .VAR66(VAR135), .VAR125(VAR300), .VAR65(VAR146), .VAR96(), .VAR326(), .VAR177() );
VAR144 VAR179 (
.rst(rst), .VAR57(clk), .VAR34(VAR324), .din(VAR272), .VAR265(VAR287), .VAR142(VAR67), .dout(VAR209), .VAR66(), .VAR125(), .VAR65(VAR254), .VAR96(VAR220), .VAR326(), .VAR177(), .VAR215(VAR294) );
VAR238 VAR317 ( .rst(rst), .VAR57(clk), .VAR34(VAR324), .din(VAR183), .VAR265(VAR62), .VAR142(VAR72), .dout(VAR126), .VAR66(VAR108), .VAR125(), .VAR65(VAR288), .VAR96(VAR229), .VAR326(), .VAR177() );
VAR49 VAR293(
.reset(rst),
.clk(clk),
.VAR322(VAR322),
.VAR52(VAR52),
.VAR32(VAR32),
.VAR236(VAR236),
.VAR361(VAR361),
.VAR153(VAR153),
.VAR212(VAR212),
.VAR146(VAR146),
.VAR277(VAR277),
.VAR272(VAR272),
.VAR287(VAR287),
.VAR294(VAR294),
.VAR108(VAR108),
.VAR183(VAR183),
.VAR62(VAR62),
.VAR379(VAR88),
.VAR318(VAR176),
.VAR180(VAR195),
.VAR365(VAR112),
.VAR81(VAR356[0]),
.VAR205(VAR306),
.VAR201(VAR73),
.VAR282(VAR354),
.VAR213(VAR263),
.VAR18(VAR131),
.VAR289(VAR60),
.VAR258(VAR258),
.VAR252(VAR252),
.VAR114(VAR114),
.VAR368(VAR368),
.VAR237(VAR237),
.VAR132(VAR132),
.VAR310(VAR310),
.VAR22(VAR22),
.VAR83(VAR83),
.VAR227(VAR227),
.VAR330(VAR330),
.VAR257(VAR257),
.VAR158(VAR158),
.VAR342(VAR342),
.VAR268(VAR268),
.VAR8(VAR8),
.VAR203(VAR203),
.VAR63(VAR63),
.VAR92(VAR92),
.VAR314(VAR314),
.VAR189(VAR189),
.VAR273(VAR273),
.VAR188(VAR188),
.VAR53(VAR53),
.VAR116(VAR116),
.VAR59(VAR59),
.VAR89(VAR89),
.VAR218(VAR218),
.VAR308(VAR308),
.VAR46(VAR46),
.VAR329(VAR329),
.VAR360(VAR360),
.VAR327(VAR327),
.VAR313(VAR313),
.VAR279(VAR279),
.VAR307(VAR307),
.VAR348(VAR348),
.VAR320(VAR320),
.VAR166(VAR166),
.VAR244(VAR244),
.VAR149(VAR149),
.VAR140(VAR140),
.VAR256(VAR256),
.VAR292(VAR292),
.VAR43(VAR43),
.VAR163(VAR163),
.VAR102(VAR102),
.VAR56(VAR56),
.VAR151(VAR151),
.VAR357(VAR357),
.VAR376(VAR376),
.VAR154(VAR154),
.VAR20(VAR20),
.VAR335(VAR335),
.VAR193(VAR193),
.VAR119(VAR119),
.VAR341(VAR341),
.VAR316(VAR316),
.VAR74(VAR74),
.VAR117(VAR117),
.VAR369(VAR369),
.VAR362(VAR362),
.VAR64(VAR64),
.VAR111(VAR111),
.VAR75(VAR75),
.VAR69(VAR69),
.VAR250(VAR250),
.VAR137(VAR137),
.VAR191(VAR191),
.VAR79(VAR79),
.VAR208(VAR208),
.VAR315(VAR315),
.VAR76(VAR76)
);
endmodule
|
gpl-2.0
|
chebykinn/university
|
circuitry/lab4/src/hdl/control.v
| 4,101 |
module MODULE1( input [5:0] VAR19,
input [5:0] VAR6,
input VAR10,
output reg [1:0] VAR17,
output VAR25,
output VAR29,
output reg VAR14,
output reg VAR11, output reg [1:0] VAR9,
output reg [1:0] VAR16,
output reg VAR3,
output reg VAR30,
output reg VAR12,
output reg VAR5 );
localparam VAR22 = 6'b100011,
VAR1 = 6'b101011,
VAR26 = 6'b000100,
VAR24 = 6'b000000,
VAR13 = 6'b000010,
VAR23 = 6'b000011,
VAR27 = 6'b001000,
VAR8 = 6'b001100,
VAR31 = 6'b001101,
VAR2 = 6'b001110,
VAR15 = 6'b001010,
VAR7 = 6'b001000;
reg VAR28;
reg VAR18;
reg VAR21;
reg VAR20;
reg VAR4;
assign VAR29 = VAR21;
assign VAR25 = (VAR18 | VAR20 | VAR19 == VAR1);
always @* begin
VAR17 = 0;
VAR14 = 0;
VAR11 = 0;
VAR9 = 0;
VAR16 = 0;
VAR3 = 0;
VAR30 = 0;
VAR12 = 0;
VAR5 = 0;
VAR28 = ( (VAR19 == VAR22) | (VAR19 == VAR1) );
VAR18 = ( VAR19 == VAR24 );
VAR20 = ( VAR19 == VAR26 );
VAR21 = ( (VAR19 == VAR27) | (VAR19 == VAR8) | (VAR19 == VAR31) | (VAR19 == VAR2) | (VAR19 == VAR15) );
VAR4 = ( (VAR19 == VAR13) | (VAR19 == VAR23));
if (VAR28) begin
VAR14 = 1'b1; VAR9 = 2'b00; VAR16 = 2'b00; VAR12 = 1'b1;
if ( VAR19 == VAR22 ) begin
VAR3 = 1'b1;
VAR5 = 1'b1;
end
else
VAR30 = 1'b1; end
else if (VAR18) begin
VAR14 = 1'b0; VAR9 = 2'b01; VAR16 = 2'b10;
VAR12 = 1'b0; VAR5 = 1'b1; end
else if (VAR21) begin
VAR14 = 1'b1; VAR9 = 2'b00; VAR16 = 2'b10;
VAR12 = 1'b0; VAR5 = 1'b1;
end
else if (VAR20) begin
if (VAR10)
end
VAR17 = 2'b01; else
VAR17 = 2'b00;
end
else if (VAR4)
VAR17 = 2'b10; if( VAR19 == VAR23 ) begin
VAR9 = 2'b10;
VAR11 = 1'b1;
VAR5 = 1'b1;
end
else if (~|VAR19) begin
if(VAR6 == VAR7) begin
VAR17 = 2'b11;
end
else begin
end
end
else begin
end
end
endmodule
|
mit
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_dlmb_v10_0/system_dlmb_v10_0_stub.v
| 2,259 |
module MODULE1(VAR3, VAR24, VAR22, VAR5, VAR7,
VAR1, VAR21, VAR12, VAR16, VAR23, VAR25, VAR17, VAR6, VAR9, VAR19,
VAR13, VAR11, VAR14, VAR8, VAR4, VAR20,
VAR2, VAR18, VAR15, VAR10)
;
input VAR3;
input VAR24;
output VAR22;
input [0:31]VAR5;
input VAR7;
input VAR1;
input VAR21;
input [0:31]VAR12;
input [0:3]VAR16;
input [0:31]VAR23;
input [0:0]VAR25;
input [0:0]VAR17;
input [0:0]VAR6;
input [0:0]VAR9;
output [0:31]VAR19;
output VAR13;
output VAR11;
output VAR14;
output [0:31]VAR8;
output [0:31]VAR4;
output VAR20;
output VAR2;
output VAR18;
output VAR15;
output [0:3]VAR10;
endmodule
|
apache-2.0
|
linuxbest/lzs
|
pcores/comp_unit_v1_00_a/hdl/verilog/comp_copy.v
| 13,218 |
module MODULE1(
VAR30, VAR86, VAR48, VAR82, VAR62,
VAR78, VAR58, VAR102, VAR65,
VAR20, VAR71, VAR95, VAR74,
VAR80, VAR91, VAR16, VAR88, VAR24,
VAR45, VAR97, VAR4
);
input VAR20;
output VAR30;
input VAR71;
output [31:0] VAR86;
output [3:0] VAR48;
output VAR82;
output VAR62;
output VAR78;
output VAR58;
output VAR102;
input VAR95;
input [31:0] VAR74;
input [3:0] VAR80;
input VAR91;
input VAR16;
input VAR88;
input VAR24;
input VAR45;
output VAR65;
input VAR97;
input VAR4;
parameter VAR21 = 4'h0;
parameter VAR89 = 4'h1;
parameter VAR40 = 4'h2;
parameter VAR10 = 4'h3;
parameter VAR9 = 4'h4;
parameter VAR99 = 4'h5;
parameter VAR84 = 4'h6;
parameter VAR81 = 4'h7;
parameter VAR17 = 4'h8;
parameter VAR13 = 4'h9;
parameter VAR100 = 4'ha;
parameter VAR69 = 4'hb;
parameter VAR85 = 4'h0;
parameter VAR66 = 4'h1;
parameter VAR55 = 4'h2;
parameter VAR49 = 4'h3;
parameter VAR32 = 4'h4;
parameter VAR56 = 4'h5;
parameter VAR11 = 4'h6;
parameter VAR64 = 4'h7;
parameter VAR53 = 4'h8;
parameter VAR33 = 4'h9;
parameter VAR31 = 4'ha;
parameter VAR3 = 4'hb;
parameter VAR67 = 4'hc;
wire clk;
wire VAR92;
reg [3:0] VAR38;
reg [3:0] VAR96;
reg [3:0] VAR87;
reg [3:0] VAR39;
wire VAR1;
wire VAR19;
wire VAR101;
wire [3:0] VAR80;
reg [31:29] flag;
reg [31:0] VAR72;
reg [31:0] VAR108;
reg [31:0] VAR29;
reg [3:0] VAR14;
reg VAR73;
reg VAR63;
reg VAR75;
wire VAR68;
reg VAR46;
wire VAR71;
wire VAR65;
wire VAR102;
reg VAR78;
reg VAR58;
reg VAR62;
reg VAR26;
wire [31:0] VAR47;
wire [31:0] VAR60;
wire VAR104;
wire VAR57;
wire VAR25;
reg VAR2;
reg VAR79;
reg VAR18;
wire [15:0] VAR22;
reg VAR28;
reg VAR61;
wire [35:0] VAR105;
wire VAR76;
wire VAR103;
assign clk = VAR20;
assign VAR92 = ~VAR71; assign VAR1 = flag[29];
assign VAR101 = flag[30];
assign VAR19 = flag[31];
assign VAR65 = VAR76; assign VAR30 = 0;
always @(posedge clk)
if (!VAR92)
VAR38 <= VAR21;
else if ((!VAR45 && !VAR65) || VAR61 )begin
VAR38 <= VAR96;
end
always @
begin
case (VAR87)
VAR85: begin
if (VAR1 && !VAR103)
VAR39 = VAR3;
end
else
VAR39 = VAR85;
end
VAR66 : begin
VAR39 = VAR55;
end
VAR55 : begin
VAR39 = VAR32;
end
VAR49 : begin
VAR39 = VAR32;
end
VAR32 : begin
VAR39 = VAR56;
end
VAR56 : begin
VAR39 = VAR11;
end
VAR11 : begin
VAR39 = VAR64;
end
VAR64 : begin
VAR39 = VAR53;
end
VAR53 : begin
VAR39 = VAR67;
end
VAR33: begin
if (!VAR102 && !VAR95) begin
if (VAR25)
VAR39 = VAR66;
end
else
VAR39 = VAR31;
end else begin
VAR39 = VAR33;
end
end
VAR31: begin
if (!VAR102 && !VAR95) begin
if (VAR22 >= VAR72[18:3])
VAR39 = VAR66;
end
else if (VAR25)
VAR39 = VAR66;
end
else
VAR39 = VAR33;
end else begin
VAR39 = VAR31;
end
end
VAR3: begin
if (!VAR58) begin
VAR39 = VAR66;
end else begin
VAR39 = VAR3;
end
end
VAR67: begin
if(!VAR28)
VAR39 = VAR85;
end
else
VAR39 = VAR67;
end
endcase
end
reg [31:0] VAR86;
reg [3:0] VAR48;
reg VAR98;
always @(posedge clk)
if (!VAR92) begin
VAR26 <= 1;
VAR2 <= 0;
VAR98 <= 0;
VAR86 <= 0;
VAR48 <= 0;
VAR78 <= 1;
VAR58 <= 1;
VAR62 <= 1;
VAR28 <= 1'b1;
end else begin
case (VAR87)
VAR85: begin
VAR28 <= 1'b1;
if (!VAR102 && !VAR95) begin
if (VAR1 && !VAR103) begin
VAR26 <= 0;
end else begin
VAR26 <= 1;
end
end else begin
VAR26 <= 1;
end
end
VAR66 : begin
VAR48 <= 4'h0;
VAR78 <= 1;
VAR2 <= 0;
VAR58 <= 1;
end
VAR55 : begin
end
VAR49 : begin
end
VAR32 : begin
end
VAR56 : begin
VAR86 <= {flag,VAR98,28'h0};
end
VAR11 : begin
if (VAR1)
VAR86 <= VAR72;
end
else
VAR86 <= {13'h0,VAR22,3'h0};
end
VAR64 : begin
end
VAR53 : begin
VAR62 <= 0;
end
VAR33: begin
end
VAR31: begin
end
VAR3: begin
if (!VAR105[34]) begin
VAR98 <= 1;
end
if (!VAR102 && !VAR95) begin
VAR26 <= 1;
VAR78 <= VAR105[35];
VAR86 <= VAR105[31:0];
if (!VAR58) begin
VAR58 <= 1;
VAR48 <= 4'b0000;
end else begin
VAR58 <= VAR105[34];
case (VAR105[33:32])
2'b00 : VAR48 <= 4'b0000;
2'b01 : VAR48 <= 4'b0001;
2'b10 : VAR48 <= 4'b0011;
2'b11 : VAR48 <= 4'b0111;
endcase
end
end else begin
VAR58 <= 1;
end
end
VAR67: begin
VAR62 <= 1;
VAR48 <= 0;
if(VAR62)
VAR28 <= 1'b0;
end
else
VAR28 <= 1'b1;
end
endcase
end
reg VAR12;
wire VAR52;
always @(posedge clk)
if (!VAR92)
VAR12 <= 1;
end
else if (VAR52 || VAR61)
VAR12 <= 0;
end
else if(VAR103)
VAR12 <= 1;
assign VAR82 = VAR26;
assign VAR102 = VAR103 && (VAR87 == VAR3) && VAR58; assign VAR68 = (!VAR102 && !VAR95)&&(VAR87 == VAR3)?1:0;
wire VAR106;
wire VAR59;
wire [63:0] VAR35;
wire VAR90;
wire VAR94;
wire [7:0] VAR41;
wire VAR43;
wire VAR37;
wire [23:0] VAR27;
wire [63:0] VAR8;
wire VAR36;
wire VAR70;
wire VAR50;
wire VAR107;
wire VAR51;
assign VAR43 = ~VAR92;
assign VAR37 = 1;
assign VAR27[6:5] = {VAR101,VAR19};
assign VAR27[4:0] = 'b0;
assign VAR27[23:7] = 'b0;
VAR93 VAR44(
.din ({VAR14,VAR108}),
.VAR15 (9'h100),
.VAR34 (clk),
.VAR7 (VAR68),
.rst (~VAR92),
.VAR5 (clk),
.VAR77 (VAR75),
.VAR23 (),
.VAR6 (VAR76),
.dout (VAR105),
.VAR42 (VAR103),
.VAR54 (VAR52),
.VAR83 ()
);
endmodule
|
gpl-2.0
|
peteasa/parallella-fpga
|
AdiHDLLib/library/common/up_delay_cntrl.v
| 6,788 |
module MODULE1 (
VAR21,
VAR22,
VAR32,
VAR11,
VAR29,
VAR27,
VAR7,
VAR24,
VAR20,
VAR4,
VAR26,
VAR8,
VAR2,
VAR15,
VAR34,
VAR5);
parameter VAR6 = 8;
parameter VAR25 = 6'h02;
input VAR21;
output VAR22;
input VAR32;
output [(VAR6-1):0] VAR11;
output [((VAR6*5)-1):0] VAR29;
input [((VAR6*5)-1):0] VAR27;
input VAR7;
input VAR24;
input VAR20;
input [13:0] VAR4;
input [31:0] VAR26;
output VAR8;
input VAR2;
input [13:0] VAR15;
output [31:0] VAR34;
output VAR5;
reg VAR9 = 'd0;
reg VAR8 = 'd0;
reg VAR5 = 'd0;
reg [31:0] VAR34 = 'd0;
reg VAR14 = 'd0;
reg VAR35 = 'd0;
reg [(VAR6-1):0] VAR11 = 'd0;
reg [((VAR6*5)-1):0] VAR29 = 'd0;
wire VAR33;
wire VAR23;
wire [ 4:0] VAR30;
wire [(VAR6-1):0] VAR12;
wire [(VAR6-1):0] VAR1;
wire [(VAR6-1):0] VAR13;
wire [(VAR6-1):0] VAR28;
wire [(VAR6-1):0] VAR18;
genvar VAR10;
assign VAR33 = (VAR4[13:8] == VAR25) ? VAR20 : 1'b0;
assign VAR23 = (VAR15[13:8] == VAR25) ? VAR2 : 1'b0;
assign VAR30[4] = | VAR12;
assign VAR30[3] = | VAR1;
assign VAR30[2] = | VAR13;
assign VAR30[1] = | VAR28;
assign VAR30[0] = | VAR18;
generate
for (VAR10 = 0; VAR10 < VAR6; VAR10 = VAR10 + 1) begin: VAR31
assign VAR12[VAR10] = (VAR15[7:0] == VAR10) ? VAR27[((VAR10*5)+4)] : 1'd0;
assign VAR1[VAR10] = (VAR15[7:0] == VAR10) ? VAR27[((VAR10*5)+3)] : 1'd0;
assign VAR13[VAR10] = (VAR15[7:0] == VAR10) ? VAR27[((VAR10*5)+2)] : 1'd0;
assign VAR28[VAR10] = (VAR15[7:0] == VAR10) ? VAR27[((VAR10*5)+1)] : 1'd0;
assign VAR18[VAR10] = (VAR15[7:0] == VAR10) ? VAR27[((VAR10*5)+0)] : 1'd0;
end
endgenerate
always @(negedge VAR7 or posedge VAR24) begin
if (VAR7 == 0) begin
VAR9 <= 1'd1;
VAR8 <= 'd0;
VAR5 <= 'd0;
VAR34 <= 'd0;
VAR14 <= 'd0;
VAR35 <= 'd0;
end else begin
VAR9 <= 1'd0;
VAR8 <= VAR33;
VAR5 <= VAR23;
if (VAR23 == 1'b1) begin
if (VAR35 == 1'b0) begin
VAR34 <= 32'hffffffff;
end else begin
VAR34 <= {27'd0, VAR30};
end
end else begin
VAR34 <= 32'd0;
end
VAR14 <= VAR32;
VAR35 <= VAR14;
end
end
generate
for (VAR10 = 0; VAR10 < VAR6; VAR10 = VAR10 + 1) begin: VAR19
always @(negedge VAR7 or posedge VAR24) begin
if (VAR7 == 0) begin
VAR11[VAR10] <= 'd0;
VAR29[((VAR10*5)+4):(VAR10*5)] <= 'd0;
end else begin
if ((VAR33 == 1'b1) && (VAR4[7:0] == VAR10)) begin
VAR11[VAR10] <= 1'd1;
VAR29[((VAR10*5)+4):(VAR10*5)] <= VAR26[4:0];
end else begin
VAR11[VAR10] <= 1'd0;
VAR29[((VAR10*5)+4):(VAR10*5)] <= VAR29[((VAR10*5)+4):(VAR10*5)];
end
end
end
end
endgenerate
VAR3 VAR17 (
.VAR16 (VAR9),
.clk (VAR21),
.rst (VAR22));
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o31ai/sky130_fd_sc_hd__o31ai_1.v
| 2,335 |
module MODULE1 (
VAR6 ,
VAR2 ,
VAR5 ,
VAR8 ,
VAR3 ,
VAR7,
VAR10,
VAR11 ,
VAR1
);
output VAR6 ;
input VAR2 ;
input VAR5 ;
input VAR8 ;
input VAR3 ;
input VAR7;
input VAR10;
input VAR11 ;
input VAR1 ;
VAR9 VAR4 (
.VAR6(VAR6),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR6 ,
VAR2,
VAR5,
VAR8,
VAR3
);
output VAR6 ;
input VAR2;
input VAR5;
input VAR8;
input VAR3;
supply1 VAR7;
supply0 VAR10;
supply1 VAR11 ;
supply0 VAR1 ;
VAR9 VAR4 (
.VAR6(VAR6),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
fpgasystems/caribou
|
hw/src/net/ten_gig_eth_pcs_pma_ip_GT_Common_wrapper.v
| 9,579 |
module MODULE1 # (
parameter VAR72 = "false" ) (
input VAR66,
input VAR78,
input VAR75,
output VAR19,
output VAR45,
output VAR18,
output VAR68
);
parameter VAR73 = 66;
parameter VAR14 = (VAR73 == 16) ? 10'b0000100000 :
(VAR73 == 20) ? 10'b0000110000 :
(VAR73 == 32) ? 10'b0001100000 :
(VAR73 == 40) ? 10'b0010000000 :
(VAR73 == 64) ? 10'b0011100000 :
(VAR73 == 66) ? 10'b0101000000 :
(VAR73 == 80) ? 10'b0100100000 :
(VAR73 == 100) ? 10'b0101110000 : 10'b0000000000;
parameter VAR32 = (VAR73 == 16) ? 1'b1 :
(VAR73 == 20) ? 1'b1 :
(VAR73 == 32) ? 1'b1 :
(VAR73 == 40) ? 1'b1 :
(VAR73 == 64) ? 1'b1 :
(VAR73 == 66) ? 1'b0 :
(VAR73 == 80) ? 1'b1 :
(VAR73 == 100) ? 1'b1 : 1'b1;
wire VAR62;
wire [63:0] VAR76;
wire VAR27;
wire [63:0] VAR70;
assign VAR62 = 1'b0;
assign VAR76 = 64'h0000000000000000;
assign VAR27 = 1'b1;
assign VAR70 = 64'hffffffffffffffff;
wire VAR4;
wire VAR17;
wire VAR39;
wire VAR56;
wire VAR54;
wire VAR80;
wire VAR59;
assign VAR4 = VAR66;
assign VAR17 = VAR78;
assign VAR39 = VAR75;
assign VAR19 = VAR56;
assign VAR45 = VAR54;
assign VAR18 = VAR80;
assign VAR68 = VAR59;
VAR37 #
(
.VAR25 (VAR72),
.VAR67 (3'b001),
.VAR12 ("2.0"),
.VAR2 (64'h0000040000001000),
.VAR35 (32'h00000000),
.VAR42 (27'h0480181),
.VAR57 (4'b0000),
.VAR50 (6'b010000),
.VAR61 (1'b0),
.VAR58 (10'b0000011111),
.VAR63 (1'b0),
.VAR43 (1'b0),
.VAR22 (VAR14),
.VAR5 (1'b0),
.VAR32 (VAR32),
.VAR71 (24'h000006),
.VAR38 (16'h05E8),
.VAR79 (4'b1111),
.VAR9 (1),
.VAR6 (16'h0000),
.VAR69 (16'h0000),
.VAR77 (1'b0),
.VAR49 (2'b00),
.VAR3 (2'b00)
)
VAR1
(
.VAR81 (VAR76[7:0]),
.VAR15 (VAR62),
.VAR16 (VAR76[15:0]),
.VAR40 (),
.VAR29 (VAR62),
.VAR11 (),
.VAR36 (VAR62),
.VAR21 (VAR62),
.VAR26 (VAR62),
.VAR47 (VAR62),
.VAR33 (VAR4),
.VAR10 (VAR62),
.VAR52 (VAR62),
.VAR34 (VAR62),
.VAR74 (VAR27),
.VAR23 (),
.VAR31 (),
.VAR65 (),
.VAR46 (VAR56),
.VAR60 (VAR17),
.VAR82 (VAR27),
.VAR41 (VAR80),
.VAR64 (VAR59),
.VAR44 (VAR62),
.VAR51 (VAR62),
.VAR53 (VAR54),
.VAR55 (3'b001),
.VAR8 (VAR39),
.VAR84 (16'b0000000000000000),
.VAR7 (5'b11111),
.VAR28 (),
.VAR30 (VAR27),
.VAR20 (VAR27),
.VAR83 (VAR27),
.VAR48 (5'b00000),
.VAR24 (8'b00000000),
.VAR13 (VAR27)
);
endmodule
|
gpl-3.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_027.v
| 1,520 |
module MODULE1 (
VAR6,
VAR5
);
input [31:0] VAR6;
output [31:0]
VAR5;
wire [31:0]
VAR14,
VAR12,
VAR9,
VAR2,
VAR10,
VAR11,
VAR1,
VAR8,
VAR13;
assign VAR14 = VAR6;
assign VAR8 = VAR10 << 2;
assign VAR13 = VAR1 + VAR8;
assign VAR2 = VAR9 << 3;
assign VAR10 = VAR9 + VAR2;
assign VAR12 = VAR14 << 9;
assign VAR9 = VAR14 + VAR12;
assign VAR11 = VAR14 << 6;
assign VAR1 = VAR14 + VAR11;
assign VAR5 = VAR13;
endmodule
module MODULE2(
VAR6,
VAR5,
clk
);
input [31:0] VAR6;
output [31:0] VAR5;
reg [31:0] VAR5;
input clk;
reg [31:0] VAR3;
wire [30:0] VAR7;
always @(posedge clk) begin
VAR3 <= VAR6;
VAR5 <= VAR7;
end
MODULE1 MODULE1(
.VAR6(VAR3),
.VAR5(VAR7)
);
endmodule
|
mit
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_buffer.v
| 4,823 |
module MODULE1
parameter
VAR29 = 3,
VAR6 = 8,
VAR32 = 0
)
(
VAR37,
VAR49,
VAR25,
VAR53,
VAR47,
VAR50,
VAR31,
VAR20
);
localparam VAR1 = VAR60(VAR29);
localparam VAR11 = (VAR32) ? "VAR66" : "VAR14";
input VAR37;
input VAR49;
input VAR25;
input [VAR29-1:0] VAR53;
input [VAR6-1:0] VAR47;
input VAR50;
input [VAR29-1:0] VAR31;
output [VAR6-1:0] VAR20;
wire VAR37;
wire VAR49;
wire VAR25;
wire [VAR29-1:0] VAR53;
wire [VAR6-1:0] VAR47;
wire VAR50;
wire [VAR29-1:0] VAR31;
wire [VAR6-1:0] VAR20;
VAR51 VAR12
(
.VAR59 (VAR25),
.VAR39 (VAR37),
.VAR54 (VAR53),
.VAR10 (VAR31),
.VAR34 (VAR47),
.VAR5 (VAR20),
.VAR22 (1'b0),
.VAR43 (1'b0),
.VAR38 (1'b0),
.VAR58 (1'b0),
.VAR27 (1'b1),
.VAR18 (1'b1),
.VAR61 (1'b1),
.VAR41 (1'b1),
.VAR57 (1'b1),
.VAR23 (1'b1),
.VAR65 (1'b1),
.VAR33 ({VAR6{1'b1}}),
.VAR35 (),
.VAR26 (),
.VAR55 (1'b1),
.VAR44 (1'b1),
.VAR16 (1'b0)
);
VAR12.VAR3 = "VAR45",
VAR12.VAR64 = "VAR45",
VAR12.VAR21 = "VAR66",
VAR12.VAR15 = "VAR45",
VAR12.VAR48 = "VAR63",
VAR12.VAR28 = "VAR51",
VAR12.VAR56 = VAR1,
VAR12.VAR7 = VAR1,
VAR12.VAR42 = "VAR52",
VAR12.VAR19 = "VAR45",
VAR12.VAR62 = VAR11,
VAR12.VAR4 = "VAR17",
VAR12.VAR2 = "VAR40",
VAR12.VAR46 = VAR29,
VAR12.VAR30 = VAR29,
VAR12.VAR9 = VAR6,
VAR12.VAR8 = VAR6,
VAR12.VAR13 = 1,
VAR12.VAR24 = "VAR45";
function integer VAR60;
input integer VAR36;
begin
VAR60 = 2 << (VAR36-1);
end
endfunction
endmodule
|
gpl-3.0
|
sergachev/spi_mem_programmer
|
qspi_mem_controller.v
| 7,564 |
module MODULE1(
input clk,
input reset,
input VAR16,
input VAR41,
input [7:0] VAR21,
input [(3+256)*8-1:0] VAR31, output reg [7:0] VAR35,
output reg VAR6,
output reg VAR15,
inout [3:0] VAR34,
output VAR23
);
reg VAR12;
wire VAR9;
reg [260*8-1:0] VAR37;
reg [8:0] VAR40;
wire [7:0] VAR42;
reg VAR8;
reg [35:0] VAR19;
reg [5:0] state;
reg [5:0] VAR11;
VAR36 VAR39 (
.clk(clk),
.reset(reset),
.VAR16(VAR12),
.VAR6(VAR9),
.VAR41(VAR41),
.VAR40(VAR40),
.VAR8(VAR8),
.VAR37(VAR37),
.VAR42(VAR42),
.VAR34(VAR34[3:0]),
.VAR23(VAR23)
);
always @(posedge clk) begin
if (reset) begin
state <= VAR5;
VAR11 <= VAR18;
VAR12 <= 0;
VAR6 <= 1;
VAR15 <= 0;
VAR35 <= 0;
end
else
case (state)
if (VAR16) begin
VAR6 <= 1;
VAR15 <= 0;
case (VAR21)
state <= VAR38;
state <= VAR17;
state <= VAR7;
state <= VAR27;
state <= VAR22;
state <= VAR10;
state <= VAR29;
state <= VAR4;
state <= VAR28;
default: begin
end
endcase
end else
VAR6 <= 0;
end
VAR37 <= VAR24;
VAR40 <= 1;
VAR8 <= 1;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
if (VAR41 == 1) begin
end
end
VAR37 <= VAR14;
VAR40 <= 1;
VAR8 <= 1;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
if (VAR41 == 0) begin
end
end
VAR37 <= VAR25;
VAR40 <= 1;
VAR8 <= 1;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
end
VAR37 <= {VAR20, VAR31[7:0]};
VAR40 <= 2;
VAR8 <= 0;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
end
VAR37 <= VAR32;
VAR40 <= 1;
VAR8 <= 1;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
end
VAR37 <= VAR43;
VAR40 <= 1;
VAR8 <= 0;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR18;
end
VAR37 <= VAR44;
VAR40 <= 1;
VAR8 <= 0;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR13;
VAR19 <= VAR3*VAR26;
end
if (VAR19 == 0) begin state <= VAR18;
VAR15 <= 1;
end else begin
if (VAR35[7] == 1) begin state <= VAR18;
end else begin VAR37 <= VAR1;
VAR40 <= 1;
VAR8 <= 1;
VAR12 <= 1;
VAR19 <= VAR19 - 1;
state <= VAR5;
VAR11 <= VAR13;
end
end
end
VAR12 <= 0;
if (!VAR12 && !VAR9) begin
state <= VAR11;
VAR35 <= VAR42;
end
end
VAR37 <= {VAR45, VAR31};
VAR40 <= 260; VAR8 <= 0;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR13;
VAR19 <= VAR2 * VAR26;
end
VAR37 <= {VAR33, VAR31[23:0]};
VAR40 <= 4; VAR8 <= 0;
VAR12 <= 1;
state <= VAR5;
VAR11 <= VAR13;
VAR19 <= VAR30 * VAR26;
end
endcase
end
endmodule
|
mit
|
deepakcu/maestro
|
fpga/DE4_Ethernet_0/float_mega/float_add_sub/float_add_sub_bb.v
| 3,764 |
module MODULE1 (
VAR2,
VAR5,
VAR4,
VAR3,
VAR6,
VAR1);
input VAR2;
input VAR5;
input [31:0] VAR4;
input [31:0] VAR3;
output VAR6;
output [31:0] VAR1;
endmodule
|
apache-2.0
|
trun/fpgaboy
|
src/tv80/rtl/core/tv80_alu.v
| 11,474 |
module MODULE1 (
VAR5, VAR37,
VAR34, VAR15, VAR17, VAR24, VAR21, VAR23, VAR14, VAR19
);
parameter VAR6 = 3;
parameter VAR16 = 0;
parameter VAR11 = 1;
parameter VAR29 = 2;
parameter VAR22 = 3;
parameter VAR36 = 4;
parameter VAR4 = 5;
parameter VAR2 = 6;
parameter VAR18 = 7;
input VAR34;
input VAR15;
input [3:0] VAR17 ;
input [5:0] VAR24;
input [1:0] VAR21;
input [7:0] VAR23;
input [7:0] VAR14;
input [7:0] VAR19;
output [7:0] VAR5;
output [7:0] VAR37;
reg [7:0] VAR5;
reg [7:0] VAR37;
function [4:0] VAR7;
input [3:0] VAR8;
input [3:0] VAR35;
input VAR10;
input VAR9;
begin
VAR7 = { 1'b0, VAR8 } + { 1'b0, (VAR10)?~VAR35:VAR35 } + VAR9;
end
endfunction
function [3:0] VAR26;
input [2:0] VAR8;
input [2:0] VAR35;
input VAR10;
input VAR9;
begin
VAR26 = { 1'b0, VAR8 } + { 1'b0, (VAR10)?~VAR35:VAR35 } + VAR9;
end
endfunction
function [1:0] VAR31;
input VAR8;
input VAR35;
input VAR10;
input VAR9;
begin
VAR31 = { 1'b0, VAR8 } + { 1'b0, (VAR10)?~VAR35:VAR35 } + VAR9;
end
endfunction
reg VAR30;
reg VAR12;
reg VAR13;
reg VAR28;
reg VAR1;
reg [7:0] VAR25;
reg [7:0] VAR27;
always @(VAR17 or VAR23 or VAR14 or VAR19 or VAR24)
begin
case (VAR24[5:3])
3'b000 : VAR27 = 8'b00000001;
3'b001 : VAR27 = 8'b00000010;
3'b010 : VAR27 = 8'b00000100;
3'b011 : VAR27 = 8'b00001000;
3'b100 : VAR27 = 8'b00010000;
3'b101 : VAR27 = 8'b00100000;
3'b110 : VAR27 = 8'b01000000;
default: VAR27 = 8'b10000000;
endcase
VAR30 = ~ VAR17[2] && VAR17[0];
{ VAR28, VAR25[3:0] } = VAR7(VAR23[3:0], VAR14[3:0], VAR17[1], VAR17[1] ^ (VAR30 && VAR19[VAR16]) );
{ VAR12, VAR25[6:4] } = VAR26(VAR23[6:4], VAR14[6:4], VAR17[1], VAR28);
{ VAR1, VAR25[7] } = VAR31(VAR23[7], VAR14[7], VAR17[1], VAR12);
VAR13 = VAR1 ^ VAR12;
end
reg [7:0] VAR20;
reg [8:0] VAR3;
always @ (VAR17 or VAR34 or VAR27 or VAR23 or VAR14
or VAR1 or VAR19 or VAR28 or VAR24 or VAR21
or VAR13 or VAR25 or VAR15)
begin
VAR20 = 8'VAR32;
VAR3 = {9{1'VAR33}};
VAR37 = VAR19;
case (VAR17)
4'b0000, 4'b0001, 4'b0010, 4'b0011, 4'b0100, 4'b0101, 4'b0110, 4'b0111 :
begin
VAR37[VAR11] = 1'b0;
VAR37[VAR16] = 1'b0;
case (VAR17[2:0])
3'b000, 3'b001 : begin
VAR20 = VAR25;
VAR37[VAR16] = VAR1;
VAR37[VAR36] = VAR28;
VAR37[VAR29] = VAR13;
end
3'b010, 3'b011, 3'b111 : begin
VAR20 = VAR25;
VAR37[VAR11] = 1'b1;
VAR37[VAR16] = ~ VAR1;
VAR37[VAR36] = ~ VAR28;
VAR37[VAR29] = VAR13;
end
3'b100 : begin
VAR20[7:0] = VAR23 & VAR14;
VAR37[VAR36] = 1'b1;
end
3'b101 : begin
VAR20[7:0] = VAR23 ^ VAR14;
VAR37[VAR36] = 1'b0;
end
default : begin
VAR20[7:0] = VAR23 | VAR14;
VAR37[VAR36] = 1'b0;
end
endcase
if (VAR17[2:0] == 3'b111 )
begin VAR37[VAR22] = VAR14[3];
VAR37[VAR4] = VAR14[5];
end
else
begin
VAR37[VAR22] = VAR20[3];
VAR37[VAR4] = VAR20[5];
end
if (VAR20[7:0] == 8'b00000000 )
begin
VAR37[VAR2] = 1'b1;
if (VAR15 == 1'b1 )
begin
VAR37[VAR2] = VAR19[VAR2]; end
end
else
begin
VAR37[VAR2] = 1'b0;
end
VAR37[VAR18] = VAR20[7];
case (VAR17[2:0])
3'b000, 3'b001, 3'b010, 3'b011, 3'b111 : ;
default :
VAR37[VAR29] = ~(^VAR20);
endcase
if (VAR34 == 1'b1 )
begin
VAR37[VAR18] = VAR19[VAR18];
VAR37[VAR2] = VAR19[VAR2];
VAR37[VAR29] = VAR19[VAR29];
end
end
4'b1100 :
begin
VAR37[VAR36] = VAR19[VAR36];
VAR37[VAR16] = VAR19[VAR16];
VAR3[7:0] = VAR23;
VAR3[8] = 1'b0;
if (VAR19[VAR11] == 1'b0 )
begin
if (VAR3[3:0] > 9 || VAR19[VAR36] == 1'b1 )
begin
if ((VAR3[3:0] > 9) )
begin
VAR37[VAR36] = 1'b1;
end
else
begin
VAR37[VAR36] = 1'b0;
end
VAR3 = VAR3 + 6;
end
if (VAR3[8:4] > 9 || VAR19[VAR16] == 1'b1 )
begin
VAR3 = VAR3 + 96; end
end
else
begin
if (VAR3[3:0] > 9 || VAR19[VAR36] == 1'b1 )
begin
if (VAR3[3:0] > 5 )
begin
VAR37[VAR36] = 1'b0;
end
VAR3[7:0] = VAR3[7:0] - 6;
end
if (VAR23 > 153 || VAR19[VAR16] == 1'b1 )
begin
VAR3 = VAR3 - 352; end
end
VAR37[VAR22] = VAR3[3];
VAR37[VAR4] = VAR3[5];
VAR37[VAR16] = VAR19[VAR16] || VAR3[8];
VAR20 = VAR3[7:0];
if (VAR3[7:0] == 8'b00000000 )
begin
VAR37[VAR2] = 1'b1;
end
else
begin
VAR37[VAR2] = 1'b0;
end
VAR37[VAR18] = VAR3[7];
VAR37[VAR29] = ~ (^VAR3);
end
4'b1101, 4'b1110 :
begin
VAR20[7:4] = VAR23[7:4];
if (VAR17[0] == 1'b1 )
begin
VAR20[3:0] = VAR14[7:4];
end
else
begin
VAR20[3:0] = VAR14[3:0];
end
VAR37[VAR36] = 1'b0;
VAR37[VAR11] = 1'b0;
VAR37[VAR22] = VAR20[3];
VAR37[VAR4] = VAR20[5];
if (VAR20[7:0] == 8'b00000000 )
begin
VAR37[VAR2] = 1'b1;
end
else
begin
VAR37[VAR2] = 1'b0;
end
VAR37[VAR18] = VAR20[7];
VAR37[VAR29] = ~(^VAR20);
end
4'b1001 :
begin
VAR20[7:0] = VAR14 & VAR27;
VAR37[VAR18] = VAR20[7];
if (VAR20[7:0] == 8'b00000000 )
begin
VAR37[VAR2] = 1'b1;
VAR37[VAR29] = 1'b1;
end
else
begin
VAR37[VAR2] = 1'b0;
VAR37[VAR29] = 1'b0;
end
VAR37[VAR36] = 1'b1;
VAR37[VAR11] = 1'b0;
VAR37[VAR22] = 1'b0;
VAR37[VAR4] = 1'b0;
if (VAR24[2:0] != 3'b110 )
begin
VAR37[VAR22] = VAR14[3];
VAR37[VAR4] = VAR14[5];
end
end
4'b1010 :
VAR20[7:0] = VAR14 | VAR27;
4'b1011 :
VAR20[7:0] = VAR14 & ~ VAR27;
4'b1000 :
begin
case (VAR24[5:3])
3'b000 : begin
VAR20[7:1] = VAR23[6:0];
VAR20[0] = VAR23[7];
VAR37[VAR16] = VAR23[7];
end
3'b010 : begin
VAR20[7:1] = VAR23[6:0];
VAR20[0] = VAR19[VAR16];
VAR37[VAR16] = VAR23[7];
end
3'b001 : begin
VAR20[6:0] = VAR23[7:1];
VAR20[7] = VAR23[0];
VAR37[VAR16] = VAR23[0];
end
3'b011 : begin
VAR20[6:0] = VAR23[7:1];
VAR20[7] = VAR19[VAR16];
VAR37[VAR16] = VAR23[0];
end
3'b100 : begin
VAR20[7:1] = VAR23[6:0];
VAR20[0] = 1'b0;
VAR37[VAR16] = VAR23[7];
end
3'b110 : begin
if (VAR6 == 3 )
begin
VAR20[7:4] = VAR23[3:0];
VAR20[3:0] = VAR23[7:4];
VAR37[VAR16] = 1'b0;
end
else
begin
VAR20[7:1] = VAR23[6:0];
VAR20[0] = 1'b1;
VAR37[VAR16] = VAR23[7];
end end
3'b101 : begin
VAR20[6:0] = VAR23[7:1];
VAR20[7] = VAR23[7];
VAR37[VAR16] = VAR23[0];
end
default : begin
VAR20[6:0] = VAR23[7:1];
VAR20[7] = 1'b0;
VAR37[VAR16] = VAR23[0];
end
endcase
VAR37[VAR36] = 1'b0;
VAR37[VAR11] = 1'b0;
VAR37[VAR22] = VAR20[3];
VAR37[VAR4] = VAR20[5];
VAR37[VAR18] = VAR20[7];
if (VAR20[7:0] == 8'b00000000 )
begin
VAR37[VAR2] = 1'b1;
end
else
begin
VAR37[VAR2] = 1'b0;
end
VAR37[VAR29] = ~(^VAR20);
if (VAR21 == 2'b00 )
begin
VAR37[VAR29] = VAR19[VAR29];
VAR37[VAR18] = VAR19[VAR18];
VAR37[VAR2] = VAR19[VAR2];
end
end
default :
;
endcase
VAR5 = VAR20;
end
endmodule
|
mit
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_2L_017bits.v
| 1,917 |
module MODULE1 (
clk,
VAR4, VAR29, VAR13, VAR14, VAR18, VAR2, VAR16, VAR6,
sum,
);
input clk;
input [VAR32+0-1:0] VAR4, VAR29, VAR13, VAR14, VAR18, VAR2, VAR16, VAR6;
output [VAR32 :0] sum;
reg [VAR32 :0] sum;
wire [VAR32+3-1:0] VAR7;
wire [VAR32+2-1:0] VAR25, VAR34;
wire [VAR32+1-1:0] VAR24, VAR5, VAR26, VAR17;
reg [VAR32+0-1:0] VAR8, VAR28, VAR12, VAR23, VAR3, VAR30, VAR10, VAR27;
MODULE2 VAR11(VAR25, VAR34, VAR7 );
MODULE2 VAR19(VAR24, VAR5, VAR25 );
MODULE2 VAR21(VAR26, VAR17, VAR34 );
MODULE2 VAR22(VAR8, VAR28, VAR24);
MODULE2 VAR31(VAR12, VAR23, VAR5);
MODULE2 VAR1(VAR3, VAR30, VAR26);
MODULE2 VAR20(VAR10, VAR27, VAR17);
always @(posedge clk) begin
VAR8 <= VAR4;
VAR28 <= VAR29;
VAR12 <= VAR13;
VAR23 <= VAR14;
VAR3 <= VAR18;
VAR30 <= VAR2;
VAR10 <= VAR16;
VAR27 <= VAR6;
sum <= VAR7;
sum <= VAR25;
end
endmodule
module MODULE2(VAR33,VAR9,sum);
parameter VAR15 = 0;
input [VAR32+VAR15-1:0] VAR33;
input [VAR32+VAR15-1:0] VAR9;
output [VAR32+VAR15:0] sum;
assign sum = VAR33 + VAR9;
endmodule
|
mit
|
trivoldus28/pulsarch-verilog
|
verif/env/cmp/pc_muxsel_mon.v
| 3,379 |
module MODULE1(
clk, VAR6, VAR9, VAR10, VAR8, VAR3, VAR5, VAR2, VAR4, VAR7,
VAR1
);
input clk;
input VAR6;
input [47:0] VAR8;
input [47:0] VAR3;
input [47:0] VAR5;
input [47:0] VAR2;
input [47:0] VAR9;
input VAR4;
input VAR7;
input [3:0] VAR10;
input [2:0] VAR1;
reg enable;
begin
begin
begin
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
end
|
gpl-2.0
|
eda-globetrotter/MarcheProcessor
|
processor/spare/build4/alu_current.v
| 330,545 |
module MODULE1 (VAR5,VAR3,VAR6,VAR1,VAR2);
output [0:127] VAR2;
input [0:127] VAR5;
input [0:127] VAR3;
input [0:1] VAR6;
input [0:4] VAR1;
reg [0:127] VAR2;
always @(VAR5 or VAR3 or VAR6 or VAR1)
begin
case(VAR1)
begin
case(VAR6)
case(VAR3[5:7])
0:
begin
VAR2[0:7]<=VAR5[0:7]>>0;
VAR2[8:15]<=VAR5[8:15]>>0;
VAR2[16:23]<=VAR5[16:23]>>0;
VAR2[24:31]<=VAR5[24:31]>>0;
VAR2[32:39]<=VAR5[32:39]>>0;
VAR2[40:47]<=VAR5[40:47]>>0;
VAR2[48:55]<=VAR5[48:55]>>0;
VAR2[56:63]<=VAR5[56:63]>>0;
VAR2[64:71]<=VAR5[64:71]>>0;
VAR2[72:79]<=VAR5[72:79]>>0;
VAR2[80:87]<=VAR5[80:87]>>0;
VAR2[88:95]<=VAR5[88:95]>>0;
VAR2[96:103]<=VAR5[96:103]>>0;
VAR2[104:111]<=VAR5[104:111]>>0;
VAR2[112:119]<=VAR5[112:119]>>0;
VAR2[120:127]<=VAR5[120:127]>>0;
end
1:
begin
VAR2[0:7]<=VAR5[0:7]>>1;
VAR2[0]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>1;
VAR2[8]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>1;
VAR2[16]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>1;
VAR2[24]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>1;
VAR2[32]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>1;
VAR2[40]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>1;
VAR2[48]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>1;
VAR2[56]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>1;
VAR2[64]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>1;
VAR2[72]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>1;
VAR2[80]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>1;
VAR2[88]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>1;
VAR2[96]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>1;
VAR2[104]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>1;
VAR2[112]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>1;
VAR2[120]<=VAR2[120];
end
2:
begin
VAR2[0:7]<=VAR5[0:7]>>2;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>2;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>2;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>2;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>2;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>2;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>2;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>2;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>2;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>2;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>2;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>2;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>2;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>2;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>2;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>2;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
end
3:
begin
VAR2[0:7]<=VAR5[0:7]>>3;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>3;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[10]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>3;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>3;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[26]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>3;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>3;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[42]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>3;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>3;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[58]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>3;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>3;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[74]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>3;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>3;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[90]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>3;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>3;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[106]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>3;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>3;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
VAR2[122]<=VAR2[120];
end
4:
begin
VAR2[0:7]<=VAR5[0:7]>>4;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>4;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[10]<=VAR2[8];
VAR2[11]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>4;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>4;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[26]<=VAR2[24];
VAR2[27]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>4;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>4;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[42]<=VAR2[40];
VAR2[43]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>4;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>4;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[58]<=VAR2[56];
VAR2[59]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>4;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>4;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[74]<=VAR2[72];
VAR2[75]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>4;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>4;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[90]<=VAR2[88];
VAR2[91]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>4;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>4;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[106]<=VAR2[104];
VAR2[107]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>4;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>4;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
VAR2[122]<=VAR2[120];
VAR2[123]<=VAR2[120];
end
5:
begin
VAR2[0:7]<=VAR5[0:7]>>5;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>5;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[10]<=VAR2[8];
VAR2[11]<=VAR2[8];
VAR2[12]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>5;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>5;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[26]<=VAR2[24];
VAR2[27]<=VAR2[24];
VAR2[28]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>5;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>5;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[42]<=VAR2[40];
VAR2[43]<=VAR2[40];
VAR2[44]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>5;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>5;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[58]<=VAR2[56];
VAR2[59]<=VAR2[56];
VAR2[60]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>5;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>5;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[74]<=VAR2[72];
VAR2[75]<=VAR2[72];
VAR2[76]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>5;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>5;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[90]<=VAR2[88];
VAR2[91]<=VAR2[88];
VAR2[92]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>5;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>5;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[106]<=VAR2[104];
VAR2[107]<=VAR2[104];
VAR2[108]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>5;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>5;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
VAR2[122]<=VAR2[120];
VAR2[123]<=VAR2[120];
VAR2[124]<=VAR2[120];
end
6:
begin
VAR2[0:7]<=VAR5[0:7]>>6;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>6;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[10]<=VAR2[8];
VAR2[11]<=VAR2[8];
VAR2[12]<=VAR2[8];
VAR2[13]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>6;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>6;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[26]<=VAR2[24];
VAR2[27]<=VAR2[24];
VAR2[28]<=VAR2[24];
VAR2[29]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>6;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>6;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[42]<=VAR2[40];
VAR2[43]<=VAR2[40];
VAR2[44]<=VAR2[40];
VAR2[45]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>6;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>6;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[58]<=VAR2[56];
VAR2[59]<=VAR2[56];
VAR2[60]<=VAR2[56];
VAR2[61]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>6;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>6;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[74]<=VAR2[72];
VAR2[75]<=VAR2[72];
VAR2[76]<=VAR2[72];
VAR2[77]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>6;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>6;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[90]<=VAR2[88];
VAR2[91]<=VAR2[88];
VAR2[92]<=VAR2[88];
VAR2[93]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>6;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>6;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[106]<=VAR2[104];
VAR2[107]<=VAR2[104];
VAR2[108]<=VAR2[104];
VAR2[109]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>6;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>6;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
VAR2[122]<=VAR2[120];
VAR2[123]<=VAR2[120];
VAR2[124]<=VAR2[120];
VAR2[125]<=VAR2[120];
end
default: begin
VAR2[0:7]<=VAR5[0:7]>>7;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[8:15]<=VAR5[8:15]>>7;
VAR2[8]<=VAR2[8];
VAR2[9]<=VAR2[8];
VAR2[10]<=VAR2[8];
VAR2[11]<=VAR2[8];
VAR2[12]<=VAR2[8];
VAR2[13]<=VAR2[8];
VAR2[14]<=VAR2[8];
VAR2[16:23]<=VAR5[16:23]>>7;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[24:31]<=VAR5[24:31]>>7;
VAR2[24]<=VAR2[24];
VAR2[25]<=VAR2[24];
VAR2[26]<=VAR2[24];
VAR2[27]<=VAR2[24];
VAR2[28]<=VAR2[24];
VAR2[29]<=VAR2[24];
VAR2[30]<=VAR2[24];
VAR2[32:39]<=VAR5[32:39]>>7;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[40:47]<=VAR5[40:47]>>7;
VAR2[40]<=VAR2[40];
VAR2[41]<=VAR2[40];
VAR2[42]<=VAR2[40];
VAR2[43]<=VAR2[40];
VAR2[44]<=VAR2[40];
VAR2[45]<=VAR2[40];
VAR2[46]<=VAR2[40];
VAR2[48:55]<=VAR5[48:55]>>7;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[56:63]<=VAR5[56:63]>>7;
VAR2[56]<=VAR2[56];
VAR2[57]<=VAR2[56];
VAR2[58]<=VAR2[56];
VAR2[59]<=VAR2[56];
VAR2[60]<=VAR2[56];
VAR2[61]<=VAR2[56];
VAR2[62]<=VAR2[56];
VAR2[64:71]<=VAR5[64:71]>>7;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[72:79]<=VAR5[72:79]>>7;
VAR2[72]<=VAR2[72];
VAR2[73]<=VAR2[72];
VAR2[74]<=VAR2[72];
VAR2[75]<=VAR2[72];
VAR2[76]<=VAR2[72];
VAR2[77]<=VAR2[72];
VAR2[78]<=VAR2[72];
VAR2[80:87]<=VAR5[80:87]>>7;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[88:95]<=VAR5[88:95]>>7;
VAR2[88]<=VAR2[88];
VAR2[89]<=VAR2[88];
VAR2[90]<=VAR2[88];
VAR2[91]<=VAR2[88];
VAR2[92]<=VAR2[88];
VAR2[93]<=VAR2[88];
VAR2[94]<=VAR2[88];
VAR2[96:103]<=VAR5[96:103]>>7;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[104:111]<=VAR5[104:111]>>7;
VAR2[104]<=VAR2[104];
VAR2[105]<=VAR2[104];
VAR2[106]<=VAR2[104];
VAR2[107]<=VAR2[104];
VAR2[108]<=VAR2[104];
VAR2[109]<=VAR2[104];
VAR2[110]<=VAR2[104];
VAR2[112:119]<=VAR5[112:119]>>7;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[120:127]<=VAR5[120:127]>>7;
VAR2[120]<=VAR2[120];
VAR2[121]<=VAR2[120];
VAR2[122]<=VAR2[120];
VAR2[123]<=VAR2[120];
VAR2[124]<=VAR2[120];
VAR2[125]<=VAR2[120];
VAR2[126]<=VAR2[120];
end
endcase
end
case(VAR3[4:7])
0:
begin
VAR2[0:15]<=VAR5[0:15]>>0;
VAR2[16:31]<=VAR5[16:31]>>0;
VAR2[32:47]<=VAR5[32:47]>>0;
VAR2[48:63]<=VAR5[48:63]>>0;
VAR2[64:79]<=VAR5[64:79]>>0;
VAR2[80:95]<=VAR5[80:95]>>0;
VAR2[96:111]<=VAR5[96:111]>>0;
VAR2[112:127]<=VAR5[112:127]>>0;
end
1:
begin
VAR2[0:15]<=VAR5[0:15]>>1;
VAR2[0]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>1;
VAR2[16]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>1;
VAR2[32]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>1;
VAR2[48]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>1;
VAR2[64]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>1;
VAR2[80]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>1;
VAR2[96]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>1;
VAR2[112]<=VAR2[112];
end
2:
begin
VAR2[0:15]<=VAR5[0:15]>>2;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>2;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>2;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>2;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>2;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>2;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>2;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>2;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
end
3:
begin
VAR2[0:15]<=VAR5[0:15]>>3;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>3;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>3;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>3;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>3;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>3;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>3;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>3;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
end
4:
begin
VAR2[0:15]<=VAR5[0:15]>>4;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>4;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>4;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>4;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>4;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>4;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>4;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>4;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
end
5:
begin
VAR2[0:15]<=VAR5[0:15]>>5;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>5;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>5;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>5;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>5;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>5;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>5;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>5;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
end
6:
begin
VAR2[0:15]<=VAR5[0:15]>>6;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>6;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>6;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>6;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>6;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>6;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>6;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>6;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
end
7:
begin
VAR2[0:15]<=VAR5[0:15]>>7;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>7;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>7;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>7;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>7;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>7;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>7;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>7;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
end
8:
begin
VAR2[0:15]<=VAR5[0:15]>>8;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>8;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>8;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>8;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>8;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>8;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>8;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>8;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
end
9:
begin
VAR2[0:15]<=VAR5[0:15]>>9;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>9;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>9;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>9;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>9;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>9;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>9;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>9;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
end
10:
begin
VAR2[0:15]<=VAR5[0:15]>>10;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>10;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>10;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>10;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>10;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>10;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>10;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>10;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
end
11:
begin
VAR2[0:15]<=VAR5[0:15]>>11;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>11;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[26]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>11;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>11;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[58]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>11;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>11;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[90]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>11;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>11;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
VAR2[122]<=VAR2[112];
end
12:
begin
VAR2[0:15]<=VAR5[0:15]>>12;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>12;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[26]<=VAR2[16];
VAR2[27]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>12;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>12;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[58]<=VAR2[48];
VAR2[59]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>12;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>12;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[90]<=VAR2[80];
VAR2[91]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>12;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>12;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
VAR2[122]<=VAR2[112];
VAR2[123]<=VAR2[112];
end
13:
begin
VAR2[0:15]<=VAR5[0:15]>>13;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>13;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[26]<=VAR2[16];
VAR2[27]<=VAR2[16];
VAR2[28]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>13;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>13;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[58]<=VAR2[48];
VAR2[59]<=VAR2[48];
VAR2[60]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>13;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>13;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[90]<=VAR2[80];
VAR2[91]<=VAR2[80];
VAR2[92]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>13;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>13;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
VAR2[122]<=VAR2[112];
VAR2[123]<=VAR2[112];
VAR2[124]<=VAR2[112];
end
14:
begin
VAR2[0:15]<=VAR5[0:15]>>14;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>14;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[26]<=VAR2[16];
VAR2[27]<=VAR2[16];
VAR2[28]<=VAR2[16];
VAR2[29]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>14;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>14;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[58]<=VAR2[48];
VAR2[59]<=VAR2[48];
VAR2[60]<=VAR2[48];
VAR2[61]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>14;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>14;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[90]<=VAR2[80];
VAR2[91]<=VAR2[80];
VAR2[92]<=VAR2[80];
VAR2[93]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>14;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>14;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
VAR2[122]<=VAR2[112];
VAR2[123]<=VAR2[112];
VAR2[124]<=VAR2[112];
VAR2[125]<=VAR2[112];
end
default: begin
VAR2[0:15]<=VAR5[0:15]>>15;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[16:31]<=VAR5[16:31]>>15;
VAR2[16]<=VAR2[16];
VAR2[17]<=VAR2[16];
VAR2[18]<=VAR2[16];
VAR2[19]<=VAR2[16];
VAR2[20]<=VAR2[16];
VAR2[21]<=VAR2[16];
VAR2[22]<=VAR2[16];
VAR2[23]<=VAR2[16];
VAR2[24]<=VAR2[16];
VAR2[25]<=VAR2[16];
VAR2[26]<=VAR2[16];
VAR2[27]<=VAR2[16];
VAR2[28]<=VAR2[16];
VAR2[29]<=VAR2[16];
VAR2[30]<=VAR2[16];
VAR2[32:47]<=VAR5[32:47]>>15;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[48:63]<=VAR5[48:63]>>15;
VAR2[48]<=VAR2[48];
VAR2[49]<=VAR2[48];
VAR2[50]<=VAR2[48];
VAR2[51]<=VAR2[48];
VAR2[52]<=VAR2[48];
VAR2[53]<=VAR2[48];
VAR2[54]<=VAR2[48];
VAR2[55]<=VAR2[48];
VAR2[56]<=VAR2[48];
VAR2[57]<=VAR2[48];
VAR2[58]<=VAR2[48];
VAR2[59]<=VAR2[48];
VAR2[60]<=VAR2[48];
VAR2[61]<=VAR2[48];
VAR2[62]<=VAR2[48];
VAR2[64:79]<=VAR5[64:79]>>15;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[80:95]<=VAR5[80:95]>>15;
VAR2[80]<=VAR2[80];
VAR2[81]<=VAR2[80];
VAR2[82]<=VAR2[80];
VAR2[83]<=VAR2[80];
VAR2[84]<=VAR2[80];
VAR2[85]<=VAR2[80];
VAR2[86]<=VAR2[80];
VAR2[87]<=VAR2[80];
VAR2[88]<=VAR2[80];
VAR2[89]<=VAR2[80];
VAR2[90]<=VAR2[80];
VAR2[91]<=VAR2[80];
VAR2[92]<=VAR2[80];
VAR2[93]<=VAR2[80];
VAR2[94]<=VAR2[80];
VAR2[96:111]<=VAR5[96:111]>>15;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[112:127]<=VAR5[112:127]>>15;
VAR2[112]<=VAR2[112];
VAR2[113]<=VAR2[112];
VAR2[114]<=VAR2[112];
VAR2[115]<=VAR2[112];
VAR2[116]<=VAR2[112];
VAR2[117]<=VAR2[112];
VAR2[118]<=VAR2[112];
VAR2[119]<=VAR2[112];
VAR2[120]<=VAR2[112];
VAR2[121]<=VAR2[112];
VAR2[122]<=VAR2[112];
VAR2[123]<=VAR2[112];
VAR2[124]<=VAR2[112];
VAR2[125]<=VAR2[112];
VAR2[126]<=VAR2[112];
end
endcase
end
default: begin
case(VAR3[5:7])
0:
begin
VAR2[0:31]<=VAR5[0:31]>>0;
VAR2[32:63]<=VAR5[32:63]>>0;
VAR2[64:95]<=VAR5[64:95]>>0;
VAR2[96:127]<=VAR5[96:127]>>0;
end
1:
begin
VAR2[0:31]<=VAR5[0:31]>>1;
VAR2[0]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>1;
VAR2[32]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>1;
VAR2[64]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>1;
VAR2[96]<=VAR2[96];
end
2:
begin
VAR2[0:31]<=VAR5[0:31]>>2;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>2;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>2;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>2;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
end
3:
begin
VAR2[0:31]<=VAR5[0:31]>>3;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>3;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>3;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>3;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
end
4:
begin
VAR2[0:31]<=VAR5[0:31]>>4;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>4;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>4;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>4;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
end
5:
begin
VAR2[0:31]<=VAR5[0:31]>>5;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>5;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>5;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>5;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
end
6:
begin
VAR2[0:31]<=VAR5[0:31]>>6;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>6;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>6;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>6;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
end
7:
begin
VAR2[0:31]<=VAR5[0:31]>>7;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>7;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>7;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>7;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
end
8:
begin
VAR2[0:31]<=VAR5[0:31]>>8;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>8;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>8;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>8;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
end
9:
begin
VAR2[0:31]<=VAR5[0:31]>>9;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>9;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>9;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>9;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
end
10:
begin
VAR2[0:31]<=VAR5[0:31]>>10;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>10;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>10;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>10;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
end
11:
begin
VAR2[0:31]<=VAR5[0:31]>>11;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>11;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>11;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>11;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
end
12:
begin
VAR2[0:31]<=VAR5[0:31]>>12;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>12;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>12;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>12;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
end
13:
begin
VAR2[0:31]<=VAR5[0:31]>>13;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>13;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>13;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>13;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
end
14:
begin
VAR2[0:31]<=VAR5[0:31]>>14;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>14;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>14;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>14;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
end
15:
begin
VAR2[0:31]<=VAR5[0:31]>>15;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>15;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>15;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>15;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
end
16:
begin
VAR2[0:31]<=VAR5[0:31]>>16;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>16;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>16;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>16;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
end
17:
begin
VAR2[0:31]<=VAR5[0:31]>>17;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>17;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>17;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>17;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
end
18:
begin
VAR2[0:31]<=VAR5[0:31]>>18;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>18;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>18;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>18;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
end
19:
begin
VAR2[0:31]<=VAR5[0:31]>>19;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>19;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>19;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>19;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
end
20:
begin
VAR2[0:31]<=VAR5[0:31]>>20;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>20;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>20;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>20;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
end
21:
begin
VAR2[0:31]<=VAR5[0:31]>>21;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>21;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>21;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>21;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
end
22:
begin
VAR2[0:31]<=VAR5[0:31]>>22;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>22;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>22;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>22;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
end
23:
begin
VAR2[0:31]<=VAR5[0:31]>>23;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>23;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>23;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>23;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
end
24:
begin
VAR2[0:31]<=VAR5[0:31]>>24;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>24;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>24;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>24;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
end
25:
begin
VAR2[0:31]<=VAR5[0:31]>>25;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>25;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>25;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>25;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
end
26:
begin
VAR2[0:31]<=VAR5[0:31]>>26;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>26;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>26;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>26;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
end
27:
begin
VAR2[0:31]<=VAR5[0:31]>>27;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[26]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>27;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[58]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>27;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[90]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>27;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
VAR2[122]<=VAR2[96];
end
28:
begin
VAR2[0:31]<=VAR5[0:31]>>28;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[26]<=VAR2[0];
VAR2[27]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>28;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[58]<=VAR2[32];
VAR2[59]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>28;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[90]<=VAR2[64];
VAR2[91]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>28;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
VAR2[122]<=VAR2[96];
VAR2[123]<=VAR2[96];
end
29:
begin
VAR2[0:31]<=VAR5[0:31]>>29;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[26]<=VAR2[0];
VAR2[27]<=VAR2[0];
VAR2[28]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>29;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[58]<=VAR2[32];
VAR2[59]<=VAR2[32];
VAR2[60]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>29;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[90]<=VAR2[64];
VAR2[91]<=VAR2[64];
VAR2[92]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>29;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
VAR2[122]<=VAR2[96];
VAR2[123]<=VAR2[96];
VAR2[124]<=VAR2[96];
end
30:
begin
VAR2[0:31]<=VAR5[0:31]>>30;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[26]<=VAR2[0];
VAR2[27]<=VAR2[0];
VAR2[28]<=VAR2[0];
VAR2[29]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>30;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[58]<=VAR2[32];
VAR2[59]<=VAR2[32];
VAR2[60]<=VAR2[32];
VAR2[61]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>30;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[90]<=VAR2[64];
VAR2[91]<=VAR2[64];
VAR2[92]<=VAR2[64];
VAR2[93]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>30;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
VAR2[122]<=VAR2[96];
VAR2[123]<=VAR2[96];
VAR2[124]<=VAR2[96];
VAR2[125]<=VAR2[96];
end
default: begin
VAR2[0:31]<=VAR5[0:31]>>31;
VAR2[0]<=VAR2[0];
VAR2[1]<=VAR2[0];
VAR2[2]<=VAR2[0];
VAR2[3]<=VAR2[0];
VAR2[4]<=VAR2[0];
VAR2[5]<=VAR2[0];
VAR2[6]<=VAR2[0];
VAR2[7]<=VAR2[0];
VAR2[8]<=VAR2[0];
VAR2[9]<=VAR2[0];
VAR2[10]<=VAR2[0];
VAR2[11]<=VAR2[0];
VAR2[12]<=VAR2[0];
VAR2[13]<=VAR2[0];
VAR2[14]<=VAR2[0];
VAR2[15]<=VAR2[0];
VAR2[16]<=VAR2[0];
VAR2[17]<=VAR2[0];
VAR2[18]<=VAR2[0];
VAR2[19]<=VAR2[0];
VAR2[20]<=VAR2[0];
VAR2[21]<=VAR2[0];
VAR2[22]<=VAR2[0];
VAR2[23]<=VAR2[0];
VAR2[24]<=VAR2[0];
VAR2[25]<=VAR2[0];
VAR2[26]<=VAR2[0];
VAR2[27]<=VAR2[0];
VAR2[28]<=VAR2[0];
VAR2[29]<=VAR2[0];
VAR2[30]<=VAR2[0];
VAR2[32:63]<=VAR5[32:63]>>31;
VAR2[32]<=VAR2[32];
VAR2[33]<=VAR2[32];
VAR2[34]<=VAR2[32];
VAR2[35]<=VAR2[32];
VAR2[36]<=VAR2[32];
VAR2[37]<=VAR2[32];
VAR2[38]<=VAR2[32];
VAR2[39]<=VAR2[32];
VAR2[40]<=VAR2[32];
VAR2[41]<=VAR2[32];
VAR2[42]<=VAR2[32];
VAR2[43]<=VAR2[32];
VAR2[44]<=VAR2[32];
VAR2[45]<=VAR2[32];
VAR2[46]<=VAR2[32];
VAR2[47]<=VAR2[32];
VAR2[48]<=VAR2[32];
VAR2[49]<=VAR2[32];
VAR2[50]<=VAR2[32];
VAR2[51]<=VAR2[32];
VAR2[52]<=VAR2[32];
VAR2[53]<=VAR2[32];
VAR2[54]<=VAR2[32];
VAR2[55]<=VAR2[32];
VAR2[56]<=VAR2[32];
VAR2[57]<=VAR2[32];
VAR2[58]<=VAR2[32];
VAR2[59]<=VAR2[32];
VAR2[60]<=VAR2[32];
VAR2[61]<=VAR2[32];
VAR2[62]<=VAR2[32];
VAR2[64:95]<=VAR5[64:95]>>31;
VAR2[64]<=VAR2[64];
VAR2[65]<=VAR2[64];
VAR2[66]<=VAR2[64];
VAR2[67]<=VAR2[64];
VAR2[68]<=VAR2[64];
VAR2[69]<=VAR2[64];
VAR2[70]<=VAR2[64];
VAR2[71]<=VAR2[64];
VAR2[72]<=VAR2[64];
VAR2[73]<=VAR2[64];
VAR2[74]<=VAR2[64];
VAR2[75]<=VAR2[64];
VAR2[76]<=VAR2[64];
VAR2[77]<=VAR2[64];
VAR2[78]<=VAR2[64];
VAR2[79]<=VAR2[64];
VAR2[80]<=VAR2[64];
VAR2[81]<=VAR2[64];
VAR2[82]<=VAR2[64];
VAR2[83]<=VAR2[64];
VAR2[84]<=VAR2[64];
VAR2[85]<=VAR2[64];
VAR2[86]<=VAR2[64];
VAR2[87]<=VAR2[64];
VAR2[88]<=VAR2[64];
VAR2[89]<=VAR2[64];
VAR2[90]<=VAR2[64];
VAR2[91]<=VAR2[64];
VAR2[92]<=VAR2[64];
VAR2[93]<=VAR2[64];
VAR2[94]<=VAR2[64];
VAR2[96:127]<=VAR5[96:127]>>31;
VAR2[96]<=VAR2[96];
VAR2[97]<=VAR2[96];
VAR2[98]<=VAR2[96];
VAR2[99]<=VAR2[96];
VAR2[100]<=VAR2[96];
VAR2[101]<=VAR2[96];
VAR2[102]<=VAR2[96];
VAR2[103]<=VAR2[96];
VAR2[104]<=VAR2[96];
VAR2[105]<=VAR2[96];
VAR2[106]<=VAR2[96];
VAR2[107]<=VAR2[96];
VAR2[108]<=VAR2[96];
VAR2[109]<=VAR2[96];
VAR2[110]<=VAR2[96];
VAR2[111]<=VAR2[96];
VAR2[112]<=VAR2[96];
VAR2[113]<=VAR2[96];
VAR2[114]<=VAR2[96];
VAR2[115]<=VAR2[96];
VAR2[116]<=VAR2[96];
VAR2[117]<=VAR2[96];
VAR2[118]<=VAR2[96];
VAR2[119]<=VAR2[96];
VAR2[120]<=VAR2[96];
VAR2[121]<=VAR2[96];
VAR2[122]<=VAR2[96];
VAR2[123]<=VAR2[96];
VAR2[124]<=VAR2[96];
VAR2[125]<=VAR2[96];
VAR2[126]<=VAR2[96];
end
endcase
end
endcase
end
begin
case(VAR6)
VAR2[0:7]<=VAR5[0:7]<<VAR3[5:7];
VAR2[8:15]<=VAR5[8:15]<<VAR3[13:15];
VAR2[16:23]<=VAR5[16:23]<<VAR3[21:23];
VAR2[24:31]<=VAR5[24:31]<<VAR3[29:31];
VAR2[32:39]<=VAR5[32:39]<<VAR3[37:39];
VAR2[40:47]<=VAR5[40:47]<<VAR3[45:47];
VAR2[48:55]<=VAR5[48:55]<<VAR3[53:55];
VAR2[56:63]<=VAR5[56:63]<<VAR3[61:63];
VAR2[64:71]<=VAR5[64:71]<<VAR3[69:71];
VAR2[72:79]<=VAR5[72:79]<<VAR3[77:79];
VAR2[80:87]<=VAR5[80:87]<<VAR3[85:87];
VAR2[88:95]<=VAR5[88:95]<<VAR3[93:95];
VAR2[96:103]<=VAR5[96:103]<<VAR3[101:103];
VAR2[104:111]<=VAR5[104:111]<<VAR3[109:111];
VAR2[112:119]<=VAR5[112:119]<<VAR3[117:119];
VAR2[120:127]<=VAR5[120:127]<<VAR3[125:127];
end
VAR2[0:15]<=VAR5[0:15]<<VAR3[12:15];
VAR2[16:31]<=VAR5[16:31]<<VAR3[28:31];
VAR2[32:47]<=VAR5[32:47]<<VAR3[44:47];
VAR2[48:63]<=VAR5[48:63]<<VAR3[60:63];
VAR2[64:79]<=VAR5[64:79]<<VAR3[76:79];
VAR2[80:95]<=VAR5[80:95]<<VAR3[92:95];
VAR2[96:111]<=VAR5[96:111]<<VAR3[108:111];
VAR2[112:127]<=VAR5[112:127]<<VAR3[124:127];
end
VAR2[0:31]<=VAR5[0:31]<<VAR3[27:31];
VAR2[32:63]<=VAR5[32:63]<<VAR3[59:63];
VAR2[64:95]<=VAR5[64:95]<<VAR3[91:95];
VAR2[96:127]<=VAR5[96:127]<<VAR3[123:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]>>VAR3[5:7];
VAR2[8:15]<=VAR5[8:15]>>VAR3[13:15];
VAR2[16:23]<=VAR5[16:23]>>VAR3[21:23];
VAR2[24:31]<=VAR5[24:31]>>VAR3[29:31];
VAR2[32:39]<=VAR5[32:39]>>VAR3[37:39];
VAR2[40:47]<=VAR5[40:47]>>VAR3[45:47];
VAR2[48:55]<=VAR5[48:55]>>VAR3[53:55];
VAR2[56:63]<=VAR5[56:63]>>VAR3[61:63];
VAR2[64:71]<=VAR5[64:71]>>VAR3[69:71];
VAR2[72:79]<=VAR5[72:79]>>VAR3[77:79];
VAR2[80:87]<=VAR5[80:87]>>VAR3[85:87];
VAR2[88:95]<=VAR5[88:95]>>VAR3[93:95];
VAR2[96:103]<=VAR5[96:103]>>VAR3[101:103];
VAR2[104:111]<=VAR5[104:111]>>VAR3[109:111];
VAR2[112:119]<=VAR5[112:119]>>VAR3[117:119];
VAR2[120:127]<=VAR5[120:127]>>VAR3[125:127];
end
VAR2[0:15]<=VAR5[0:15]>>VAR3[12:15];
VAR2[16:31]<=VAR5[16:31]>>VAR3[28:31];
VAR2[32:47]<=VAR5[32:47]>>VAR3[44:47];
VAR2[48:63]<=VAR5[48:63]>>VAR3[60:63];
VAR2[64:79]<=VAR5[64:79]>>VAR3[76:79];
VAR2[80:95]<=VAR5[80:95]>>VAR3[92:95];
VAR2[96:111]<=VAR5[96:111]>>VAR3[108:111];
VAR2[112:127]<=VAR5[112:127]>>VAR3[124:127];
end
VAR2[0:31]<=VAR5[0:31]>>VAR3[27:31];
VAR2[32:63]<=VAR5[32:63]>>VAR3[59:63];
VAR2[64:95]<=VAR5[64:95]>>VAR3[91:95];
VAR2[96:127]<=VAR5[96:127]>>VAR3[123:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]>>VAR3[5:7];
VAR2[8:15]<=VAR5[8:15]>>VAR3[13:15];
VAR2[16:23]<=VAR5[16:23]>>VAR3[21:23];
VAR2[24:31]<=VAR5[24:31]>>VAR3[29:31];
VAR2[32:39]<=VAR5[32:39]>>VAR3[37:39];
VAR2[40:47]<=VAR5[40:47]>>VAR3[45:47];
VAR2[48:55]<=VAR5[48:55]>>VAR3[53:55];
VAR2[56:63]<=VAR5[56:63]>>VAR3[61:63];
end
VAR2[0:15]<=VAR5[0:15]>>VAR3[12:15];
VAR2[16:31]<=VAR5[16:31]>>VAR3[28:31];
VAR2[32:47]<=VAR5[32:47]>>VAR3[44:47];
VAR2[48:63]<=VAR5[48:63]>>VAR3[60:63];
end
VAR2[0:31]<=VAR5[0:31]>>VAR3[27:31];
VAR2[32:63]<=VAR5[32:63]>>VAR3[59:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]>>VAR3[69:71];
VAR2[72:79]<=VAR5[72:79]>>VAR3[77:79];
VAR2[80:87]<=VAR5[80:87]>>VAR3[85:87];
VAR2[88:95]<=VAR5[88:95]>>VAR3[93:95];
VAR2[96:103]<=VAR5[96:103]>>VAR3[101:103];
VAR2[104:111]<=VAR5[104:111]>>VAR3[109:111];
VAR2[112:119]<=VAR5[112:119]>>VAR3[117:119];
VAR2[120:127]<=VAR5[120:127]>>VAR3[125:127];
end
VAR2[64:79]<=VAR5[64:79]>>VAR3[76:79];
VAR2[80:95]<=VAR5[80:95]>>VAR3[92:95];
VAR2[96:111]<=VAR5[96:111]>>VAR3[108:111];
VAR2[112:127]<=VAR5[112:127]>>VAR3[124:127];
end
VAR2[64:95]<=VAR5[64:95]>>VAR3[91:95];
VAR2[96:127]<=VAR5[96:127]>>VAR3[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]>>VAR3[5:7];
VAR2[16:23]<=VAR5[16:23]>>VAR3[21:23];
VAR2[32:39]<=VAR5[32:39]>>VAR3[37:39];
VAR2[48:55]<=VAR5[48:55]>>VAR3[53:55];
VAR2[64:71]<=VAR5[64:71]>>VAR3[69:71];
VAR2[80:87]<=VAR5[80:87]>>VAR3[85:87];
VAR2[96:103]<=VAR5[96:103]>>VAR3[101:103];
VAR2[112:119]<=VAR5[112:119]>>VAR3[117:119];
end
VAR2[0:15]<=VAR5[0:15]>>VAR3[12:15];
VAR2[32:47]<=VAR5[32:47]>>VAR3[44:47];
VAR2[64:79]<=VAR5[64:79]>>VAR3[76:79];
VAR2[96:111]<=VAR5[96:111]>>VAR3[108:111];
end
VAR2[0:31]<=VAR5[0:31]>>VAR3[27:31];
VAR2[64:95]<=VAR5[64:95]>>VAR3[91:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]>>VAR3[13:15];
VAR2[24:31]<=VAR5[24:31]>>VAR3[29:31];
VAR2[40:47]<=VAR5[40:47]>>VAR3[45:47];
VAR2[56:63]<=VAR5[56:63]>>VAR3[61:63];
VAR2[72:79]<=VAR5[72:79]>>VAR3[77:79];
VAR2[88:95]<=VAR5[88:95]>>VAR3[93:95];
VAR2[104:111]<=VAR5[104:111]>>VAR3[109:111];
VAR2[120:127]<=VAR5[120:127]>>VAR3[125:127];
end
VAR2[16:31]<=VAR5[16:31]>>VAR3[28:31];
VAR2[48:63]<=VAR5[48:63]>>VAR3[60:63];
VAR2[80:95]<=VAR5[80:95]>>VAR3[92:95];
VAR2[112:127]<=VAR5[112:127]>>VAR3[124:127];
end
VAR2[32:63]<=VAR5[32:63]>>VAR3[59:63];
VAR2[96:127]<=VAR5[96:127]>>VAR3[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]>>VAR3[5:7];
end
VAR2[0:15]<=VAR5[0:15]>>VAR3[12:15];
end
VAR2[0:31]<=VAR5[0:31]>>VAR3[27:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]>>VAR3[125:127];
end
VAR2[112:127]<=VAR5[112:127]>>VAR3[124:127];
end
VAR2[96:127]<=VAR5[96:127]>>VAR3[123:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]+VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]+VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]+VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]+VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]+VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]+VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]+VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]+VAR3[56:63];
VAR2[64:71]<=VAR5[64:71]+VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]+VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]+VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]+VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]+VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]+VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]+VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]+VAR3[120:127];
end
VAR2[0:15]<=VAR5[0:15]+VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]+VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]+VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]+VAR3[48:63];
VAR2[64:79]<=VAR5[64:79]+VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]+VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]+VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]+VAR3[112:127];
end
VAR2[0:31]<=VAR5[0:31]+VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]+VAR3[32:63];
VAR2[64:95]<=VAR5[64:95]+VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]+VAR3[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]+VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]+VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]+VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]+VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]+VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]+VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]+VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]+VAR3[56:63];
end
VAR2[0:15]<=VAR5[0:15]+VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]+VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]+VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]+VAR3[48:63];
end
VAR2[0:31]<=VAR5[0:31]+VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]+VAR3[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]+VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]+VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]+VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]+VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]+VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]+VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]+VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]+VAR3[120:127];
end
VAR2[64:79]<=VAR5[64:79]+VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]+VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]+VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]+VAR3[112:127];
end
VAR2[64:95]<=VAR5[64:95]+VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]+VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]+VAR3[0:7];
VAR2[16:23]<=VAR5[16:23]+VAR3[16:23];
VAR2[32:39]<=VAR5[32:39]+VAR3[32:39];
VAR2[48:55]<=VAR5[48:55]+VAR3[48:55];
VAR2[64:71]<=VAR5[64:71]+VAR3[64:71];
VAR2[80:87]<=VAR5[80:87]+VAR3[80:87];
VAR2[96:103]<=VAR5[96:103]+VAR3[96:103];
VAR2[112:119]<=VAR5[112:119]+VAR3[112:119];
end
VAR2[0:15]<=VAR5[0:15]+VAR3[0:15];
VAR2[32:47]<=VAR5[32:47]+VAR3[32:47];
VAR2[64:79]<=VAR5[64:79]+VAR3[64:79];
VAR2[96:111]<=VAR5[96:111]+VAR3[96:111];
end
VAR2[0:31]<=VAR5[0:31]+VAR3[0:31];
VAR2[64:95]<=VAR5[64:95]+VAR3[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]+VAR3[8:15];
VAR2[24:31]<=VAR5[24:31]+VAR3[24:31];
VAR2[40:47]<=VAR5[40:47]+VAR3[40:47];
VAR2[56:63]<=VAR5[56:63]+VAR3[56:63];
VAR2[72:79]<=VAR5[72:79]+VAR3[72:79];
VAR2[88:95]<=VAR5[88:95]+VAR3[88:95];
VAR2[104:111]<=VAR5[104:111]+VAR3[104:111];
VAR2[120:127]<=VAR5[120:127]+VAR3[120:127];
end
VAR2[16:31]<=VAR5[16:31]+VAR3[16:31];
VAR2[48:63]<=VAR5[48:63]+VAR3[48:63];
VAR2[80:95]<=VAR5[80:95]+VAR3[80:95];
VAR2[112:127]<=VAR5[112:127]+VAR3[112:127];
end
VAR2[32:63]<=VAR5[32:63]+VAR3[32:63];
VAR2[96:127]<=VAR5[96:127]+VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]+VAR3[0:7];
end
VAR2[0:15]<=VAR5[0:15]+VAR3[0:15];
end
VAR2[0:31]<=VAR5[0:31]+VAR3[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]+VAR3[120:127];
end
VAR2[112:127]<=VAR5[112:127]+VAR3[112:127];
end
VAR2[96:127]<=VAR5[96:127]+VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]&VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]&VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]&VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]&VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]&VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]&VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]&VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]&VAR3[56:63];
VAR2[64:71]<=VAR5[64:71]&VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]&VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]&VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]&VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]&VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]&VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]&VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]&VAR3[120:127];
end
VAR2[0:15]<=VAR5[0:15]&VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]&VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]&VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]&VAR3[48:63];
VAR2[64:79]<=VAR5[64:79]&VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]&VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]&VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]&VAR3[112:127];
end
VAR2[0:31]<=VAR5[0:31]&VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]&VAR3[32:63];
VAR2[64:95]<=VAR5[64:95]&VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]&VAR3[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]&VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]&VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]&VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]&VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]&VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]&VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]&VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]&VAR3[56:63];
end
VAR2[0:15]<=VAR5[0:15]&VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]&VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]&VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]&VAR3[48:63];
end
VAR2[0:31]<=VAR5[0:31]&VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]&VAR3[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]&VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]&VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]&VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]&VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]&VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]&VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]&VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]&VAR3[120:127];
end
VAR2[64:79]<=VAR5[64:79]&VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]&VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]&VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]&VAR3[112:127];
end
VAR2[64:95]<=VAR5[64:95]&VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]&VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]&VAR3[0:7];
VAR2[16:23]<=VAR5[16:23]&VAR3[16:23];
VAR2[32:39]<=VAR5[32:39]&VAR3[32:39];
VAR2[48:55]<=VAR5[48:55]&VAR3[48:55];
VAR2[64:71]<=VAR5[64:71]&VAR3[64:71];
VAR2[80:87]<=VAR5[80:87]&VAR3[80:87];
VAR2[96:103]<=VAR5[96:103]&VAR3[96:103];
VAR2[112:119]<=VAR5[112:119]&VAR3[112:119];
end
VAR2[0:15]<=VAR5[0:15]&VAR3[0:15];
VAR2[32:47]<=VAR5[32:47]&VAR3[32:47];
VAR2[64:79]<=VAR5[64:79]&VAR3[64:79];
VAR2[96:111]<=VAR5[96:111]&VAR3[96:111];
end
VAR2[0:31]<=VAR5[0:31]&VAR3[0:31];
VAR2[64:95]<=VAR5[64:95]&VAR3[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]&VAR3[8:15];
VAR2[24:31]<=VAR5[24:31]&VAR3[24:31];
VAR2[40:47]<=VAR5[40:47]&VAR3[40:47];
VAR2[56:63]<=VAR5[56:63]&VAR3[56:63];
VAR2[72:79]<=VAR5[72:79]&VAR3[72:79];
VAR2[88:95]<=VAR5[88:95]&VAR3[88:95];
VAR2[104:111]<=VAR5[104:111]&VAR3[104:111];
VAR2[120:127]<=VAR5[120:127]&VAR3[120:127];
end
VAR2[16:31]<=VAR5[16:31]&VAR3[16:31];
VAR2[48:63]<=VAR5[48:63]&VAR3[48:63];
VAR2[80:95]<=VAR5[80:95]&VAR3[80:95];
VAR2[112:127]<=VAR5[112:127]&VAR3[112:127];
end
VAR2[32:63]<=VAR5[32:63]&VAR3[32:63];
VAR2[96:127]<=VAR5[96:127]&VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]&VAR3[0:7];
end
VAR2[0:15]<=VAR5[0:15]&VAR3[0:15];
end
VAR2[0:31]<=VAR5[0:31]&VAR3[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]&VAR3[120:127];
end
VAR2[112:127]<=VAR5[112:127]&VAR3[112:127];
end
VAR2[96:127]<=VAR5[96:127]&VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=~VAR5[0:7];
VAR2[8:15]<=~VAR5[8:15];
VAR2[16:23]<=~VAR5[16:23];
VAR2[24:31]<=~VAR5[24:31];
VAR2[32:39]<=~VAR5[32:39];
VAR2[40:47]<=~VAR5[40:47];
VAR2[48:55]<=~VAR5[48:55];
VAR2[56:63]<=~VAR5[56:63];
VAR2[64:71]<=~VAR5[64:71];
VAR2[72:79]<=~VAR5[72:79];
VAR2[80:87]<=~VAR5[80:87];
VAR2[88:95]<=~VAR5[88:95];
VAR2[96:103]<=~VAR5[96:103];
VAR2[104:111]<=~VAR5[104:111];
VAR2[112:119]<=~VAR5[112:119];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[16:31]<=~VAR5[16:31];
VAR2[32:47]<=~VAR5[32:47];
VAR2[48:63]<=~VAR5[48:63];
VAR2[64:79]<=~VAR5[64:79];
VAR2[80:95]<=~VAR5[80:95];
VAR2[96:111]<=~VAR5[96:111];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[32:63]<=~VAR5[32:63];
VAR2[64:95]<=~VAR5[64:95];
VAR2[96:127]<=~VAR5[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=~VAR5[0:7];
VAR2[8:15]<=~VAR5[8:15];
VAR2[16:23]<=~VAR5[16:23];
VAR2[24:31]<=~VAR5[24:31];
VAR2[32:39]<=~VAR5[32:39];
VAR2[40:47]<=~VAR5[40:47];
VAR2[48:55]<=~VAR5[48:55];
VAR2[56:63]<=~VAR5[56:63];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[16:31]<=~VAR5[16:31];
VAR2[32:47]<=~VAR5[32:47];
VAR2[48:63]<=~VAR5[48:63];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[32:63]<=~VAR5[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=~VAR5[64:71];
VAR2[72:79]<=~VAR5[72:79];
VAR2[80:87]<=~VAR5[80:87];
VAR2[88:95]<=~VAR5[88:95];
VAR2[96:103]<=~VAR5[96:103];
VAR2[104:111]<=~VAR5[104:111];
VAR2[112:119]<=~VAR5[112:119];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[64:79]<=~VAR5[64:79];
VAR2[80:95]<=~VAR5[80:95];
VAR2[96:111]<=~VAR5[96:111];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[64:95]<=~VAR5[64:95];
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=~VAR5[0:7];
VAR2[16:23]<=~VAR5[16:23];
VAR2[32:39]<=~VAR5[32:39];
VAR2[48:55]<=~VAR5[48:55];
VAR2[64:71]<=~VAR5[64:71];
VAR2[80:87]<=~VAR5[80:87];
VAR2[96:103]<=~VAR5[96:103];
VAR2[112:119]<=~VAR5[112:119];
end
VAR2[0:15]<=~VAR5[0:15];
VAR2[32:47]<=~VAR5[32:47];
VAR2[64:79]<=~VAR5[64:79];
VAR2[96:111]<=~VAR5[96:111];
end
VAR2[0:31]<=~VAR5[0:31];
VAR2[64:95]<=~VAR5[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=~VAR5[8:15];
VAR2[24:31]<=~VAR5[24:31];
VAR2[40:47]<=~VAR5[40:47];
VAR2[56:63]<=~VAR5[56:63];
VAR2[72:79]<=~VAR5[72:79];
VAR2[88:95]<=~VAR5[88:95];
VAR2[104:111]<=~VAR5[104:111];
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[16:31]<=~VAR5[16:31];
VAR2[48:63]<=~VAR5[48:63];
VAR2[80:95]<=~VAR5[80:95];
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[32:63]<=~VAR5[32:63];
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=~VAR5[0:7];
end
VAR2[0:15]<=~VAR5[0:15];
end
VAR2[0:31]<=~VAR5[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=~VAR5[120:127];
end
VAR2[112:127]<=~VAR5[112:127];
end
VAR2[96:127]<=~VAR5[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]|VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]|VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]|VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]|VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]|VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]|VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]|VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]|VAR3[56:63];
VAR2[64:71]<=VAR5[64:71]|VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]|VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]|VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]|VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]|VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]|VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]|VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]|VAR3[120:127];
end
VAR2[0:15]<=VAR5[0:15]|VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]|VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]|VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]|VAR3[48:63];
VAR2[64:79]<=VAR5[64:79]|VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]|VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]|VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]|VAR3[112:127];
end
VAR2[0:31]<=VAR5[0:31]|VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]|VAR3[32:63];
VAR2[64:95]<=VAR5[64:95]|VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]|VAR3[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]|VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]|VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]|VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]|VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]|VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]|VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]|VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]|VAR3[56:63];
end
VAR2[0:15]<=VAR5[0:15]|VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]|VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]|VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]|VAR3[48:63];
end
VAR2[0:31]<=VAR5[0:31]|VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]|VAR3[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]|VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]|VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]|VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]|VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]|VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]|VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]|VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]|VAR3[120:127];
end
VAR2[64:79]<=VAR5[64:79]|VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]|VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]|VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]|VAR3[112:127];
end
VAR2[64:95]<=VAR5[64:95]|VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]|VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]|VAR3[0:7];
VAR2[16:23]<=VAR5[16:23]|VAR3[16:23];
VAR2[32:39]<=VAR5[32:39]|VAR3[32:39];
VAR2[48:55]<=VAR5[48:55]|VAR3[48:55];
VAR2[64:71]<=VAR5[64:71]|VAR3[64:71];
VAR2[80:87]<=VAR5[80:87]|VAR3[80:87];
VAR2[96:103]<=VAR5[96:103]|VAR3[96:103];
VAR2[112:119]<=VAR5[112:119]|VAR3[112:119];
end
VAR2[0:15]<=VAR5[0:15]|VAR3[0:15];
VAR2[32:47]<=VAR5[32:47]|VAR3[32:47];
VAR2[64:79]<=VAR5[64:79]|VAR3[64:79];
VAR2[96:111]<=VAR5[96:111]|VAR3[96:111];
end
VAR2[0:31]<=VAR5[0:31]|VAR3[0:31];
VAR2[64:95]<=VAR5[64:95]|VAR3[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]|VAR3[8:15];
VAR2[24:31]<=VAR5[24:31]|VAR3[24:31];
VAR2[40:47]<=VAR5[40:47]|VAR3[40:47];
VAR2[56:63]<=VAR5[56:63]|VAR3[56:63];
VAR2[72:79]<=VAR5[72:79]|VAR3[72:79];
VAR2[88:95]<=VAR5[88:95]|VAR3[88:95];
VAR2[104:111]<=VAR5[104:111]|VAR3[104:111];
VAR2[120:127]<=VAR5[120:127]|VAR3[120:127];
end
VAR2[16:31]<=VAR5[16:31]|VAR3[16:31];
VAR2[48:63]<=VAR5[48:63]|VAR3[48:63];
VAR2[80:95]<=VAR5[80:95]|VAR3[80:95];
VAR2[112:127]<=VAR5[112:127]|VAR3[112:127];
end
VAR2[32:63]<=VAR5[32:63]|VAR3[32:63];
VAR2[96:127]<=VAR5[96:127]|VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]|VAR3[0:7];
end
VAR2[0:15]<=VAR5[0:15]|VAR3[0:15];
end
VAR2[0:31]<=VAR5[0:31]|VAR3[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]|VAR3[120:127];
end
VAR2[112:127]<=VAR5[112:127]|VAR3[112:127];
end
VAR2[96:127]<=VAR5[96:127]|VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]^VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]^VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]^VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]^VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]^VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]^VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]^VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]^VAR3[56:63];
VAR2[64:71]<=VAR5[64:71]^VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]^VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]^VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]^VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]^VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]^VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]^VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]^VAR3[120:127];
end
VAR2[0:15]<=VAR5[0:15]^VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]^VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]^VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]^VAR3[48:63];
VAR2[64:79]<=VAR5[64:79]^VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]^VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]^VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]^VAR3[112:127];
end
VAR2[0:31]<=VAR5[0:31]^VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]^VAR3[32:63];
VAR2[64:95]<=VAR5[64:95]^VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]^VAR3[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]^VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]^VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]^VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]^VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]^VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]^VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]^VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]^VAR3[56:63];
end
VAR2[0:15]<=VAR5[0:15]^VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]^VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]^VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]^VAR3[48:63];
end
VAR2[0:31]<=VAR5[0:31]^VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]^VAR3[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]^VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]^VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]^VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]^VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]^VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]^VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]^VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]^VAR3[120:127];
end
VAR2[64:79]<=VAR5[64:79]^VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]^VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]^VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]^VAR3[112:127];
end
VAR2[64:95]<=VAR5[64:95]^VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]^VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]^VAR3[0:7];
VAR2[16:23]<=VAR5[16:23]^VAR3[16:23];
VAR2[32:39]<=VAR5[32:39]^VAR3[32:39];
VAR2[48:55]<=VAR5[48:55]^VAR3[48:55];
VAR2[64:71]<=VAR5[64:71]^VAR3[64:71];
VAR2[80:87]<=VAR5[80:87]^VAR3[80:87];
VAR2[96:103]<=VAR5[96:103]^VAR3[96:103];
VAR2[112:119]<=VAR5[112:119]^VAR3[112:119];
end
VAR2[0:15]<=VAR5[0:15]^VAR3[0:15];
VAR2[32:47]<=VAR5[32:47]^VAR3[32:47];
VAR2[64:79]<=VAR5[64:79]^VAR3[64:79];
VAR2[96:111]<=VAR5[96:111]^VAR3[96:111];
end
VAR2[0:31]<=VAR5[0:31]^VAR3[0:31];
VAR2[64:95]<=VAR5[64:95]^VAR3[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]^VAR3[8:15];
VAR2[24:31]<=VAR5[24:31]^VAR3[24:31];
VAR2[40:47]<=VAR5[40:47]^VAR3[40:47];
VAR2[56:63]<=VAR5[56:63]^VAR3[56:63];
VAR2[72:79]<=VAR5[72:79]^VAR3[72:79];
VAR2[88:95]<=VAR5[88:95]^VAR3[88:95];
VAR2[104:111]<=VAR5[104:111]^VAR3[104:111];
VAR2[120:127]<=VAR5[120:127]^VAR3[120:127];
end
VAR2[16:31]<=VAR5[16:31]^VAR3[16:31];
VAR2[48:63]<=VAR5[48:63]^VAR3[48:63];
VAR2[80:95]<=VAR5[80:95]^VAR3[80:95];
VAR2[112:127]<=VAR5[112:127]^VAR3[112:127];
end
VAR2[32:63]<=VAR5[32:63]^VAR3[32:63];
VAR2[96:127]<=VAR5[96:127]^VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]^VAR3[0:7];
end
VAR2[0:15]<=VAR5[0:15]^VAR3[0:15];
end
VAR2[0:31]<=VAR5[0:31]^VAR3[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]^VAR3[120:127];
end
VAR2[112:127]<=VAR5[112:127]^VAR3[112:127];
end
VAR2[96:127]<=VAR5[96:127]^VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
VAR2[0:7]<=VAR5[0:7]-VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]-VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]-VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]-VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]-VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]-VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]-VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]-VAR3[56:63];
VAR2[64:71]<=VAR5[64:71]-VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]-VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]-VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]-VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]-VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]-VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]-VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]-VAR3[120:127];
end
VAR2[0:15]<=VAR5[0:15]-VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]-VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]-VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]-VAR3[48:63];
VAR2[64:79]<=VAR5[64:79]-VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]-VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]-VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]-VAR3[112:127];
end
VAR2[0:31]<=VAR5[0:31]-VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]-VAR3[32:63];
VAR2[64:95]<=VAR5[64:95]-VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]-VAR3[96:127];
end
default: begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]-VAR3[0:7];
VAR2[8:15]<=VAR5[8:15]-VAR3[8:15];
VAR2[16:23]<=VAR5[16:23]-VAR3[16:23];
VAR2[24:31]<=VAR5[24:31]-VAR3[24:31];
VAR2[32:39]<=VAR5[32:39]-VAR3[32:39];
VAR2[40:47]<=VAR5[40:47]-VAR3[40:47];
VAR2[48:55]<=VAR5[48:55]-VAR3[48:55];
VAR2[56:63]<=VAR5[56:63]-VAR3[56:63];
end
VAR2[0:15]<=VAR5[0:15]-VAR3[0:15];
VAR2[16:31]<=VAR5[16:31]-VAR3[16:31];
VAR2[32:47]<=VAR5[32:47]-VAR3[32:47];
VAR2[48:63]<=VAR5[48:63]-VAR3[48:63];
end
VAR2[0:31]<=VAR5[0:31]-VAR3[0:31];
VAR2[32:63]<=VAR5[32:63]-VAR3[32:63];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[64:71]<=VAR5[64:71]-VAR3[64:71];
VAR2[72:79]<=VAR5[72:79]-VAR3[72:79];
VAR2[80:87]<=VAR5[80:87]-VAR3[80:87];
VAR2[88:95]<=VAR5[88:95]-VAR3[88:95];
VAR2[96:103]<=VAR5[96:103]-VAR3[96:103];
VAR2[104:111]<=VAR5[104:111]-VAR3[104:111];
VAR2[112:119]<=VAR5[112:119]-VAR3[112:119];
VAR2[120:127]<=VAR5[120:127]-VAR3[120:127];
end
VAR2[64:79]<=VAR5[64:79]-VAR3[64:79];
VAR2[80:95]<=VAR5[80:95]-VAR3[80:95];
VAR2[96:111]<=VAR5[96:111]-VAR3[96:111];
VAR2[112:127]<=VAR5[112:127]-VAR3[112:127];
end
VAR2[64:95]<=VAR5[64:95]-VAR3[64:95];
VAR2[96:127]<=VAR5[96:127]-VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]-VAR3[0:7];
VAR2[16:23]<=VAR5[16:23]-VAR3[16:23];
VAR2[32:39]<=VAR5[32:39]-VAR3[32:39];
VAR2[48:55]<=VAR5[48:55]-VAR3[48:55];
VAR2[64:71]<=VAR5[64:71]-VAR3[64:71];
VAR2[80:87]<=VAR5[80:87]-VAR3[80:87];
VAR2[96:103]<=VAR5[96:103]-VAR3[96:103];
VAR2[112:119]<=VAR5[112:119]-VAR3[112:119];
end
VAR2[0:15]<=VAR5[0:15]-VAR3[0:15];
VAR2[32:47]<=VAR5[32:47]-VAR3[32:47];
VAR2[64:79]<=VAR5[64:79]-VAR3[64:79];
VAR2[96:111]<=VAR5[96:111]-VAR3[96:111];
end
VAR2[0:31]<=VAR5[0:31]-VAR3[0:31];
VAR2[64:95]<=VAR5[64:95]-VAR3[64:95];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[8:15]<=VAR5[8:15]-VAR3[8:15];
VAR2[24:31]<=VAR5[24:31]-VAR3[24:31];
VAR2[40:47]<=VAR5[40:47]-VAR3[40:47];
VAR2[56:63]<=VAR5[56:63]-VAR3[56:63];
VAR2[72:79]<=VAR5[72:79]-VAR3[72:79];
VAR2[88:95]<=VAR5[88:95]-VAR3[88:95];
VAR2[104:111]<=VAR5[104:111]-VAR3[104:111];
VAR2[120:127]<=VAR5[120:127]-VAR3[120:127];
end
VAR2[16:31]<=VAR5[16:31]-VAR3[16:31];
VAR2[48:63]<=VAR5[48:63]-VAR3[48:63];
VAR2[80:95]<=VAR5[80:95]-VAR3[80:95];
VAR2[112:127]<=VAR5[112:127]-VAR3[112:127];
end
VAR2[32:63]<=VAR5[32:63]-VAR3[32:63];
VAR2[96:127]<=VAR5[96:127]-VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[0:7]<=VAR5[0:7]-VAR3[0:7];
end
VAR2[0:15]<=VAR5[0:15]-VAR3[0:15];
end
VAR2[0:31]<=VAR5[0:31]-VAR3[0:31];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
case(VAR6)
VAR2[120:127]<=VAR5[120:127]-VAR3[120:127];
end
VAR2[112:127]<=VAR5[112:127]-VAR3[112:127];
end
VAR2[96:127]<=VAR5[96:127]-VAR3[96:127];
end
default:
begin
VAR2<=128'd0;
end
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR3[4:7]) 4'd0:
VAR2[0:7]<=VAR5[0:7];
4'd1:
VAR2[0:7]<=VAR5[8:15];
4'd2:
VAR2[0:7]<=VAR5[16:23];
4'd3:
VAR2[0:7]<=VAR5[24:31];
4'd4:
VAR2[0:7]<=VAR5[32:39];
4'd5:
VAR2[0:7]<=VAR5[40:47];
4'd6:
VAR2[0:7]<=VAR5[48:55];
4'd7:
VAR2[0:7]<=VAR5[56:63];
4'd8:
VAR2[0:7]<=VAR5[64:71];
4'd9:
VAR2[0:7]<=VAR5[72:79];
4'd10:
VAR2[0:7]<=VAR5[80:87];
4'd11:
VAR2[0:7]<=VAR5[88:95];
4'd12:
VAR2[0:7]<=VAR5[96:103];
4'd13:
VAR2[0:7]<=VAR5[104:111];
4'd14:
VAR2[0:7]<=VAR5[112:119];
4'd15:
VAR2[0:7]<=VAR5[120:127];
endcase
case(VAR3[12:15]) 4'd0:
VAR2[8:15]<=VAR5[0:7];
4'd1:
VAR2[8:15]<=VAR5[8:15];
4'd2:
VAR2[8:15]<=VAR5[16:23];
4'd3:
VAR2[8:15]<=VAR5[24:31];
4'd4:
VAR2[8:15]<=VAR5[32:39];
4'd5:
VAR2[8:15]<=VAR5[40:47];
4'd6:
VAR2[8:15]<=VAR5[48:55];
4'd7:
VAR2[8:15]<=VAR5[56:63];
4'd8:
VAR2[8:15]<=VAR5[64:71];
4'd9:
VAR2[8:15]<=VAR5[72:79];
4'd10:
VAR2[8:15]<=VAR5[80:87];
4'd11:
VAR2[8:15]<=VAR5[88:95];
4'd12:
VAR2[8:15]<=VAR5[96:103];
4'd13:
VAR2[8:15]<=VAR5[104:111];
4'd14:
VAR2[8:15]<=VAR5[112:119];
4'd15:
VAR2[8:15]<=VAR5[120:127];
endcase
case(VAR3[20:23]) 4'd0:
VAR2[16:23]<=VAR5[0:7];
4'd1:
VAR2[16:23]<=VAR5[8:15];
4'd2:
VAR2[16:23]<=VAR5[16:23];
4'd3:
VAR2[16:23]<=VAR5[24:31];
4'd4:
VAR2[16:23]<=VAR5[32:39];
4'd5:
VAR2[16:23]<=VAR5[40:47];
4'd6:
VAR2[16:23]<=VAR5[48:55];
4'd7:
VAR2[16:23]<=VAR5[56:63];
4'd8:
VAR2[16:23]<=VAR5[64:71];
4'd9:
VAR2[16:23]<=VAR5[72:79];
4'd10:
VAR2[16:23]<=VAR5[80:87];
4'd11:
VAR2[16:23]<=VAR5[88:95];
4'd12:
VAR2[16:23]<=VAR5[96:103];
4'd13:
VAR2[16:23]<=VAR5[104:111];
4'd14:
VAR2[16:23]<=VAR5[112:119];
4'd15:
VAR2[16:23]<=VAR5[120:127];
endcase
case(VAR3[28:31]) 4'd0:
VAR2[24:31]<=VAR5[0:7];
4'd1:
VAR2[24:31]<=VAR5[8:15];
4'd2:
VAR2[24:31]<=VAR5[16:23];
4'd3:
VAR2[24:31]<=VAR5[24:31];
4'd4:
VAR2[24:31]<=VAR5[32:39];
4'd5:
VAR2[24:31]<=VAR5[40:47];
4'd6:
VAR2[24:31]<=VAR5[48:55];
4'd7:
VAR2[24:31]<=VAR5[56:63];
4'd8:
VAR2[24:31]<=VAR5[64:71];
4'd9:
VAR2[24:31]<=VAR5[72:79];
4'd10:
VAR2[24:31]<=VAR5[80:87];
4'd11:
VAR2[24:31]<=VAR5[88:95];
4'd12:
VAR2[24:31]<=VAR5[96:103];
4'd13:
VAR2[24:31]<=VAR5[104:111];
4'd14:
VAR2[24:31]<=VAR5[112:119];
4'd15:
VAR2[24:31]<=VAR5[120:127];
endcase
case(VAR3[36:39]) 4'd0:
VAR2[32:39]<=VAR5[0:7];
4'd1:
VAR2[32:39]<=VAR5[8:15];
4'd2:
VAR2[32:39]<=VAR5[16:23];
4'd3:
VAR2[32:39]<=VAR5[24:31];
4'd4:
VAR2[32:39]<=VAR5[32:39];
4'd5:
VAR2[32:39]<=VAR5[40:47];
4'd6:
VAR2[32:39]<=VAR5[48:55];
4'd7:
VAR2[32:39]<=VAR5[56:63];
4'd8:
VAR2[32:39]<=VAR5[64:71];
4'd9:
VAR2[32:39]<=VAR5[72:79];
4'd10:
VAR2[32:39]<=VAR5[80:87];
4'd11:
VAR2[32:39]<=VAR5[88:95];
4'd12:
VAR2[32:39]<=VAR5[96:103];
4'd13:
VAR2[32:39]<=VAR5[104:111];
4'd14:
VAR2[32:39]<=VAR5[112:119];
4'd15:
VAR2[32:39]<=VAR5[120:127];
endcase
case(VAR3[44:47]) 4'd0:
VAR2[40:47]<=VAR5[0:7];
4'd1:
VAR2[40:47]<=VAR5[8:15];
4'd2:
VAR2[40:47]<=VAR5[16:23];
4'd3:
VAR2[40:47]<=VAR5[24:31];
4'd4:
VAR2[40:47]<=VAR5[32:39];
4'd5:
VAR2[40:47]<=VAR5[40:47];
4'd6:
VAR2[40:47]<=VAR5[48:55];
4'd7:
VAR2[40:47]<=VAR5[56:63];
4'd8:
VAR2[40:47]<=VAR5[64:71];
4'd9:
VAR2[40:47]<=VAR5[72:79];
4'd10:
VAR2[40:47]<=VAR5[80:87];
4'd11:
VAR2[40:47]<=VAR5[88:95];
4'd12:
VAR2[40:47]<=VAR5[96:103];
4'd13:
VAR2[40:47]<=VAR5[104:111];
4'd14:
VAR2[40:47]<=VAR5[112:119];
4'd15:
VAR2[40:47]<=VAR5[120:127];
endcase
case(VAR3[52:55]) 4'd0:
VAR2[48:55]<=VAR5[0:7];
4'd1:
VAR2[48:55]<=VAR5[8:15];
4'd2:
VAR2[48:55]<=VAR5[16:23];
4'd3:
VAR2[48:55]<=VAR5[24:31];
4'd4:
VAR2[48:55]<=VAR5[32:39];
4'd5:
VAR2[48:55]<=VAR5[40:47];
4'd6:
VAR2[48:55]<=VAR5[48:55];
4'd7:
VAR2[48:55]<=VAR5[56:63];
4'd8:
VAR2[48:55]<=VAR5[64:71];
4'd9:
VAR2[48:55]<=VAR5[72:79];
4'd10:
VAR2[48:55]<=VAR5[80:87];
4'd11:
VAR2[48:55]<=VAR5[88:95];
4'd12:
VAR2[48:55]<=VAR5[96:103];
4'd13:
VAR2[48:55]<=VAR5[104:111];
4'd14:
VAR2[48:55]<=VAR5[112:119];
4'd15:
VAR2[48:55]<=VAR5[120:127];
endcase
case(VAR3[60:63]) 4'd0:
VAR2[56:63]<=VAR5[0:7];
4'd1:
VAR2[56:63]<=VAR5[8:15];
4'd2:
VAR2[56:63]<=VAR5[16:23];
4'd3:
VAR2[56:63]<=VAR5[24:31];
4'd4:
VAR2[56:63]<=VAR5[32:39];
4'd5:
VAR2[56:63]<=VAR5[40:47];
4'd6:
VAR2[56:63]<=VAR5[48:55];
4'd7:
VAR2[56:63]<=VAR5[56:63];
4'd8:
VAR2[56:63]<=VAR5[64:71];
4'd9:
VAR2[56:63]<=VAR5[72:79];
4'd10:
VAR2[56:63]<=VAR5[80:87];
4'd11:
VAR2[56:63]<=VAR5[88:95];
4'd12:
VAR2[56:63]<=VAR5[96:103];
4'd13:
VAR2[56:63]<=VAR5[104:111];
4'd14:
VAR2[56:63]<=VAR5[112:119];
4'd15:
VAR2[56:63]<=VAR5[120:127];
endcase
case(VAR3[68:71]) 4'd0:
VAR2[64:71]<=VAR5[0:7];
4'd1:
VAR2[64:71]<=VAR5[8:15];
4'd2:
VAR2[64:71]<=VAR5[16:23];
4'd3:
VAR2[64:71]<=VAR5[24:31];
4'd4:
VAR2[64:71]<=VAR5[32:39];
4'd5:
VAR2[64:71]<=VAR5[40:47];
4'd6:
VAR2[64:71]<=VAR5[48:55];
4'd7:
VAR2[64:71]<=VAR5[56:63];
4'd8:
VAR2[64:71]<=VAR5[64:71];
4'd9:
VAR2[64:71]<=VAR5[72:79];
4'd10:
VAR2[64:71]<=VAR5[80:87];
4'd11:
VAR2[64:71]<=VAR5[88:95];
4'd12:
VAR2[64:71]<=VAR5[96:103];
4'd13:
VAR2[64:71]<=VAR5[104:111];
4'd14:
VAR2[64:71]<=VAR5[112:119];
4'd15:
VAR2[64:71]<=VAR5[120:127];
endcase
case(VAR3[76:79]) 4'd0:
VAR2[72:79]<=VAR5[0:7];
4'd1:
VAR2[72:79]<=VAR5[8:15];
4'd2:
VAR2[72:79]<=VAR5[16:23];
4'd3:
VAR2[72:79]<=VAR5[24:31];
4'd4:
VAR2[72:79]<=VAR5[32:39];
4'd5:
VAR2[72:79]<=VAR5[40:47];
4'd6:
VAR2[72:79]<=VAR5[48:55];
4'd7:
VAR2[72:79]<=VAR5[56:63];
4'd8:
VAR2[72:79]<=VAR5[64:71];
4'd9:
VAR2[72:79]<=VAR5[72:79];
4'd10:
VAR2[72:79]<=VAR5[80:87];
4'd11:
VAR2[72:79]<=VAR5[88:95];
4'd12:
VAR2[72:79]<=VAR5[96:103];
4'd13:
VAR2[72:79]<=VAR5[104:111];
4'd14:
VAR2[72:79]<=VAR5[112:119];
4'd15:
VAR2[72:79]<=VAR5[120:127];
endcase
case(VAR3[84:87]) 4'd0:
VAR2[80:87]<=VAR5[0:7];
4'd1:
VAR2[80:87]<=VAR5[8:15];
4'd2:
VAR2[80:87]<=VAR5[16:23];
4'd3:
VAR2[80:87]<=VAR5[24:31];
4'd4:
VAR2[80:87]<=VAR5[32:39];
4'd5:
VAR2[80:87]<=VAR5[40:47];
4'd6:
VAR2[80:87]<=VAR5[48:55];
4'd7:
VAR2[80:87]<=VAR5[56:63];
4'd8:
VAR2[80:87]<=VAR5[64:71];
4'd9:
VAR2[80:87]<=VAR5[72:79];
4'd10:
VAR2[80:87]<=VAR5[80:87];
4'd11:
VAR2[80:87]<=VAR5[88:95];
4'd12:
VAR2[80:87]<=VAR5[96:103];
4'd13:
VAR2[80:87]<=VAR5[104:111];
4'd14:
VAR2[80:87]<=VAR5[112:119];
4'd15:
VAR2[80:87]<=VAR5[120:127];
endcase
case(VAR3[92:95]) 4'd0:
VAR2[88:95]<=VAR5[0:7];
4'd1:
VAR2[88:95]<=VAR5[8:15];
4'd2:
VAR2[88:95]<=VAR5[16:23];
4'd3:
VAR2[88:95]<=VAR5[24:31];
4'd4:
VAR2[88:95]<=VAR5[32:39];
4'd5:
VAR2[88:95]<=VAR5[40:47];
4'd6:
VAR2[88:95]<=VAR5[48:55];
4'd7:
VAR2[88:95]<=VAR5[56:63];
4'd8:
VAR2[88:95]<=VAR5[64:71];
4'd9:
VAR2[88:95]<=VAR5[72:79];
4'd10:
VAR2[88:95]<=VAR5[80:87];
4'd11:
VAR2[88:95]<=VAR5[88:95];
4'd12:
VAR2[88:95]<=VAR5[96:103];
4'd13:
VAR2[88:95]<=VAR5[104:111];
4'd14:
VAR2[88:95]<=VAR5[112:119];
4'd15:
VAR2[88:95]<=VAR5[120:127];
endcase
case(VAR3[100:103]) 4'd0:
VAR2[96:103]<=VAR5[0:7];
4'd1:
VAR2[96:103]<=VAR5[8:15];
4'd2:
VAR2[96:103]<=VAR5[16:23];
4'd3:
VAR2[96:103]<=VAR5[24:31];
4'd4:
VAR2[96:103]<=VAR5[32:39];
4'd5:
VAR2[96:103]<=VAR5[40:47];
4'd6:
VAR2[96:103]<=VAR5[48:55];
4'd7:
VAR2[96:103]<=VAR5[56:63];
4'd8:
VAR2[96:103]<=VAR5[64:71];
4'd9:
VAR2[96:103]<=VAR5[72:79];
4'd10:
VAR2[96:103]<=VAR5[80:87];
4'd11:
VAR2[96:103]<=VAR5[88:95];
4'd12:
VAR2[96:103]<=VAR5[96:103];
4'd13:
VAR2[96:103]<=VAR5[104:111];
4'd14:
VAR2[96:103]<=VAR5[112:119];
4'd15:
VAR2[96:103]<=VAR5[120:127];
endcase
case(VAR3[108:111]) 4'd0:
VAR2[104:111]<=VAR5[0:7];
4'd1:
VAR2[104:111]<=VAR5[8:15];
4'd2:
VAR2[104:111]<=VAR5[16:23];
4'd3:
VAR2[104:111]<=VAR5[24:31];
4'd4:
VAR2[104:111]<=VAR5[32:39];
4'd5:
VAR2[104:111]<=VAR5[40:47];
4'd6:
VAR2[104:111]<=VAR5[48:55];
4'd7:
VAR2[104:111]<=VAR5[56:63];
4'd8:
VAR2[104:111]<=VAR5[64:71];
4'd9:
VAR2[104:111]<=VAR5[72:79];
4'd10:
VAR2[104:111]<=VAR5[80:87];
4'd11:
VAR2[104:111]<=VAR5[88:95];
4'd12:
VAR2[104:111]<=VAR5[96:103];
4'd13:
VAR2[104:111]<=VAR5[104:111];
4'd14:
VAR2[104:111]<=VAR5[112:119];
4'd15:
VAR2[104:111]<=VAR5[120:127];
endcase
case(VAR3[116:119]) 4'd0:
VAR2[112:119]<=VAR5[112:119];
4'd1:
VAR2[112:119]<=VAR5[8:15];
4'd2:
VAR2[112:119]<=VAR5[16:23];
4'd3:
VAR2[112:119]<=VAR5[24:31];
4'd4:
VAR2[112:119]<=VAR5[32:39];
4'd5:
VAR2[112:119]<=VAR5[40:47];
4'd6:
VAR2[112:119]<=VAR5[48:55];
4'd7:
VAR2[112:119]<=VAR5[56:63];
4'd8:
VAR2[112:119]<=VAR5[64:71];
4'd9:
VAR2[112:119]<=VAR5[72:79];
4'd10:
VAR2[112:119]<=VAR5[80:87];
4'd11:
VAR2[112:119]<=VAR5[88:95];
4'd12:
VAR2[112:119]<=VAR5[96:103];
4'd13:
VAR2[112:119]<=VAR5[104:111];
4'd14:
VAR2[112:119]<=VAR5[112:119];
4'd15:
VAR2[112:119]<=VAR5[120:127];
endcase
case(VAR3[124:127]) 4'd0:
VAR2[120:127]<=VAR5[0:7];
4'd1:
VAR2[120:127]<=VAR5[8:15];
4'd2:
VAR2[120:127]<=VAR5[16:23];
4'd3:
VAR2[120:127]<=VAR5[24:31];
4'd4:
VAR2[120:127]<=VAR5[32:39];
4'd5:
VAR2[120:127]<=VAR5[40:47];
4'd6:
VAR2[120:127]<=VAR5[48:55];
4'd7:
VAR2[120:127]<=VAR5[56:63];
4'd8:
VAR2[120:127]<=VAR5[64:71];
4'd9:
VAR2[120:127]<=VAR5[72:79];
4'd10:
VAR2[120:127]<=VAR5[80:87];
4'd11:
VAR2[120:127]<=VAR5[88:95];
4'd12:
VAR2[120:127]<=VAR5[96:103];
4'd13:
VAR2[120:127]<=VAR5[104:111];
4'd14:
VAR2[120:127]<=VAR5[112:119];
4'd15:
VAR2[120:127]<=VAR5[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR2[0:7]<=VAR5[0:7];
4'd1:
VAR2[0:7]<=VAR5[8:15];
4'd2:
VAR2[0:7]<=VAR5[16:23];
4'd3:
VAR2[0:7]<=VAR5[24:31];
4'd4:
VAR2[0:7]<=VAR5[32:39];
4'd5:
VAR2[0:7]<=VAR5[40:47];
4'd6:
VAR2[0:7]<=VAR5[48:55];
4'd7:
VAR2[0:7]<=VAR5[56:63];
4'd8:
VAR2[0:7]<=VAR5[64:71];
4'd9:
VAR2[0:7]<=VAR5[72:79];
4'd10:
VAR2[0:7]<=VAR5[80:87];
4'd11:
VAR2[0:7]<=VAR5[88:95];
4'd12:
VAR2[0:7]<=VAR5[96:103];
4'd13:
VAR2[0:7]<=VAR5[104:111];
4'd14:
VAR2[0:7]<=VAR5[112:119];
4'd15:
VAR2[0:7]<=VAR5[120:127];
endcase
case(VAR3[12:15]) 4'd0:
VAR2[8:15]<=VAR5[0:7];
4'd1:
VAR2[8:15]<=VAR5[8:15];
4'd2:
VAR2[8:15]<=VAR5[16:23];
4'd3:
VAR2[8:15]<=VAR5[24:31];
4'd4:
VAR2[8:15]<=VAR5[32:39];
4'd5:
VAR2[8:15]<=VAR5[40:47];
4'd6:
VAR2[8:15]<=VAR5[48:55];
4'd7:
VAR2[8:15]<=VAR5[56:63];
4'd8:
VAR2[8:15]<=VAR5[64:71];
4'd9:
VAR2[8:15]<=VAR5[72:79];
4'd10:
VAR2[8:15]<=VAR5[80:87];
4'd11:
VAR2[8:15]<=VAR5[88:95];
4'd12:
VAR2[8:15]<=VAR5[96:103];
4'd13:
VAR2[8:15]<=VAR5[104:111];
4'd14:
VAR2[8:15]<=VAR5[112:119];
4'd15:
VAR2[8:15]<=VAR5[120:127];
endcase
case(VAR3[20:23]) 4'd0:
VAR2[16:23]<=VAR5[0:7];
4'd1:
VAR2[16:23]<=VAR5[8:15];
4'd2:
VAR2[16:23]<=VAR5[16:23];
4'd3:
VAR2[16:23]<=VAR5[24:31];
4'd4:
VAR2[16:23]<=VAR5[32:39];
4'd5:
VAR2[16:23]<=VAR5[40:47];
4'd6:
VAR2[16:23]<=VAR5[48:55];
4'd7:
VAR2[16:23]<=VAR5[56:63];
4'd8:
VAR2[16:23]<=VAR5[64:71];
4'd9:
VAR2[16:23]<=VAR5[72:79];
4'd10:
VAR2[16:23]<=VAR5[80:87];
4'd11:
VAR2[16:23]<=VAR5[88:95];
4'd12:
VAR2[16:23]<=VAR5[96:103];
4'd13:
VAR2[16:23]<=VAR5[104:111];
4'd14:
VAR2[16:23]<=VAR5[112:119];
4'd15:
VAR2[16:23]<=VAR5[120:127];
endcase
case(VAR3[28:31]) 4'd0:
VAR2[24:31]<=VAR5[0:7];
4'd1:
VAR2[24:31]<=VAR5[8:15];
4'd2:
VAR2[24:31]<=VAR5[16:23];
4'd3:
VAR2[24:31]<=VAR5[24:31];
4'd4:
VAR2[24:31]<=VAR5[32:39];
4'd5:
VAR2[24:31]<=VAR5[40:47];
4'd6:
VAR2[24:31]<=VAR5[48:55];
4'd7:
VAR2[24:31]<=VAR5[56:63];
4'd8:
VAR2[24:31]<=VAR5[64:71];
4'd9:
VAR2[24:31]<=VAR5[72:79];
4'd10:
VAR2[24:31]<=VAR5[80:87];
4'd11:
VAR2[24:31]<=VAR5[88:95];
4'd12:
VAR2[24:31]<=VAR5[96:103];
4'd13:
VAR2[24:31]<=VAR5[104:111];
4'd14:
VAR2[24:31]<=VAR5[112:119];
4'd15:
VAR2[24:31]<=VAR5[120:127];
endcase
case(VAR3[36:39]) 4'd0:
VAR2[32:39]<=VAR5[0:7];
4'd1:
VAR2[32:39]<=VAR5[8:15];
4'd2:
VAR2[32:39]<=VAR5[16:23];
4'd3:
VAR2[32:39]<=VAR5[24:31];
4'd4:
VAR2[32:39]<=VAR5[32:39];
4'd5:
VAR2[32:39]<=VAR5[40:47];
4'd6:
VAR2[32:39]<=VAR5[48:55];
4'd7:
VAR2[32:39]<=VAR5[56:63];
4'd8:
VAR2[32:39]<=VAR5[64:71];
4'd9:
VAR2[32:39]<=VAR5[72:79];
4'd10:
VAR2[32:39]<=VAR5[80:87];
4'd11:
VAR2[32:39]<=VAR5[88:95];
4'd12:
VAR2[32:39]<=VAR5[96:103];
4'd13:
VAR2[32:39]<=VAR5[104:111];
4'd14:
VAR2[32:39]<=VAR5[112:119];
4'd15:
VAR2[32:39]<=VAR5[120:127];
endcase
case(VAR3[44:47]) 4'd0:
VAR2[40:47]<=VAR5[0:7];
4'd1:
VAR2[40:47]<=VAR5[8:15];
4'd2:
VAR2[40:47]<=VAR5[16:23];
4'd3:
VAR2[40:47]<=VAR5[24:31];
4'd4:
VAR2[40:47]<=VAR5[32:39];
4'd5:
VAR2[40:47]<=VAR5[40:47];
4'd6:
VAR2[40:47]<=VAR5[48:55];
4'd7:
VAR2[40:47]<=VAR5[56:63];
4'd8:
VAR2[40:47]<=VAR5[64:71];
4'd9:
VAR2[40:47]<=VAR5[72:79];
4'd10:
VAR2[40:47]<=VAR5[80:87];
4'd11:
VAR2[40:47]<=VAR5[88:95];
4'd12:
VAR2[40:47]<=VAR5[96:103];
4'd13:
VAR2[40:47]<=VAR5[104:111];
4'd14:
VAR2[40:47]<=VAR5[112:119];
4'd15:
VAR2[40:47]<=VAR5[120:127];
endcase
case(VAR3[52:55]) 4'd0:
VAR2[48:55]<=VAR5[0:7];
4'd1:
VAR2[48:55]<=VAR5[8:15];
4'd2:
VAR2[48:55]<=VAR5[16:23];
4'd3:
VAR2[48:55]<=VAR5[24:31];
4'd4:
VAR2[48:55]<=VAR5[32:39];
4'd5:
VAR2[48:55]<=VAR5[40:47];
4'd6:
VAR2[48:55]<=VAR5[48:55];
4'd7:
VAR2[48:55]<=VAR5[56:63];
4'd8:
VAR2[48:55]<=VAR5[64:71];
4'd9:
VAR2[48:55]<=VAR5[72:79];
4'd10:
VAR2[48:55]<=VAR5[80:87];
4'd11:
VAR2[48:55]<=VAR5[88:95];
4'd12:
VAR2[48:55]<=VAR5[96:103];
4'd13:
VAR2[48:55]<=VAR5[104:111];
4'd14:
VAR2[48:55]<=VAR5[112:119];
4'd15:
VAR2[48:55]<=VAR5[120:127];
endcase
case(VAR3[60:63]) 4'd0:
VAR2[56:63]<=VAR5[0:7];
4'd1:
VAR2[56:63]<=VAR5[8:15];
4'd2:
VAR2[56:63]<=VAR5[16:23];
4'd3:
VAR2[56:63]<=VAR5[24:31];
4'd4:
VAR2[56:63]<=VAR5[32:39];
4'd5:
VAR2[56:63]<=VAR5[40:47];
4'd6:
VAR2[56:63]<=VAR5[48:55];
4'd7:
VAR2[56:63]<=VAR5[56:63];
4'd8:
VAR2[56:63]<=VAR5[64:71];
4'd9:
VAR2[56:63]<=VAR5[72:79];
4'd10:
VAR2[56:63]<=VAR5[80:87];
4'd11:
VAR2[56:63]<=VAR5[88:95];
4'd12:
VAR2[56:63]<=VAR5[96:103];
4'd13:
VAR2[56:63]<=VAR5[104:111];
4'd14:
VAR2[56:63]<=VAR5[112:119];
4'd15:
VAR2[56:63]<=VAR5[120:127];
endcase
VAR2[64:127]<=64'd0;
end
VAR2[0:63]<=64'd0;
case(VAR3[68:71]) 4'd0:
VAR2[64:71]<=VAR5[0:7];
4'd1:
VAR2[64:71]<=VAR5[8:15];
4'd2:
VAR2[64:71]<=VAR5[16:23];
4'd3:
VAR2[64:71]<=VAR5[24:31];
4'd4:
VAR2[64:71]<=VAR5[32:39];
4'd5:
VAR2[64:71]<=VAR5[40:47];
4'd6:
VAR2[64:71]<=VAR5[48:55];
4'd7:
VAR2[64:71]<=VAR5[56:63];
4'd8:
VAR2[64:71]<=VAR5[64:71];
4'd9:
VAR2[64:71]<=VAR5[72:79];
4'd10:
VAR2[64:71]<=VAR5[80:87];
4'd11:
VAR2[64:71]<=VAR5[88:95];
4'd12:
VAR2[64:71]<=VAR5[96:103];
4'd13:
VAR2[64:71]<=VAR5[104:111];
4'd14:
VAR2[64:71]<=VAR5[112:119];
4'd15:
VAR2[64:71]<=VAR5[120:127];
endcase
case(VAR3[76:79]) 4'd0:
VAR2[72:79]<=VAR5[0:7];
4'd1:
VAR2[72:79]<=VAR5[8:15];
4'd2:
VAR2[72:79]<=VAR5[16:23];
4'd3:
VAR2[72:79]<=VAR5[24:31];
4'd4:
VAR2[72:79]<=VAR5[32:39];
4'd5:
VAR2[72:79]<=VAR5[40:47];
4'd6:
VAR2[72:79]<=VAR5[48:55];
4'd7:
VAR2[72:79]<=VAR5[56:63];
4'd8:
VAR2[72:79]<=VAR5[64:71];
4'd9:
VAR2[72:79]<=VAR5[72:79];
4'd10:
VAR2[72:79]<=VAR5[80:87];
4'd11:
VAR2[72:79]<=VAR5[88:95];
4'd12:
VAR2[72:79]<=VAR5[96:103];
4'd13:
VAR2[72:79]<=VAR5[104:111];
4'd14:
VAR2[72:79]<=VAR5[112:119];
4'd15:
VAR2[72:79]<=VAR5[120:127];
endcase
case(VAR3[84:87]) 4'd0:
VAR2[80:87]<=VAR5[0:7];
4'd1:
VAR2[80:87]<=VAR5[8:15];
4'd2:
VAR2[80:87]<=VAR5[16:23];
4'd3:
VAR2[80:87]<=VAR5[24:31];
4'd4:
VAR2[80:87]<=VAR5[32:39];
4'd5:
VAR2[80:87]<=VAR5[40:47];
4'd6:
VAR2[80:87]<=VAR5[48:55];
4'd7:
VAR2[80:87]<=VAR5[56:63];
4'd8:
VAR2[80:87]<=VAR5[64:71];
4'd9:
VAR2[80:87]<=VAR5[72:79];
4'd10:
VAR2[80:87]<=VAR5[80:87];
4'd11:
VAR2[80:87]<=VAR5[88:95];
4'd12:
VAR2[80:87]<=VAR5[96:103];
4'd13:
VAR2[80:87]<=VAR5[104:111];
4'd14:
VAR2[80:87]<=VAR5[112:119];
4'd15:
VAR2[80:87]<=VAR5[120:127];
endcase
case(VAR3[92:95]) 4'd0:
VAR2[88:95]<=VAR5[0:7];
4'd1:
VAR2[88:95]<=VAR5[8:15];
4'd2:
VAR2[88:95]<=VAR5[16:23];
4'd3:
VAR2[88:95]<=VAR5[24:31];
4'd4:
VAR2[88:95]<=VAR5[32:39];
4'd5:
VAR2[88:95]<=VAR5[40:47];
4'd6:
VAR2[88:95]<=VAR5[48:55];
4'd7:
VAR2[88:95]<=VAR5[56:63];
4'd8:
VAR2[88:95]<=VAR5[64:71];
4'd9:
VAR2[88:95]<=VAR5[72:79];
4'd10:
VAR2[88:95]<=VAR5[80:87];
4'd11:
VAR2[88:95]<=VAR5[88:95];
4'd12:
VAR2[88:95]<=VAR5[96:103];
4'd13:
VAR2[88:95]<=VAR5[104:111];
4'd14:
VAR2[88:95]<=VAR5[112:119];
4'd15:
VAR2[88:95]<=VAR5[120:127];
endcase
case(VAR3[100:103]) 4'd0:
VAR2[96:103]<=VAR5[0:7];
4'd1:
VAR2[96:103]<=VAR5[8:15];
4'd2:
VAR2[96:103]<=VAR5[16:23];
4'd3:
VAR2[96:103]<=VAR5[24:31];
4'd4:
VAR2[96:103]<=VAR5[32:39];
4'd5:
VAR2[96:103]<=VAR5[40:47];
4'd6:
VAR2[96:103]<=VAR5[48:55];
4'd7:
VAR2[96:103]<=VAR5[56:63];
4'd8:
VAR2[96:103]<=VAR5[64:71];
4'd9:
VAR2[96:103]<=VAR5[72:79];
4'd10:
VAR2[96:103]<=VAR5[80:87];
4'd11:
VAR2[96:103]<=VAR5[88:95];
4'd12:
VAR2[96:103]<=VAR5[96:103];
4'd13:
VAR2[96:103]<=VAR5[104:111];
4'd14:
VAR2[96:103]<=VAR5[112:119];
4'd15:
VAR2[96:103]<=VAR5[120:127];
endcase
case(VAR3[108:111]) 4'd0:
VAR2[104:111]<=VAR5[0:7];
4'd1:
VAR2[104:111]<=VAR5[8:15];
4'd2:
VAR2[104:111]<=VAR5[16:23];
4'd3:
VAR2[104:111]<=VAR5[24:31];
4'd4:
VAR2[104:111]<=VAR5[32:39];
4'd5:
VAR2[104:111]<=VAR5[40:47];
4'd6:
VAR2[104:111]<=VAR5[48:55];
4'd7:
VAR2[104:111]<=VAR5[56:63];
4'd8:
VAR2[104:111]<=VAR5[64:71];
4'd9:
VAR2[104:111]<=VAR5[72:79];
4'd10:
VAR2[104:111]<=VAR5[80:87];
4'd11:
VAR2[104:111]<=VAR5[88:95];
4'd12:
VAR2[104:111]<=VAR5[96:103];
4'd13:
VAR2[104:111]<=VAR5[104:111];
4'd14:
VAR2[104:111]<=VAR5[112:119];
4'd15:
VAR2[104:111]<=VAR5[120:127];
endcase
case(VAR3[116:119]) 4'd0:
VAR2[112:119]<=VAR5[0:7];
4'd1:
VAR2[112:119]<=VAR5[8:15];
4'd2:
VAR2[112:119]<=VAR5[16:23];
4'd3:
VAR2[112:119]<=VAR5[24:31];
4'd4:
VAR2[112:119]<=VAR5[32:39];
4'd5:
VAR2[112:119]<=VAR5[40:47];
4'd6:
VAR2[112:119]<=VAR5[48:55];
4'd7:
VAR2[112:119]<=VAR5[56:63];
4'd8:
VAR2[112:119]<=VAR5[64:71];
4'd9:
VAR2[112:119]<=VAR5[72:79];
4'd10:
VAR2[112:119]<=VAR5[80:87];
4'd11:
VAR2[112:119]<=VAR5[88:95];
4'd12:
VAR2[112:119]<=VAR5[96:103];
4'd13:
VAR2[112:119]<=VAR5[104:111];
4'd14:
VAR2[112:119]<=VAR5[112:119];
4'd15:
VAR2[112:119]<=VAR5[120:127];
endcase
case(VAR3[124:127]) 4'd0:
VAR2[120:127]<=VAR5[0:7];
4'd1:
VAR2[120:127]<=VAR5[8:15];
4'd2:
VAR2[120:127]<=VAR5[16:23];
4'd3:
VAR2[120:127]<=VAR5[24:31];
4'd4:
VAR2[120:127]<=VAR5[32:39];
4'd5:
VAR2[120:127]<=VAR5[40:47];
4'd6:
VAR2[120:127]<=VAR5[48:55];
4'd7:
VAR2[120:127]<=VAR5[56:63];
4'd8:
VAR2[120:127]<=VAR5[64:71];
4'd9:
VAR2[120:127]<=VAR5[72:79];
4'd10:
VAR2[120:127]<=VAR5[80:87];
4'd11:
VAR2[120:127]<=VAR5[88:95];
4'd12:
VAR2[120:127]<=VAR5[96:103];
4'd13:
VAR2[120:127]<=VAR5[104:111];
4'd14:
VAR2[120:127]<=VAR5[112:119];
4'd15:
VAR2[120:127]<=VAR5[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR2[0:7]<=VAR5[0:7];
4'd1:
VAR2[0:7]<=VAR5[8:15];
4'd2:
VAR2[0:7]<=VAR5[16:23];
4'd3:
VAR2[0:7]<=VAR5[24:31];
4'd4:
VAR2[0:7]<=VAR5[32:39];
4'd5:
VAR2[0:7]<=VAR5[40:47];
4'd6:
VAR2[0:7]<=VAR5[48:55];
4'd7:
VAR2[0:7]<=VAR5[56:63];
4'd8:
VAR2[0:7]<=VAR5[64:71];
4'd9:
VAR2[0:7]<=VAR5[72:79];
4'd10:
VAR2[0:7]<=VAR5[80:87];
4'd11:
VAR2[0:7]<=VAR5[88:95];
4'd12:
VAR2[0:7]<=VAR5[96:103];
4'd13:
VAR2[0:7]<=VAR5[104:111];
4'd14:
VAR2[0:7]<=VAR5[112:119];
4'd15:
VAR2[0:7]<=VAR5[120:127];
endcase
VAR2[8:15]<=8'd0;
case(VAR3[20:23]) 4'd0:
VAR2[16:23]<=VAR5[0:7];
4'd1:
VAR2[16:23]<=VAR5[8:15];
4'd2:
VAR2[16:23]<=VAR5[16:23];
4'd3:
VAR2[16:23]<=VAR5[24:31];
4'd4:
VAR2[16:23]<=VAR5[32:39];
4'd5:
VAR2[16:23]<=VAR5[40:47];
4'd6:
VAR2[16:23]<=VAR5[48:55];
4'd7:
VAR2[16:23]<=VAR5[56:63];
4'd8:
VAR2[16:23]<=VAR5[64:71];
4'd9:
VAR2[16:23]<=VAR5[72:79];
4'd10:
VAR2[16:23]<=VAR5[80:87];
4'd11:
VAR2[16:23]<=VAR5[88:95];
4'd12:
VAR2[16:23]<=VAR5[96:103];
4'd13:
VAR2[16:23]<=VAR5[104:111];
4'd14:
VAR2[16:23]<=VAR5[112:119];
4'd15:
VAR2[16:23]<=VAR5[120:127];
endcase
VAR2[24:31]<=8'd0;
case(VAR3[36:39]) 4'd0:
VAR2[32:39]<=VAR5[0:7];
4'd1:
VAR2[32:39]<=VAR5[8:15];
4'd2:
VAR2[32:39]<=VAR5[16:23];
4'd3:
VAR2[32:39]<=VAR5[24:31];
4'd4:
VAR2[32:39]<=VAR5[32:39];
4'd5:
VAR2[32:39]<=VAR5[40:47];
4'd6:
VAR2[32:39]<=VAR5[48:55];
4'd7:
VAR2[32:39]<=VAR5[56:63];
4'd8:
VAR2[32:39]<=VAR5[64:71];
4'd9:
VAR2[32:39]<=VAR5[72:79];
4'd10:
VAR2[32:39]<=VAR5[80:87];
4'd11:
VAR2[32:39]<=VAR5[88:95];
4'd12:
VAR2[32:39]<=VAR5[96:103];
4'd13:
VAR2[32:39]<=VAR5[104:111];
4'd14:
VAR2[32:39]<=VAR5[112:119];
4'd15:
VAR2[32:39]<=VAR5[120:127];
endcase
VAR2[40:47]<=8'd0;
case(VAR3[52:55]) 4'd0:
VAR2[48:55]<=VAR5[0:7];
4'd1:
VAR2[48:55]<=VAR5[8:15];
4'd2:
VAR2[48:55]<=VAR5[16:23];
4'd3:
VAR2[48:55]<=VAR5[24:31];
4'd4:
VAR2[48:55]<=VAR5[32:39];
4'd5:
VAR2[48:55]<=VAR5[40:47];
4'd6:
VAR2[48:55]<=VAR5[48:55];
4'd7:
VAR2[48:55]<=VAR5[56:63];
4'd8:
VAR2[48:55]<=VAR5[64:71];
4'd9:
VAR2[48:55]<=VAR5[72:79];
4'd10:
VAR2[48:55]<=VAR5[80:87];
4'd11:
VAR2[48:55]<=VAR5[88:95];
4'd12:
VAR2[48:55]<=VAR5[96:103];
4'd13:
VAR2[48:55]<=VAR5[104:111];
4'd14:
VAR2[48:55]<=VAR5[112:119];
4'd15:
VAR2[48:55]<=VAR5[120:127];
endcase
VAR2[56:63]<=8'd0;
case(VAR3[68:71]) 4'd0:
VAR2[64:71]<=VAR5[0:7];
4'd1:
VAR2[64:71]<=VAR5[8:15];
4'd2:
VAR2[64:71]<=VAR5[16:23];
4'd3:
VAR2[64:71]<=VAR5[24:31];
4'd4:
VAR2[64:71]<=VAR5[32:39];
4'd5:
VAR2[64:71]<=VAR5[40:47];
4'd6:
VAR2[64:71]<=VAR5[48:55];
4'd7:
VAR2[64:71]<=VAR5[56:63];
4'd8:
VAR2[64:71]<=VAR5[64:71];
4'd9:
VAR2[64:71]<=VAR5[72:79];
4'd10:
VAR2[64:71]<=VAR5[80:87];
4'd11:
VAR2[64:71]<=VAR5[88:95];
4'd12:
VAR2[64:71]<=VAR5[96:103];
4'd13:
VAR2[64:71]<=VAR5[104:111];
4'd14:
VAR2[64:71]<=VAR5[112:119];
4'd15:
VAR2[64:71]<=VAR5[120:127];
endcase
VAR2[72:79]<=8'd0;
case(VAR3[84:87]) 4'd0:
VAR2[80:87]<=VAR5[0:7];
4'd1:
VAR2[80:87]<=VAR5[8:15];
4'd2:
VAR2[80:87]<=VAR5[16:23];
4'd3:
VAR2[80:87]<=VAR5[24:31];
4'd4:
VAR2[80:87]<=VAR5[32:39];
4'd5:
VAR2[80:87]<=VAR5[40:47];
4'd6:
VAR2[80:87]<=VAR5[48:55];
4'd7:
VAR2[80:87]<=VAR5[56:63];
4'd8:
VAR2[80:87]<=VAR5[64:71];
4'd9:
VAR2[80:87]<=VAR5[72:79];
4'd10:
VAR2[80:87]<=VAR5[80:87];
4'd11:
VAR2[80:87]<=VAR5[88:95];
4'd12:
VAR2[80:87]<=VAR5[96:103];
4'd13:
VAR2[80:87]<=VAR5[104:111];
4'd14:
VAR2[80:87]<=VAR5[112:119];
4'd15:
VAR2[80:87]<=VAR5[120:127];
endcase
VAR2[88:95]<=8'd0;
case(VAR3[100:103]) 4'd0:
VAR2[96:103]<=VAR5[0:7];
4'd1:
VAR2[96:103]<=VAR5[8:15];
4'd2:
VAR2[96:103]<=VAR5[16:23];
4'd3:
VAR2[96:103]<=VAR5[24:31];
4'd4:
VAR2[96:103]<=VAR5[32:39];
4'd5:
VAR2[96:103]<=VAR5[40:47];
4'd6:
VAR2[96:103]<=VAR5[48:55];
4'd7:
VAR2[96:103]<=VAR5[56:63];
4'd8:
VAR2[96:103]<=VAR5[64:71];
4'd9:
VAR2[96:103]<=VAR5[72:79];
4'd10:
VAR2[96:103]<=VAR5[80:87];
4'd11:
VAR2[96:103]<=VAR5[88:95];
4'd12:
VAR2[96:103]<=VAR5[96:103];
4'd13:
VAR2[96:103]<=VAR5[104:111];
4'd14:
VAR2[96:103]<=VAR5[112:119];
4'd15:
VAR2[96:103]<=VAR5[120:127];
endcase
VAR2[104:111]<=8'd0;
case(VAR3[116:119]) 4'd0:
VAR2[112:119]<=VAR5[112:119];
4'd1:
VAR2[112:119]<=VAR5[8:15];
4'd2:
VAR2[112:119]<=VAR5[16:23];
4'd3:
VAR2[112:119]<=VAR5[24:31];
4'd4:
VAR2[112:119]<=VAR5[32:39];
4'd5:
VAR2[112:119]<=VAR5[40:47];
4'd6:
VAR2[112:119]<=VAR5[48:55];
4'd7:
VAR2[112:119]<=VAR5[56:63];
4'd8:
VAR2[112:119]<=VAR5[64:71];
4'd9:
VAR2[112:119]<=VAR5[72:79];
4'd10:
VAR2[112:119]<=VAR5[80:87];
4'd11:
VAR2[112:119]<=VAR5[88:95];
4'd12:
VAR2[112:119]<=VAR5[96:103];
4'd13:
VAR2[112:119]<=VAR5[104:111];
4'd14:
VAR2[112:119]<=VAR5[112:119];
4'd15:
VAR2[112:119]<=VAR5[120:127];
endcase
VAR2[120:127]<=8'd0;
end
VAR2[0:7]<=8'd0;
case(VAR3[12:15]) 4'd0:
VAR2[8:15]<=VAR5[0:7];
4'd1:
VAR2[8:15]<=VAR5[8:15];
4'd2:
VAR2[8:15]<=VAR5[16:23];
4'd3:
VAR2[8:15]<=VAR5[24:31];
4'd4:
VAR2[8:15]<=VAR5[32:39];
4'd5:
VAR2[8:15]<=VAR5[40:47];
4'd6:
VAR2[8:15]<=VAR5[48:55];
4'd7:
VAR2[8:15]<=VAR5[56:63];
4'd8:
VAR2[8:15]<=VAR5[64:71];
4'd9:
VAR2[8:15]<=VAR5[72:79];
4'd10:
VAR2[8:15]<=VAR5[80:87];
4'd11:
VAR2[8:15]<=VAR5[88:95];
4'd12:
VAR2[8:15]<=VAR5[96:103];
4'd13:
VAR2[8:15]<=VAR5[104:111];
4'd14:
VAR2[8:15]<=VAR5[112:119];
4'd15:
VAR2[8:15]<=VAR5[120:127];
endcase
VAR2[16:23]<=8'd0;
case(VAR3[28:31]) 4'd0:
VAR2[24:31]<=VAR5[0:7];
4'd1:
VAR2[24:31]<=VAR5[8:15];
4'd2:
VAR2[24:31]<=VAR5[16:23];
4'd3:
VAR2[24:31]<=VAR5[24:31];
4'd4:
VAR2[24:31]<=VAR5[32:39];
4'd5:
VAR2[24:31]<=VAR5[40:47];
4'd6:
VAR2[24:31]<=VAR5[48:55];
4'd7:
VAR2[24:31]<=VAR5[56:63];
4'd8:
VAR2[24:31]<=VAR5[64:71];
4'd9:
VAR2[24:31]<=VAR5[72:79];
4'd10:
VAR2[24:31]<=VAR5[80:87];
4'd11:
VAR2[24:31]<=VAR5[88:95];
4'd12:
VAR2[24:31]<=VAR5[96:103];
4'd13:
VAR2[24:31]<=VAR5[104:111];
4'd14:
VAR2[24:31]<=VAR5[112:119];
4'd15:
VAR2[24:31]<=VAR5[120:127];
endcase
VAR2[32:39]<=8'd0;
case(VAR3[44:47]) 4'd0:
VAR2[40:47]<=VAR5[0:7];
4'd1:
VAR2[40:47]<=VAR5[8:15];
4'd2:
VAR2[40:47]<=VAR5[16:23];
4'd3:
VAR2[40:47]<=VAR5[24:31];
4'd4:
VAR2[40:47]<=VAR5[32:39];
4'd5:
VAR2[40:47]<=VAR5[40:47];
4'd6:
VAR2[40:47]<=VAR5[48:55];
4'd7:
VAR2[40:47]<=VAR5[56:63];
4'd8:
VAR2[40:47]<=VAR5[64:71];
4'd9:
VAR2[40:47]<=VAR5[72:79];
4'd10:
VAR2[40:47]<=VAR5[80:87];
4'd11:
VAR2[40:47]<=VAR5[88:95];
4'd12:
VAR2[40:47]<=VAR5[96:103];
4'd13:
VAR2[40:47]<=VAR5[104:111];
4'd14:
VAR2[40:47]<=VAR5[112:119];
4'd15:
VAR2[40:47]<=VAR5[120:127];
endcase
VAR2[48:55]<=8'd0;
case(VAR3[60:63]) 4'd0:
VAR2[56:63]<=VAR5[0:7];
4'd1:
VAR2[56:63]<=VAR5[8:15];
4'd2:
VAR2[56:63]<=VAR5[16:23];
4'd3:
VAR2[56:63]<=VAR5[24:31];
4'd4:
VAR2[56:63]<=VAR5[32:39];
4'd5:
VAR2[56:63]<=VAR5[40:47];
4'd6:
VAR2[56:63]<=VAR5[48:55];
4'd7:
VAR2[56:63]<=VAR5[56:63];
4'd8:
VAR2[56:63]<=VAR5[64:71];
4'd9:
VAR2[56:63]<=VAR5[72:79];
4'd10:
VAR2[56:63]<=VAR5[80:87];
4'd11:
VAR2[56:63]<=VAR5[88:95];
4'd12:
VAR2[56:63]<=VAR5[96:103];
4'd13:
VAR2[56:63]<=VAR5[104:111];
4'd14:
VAR2[56:63]<=VAR5[112:119];
4'd15:
VAR2[56:63]<=VAR5[120:127];
endcase
VAR2[64:71]<=8'd0;
case(VAR3[76:79]) 4'd0:
VAR2[72:79]<=VAR5[0:7];
4'd1:
VAR2[72:79]<=VAR5[8:15];
4'd2:
VAR2[72:79]<=VAR5[16:23];
4'd3:
VAR2[72:79]<=VAR5[24:31];
4'd4:
VAR2[72:79]<=VAR5[32:39];
4'd5:
VAR2[72:79]<=VAR5[40:47];
4'd6:
VAR2[72:79]<=VAR5[48:55];
4'd7:
VAR2[72:79]<=VAR5[56:63];
4'd8:
VAR2[72:79]<=VAR5[64:71];
4'd9:
VAR2[72:79]<=VAR5[72:79];
4'd10:
VAR2[72:79]<=VAR5[80:87];
4'd11:
VAR2[72:79]<=VAR5[88:95];
4'd12:
VAR2[72:79]<=VAR5[96:103];
4'd13:
VAR2[72:79]<=VAR5[104:111];
4'd14:
VAR2[72:79]<=VAR5[112:119];
4'd15:
VAR2[72:79]<=VAR5[120:127];
endcase
VAR2[80:87]<=8'd0;
case(VAR3[92:95]) 4'd0:
VAR2[88:95]<=VAR5[0:7];
4'd1:
VAR2[88:95]<=VAR5[8:15];
4'd2:
VAR2[88:95]<=VAR5[16:23];
4'd3:
VAR2[88:95]<=VAR5[24:31];
4'd4:
VAR2[88:95]<=VAR5[32:39];
4'd5:
VAR2[88:95]<=VAR5[40:47];
4'd6:
VAR2[88:95]<=VAR5[48:55];
4'd7:
VAR2[88:95]<=VAR5[56:63];
4'd8:
VAR2[88:95]<=VAR5[64:71];
4'd9:
VAR2[88:95]<=VAR5[72:79];
4'd10:
VAR2[88:95]<=VAR5[80:87];
4'd11:
VAR2[88:95]<=VAR5[88:95];
4'd12:
VAR2[88:95]<=VAR5[96:103];
4'd13:
VAR2[88:95]<=VAR5[104:111];
4'd14:
VAR2[88:95]<=VAR5[112:119];
4'd15:
VAR2[88:95]<=VAR5[120:127];
endcase
VAR2[96:103]<=8'd0;
case(VAR3[108:111]) 4'd0:
VAR2[104:111]<=VAR5[0:7];
4'd1:
VAR2[104:111]<=VAR5[8:15];
4'd2:
VAR2[104:111]<=VAR5[16:23];
4'd3:
VAR2[104:111]<=VAR5[24:31];
4'd4:
VAR2[104:111]<=VAR5[32:39];
4'd5:
VAR2[104:111]<=VAR5[40:47];
4'd6:
VAR2[104:111]<=VAR5[48:55];
4'd7:
VAR2[104:111]<=VAR5[56:63];
4'd8:
VAR2[104:111]<=VAR5[64:71];
4'd9:
VAR2[104:111]<=VAR5[72:79];
4'd10:
VAR2[104:111]<=VAR5[80:87];
4'd11:
VAR2[104:111]<=VAR5[88:95];
4'd12:
VAR2[104:111]<=VAR5[96:103];
4'd13:
VAR2[104:111]<=VAR5[104:111];
4'd14:
VAR2[104:111]<=VAR5[112:119];
4'd15:
VAR2[104:111]<=VAR5[120:127];
endcase
VAR2[112:119]<=8'd0;
case(VAR3[124:127]) 4'd0:
VAR2[120:127]<=VAR5[0:7];
4'd1:
VAR2[120:127]<=VAR5[8:15];
4'd2:
VAR2[120:127]<=VAR5[16:23];
4'd3:
VAR2[120:127]<=VAR5[24:31];
4'd4:
VAR2[120:127]<=VAR5[32:39];
4'd5:
VAR2[120:127]<=VAR5[40:47];
4'd6:
VAR2[120:127]<=VAR5[48:55];
4'd7:
VAR2[120:127]<=VAR5[56:63];
4'd8:
VAR2[120:127]<=VAR5[64:71];
4'd9:
VAR2[120:127]<=VAR5[72:79];
4'd10:
VAR2[120:127]<=VAR5[80:87];
4'd11:
VAR2[120:127]<=VAR5[88:95];
4'd12:
VAR2[120:127]<=VAR5[96:103];
4'd13:
VAR2[120:127]<=VAR5[104:111];
4'd14:
VAR2[120:127]<=VAR5[112:119];
4'd15:
VAR2[120:127]<=VAR5[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR2[0:7]<=VAR5[0:7];
4'd1:
VAR2[0:7]<=VAR5[8:15];
4'd2:
VAR2[0:7]<=VAR5[16:23];
4'd3:
VAR2[0:7]<=VAR5[24:31];
4'd4:
VAR2[0:7]<=VAR5[32:39];
4'd5:
VAR2[0:7]<=VAR5[40:47];
4'd6:
VAR2[0:7]<=VAR5[48:55];
4'd7:
VAR2[0:7]<=VAR5[56:63];
4'd8:
VAR2[0:7]<=VAR5[64:71];
4'd9:
VAR2[0:7]<=VAR5[72:79];
4'd10:
VAR2[0:7]<=VAR5[80:87];
4'd11:
VAR2[0:7]<=VAR5[88:95];
4'd12:
VAR2[0:7]<=VAR5[96:103];
4'd13:
VAR2[0:7]<=VAR5[104:111];
4'd14:
VAR2[0:7]<=VAR5[112:119];
4'd15:
VAR2[0:7]<=VAR5[120:127];
endcase
VAR2[8:127]<=120'd0;
end
VAR2[0:119]<=120'd0;
case(VAR3[124:127]) 4'd0:
VAR2[120:127]<=VAR5[0:7];
4'd1:
VAR2[120:127]<=VAR5[8:15];
4'd2:
VAR2[120:127]<=VAR5[16:23];
4'd3:
VAR2[120:127]<=VAR5[24:31];
4'd4:
VAR2[120:127]<=VAR5[32:39];
4'd5:
VAR2[120:127]<=VAR5[40:47];
4'd6:
VAR2[120:127]<=VAR5[48:55];
4'd7:
VAR2[120:127]<=VAR5[56:63];
4'd8:
VAR2[120:127]<=VAR5[64:71];
4'd9:
VAR2[120:127]<=VAR5[72:79];
4'd10:
VAR2[120:127]<=VAR5[80:87];
4'd11:
VAR2[120:127]<=VAR5[88:95];
4'd12:
VAR2[120:127]<=VAR5[96:103];
4'd13:
VAR2[120:127]<=VAR5[104:111];
4'd14:
VAR2[120:127]<=VAR5[112:119];
4'd15:
VAR2[120:127]<=VAR5[120:127];
endcase
end
default: begin
VAR2<=128'd0;
end
endcase
end
begin
case(VAR4)
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
3'd1:
begin
VAR2[0:7]<={VAR5[1:7],{1'b0}};
VAR2[8:15]<={VAR5[9:15],{1'b0}};
VAR2[16:23]<={VAR5[17:23],{1'b0}};
VAR2[24:31]<={VAR5[25:31],{1'b0}};
VAR2[32:39]<={VAR5[33:39],{1'b0}};
VAR2[40:47]<={VAR5[41:47],{1'b0}};
VAR2[48:55]<={VAR5[49:55],{1'b0}};
VAR2[56:63]<={VAR5[57:63],{1'b0}};
VAR2[64:71]<={VAR5[65:71],{1'b0}};
VAR2[72:79]<={VAR5[73:79],{1'b0}};
VAR2[80:87]<={VAR5[81:87],{1'b0}};
VAR2[88:95]<={VAR5[89:95],{1'b0}};
VAR2[96:103]<={VAR5[97:103],{1'b0}};
VAR2[104:111]<={VAR5[105:111],{1'b0}};
VAR2[112:119]<={VAR5[113:119],{1'b0}};
VAR2[120:127]<={VAR5[121:127],{1'b0}};
end
3'd2:
begin
VAR2[0:7]<={VAR5[2:7],{2{1'b0}}};
VAR2[8:15]<={VAR5[10:15],{2{1'b0}}};
VAR2[16:23]<={VAR5[18:23],{2{1'b0}}};
VAR2[24:31]<={VAR5[26:31],{2{1'b0}}};
VAR2[32:39]<={VAR5[34:39],{2{1'b0}}};
VAR2[40:47]<={VAR5[42:47],{2{1'b0}}};
VAR2[48:55]<={VAR5[50:55],{2{1'b0}}};
VAR2[56:63]<={VAR5[58:63],{2{1'b0}}};
VAR2[64:71]<={VAR5[66:71],{2{1'b0}}};
VAR2[72:79]<={VAR5[74:79],{2{1'b0}}};
VAR2[80:87]<={VAR5[82:87],{2{1'b0}}};
VAR2[88:95]<={VAR5[90:95],{2{1'b0}}};
VAR2[96:103]<={VAR5[98:103],{2{1'b0}}};
VAR2[104:111]<={VAR5[106:111],{2{1'b0}}};
VAR2[112:119]<={VAR5[114:119],{2{1'b0}}};
VAR2[120:127]<={VAR5[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR2[0:7]<={VAR5[3:7],{3{1'b0}}};
VAR2[8:15]<={VAR5[11:15],{3{1'b0}}};
VAR2[16:23]<={VAR5[19:23],{3{1'b0}}};
VAR2[24:31]<={VAR5[27:31],{3{1'b0}}};
VAR2[32:39]<={VAR5[35:39],{3{1'b0}}};
VAR2[40:47]<={VAR5[43:47],{3{1'b0}}};
VAR2[48:55]<={VAR5[51:55],{3{1'b0}}};
VAR2[56:63]<={VAR5[59:63],{3{1'b0}}};
VAR2[64:71]<={VAR5[67:71],{3{1'b0}}};
VAR2[72:79]<={VAR5[75:79],{3{1'b0}}};
VAR2[80:87]<={VAR5[83:87],{3{1'b0}}};
VAR2[88:95]<={VAR5[91:95],{3{1'b0}}};
VAR2[96:103]<={VAR5[99:103],{3{1'b0}}};
VAR2[104:111]<={VAR5[107:111],{3{1'b0}}};
VAR2[112:119]<={VAR5[115:119],{3{1'b0}}};
VAR2[120:127]<={VAR5[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR2[0:7]<={VAR5[4:7],{4{1'b0}}};
VAR2[8:15]<={VAR5[12:15],{4{1'b0}}};
VAR2[16:23]<={VAR5[20:23],{4{1'b0}}};
VAR2[24:31]<={VAR5[28:31],{4{1'b0}}};
VAR2[32:39]<={VAR5[36:39],{4{1'b0}}};
VAR2[40:47]<={VAR5[44:47],{4{1'b0}}};
VAR2[48:55]<={VAR5[52:55],{4{1'b0}}};
VAR2[56:63]<={VAR5[60:63],{4{1'b0}}};
VAR2[64:71]<={VAR5[68:71],{4{1'b0}}};
VAR2[72:79]<={VAR5[76:79],{4{1'b0}}};
VAR2[80:87]<={VAR5[84:87],{4{1'b0}}};
VAR2[88:95]<={VAR5[92:95],{4{1'b0}}};
VAR2[96:103]<={VAR5[100:103],{4{1'b0}}};
VAR2[104:111]<={VAR5[108:111],{4{1'b0}}};
VAR2[112:119]<={VAR5[116:119],{4{1'b0}}};
VAR2[120:127]<={VAR5[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR2[0:7]<={VAR5[5:7],{5{1'b0}}};
VAR2[8:15]<={VAR5[13:15],{5{1'b0}}};
VAR2[16:23]<={VAR5[21:23],{5{1'b0}}};
VAR2[24:31]<={VAR5[29:31],{5{1'b0}}};
VAR2[32:39]<={VAR5[37:39],{5{1'b0}}};
VAR2[40:47]<={VAR5[45:47],{5{1'b0}}};
VAR2[48:55]<={VAR5[53:55],{5{1'b0}}};
VAR2[56:63]<={VAR5[61:63],{5{1'b0}}};
VAR2[64:71]<={VAR5[69:71],{5{1'b0}}};
VAR2[72:79]<={VAR5[77:79],{5{1'b0}}};
VAR2[80:87]<={VAR5[85:87],{5{1'b0}}};
VAR2[88:95]<={VAR5[93:95],{5{1'b0}}};
VAR2[96:103]<={VAR5[101:103],{5{1'b0}}};
VAR2[104:111]<={VAR5[109:111],{5{1'b0}}};
VAR2[112:119]<={VAR5[117:119],{5{1'b0}}};
VAR2[120:127]<={VAR5[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR2[0:7]<={VAR5[6:7],{6{1'b0}}};
VAR2[8:15]<={VAR5[14:15],{6{1'b0}}};
VAR2[16:23]<={VAR5[22:23],{6{1'b0}}};
VAR2[24:31]<={VAR5[30:31],{6{1'b0}}};
VAR2[32:39]<={VAR5[38:39],{6{1'b0}}};
VAR2[40:47]<={VAR5[46:47],{6{1'b0}}};
VAR2[48:55]<={VAR5[54:55],{6{1'b0}}};
VAR2[56:63]<={VAR5[62:63],{6{1'b0}}};
VAR2[64:71]<={VAR5[70:71],{6{1'b0}}};
VAR2[72:79]<={VAR5[78:79],{6{1'b0}}};
VAR2[80:87]<={VAR5[86:87],{6{1'b0}}};
VAR2[88:95]<={VAR5[94:95],{6{1'b0}}};
VAR2[96:103]<={VAR5[102:103],{6{1'b0}}};
VAR2[104:111]<={VAR5[110:111],{6{1'b0}}};
VAR2[112:119]<={VAR5[118:119],{6{1'b0}}};
VAR2[120:127]<={VAR5[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR2[0:7]<={VAR5[7],{7{1'b0}}};
VAR2[8:15]<={VAR5[15],{7{1'b0}}};
VAR2[16:23]<={VAR5[23],{7{1'b0}}};
VAR2[24:31]<={VAR5[31],{7{1'b0}}};
VAR2[32:39]<={VAR5[39],{7{1'b0}}};
VAR2[40:47]<={VAR5[47],{7{1'b0}}};
VAR2[48:55]<={VAR5[55],{7{1'b0}}};
VAR2[56:63]<={VAR5[63],{7{1'b0}}};
VAR2[64:71]<={VAR5[71],{7{1'b0}}};
VAR2[72:79]<={VAR5[79],{7{1'b0}}};
VAR2[80:87]<={VAR5[87],{7{1'b0}}};
VAR2[88:95]<={VAR5[95],{7{1'b0}}};
VAR2[96:103]<={VAR5[103],{7{1'b0}}};
VAR2[104:111]<={VAR5[111],{7{1'b0}}};
VAR2[112:119]<={VAR5[119],{7{1'b0}}};
VAR2[120:127]<={VAR5[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<={VAR5[1:15],{1'b0}};
VAR2[16:31]<={VAR5[17:31],{1'b0}};
VAR2[32:47]<={VAR5[33:47],{1'b0}};
VAR2[48:63]<={VAR5[49:63],{1'b0}};
VAR2[64:79]<={VAR5[65:79],{1'b0}};
VAR2[80:95]<={VAR5[81:95],{1'b0}};
VAR2[96:111]<={VAR5[97:111],{1'b0}};
VAR2[112:127]<={VAR5[113:127],{1'b0}};
end
4'd2:
begin
VAR2[0:15]<={VAR5[2:15],{2{1'b0}}};
VAR2[16:31]<={VAR5[18:31],{2{1'b0}}};
VAR2[32:47]<={VAR5[34:47],{2{1'b0}}};
VAR2[48:63]<={VAR5[50:63],{2{1'b0}}};
VAR2[64:79]<={VAR5[66:79],{2{1'b0}}};
VAR2[80:95]<={VAR5[82:95],{2{1'b0}}};
VAR2[96:111]<={VAR5[98:111],{2{1'b0}}};
VAR2[112:127]<={VAR5[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR2[0:15]<={VAR5[3:15],{3{1'b0}}};
VAR2[16:31]<={VAR5[19:31],{3{1'b0}}};
VAR2[32:47]<={VAR5[35:47],{3{1'b0}}};
VAR2[48:63]<={VAR5[51:63],{3{1'b0}}};
VAR2[64:79]<={VAR5[67:79],{3{1'b0}}};
VAR2[80:95]<={VAR5[83:95],{3{1'b0}}};
VAR2[96:111]<={VAR5[99:111],{3{1'b0}}};
VAR2[112:127]<={VAR5[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR2[0:15]<={VAR5[4:15],{4{1'b0}}};
VAR2[16:31]<={VAR5[20:31],{4{1'b0}}};
VAR2[32:47]<={VAR5[36:47],{4{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{4{1'b0}}};
VAR2[64:79]<={VAR5[68:79],{4{1'b0}}};
VAR2[80:95]<={VAR5[84:95],{4{1'b0}}};
VAR2[96:111]<={VAR5[100:111],{4{1'b0}}};
VAR2[112:127]<={VAR5[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR2[0:15]<={VAR5[5:15],{5{1'b0}}};
VAR2[16:31]<={VAR5[21:31],{5{1'b0}}};
VAR2[32:47]<={VAR5[37:47],{5{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{5{1'b0}}};
VAR2[64:79]<={VAR5[69:79],{5{1'b0}}};
VAR2[80:95]<={VAR5[85:95],{5{1'b0}}};
VAR2[96:111]<={VAR5[101:111],{5{1'b0}}};
VAR2[112:127]<={VAR5[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR2[0:15]<={VAR5[6:15],{6{1'b0}}};
VAR2[16:31]<={VAR5[22:31],{6{1'b0}}};
VAR2[32:47]<={VAR5[38:47],{6{1'b0}}};
VAR2[48:63]<={VAR5[53:63],{6{1'b0}}};
VAR2[64:79]<={VAR5[70:79],{6{1'b0}}};
VAR2[80:95]<={VAR5[86:95],{6{1'b0}}};
VAR2[96:111]<={VAR5[102:111],{6{1'b0}}};
VAR2[112:127]<={VAR5[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR2[0:15]<={VAR5[7:15],{7{1'b0}}};
VAR2[16:31]<={VAR5[23:31],{7{1'b0}}};
VAR2[32:47]<={VAR5[39:47],{7{1'b0}}};
VAR2[48:63]<={VAR5[54:63],{7{1'b0}}};
VAR2[64:79]<={VAR5[71:79],{7{1'b0}}};
VAR2[80:95]<={VAR5[87:95],{7{1'b0}}};
VAR2[96:111]<={VAR5[103:111],{7{1'b0}}};
VAR2[112:127]<={VAR5[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR2[0:15]<={VAR5[8:15],{8{1'b0}}};
VAR2[16:31]<={VAR5[24:31],{8{1'b0}}};
VAR2[32:47]<={VAR5[40:47],{8{1'b0}}};
VAR2[48:63]<={VAR5[55:63],{8{1'b0}}};
VAR2[64:79]<={VAR5[72:79],{8{1'b0}}};
VAR2[80:95]<={VAR5[88:95],{8{1'b0}}};
VAR2[96:111]<={VAR5[104:111],{8{1'b0}}};
VAR2[112:127]<={VAR5[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR2[0:15]<={VAR5[9:15],{9{1'b0}}};
VAR2[16:31]<={VAR5[25:31],{9{1'b0}}};
VAR2[32:47]<={VAR5[41:47],{9{1'b0}}};
VAR2[48:63]<={VAR5[56:63],{9{1'b0}}};
VAR2[64:79]<={VAR5[73:79],{9{1'b0}}};
VAR2[80:95]<={VAR5[89:95],{9{1'b0}}};
VAR2[96:111]<={VAR5[105:111],{9{1'b0}}};
VAR2[112:127]<={VAR5[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR2[0:15]<={VAR5[10:15],{10{1'b0}}};
VAR2[16:31]<={VAR5[26:31],{10{1'b0}}};
VAR2[32:47]<={VAR5[42:47],{10{1'b0}}};
VAR2[48:63]<={VAR5[58:63],{10{1'b0}}};
VAR2[64:79]<={VAR5[74:79],{10{1'b0}}};
VAR2[80:95]<={VAR5[90:95],{10{1'b0}}};
VAR2[96:111]<={VAR5[106:111],{10{1'b0}}};
VAR2[112:127]<={VAR5[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR2[0:15]<={VAR5[11:15],{11{1'b0}}};
VAR2[16:31]<={VAR5[27:31],{11{1'b0}}};
VAR2[32:47]<={VAR5[43:47],{11{1'b0}}};
VAR2[48:63]<={VAR5[59:63],{11{1'b0}}};
VAR2[64:79]<={VAR5[75:79],{11{1'b0}}};
VAR2[80:95]<={VAR5[91:95],{11{1'b0}}};
VAR2[96:111]<={VAR5[107:111],{11{1'b0}}};
VAR2[112:127]<={VAR5[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR2[0:15]<={VAR5[12:15],{12{1'b0}}};
VAR2[16:31]<={VAR5[28:31],{12{1'b0}}};
VAR2[32:47]<={VAR5[44:47],{12{1'b0}}};
VAR2[48:63]<={VAR5[60:63],{12{1'b0}}};
VAR2[64:79]<={VAR5[76:79],{12{1'b0}}};
VAR2[80:95]<={VAR5[92:95],{12{1'b0}}};
VAR2[96:111]<={VAR5[108:111],{12{1'b0}}};
VAR2[112:127]<={VAR5[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR2[0:15]<={VAR5[13:15],{13{1'b0}}};
VAR2[16:31]<={VAR5[29:31],{13{1'b0}}};
VAR2[32:47]<={VAR5[45:47],{13{1'b0}}};
VAR2[48:63]<={VAR5[61:63],{13{1'b0}}};
VAR2[64:79]<={VAR5[77:79],{13{1'b0}}};
VAR2[80:95]<={VAR5[93:95],{13{1'b0}}};
VAR2[96:111]<={VAR5[109:111],{13{1'b0}}};
VAR2[112:127]<={VAR5[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR2[0:15]<={VAR5[14:15],{14{1'b0}}};
VAR2[16:31]<={VAR5[30:31],{14{1'b0}}};
VAR2[32:47]<={VAR5[46:47],{14{1'b0}}};
VAR2[48:63]<={VAR5[62:63],{14{1'b0}}};
VAR2[64:79]<={VAR5[78:79],{14{1'b0}}};
VAR2[80:95]<={VAR5[94:95],{14{1'b0}}};
VAR2[96:111]<={VAR5[110:111],{14{1'b0}}};
VAR2[112:127]<={VAR5[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR2[0:15]<={VAR5[15],{15{1'b0}}};
VAR2[16:31]<={VAR5[31],{15{1'b0}}};
VAR2[32:47]<={VAR5[47],{15{1'b0}}};
VAR2[48:63]<={VAR5[63],{15{1'b0}}};
VAR2[64:79]<={VAR5[79],{15{1'b0}}};
VAR2[80:95]<={VAR5[95],{15{1'b0}}};
VAR2[96:111]<={VAR5[111],{15{1'b0}}};
VAR2[112:127]<={VAR5[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<={VAR5[1:31],{1'b0}};
VAR2[32:63]<={VAR5[33:63],{1'b0}};
VAR2[64:95]<={VAR5[65:95],{1'b0}};
VAR2[96:127]<={VAR5[97:127],{1'b0}};
end
5'd2:
begin
VAR2[0:31]<={VAR5[2:31],{2{1'b0}}};
VAR2[32:63]<={VAR5[34:63],{2{1'b0}}};
VAR2[64:95]<={VAR5[66:95],{2{1'b0}}};
VAR2[96:127]<={VAR5[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR2[0:31]<={VAR5[3:31],{3{1'b0}}};
VAR2[32:63]<={VAR5[35:63],{3{1'b0}}};
VAR2[64:95]<={VAR5[67:95],{3{1'b0}}};
VAR2[96:127]<={VAR5[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR2[0:31]<={VAR5[4:31],{4{1'b0}}};
VAR2[32:63]<={VAR5[36:63],{4{1'b0}}};
VAR2[64:95]<={VAR5[68:95],{4{1'b0}}};
VAR2[96:127]<={VAR5[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR2[0:31]<={VAR5[5:31],{5{1'b0}}};
VAR2[32:63]<={VAR5[37:63],{5{1'b0}}};
VAR2[64:95]<={VAR5[69:95],{5{1'b0}}};
VAR2[96:127]<={VAR5[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR2[0:31]<={VAR5[6:31],{6{1'b0}}};
VAR2[32:63]<={VAR5[38:63],{6{1'b0}}};
VAR2[64:95]<={VAR5[70:95],{6{1'b0}}};
VAR2[96:127]<={VAR5[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR2[0:31]<={VAR5[7:31],{7{1'b0}}};
VAR2[32:63]<={VAR5[39:63],{7{1'b0}}};
VAR2[64:95]<={VAR5[71:95],{7{1'b0}}};
VAR2[96:127]<={VAR5[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR2[0:31]<={VAR5[8:31],{8{1'b0}}};
VAR2[32:63]<={VAR5[40:63],{8{1'b0}}};
VAR2[64:95]<={VAR5[72:95],{8{1'b0}}};
VAR2[96:127]<={VAR5[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR2[0:31]<={VAR5[9:31],{9{1'b0}}};
VAR2[32:63]<={VAR5[41:63],{9{1'b0}}};
VAR2[64:95]<={VAR5[73:95],{9{1'b0}}};
VAR2[96:127]<={VAR5[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR2[0:31]<={VAR5[10:31],{10{1'b0}}};
VAR2[32:63]<={VAR5[42:63],{10{1'b0}}};
VAR2[64:95]<={VAR5[74:95],{10{1'b0}}};
VAR2[96:127]<={VAR5[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR2[0:31]<={VAR5[11:31],{11{1'b0}}};
VAR2[32:63]<={VAR5[43:63],{11{1'b0}}};
VAR2[64:95]<={VAR5[75:95],{11{1'b0}}};
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:31]<={VAR5[12:31],{12{1'b0}}};
VAR2[32:63]<={VAR5[44:63],{12{1'b0}}};
VAR2[64:95]<={VAR5[76:95],{12{1'b0}}};
VAR2[96:127]<={VAR5[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR2[0:31]<={VAR5[13:31],{13{1'b0}}};
VAR2[32:63]<={VAR5[45:63],{13{1'b0}}};
VAR2[64:95]<={VAR5[77:95],{13{1'b0}}};
VAR2[96:127]<={VAR5[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR2[0:31]<={VAR5[14:31],{14{1'b0}}};
VAR2[32:63]<={VAR5[46:63],{14{1'b0}}};
VAR2[64:95]<={VAR5[78:95],{14{1'b0}}};
VAR2[96:127]<={VAR5[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR2[0:31]<={VAR5[15:31],{15{1'b0}}};
VAR2[32:63]<={VAR5[47:63],{15{1'b0}}};
VAR2[64:95]<={VAR5[79:95],{15{1'b0}}};
VAR2[96:127]<={VAR5[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR2[0:31]<={VAR5[16:31],{16{1'b0}}};
VAR2[32:63]<={VAR5[48:63],{16{1'b0}}};
VAR2[64:95]<={VAR5[80:95],{16{1'b0}}};
VAR2[96:127]<={VAR5[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR2[0:31]<={VAR5[17:31],{17{1'b0}}};
VAR2[32:63]<={VAR5[49:63],{17{1'b0}}};
VAR2[64:95]<={VAR5[81:95],{17{1'b0}}};
VAR2[96:127]<={VAR5[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR2[0:31]<={VAR5[18:31],{18{1'b0}}};
VAR2[32:63]<={VAR5[50:63],{18{1'b0}}};
VAR2[64:95]<={VAR5[82:95],{18{1'b0}}};
VAR2[96:127]<={VAR5[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR2[0:31]<={VAR5[19:31],{19{1'b0}}};
VAR2[32:63]<={VAR5[51:63],{19{1'b0}}};
VAR2[64:95]<={VAR5[83:95],{19{1'b0}}};
VAR2[96:127]<={VAR5[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR2[0:31]<={VAR5[20:31],{20{1'b0}}};
VAR2[32:63]<={VAR5[52:63],{20{1'b0}}};
VAR2[64:95]<={VAR5[84:95],{20{1'b0}}};
VAR2[96:127]<={VAR5[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR2[0:31]<={VAR5[21:31],{21{1'b0}}};
VAR2[32:63]<={VAR5[53:63],{21{1'b0}}};
VAR2[64:95]<={VAR5[85:95],{21{1'b0}}};
VAR2[96:127]<={VAR5[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR2[0:31]<={VAR5[22:31],{22{1'b0}}};
VAR2[32:63]<={VAR5[54:63],{22{1'b0}}};
VAR2[64:95]<={VAR5[86:95],{22{1'b0}}};
VAR2[96:127]<={VAR5[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR2[0:31]<={VAR5[23:31],{23{1'b0}}};
VAR2[32:63]<={VAR5[55:63],{23{1'b0}}};
VAR2[64:95]<={VAR5[87:95],{23{1'b0}}};
VAR2[96:127]<={VAR5[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR2[0:31]<={VAR5[24:31],{24{1'b0}}};
VAR2[32:63]<={VAR5[56:63],{24{1'b0}}};
VAR2[64:95]<={VAR5[88:95],{24{1'b0}}};
VAR2[96:127]<={VAR5[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR2[0:31]<={VAR5[25:31],{25{1'b0}}};
VAR2[32:63]<={VAR5[57:63],{25{1'b0}}};
VAR2[64:95]<={VAR5[89:95],{25{1'b0}}};
VAR2[96:127]<={VAR5[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR2[0:31]<={VAR5[26:31],{26{1'b0}}};
VAR2[32:63]<={VAR5[58:63],{26{1'b0}}};
VAR2[64:95]<={VAR5[90:95],{26{1'b0}}};
VAR2[96:127]<={VAR5[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR2[0:31]<={VAR5[27:31],{27{1'b0}}};
VAR2[32:63]<={VAR5[59:63],{27{1'b0}}};
VAR2[64:95]<={VAR5[91:95],{27{1'b0}}};
VAR2[96:127]<={VAR5[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR2[0:31]<={VAR5[28:31],{28{1'b0}}};
VAR2[32:63]<={VAR5[60:63],{28{1'b0}}};
VAR2[64:95]<={VAR5[92:95],{28{1'b0}}};
VAR2[96:127]<={VAR5[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR2[0:31]<={VAR5[29:31],{29{1'b0}}};
VAR2[32:63]<={VAR5[61:63],{29{1'b0}}};
VAR2[64:95]<={VAR5[93:95],{29{1'b0}}};
VAR2[96:127]<={VAR5[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR2[0:31]<={VAR5[30:31],{30{1'b0}}};
VAR2[32:63]<={VAR5[62:63],{30{1'b0}}};
VAR2[64:95]<={VAR5[94:95],{30{1'b0}}};
VAR2[96:127]<={VAR5[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR2[0:31]<={VAR5[31],{31{1'b0}}};
VAR2[32:63]<={VAR5[63],{31{1'b0}}};
VAR2[64:95]<={VAR5[95],{31{1'b0}}};
VAR2[96:127]<={VAR5[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:63]<=VAR5[0:63];
VAR2[64:127]<=64'd0;
end
3'd1:
begin
VAR2[0:7]<={VAR5[1:7],{1'b0}};
VAR2[8:15]<={VAR5[9:15],{1'b0}};
VAR2[16:23]<={VAR5[17:23],{1'b0}};
VAR2[24:31]<={VAR5[25:31],{1'b0}};
VAR2[32:39]<={VAR5[33:39],{1'b0}};
VAR2[40:47]<={VAR5[41:47],{1'b0}};
VAR2[48:55]<={VAR5[49:55],{1'b0}};
VAR2[56:63]<={VAR5[57:63],{1'b0}};
VAR2[64:127]<=64'd0;
end
3'd2:
begin
VAR2[0:7]<={VAR5[2:7],{2{1'b0}}};
VAR2[8:15]<={VAR5[10:15],{2{1'b0}}};
VAR2[16:23]<={VAR5[18:23],{2{1'b0}}};
VAR2[24:31]<={VAR5[26:31],{2{1'b0}}};
VAR2[32:39]<={VAR5[34:39],{2{1'b0}}};
VAR2[40:47]<={VAR5[42:47],{2{1'b0}}};
VAR2[48:55]<={VAR5[50:55],{2{1'b0}}};
VAR2[56:63]<={VAR5[58:63],{2{1'b0}}};
VAR2[64:127]<=64'd0;
end
3'd3:
begin
VAR2[0:7]<={VAR5[3:7],{3{1'b0}}};
VAR2[8:15]<={VAR5[11:15],{3{1'b0}}};
VAR2[16:23]<={VAR5[19:23],{3{1'b0}}};
VAR2[24:31]<={VAR5[27:31],{3{1'b0}}};
VAR2[32:39]<={VAR5[35:39],{3{1'b0}}};
VAR2[40:47]<={VAR5[43:47],{3{1'b0}}};
VAR2[48:55]<={VAR5[51:55],{3{1'b0}}};
VAR2[56:63]<={VAR5[59:63],{3{1'b0}}};
VAR2[64:127]<=64'd0;
end
3'd4:
begin
VAR2[0:7]<={VAR5[4:7],{4{1'b0}}};
VAR2[8:15]<={VAR5[12:15],{4{1'b0}}};
VAR2[16:23]<={VAR5[20:23],{4{1'b0}}};
VAR2[24:31]<={VAR5[28:31],{4{1'b0}}};
VAR2[32:39]<={VAR5[36:39],{4{1'b0}}};
VAR2[40:47]<={VAR5[44:47],{4{1'b0}}};
VAR2[48:55]<={VAR5[52:55],{4{1'b0}}};
VAR2[56:63]<={VAR5[60:63],{4{1'b0}}};
VAR2[64:127]<=64'd0;
end
3'd5:
begin
VAR2[0:7]<={VAR5[5:7],{5{1'b0}}};
VAR2[8:15]<={VAR5[13:15],{5{1'b0}}};
VAR2[16:23]<={VAR5[21:23],{5{1'b0}}};
VAR2[24:31]<={VAR5[29:31],{5{1'b0}}};
VAR2[32:39]<={VAR5[37:39],{5{1'b0}}};
VAR2[40:47]<={VAR5[45:47],{5{1'b0}}};
VAR2[48:55]<={VAR5[53:55],{5{1'b0}}};
VAR2[56:63]<={VAR5[61:63],{5{1'b0}}};
VAR2[64:127]<=64'd0;
end
3'd6:
begin
VAR2[0:7]<={VAR5[6:7],{6{1'b0}}};
VAR2[8:15]<={VAR5[14:15],{6{1'b0}}};
VAR2[16:23]<={VAR5[22:23],{6{1'b0}}};
VAR2[24:31]<={VAR5[30:31],{6{1'b0}}};
VAR2[32:39]<={VAR5[38:39],{6{1'b0}}};
VAR2[40:47]<={VAR5[46:47],{6{1'b0}}};
VAR2[48:55]<={VAR5[54:55],{6{1'b0}}};
VAR2[56:63]<={VAR5[62:63],{6{1'b0}}};
VAR2[64:127]<=64'd0;
end
3'd7:
begin
VAR2[0:7]<={VAR5[7],{7{1'b0}}};
VAR2[8:15]<={VAR5[15],{7{1'b0}}};
VAR2[16:23]<={VAR5[23],{7{1'b0}}};
VAR2[24:31]<={VAR5[31],{7{1'b0}}};
VAR2[32:39]<={VAR5[39],{7{1'b0}}};
VAR2[40:47]<={VAR5[47],{7{1'b0}}};
VAR2[48:55]<={VAR5[55],{7{1'b0}}};
VAR2[56:63]<={VAR5[63],{7{1'b0}}};
VAR2[64:127]<=64'd0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:63]<=VAR5[0:63];
VAR2[64:127]<=64'd0;
end
4'd1:
begin
VAR2[0:15]<={VAR5[1:15],{1'b0}};
VAR2[16:31]<={VAR5[17:31],{1'b0}};
VAR2[32:47]<={VAR5[33:47],{1'b0}};
VAR2[48:63]<={VAR5[49:63],{1'b0}};
VAR2[64:127]<=64'd0;
end
4'd2:
begin
VAR2[0:15]<={VAR5[2:15],{2{1'b0}}};
VAR2[16:31]<={VAR5[18:31],{2{1'b0}}};
VAR2[32:47]<={VAR5[34:47],{2{1'b0}}};
VAR2[48:63]<={VAR5[50:63],{2{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd3:
begin
VAR2[0:15]<={VAR5[3:15],{3{1'b0}}};
VAR2[16:31]<={VAR5[19:31],{3{1'b0}}};
VAR2[32:47]<={VAR5[35:47],{3{1'b0}}};
VAR2[48:63]<={VAR5[51:63],{3{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd4:
begin
VAR2[0:15]<={VAR5[4:15],{4{1'b0}}};
VAR2[16:31]<={VAR5[20:31],{4{1'b0}}};
VAR2[32:47]<={VAR5[36:47],{4{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{4{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd5:
begin
VAR2[0:15]<={VAR5[5:15],{5{1'b0}}};
VAR2[16:31]<={VAR5[21:31],{5{1'b0}}};
VAR2[32:47]<={VAR5[37:47],{5{1'b0}}};
VAR2[48:63]<={VAR5[52:63],{5{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd6:
begin
VAR2[0:15]<={VAR5[6:15],{6{1'b0}}};
VAR2[16:31]<={VAR5[22:31],{6{1'b0}}};
VAR2[32:47]<={VAR5[38:47],{6{1'b0}}};
VAR2[48:63]<={VAR5[53:63],{6{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd7:
begin
VAR2[0:15]<={VAR5[7:15],{7{1'b0}}};
VAR2[16:31]<={VAR5[23:31],{7{1'b0}}};
VAR2[32:47]<={VAR5[39:47],{7{1'b0}}};
VAR2[48:63]<={VAR5[54:63],{7{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd8:
begin
VAR2[0:15]<={VAR5[8:15],{8{1'b0}}};
VAR2[16:31]<={VAR5[24:31],{8{1'b0}}};
VAR2[32:47]<={VAR5[40:47],{8{1'b0}}};
VAR2[48:63]<={VAR5[55:63],{8{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd9:
begin
VAR2[0:15]<={VAR5[9:15],{9{1'b0}}};
VAR2[16:31]<={VAR5[25:31],{9{1'b0}}};
VAR2[32:47]<={VAR5[41:47],{9{1'b0}}};
VAR2[48:63]<={VAR5[56:63],{9{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd10:
begin
VAR2[0:15]<={VAR5[10:15],{10{1'b0}}};
VAR2[16:31]<={VAR5[26:31],{10{1'b0}}};
VAR2[32:47]<={VAR5[42:47],{10{1'b0}}};
VAR2[48:63]<={VAR5[58:63],{10{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd11:
begin
VAR2[0:15]<={VAR5[11:15],{11{1'b0}}};
VAR2[16:31]<={VAR5[27:31],{11{1'b0}}};
VAR2[32:47]<={VAR5[43:47],{11{1'b0}}};
VAR2[48:63]<={VAR5[59:63],{11{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd12:
begin
VAR2[0:15]<={VAR5[12:15],{12{1'b0}}};
VAR2[16:31]<={VAR5[28:31],{12{1'b0}}};
VAR2[32:47]<={VAR5[44:47],{12{1'b0}}};
VAR2[48:63]<={VAR5[60:63],{12{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd13:
begin
VAR2[0:15]<={VAR5[13:15],{13{1'b0}}};
VAR2[16:31]<={VAR5[29:31],{13{1'b0}}};
VAR2[32:47]<={VAR5[45:47],{13{1'b0}}};
VAR2[48:63]<={VAR5[61:63],{13{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd14:
begin
VAR2[0:15]<={VAR5[14:15],{14{1'b0}}};
VAR2[16:31]<={VAR5[30:31],{14{1'b0}}};
VAR2[32:47]<={VAR5[46:47],{14{1'b0}}};
VAR2[48:63]<={VAR5[62:63],{14{1'b0}}};
VAR2[64:127]<=64'd0;
end
4'd15:
begin
VAR2[0:15]<={VAR5[15],{15{1'b0}}};
VAR2[16:31]<={VAR5[31],{15{1'b0}}};
VAR2[32:47]<={VAR5[47],{15{1'b0}}};
VAR2[48:63]<={VAR5[63],{15{1'b0}}};
VAR2[64:127]<=64'd0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:63]<=VAR5[0:63];
VAR2[64:127]<=64'd0;
end
5'd1:
begin
VAR2[0:31]<={VAR5[1:31],{1'b0}};
VAR2[32:63]<={VAR5[33:63],{1'b0}};
VAR2[64:127]<=64'd0;
end
5'd2:
begin
VAR2[0:31]<={VAR5[2:31],{2{1'b0}}};
VAR2[32:63]<={VAR5[34:63],{2{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd3:
begin
VAR2[0:31]<={VAR5[3:31],{3{1'b0}}};
VAR2[32:63]<={VAR5[35:63],{3{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd4:
begin
VAR2[0:31]<={VAR5[4:31],{4{1'b0}}};
VAR2[32:63]<={VAR5[36:63],{4{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd5:
begin
VAR2[0:31]<={VAR5[5:31],{5{1'b0}}};
VAR2[32:63]<={VAR5[37:63],{5{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd6:
begin
VAR2[0:31]<={VAR5[6:31],{6{1'b0}}};
VAR2[32:63]<={VAR5[38:63],{6{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd7:
begin
VAR2[0:31]<={VAR5[7:31],{7{1'b0}}};
VAR2[32:63]<={VAR5[39:63],{7{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd8:
begin
VAR2[0:31]<={VAR5[8:31],{8{1'b0}}};
VAR2[32:63]<={VAR5[40:63],{8{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd9:
begin
VAR2[0:31]<={VAR5[9:31],{9{1'b0}}};
VAR2[32:63]<={VAR5[41:63],{9{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd10:
begin
VAR2[0:31]<={VAR5[10:31],{10{1'b0}}};
VAR2[32:63]<={VAR5[42:63],{10{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd11:
begin
VAR2[0:31]<={VAR5[11:31],{11{1'b0}}};
VAR2[32:63]<={VAR5[43:63],{11{1'b0}}};
VAR2[64:95]<={VAR5[75:95],{11{1'b0}}};
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:31]<={VAR5[12:31],{12{1'b0}}};
VAR2[32:63]<={VAR5[44:63],{12{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd13:
begin
VAR2[0:31]<={VAR5[13:31],{13{1'b0}}};
VAR2[32:63]<={VAR5[45:63],{13{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd14:
begin
VAR2[0:31]<={VAR5[14:31],{14{1'b0}}};
VAR2[32:63]<={VAR5[46:63],{14{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd15:
begin
VAR2[0:31]<={VAR5[15:31],{15{1'b0}}};
VAR2[32:63]<={VAR5[47:63],{15{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd16:
begin
VAR2[0:31]<={VAR5[16:31],{16{1'b0}}};
VAR2[32:63]<={VAR5[48:63],{16{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd17:
begin
VAR2[0:31]<={VAR5[17:31],{17{1'b0}}};
VAR2[32:63]<={VAR5[49:63],{17{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd18:
begin
VAR2[0:31]<={VAR5[18:31],{18{1'b0}}};
VAR2[32:63]<={VAR5[50:63],{18{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd19:
begin
VAR2[0:31]<={VAR5[19:31],{19{1'b0}}};
VAR2[32:63]<={VAR5[51:63],{19{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd20:
begin
VAR2[0:31]<={VAR5[20:31],{20{1'b0}}};
VAR2[32:63]<={VAR5[52:63],{20{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd21:
begin
VAR2[0:31]<={VAR5[21:31],{21{1'b0}}};
VAR2[32:63]<={VAR5[53:63],{21{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd22:
begin
VAR2[0:31]<={VAR5[22:31],{22{1'b0}}};
VAR2[32:63]<={VAR5[54:63],{22{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd23:
begin
VAR2[0:31]<={VAR5[23:31],{23{1'b0}}};
VAR2[32:63]<={VAR5[55:63],{23{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd24:
begin
VAR2[0:31]<={VAR5[24:31],{24{1'b0}}};
VAR2[32:63]<={VAR5[56:63],{24{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd25:
begin
VAR2[0:31]<={VAR5[25:31],{25{1'b0}}};
VAR2[32:63]<={VAR5[57:63],{25{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd26:
begin
VAR2[0:31]<={VAR5[26:31],{26{1'b0}}};
VAR2[32:63]<={VAR5[58:63],{26{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd27:
begin
VAR2[0:31]<={VAR5[27:31],{27{1'b0}}};
VAR2[32:63]<={VAR5[59:63],{27{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd28:
begin
VAR2[0:31]<={VAR5[28:31],{28{1'b0}}};
VAR2[32:63]<={VAR5[60:63],{28{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd29:
begin
VAR2[0:31]<={VAR5[29:31],{29{1'b0}}};
VAR2[32:63]<={VAR5[61:63],{29{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd30:
begin
VAR2[0:31]<={VAR5[30:31],{30{1'b0}}};
VAR2[32:63]<={VAR5[62:63],{30{1'b0}}};
VAR2[64:127]<=64'd0;
end
5'd31:
begin
VAR2[0:31]<={VAR5[31],{31{1'b0}}};
VAR2[32:63]<={VAR5[63],{31{1'b0}}};
VAR2[64:127]<=64'd0;
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:63]<=64'd0;
VAR2[64:127]<=VAR5[64:127];
end
3'd1:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[65:71],{1'b0}};
VAR2[72:79]<={VAR5[73:79],{1'b0}};
VAR2[80:87]<={VAR5[81:87],{1'b0}};
VAR2[88:95]<={VAR5[89:95],{1'b0}};
VAR2[96:103]<={VAR5[97:103],{1'b0}};
VAR2[104:111]<={VAR5[105:111],{1'b0}};
VAR2[112:119]<={VAR5[113:119],{1'b0}};
VAR2[120:127]<={VAR5[121:127],{1'b0}};
end
3'd2:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[66:71],{2{1'b0}}};
VAR2[72:79]<={VAR5[74:79],{2{1'b0}}};
VAR2[80:87]<={VAR5[82:87],{2{1'b0}}};
VAR2[88:95]<={VAR5[90:95],{2{1'b0}}};
VAR2[96:103]<={VAR5[98:103],{2{1'b0}}};
VAR2[104:111]<={VAR5[106:111],{2{1'b0}}};
VAR2[112:119]<={VAR5[114:119],{2{1'b0}}};
VAR2[120:127]<={VAR5[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[67:71],{3{1'b0}}};
VAR2[72:79]<={VAR5[75:79],{3{1'b0}}};
VAR2[80:87]<={VAR5[83:87],{3{1'b0}}};
VAR2[88:95]<={VAR5[91:95],{3{1'b0}}};
VAR2[96:103]<={VAR5[99:103],{3{1'b0}}};
VAR2[104:111]<={VAR5[107:111],{3{1'b0}}};
VAR2[112:119]<={VAR5[115:119],{3{1'b0}}};
VAR2[120:127]<={VAR5[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[68:71],{4{1'b0}}};
VAR2[72:79]<={VAR5[76:79],{4{1'b0}}};
VAR2[80:87]<={VAR5[84:87],{4{1'b0}}};
VAR2[88:95]<={VAR5[92:95],{4{1'b0}}};
VAR2[96:103]<={VAR5[100:103],{4{1'b0}}};
VAR2[104:111]<={VAR5[108:111],{4{1'b0}}};
VAR2[112:119]<={VAR5[116:119],{4{1'b0}}};
VAR2[120:127]<={VAR5[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[69:71],{5{1'b0}}};
VAR2[72:79]<={VAR5[77:79],{5{1'b0}}};
VAR2[80:87]<={VAR5[85:87],{5{1'b0}}};
VAR2[88:95]<={VAR5[93:95],{5{1'b0}}};
VAR2[96:103]<={VAR5[101:103],{5{1'b0}}};
VAR2[104:111]<={VAR5[109:111],{5{1'b0}}};
VAR2[112:119]<={VAR5[117:119],{5{1'b0}}};
VAR2[120:127]<={VAR5[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[70:71],{6{1'b0}}};
VAR2[72:79]<={VAR5[78:79],{6{1'b0}}};
VAR2[80:87]<={VAR5[86:87],{6{1'b0}}};
VAR2[88:95]<={VAR5[94:95],{6{1'b0}}};
VAR2[96:103]<={VAR5[102:103],{6{1'b0}}};
VAR2[104:111]<={VAR5[110:111],{6{1'b0}}};
VAR2[112:119]<={VAR5[118:119],{6{1'b0}}};
VAR2[120:127]<={VAR5[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR2[0:63]<=64'd0;
VAR2[64:71]<={VAR5[71],{7{1'b0}}};
VAR2[72:79]<={VAR5[79],{7{1'b0}}};
VAR2[80:87]<={VAR5[87],{7{1'b0}}};
VAR2[88:95]<={VAR5[95],{7{1'b0}}};
VAR2[96:103]<={VAR5[103],{7{1'b0}}};
VAR2[104:111]<={VAR5[111],{7{1'b0}}};
VAR2[112:119]<={VAR5[119],{7{1'b0}}};
VAR2[120:127]<={VAR5[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:63]<=64'd0;
VAR2[64:127]<=VAR5[64:127];
end
4'd1:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[65:79],{1'b0}};
VAR2[80:95]<={VAR5[81:95],{1'b0}};
VAR2[96:111]<={VAR5[97:111],{1'b0}};
VAR2[112:127]<={VAR5[113:127],{1'b0}};
end
4'd2:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[66:79],{2{1'b0}}};
VAR2[80:95]<={VAR5[82:95],{2{1'b0}}};
VAR2[96:111]<={VAR5[98:111],{2{1'b0}}};
VAR2[112:127]<={VAR5[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[67:79],{3{1'b0}}};
VAR2[80:95]<={VAR5[83:95],{3{1'b0}}};
VAR2[96:111]<={VAR5[99:111],{3{1'b0}}};
VAR2[112:127]<={VAR5[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[68:79],{4{1'b0}}};
VAR2[80:95]<={VAR5[84:95],{4{1'b0}}};
VAR2[96:111]<={VAR5[100:111],{4{1'b0}}};
VAR2[112:127]<={VAR5[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[69:79],{5{1'b0}}};
VAR2[80:95]<={VAR5[85:95],{5{1'b0}}};
VAR2[96:111]<={VAR5[101:111],{5{1'b0}}};
VAR2[112:127]<={VAR5[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[70:79],{6{1'b0}}};
VAR2[80:95]<={VAR5[86:95],{6{1'b0}}};
VAR2[96:111]<={VAR5[102:111],{6{1'b0}}};
VAR2[112:127]<={VAR5[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[71:79],{7{1'b0}}};
VAR2[80:95]<={VAR5[87:95],{7{1'b0}}};
VAR2[96:111]<={VAR5[103:111],{7{1'b0}}};
VAR2[112:127]<={VAR5[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[72:79],{8{1'b0}}};
VAR2[80:95]<={VAR5[88:95],{8{1'b0}}};
VAR2[96:111]<={VAR5[104:111],{8{1'b0}}};
VAR2[112:127]<={VAR5[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[73:79],{9{1'b0}}};
VAR2[80:95]<={VAR5[89:95],{9{1'b0}}};
VAR2[96:111]<={VAR5[105:111],{9{1'b0}}};
VAR2[112:127]<={VAR5[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[74:79],{10{1'b0}}};
VAR2[80:95]<={VAR5[90:95],{10{1'b0}}};
VAR2[96:111]<={VAR5[106:111],{10{1'b0}}};
VAR2[112:127]<={VAR5[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[75:79],{11{1'b0}}};
VAR2[80:95]<={VAR5[91:95],{11{1'b0}}};
VAR2[96:111]<={VAR5[107:111],{11{1'b0}}};
VAR2[112:127]<={VAR5[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[76:79],{12{1'b0}}};
VAR2[80:95]<={VAR5[92:95],{12{1'b0}}};
VAR2[96:111]<={VAR5[108:111],{12{1'b0}}};
VAR2[112:127]<={VAR5[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[77:79],{13{1'b0}}};
VAR2[80:95]<={VAR5[93:95],{13{1'b0}}};
VAR2[96:111]<={VAR5[109:111],{13{1'b0}}};
VAR2[112:127]<={VAR5[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[78:79],{14{1'b0}}};
VAR2[80:95]<={VAR5[94:95],{14{1'b0}}};
VAR2[96:111]<={VAR5[110:111],{14{1'b0}}};
VAR2[112:127]<={VAR5[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR2[0:63]<=64'd0;
VAR2[64:79]<={VAR5[79],{15{1'b0}}};
VAR2[80:95]<={VAR5[95],{15{1'b0}}};
VAR2[96:111]<={VAR5[111],{15{1'b0}}};
VAR2[112:127]<={VAR5[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:63]<=64'd0;
VAR2[64:127]<=VAR5[64:127];
end
5'd1:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[65:95],{1'b0}};
VAR2[96:127]<={VAR5[97:127],{1'b0}};
end
5'd2:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[66:95],{2{1'b0}}};
VAR2[96:127]<={VAR5[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[67:95],{3{1'b0}}};
VAR2[96:127]<={VAR5[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[68:95],{4{1'b0}}};
VAR2[96:127]<={VAR5[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[69:95],{5{1'b0}}};
VAR2[96:127]<={VAR5[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[70:95],{6{1'b0}}};
VAR2[96:127]<={VAR5[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[71:95],{7{1'b0}}};
VAR2[96:127]<={VAR5[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[72:95],{8{1'b0}}};
VAR2[96:127]<={VAR5[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[73:95],{9{1'b0}}};
VAR2[96:127]<={VAR5[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[74:95],{10{1'b0}}};
VAR2[96:127]<={VAR5[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[75:95],{11{1'b0}}};
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[76:95],{12{1'b0}}};
VAR2[96:127]<={VAR5[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[77:95],{13{1'b0}}};
VAR2[96:127]<={VAR5[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[78:95],{14{1'b0}}};
VAR2[96:127]<={VAR5[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[79:95],{15{1'b0}}};
VAR2[96:127]<={VAR5[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[80:95],{16{1'b0}}};
VAR2[96:127]<={VAR5[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[81:95],{17{1'b0}}};
VAR2[96:127]<={VAR5[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[82:95],{18{1'b0}}};
VAR2[96:127]<={VAR5[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[83:95],{19{1'b0}}};
VAR2[96:127]<={VAR5[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[84:95],{20{1'b0}}};
VAR2[96:127]<={VAR5[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[85:95],{21{1'b0}}};
VAR2[96:127]<={VAR5[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[86:95],{22{1'b0}}};
VAR2[96:127]<={VAR5[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[87:95],{23{1'b0}}};
VAR2[96:127]<={VAR5[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[88:95],{24{1'b0}}};
VAR2[96:127]<={VAR5[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[89:95],{25{1'b0}}};
VAR2[96:127]<={VAR5[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[90:95],{26{1'b0}}};
VAR2[96:127]<={VAR5[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[91:95],{27{1'b0}}};
VAR2[96:127]<={VAR5[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[92:95],{28{1'b0}}};
VAR2[96:127]<={VAR5[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[93:95],{29{1'b0}}};
VAR2[96:127]<={VAR5[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[94:95],{30{1'b0}}};
VAR2[96:127]<={VAR5[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR2[0:63]<=64'd0;
VAR2[64:95]<={VAR5[95],{31{1'b0}}};
VAR2[96:127]<={VAR5[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:7]<=VAR5[0:7];
VAR2[8:15]<=8'b0;
VAR2[16:23]<=VAR5[16:23];
VAR2[24:31]<=8'b0;
VAR2[32:39]<=VAR5[33:39];
VAR2[40:47]<=8'b0;
VAR2[48:55]<=VAR5[48:55];
VAR2[56:63]<=8'b0;
VAR2[64:71]<=VAR5[64:71];
VAR2[72:79]<=8'b0;
VAR2[80:87]<=VAR5[80:87];
VAR2[88:95]<=8'b0;
VAR2[96:103]<=VAR5[96:103];
VAR2[104:111]<=8'b0;
VAR2[112:119]<=VAR5[112:119];
VAR2[120:127]<=8'b0;
end
3'd1:
begin
VAR2[0:7]<={VAR5[1:7],{1'b0}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[17:23],{1'b0}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[33:39],{1'b0}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[49:55],{1'b0}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[65:71],{1'b0}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[81:87],{1'b0}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[97:103],{1'b0}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[113:119],{1'b0}};
VAR2[120:127]<=8'b0;
end
3'd2:
begin
VAR2[0:7]<={VAR5[2:7],{2{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[18:23],{2{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[34:39],{2{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[50:55],{2{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[66:71],{2{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[82:87],{2{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[98:103],{2{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[114:119],{2{1'b0}}};
VAR2[120:127]<=8'b0;
end
3'd3:
begin
VAR2[0:7]<={VAR5[3:7],{3{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[19:23],{3{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[35:39],{3{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[51:55],{3{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[67:71],{3{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[83:87],{3{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[99:103],{3{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[115:119],{3{1'b0}}};
VAR2[120:127]<=8'b0;
end
3'd4:
begin
VAR2[0:7]<={VAR5[4:7],{4{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[20:23],{4{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[36:39],{4{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[52:55],{4{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[68:71],{4{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[84:87],{4{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[100:103],{4{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[116:119],{4{1'b0}}};
VAR2[120:127]<=8'b0;
end
3'd5:
begin
VAR2[0:7]<={VAR5[5:7],{5{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[21:23],{5{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[37:39],{5{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[53:55],{5{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[69:71],{5{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[85:87],{5{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[101:103],{5{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[117:119],{5{1'b0}}};
VAR2[120:127]<=8'b0;
end
3'd6:
begin
VAR2[0:7]<={VAR5[6:7],{6{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[22:23],{6{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[38:39],{6{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[54:55],{6{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[70:71],{6{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[86:87],{6{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[102:103],{6{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[118:119],{6{1'b0}}};
VAR2[120:127]<=8'b0;
end
3'd7:
begin
VAR2[0:7]<={VAR5[7],{7{1'b0}}};
VAR2[8:15]<=8'b0;
VAR2[16:23]<={VAR5[23],{7{1'b0}}};
VAR2[24:31]<=8'b0;
VAR2[32:39]<={VAR5[39],{7{1'b0}}};
VAR2[40:47]<=8'b0;
VAR2[48:55]<={VAR5[55],{7{1'b0}}};
VAR2[56:63]<=8'b0;
VAR2[64:71]<={VAR5[71],{7{1'b0}}};
VAR2[72:79]<=8'b0;
VAR2[80:87]<={VAR5[87],{7{1'b0}}};
VAR2[88:95]<=8'b0;
VAR2[96:103]<={VAR5[103],{7{1'b0}}};
VAR2[104:111]<=8'b0;
VAR2[112:119]<={VAR5[119],{7{1'b0}}};
VAR2[120:127]<=8'b0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<={VAR5[1:15],{1'b0}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[33:47],{1'b0}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[65:79],{1'b0}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[97:111],{1'b0}};
VAR2[112:127]<=16'b0;
end
4'd2:
begin
VAR2[0:15]<={VAR5[2:15],{2{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[34:47],{2{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[66:79],{2{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[98:111],{2{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd3:
begin
VAR2[0:15]<={VAR5[3:15],{3{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[35:47],{3{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[67:79],{3{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[99:111],{3{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd4:
begin
VAR2[0:15]<={VAR5[4:15],{4{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[36:47],{4{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[68:79],{4{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[100:111],{4{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd5:
begin
VAR2[0:15]<={VAR5[5:15],{5{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[37:47],{5{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[69:79],{5{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[101:111],{5{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd6:
begin
VAR2[0:15]<={VAR5[6:15],{6{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[38:47],{6{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[70:79],{6{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[102:111],{6{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd7:
begin
VAR2[0:15]<={VAR5[7:15],{7{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[39:47],{7{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[71:79],{7{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[103:111],{7{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd8:
begin
VAR2[0:15]<={VAR5[8:15],{8{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[40:47],{8{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[72:79],{8{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[104:111],{8{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd9:
begin
VAR2[0:15]<={VAR5[9:15],{9{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[41:47],{9{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[73:79],{9{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[105:111],{9{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd10:
begin
VAR2[0:15]<={VAR5[10:15],{10{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[42:47],{10{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[74:79],{10{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[106:111],{10{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd11:
begin
VAR2[0:15]<={VAR5[11:15],{11{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[43:47],{11{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[75:79],{11{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[107:111],{11{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd12:
begin
VAR2[0:15]<={VAR5[12:15],{12{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[44:47],{12{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[76:79],{12{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[108:111],{12{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd13:
begin
VAR2[0:15]<={VAR5[13:15],{13{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[45:47],{13{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[77:79],{13{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[109:111],{13{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd14:
begin
VAR2[0:15]<={VAR5[14:15],{14{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[46:47],{14{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[78:79],{14{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[110:111],{14{1'b0}}};
VAR2[112:127]<=16'b0;
end
4'd15:
begin
VAR2[0:15]<={VAR5[15],{15{1'b0}}};
VAR2[16:31]<=16'b0;
VAR2[32:47]<={VAR5[47],{15{1'b0}}};
VAR2[48:63]<=16'b0;
VAR2[64:79]<={VAR5[79],{15{1'b0}}};
VAR2[80:95]<=16'b0;
VAR2[96:111]<={VAR5[111],{15{1'b0}}};
VAR2[112:127]<=16'b0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<={VAR5[1:31],{1'b0}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[65:95],{1'b0}};
VAR2[96:127]<=32'b0;
end
5'd2:
begin
VAR2[0:31]<={VAR5[2:31],{2{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[66:95],{2{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd3:
begin
VAR2[0:31]<={VAR5[3:31],{3{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[67:95],{3{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd4:
begin
VAR2[0:31]<={VAR5[4:31],{4{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[68:95],{4{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd5:
begin
VAR2[0:31]<={VAR5[5:31],{5{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[69:95],{5{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd6:
begin
VAR2[0:31]<={VAR5[6:31],{6{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[70:95],{6{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd7:
begin
VAR2[0:31]<={VAR5[7:31],{7{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[71:95],{7{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd8:
begin
VAR2[0:31]<={VAR5[8:31],{8{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[72:95],{8{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd9:
begin
VAR2[0:31]<={VAR5[9:31],{9{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[73:95],{9{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd10:
begin
VAR2[0:31]<={VAR5[10:31],{10{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[74:95],{10{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd11:
begin
VAR2[0:31]<={VAR5[11:31],{11{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[75:95],{11{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd12:
begin
VAR2[0:31]<={VAR5[12:31],{12{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[76:95],{12{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd13:
begin
VAR2[0:31]<={VAR5[13:31],{13{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[77:95],{13{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd14:
begin
VAR2[0:31]<={VAR5[14:31],{14{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[78:95],{14{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd15:
begin
VAR2[0:31]<={VAR5[15:31],{15{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[79:95],{15{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd16:
begin
VAR2[0:31]<={VAR5[16:31],{16{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[80:95],{16{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd17:
begin
VAR2[0:31]<={VAR5[17:31],{17{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[81:95],{17{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd18:
begin
VAR2[0:31]<={VAR5[18:31],{18{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[82:95],{18{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd19:
begin
VAR2[0:31]<={VAR5[19:31],{19{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[83:95],{19{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd20:
begin
VAR2[0:31]<={VAR5[20:31],{20{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[84:95],{20{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd21:
begin
VAR2[0:31]<={VAR5[21:31],{21{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[85:95],{21{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd22:
begin
VAR2[0:31]<={VAR5[22:31],{22{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[86:95],{22{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd23:
begin
VAR2[0:31]<={VAR5[23:31],{23{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[87:95],{23{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd24:
begin
VAR2[0:31]<={VAR5[24:31],{24{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[88:95],{24{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd25:
begin
VAR2[0:31]<={VAR5[25:31],{25{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[89:95],{25{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd26:
begin
VAR2[0:31]<={VAR5[26:31],{26{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[90:95],{26{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd27:
begin
VAR2[0:31]<={VAR5[27:31],{27{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[91:95],{27{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd28:
begin
VAR2[0:31]<={VAR5[28:31],{28{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[92:95],{28{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd29:
begin
VAR2[0:31]<={VAR5[29:31],{29{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[93:95],{29{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd30:
begin
VAR2[0:31]<={VAR5[30:31],{30{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[94:95],{30{1'b0}}};
VAR2[96:127]<=32'b0;
end
5'd31:
begin
VAR2[0:31]<={VAR5[31],{31{1'b0}}};
VAR2[32:63]<=32'b0;
VAR2[64:95]<={VAR5[95],{31{1'b0}}};
VAR2[96:127]<=32'b0;
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
3'd1:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[9:15],{1'b0}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[25:31],{1'b0}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[41:47],{1'b0}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[57:63],{1'b0}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[73:79],{1'b0}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[89:95],{1'b0}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[105:111],{1'b0}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[121:127],{1'b0}};
end
3'd2:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[10:15],{2{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[26:31],{2{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[42:47],{2{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[58:63],{2{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[74:79],{2{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[90:95],{2{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[106:111],{2{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[11:15],{3{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[27:31],{3{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[43:47],{3{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[59:63],{3{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[75:79],{3{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[91:95],{3{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[107:111],{3{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[12:15],{4{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[28:31],{4{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[44:47],{4{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[60:63],{4{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[76:79],{4{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[92:95],{4{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[108:111],{4{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[13:15],{5{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[29:31],{5{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[45:47],{5{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[61:63],{5{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[77:79],{5{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[93:95],{5{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[109:111],{5{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[14:15],{6{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[30:31],{6{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[46:47],{6{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[62:63],{6{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[78:79],{6{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[94:95],{6{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[110:111],{6{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR2[0:7]<=8'b0;
VAR2[8:15]<={VAR5[15],{7{1'b0}}};
VAR2[16:23]<=8'b0;
VAR2[24:31]<={VAR5[31],{7{1'b0}}};
VAR2[32:39]<=8'b0;
VAR2[40:47]<={VAR5[47],{7{1'b0}}};
VAR2[48:55]<=8'b0;
VAR2[56:63]<={VAR5[63],{7{1'b0}}};
VAR2[64:71]<=8'b0;
VAR2[72:79]<={VAR5[79],{7{1'b0}}};
VAR2[80:87]<=8'b0;
VAR2[88:95]<={VAR5[95],{7{1'b0}}};
VAR2[96:103]<=8'b0;
VAR2[104:111]<={VAR5[111],{7{1'b0}}};
VAR2[112:119]<=8'b0;
VAR2[120:127]<={VAR5[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
4'd1:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[17:31],{1'b0}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[49:63],{1'b0}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[81:95],{1'b0}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[113:127],{1'b0}};
end
4'd2:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[18:31],{2{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[50:63],{2{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[82:95],{2{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[19:31],{3{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[51:63],{3{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[83:95],{3{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[20:31],{4{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[52:63],{4{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[84:95],{4{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[21:31],{5{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[52:63],{5{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[85:95],{5{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[22:31],{6{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[53:63],{6{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[86:95],{6{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[23:31],{7{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[54:63],{7{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[87:95],{7{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[24:31],{8{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[55:63],{8{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[88:95],{8{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[25:31],{9{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[56:63],{9{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[89:95],{9{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[26:31],{10{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[58:63],{10{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[90:95],{10{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[27:31],{11{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[59:63],{11{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[91:95],{11{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[28:31],{12{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[60:63],{12{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[92:95],{12{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[29:31],{13{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[61:63],{13{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[93:95],{13{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[30:31],{14{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[62:63],{14{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[94:95],{14{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR2[0:15]<=16'b0;
VAR2[16:31]<={VAR5[31],{15{1'b0}}};
VAR2[32:47]<=16'b0;
VAR2[48:63]<={VAR5[63],{15{1'b0}}};
VAR2[64:79]<=16'b0;
VAR2[80:95]<={VAR5[95],{15{1'b0}}};
VAR2[96:111]<=16'b0;
VAR2[112:127]<={VAR5[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:127]<=VAR5[0:127];
end
5'd1:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[33:63],{1'b0}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[97:127],{1'b0}};
end
5'd2:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[34:63],{2{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[35:63],{3{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[36:63],{4{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[37:63],{5{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[38:63],{6{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[39:63],{7{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[40:63],{8{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[41:63],{9{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[42:63],{10{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[43:63],{11{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[44:63],{12{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[45:63],{13{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[46:63],{14{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[47:63],{15{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[48:63],{16{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[49:63],{17{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[50:63],{18{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[51:63],{19{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[52:63],{20{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[53:63],{21{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[54:63],{22{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[55:63],{23{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[56:63],{24{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[57:63],{25{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[58:63],{26{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[59:63],{27{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[60:63],{28{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[61:63],{29{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[62:63],{30{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR2[0:31]<=32'b0;
VAR2[32:63]<={VAR5[63],{31{1'b0}}};
VAR2[64:95]<=32'b0;
VAR2[96:127]<={VAR5[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:7]<=VAR5[0:7];
VAR2[8:127]<=119'b0;
end
3'd1:
begin
VAR2[0:7]<={VAR5[1:7],{1'b0}};
VAR2[8:127]<=119'b0;
end
3'd2:
begin
VAR2[0:7]<={VAR5[2:7],{2{1'b0}}};
VAR2[8:127]<=119'b0;
end
3'd3:
begin
VAR2[0:7]<={VAR5[3:7],{3{1'b0}}};
VAR2[8:127]<=119'b0;
end
3'd4:
begin
VAR2[0:7]<={VAR5[4:7],{4{1'b0}}};
VAR2[8:127]<=119'b0;
end
3'd5:
begin
VAR2[0:7]<={VAR5[5:7],{5{1'b0}}};
VAR2[8:127]<=119'b0;
end
3'd6:
begin
VAR2[0:7]<={VAR5[6:7],{6{1'b0}}};
VAR2[8:127]<=119'b0;
end
3'd7:
begin
VAR2[0:7]<={VAR5[7],{7{1'b0}}};
VAR2[8:127]<=119'b0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:15]<=VAR5[0:15];
VAR2[16:127]<=112'b0;
end
4'd1:
begin
VAR2[0:15]<={VAR5[1:15],{1'b0}};
VAR2[16:127]<=112'b0;
end
4'd2:
begin
VAR2[0:15]<={VAR5[2:15],{2{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd3:
begin
VAR2[0:15]<={VAR5[3:15],{3{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd4:
begin
VAR2[0:15]<={VAR5[4:15],{4{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd5:
begin
VAR2[0:15]<={VAR5[5:15],{5{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd6:
begin
VAR2[0:15]<={VAR5[6:15],{6{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd7:
begin
VAR2[0:15]<={VAR5[7:15],{7{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd8:
begin
VAR2[0:15]<={VAR5[8:15],{8{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd9:
begin
VAR2[0:15]<={VAR5[9:15],{9{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd10:
begin
VAR2[0:15]<={VAR5[10:15],{10{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd11:
begin
VAR2[0:15]<={VAR5[11:15],{11{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd12:
begin
VAR2[0:15]<={VAR5[12:15],{12{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd13:
begin
VAR2[0:15]<={VAR5[13:15],{13{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd14:
begin
VAR2[0:15]<={VAR5[14:15],{14{1'b0}}};
VAR2[16:127]<=112'b0;
end
4'd15:
begin
VAR2[0:15]<={VAR5[15],{15{1'b0}}};
VAR2[16:127]<=112'b0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:31]<=VAR5[0:31];
VAR2[32:127]<=96'b0;
end
5'd1:
begin
VAR2[0:31]<={VAR5[1:31],{1'b0}};
VAR2[32:127]<=96'b0;
end
5'd2:
begin
VAR2[0:31]<={VAR5[2:31],{2{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd3:
begin
VAR2[0:31]<={VAR5[3:31],{3{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd4:
begin
VAR2[0:31]<={VAR5[4:31],{4{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd5:
begin
VAR2[0:31]<={VAR5[5:31],{5{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd6:
begin
VAR2[0:31]<={VAR5[6:31],{6{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd7:
begin
VAR2[0:31]<={VAR5[7:31],{7{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd8:
begin
VAR2[0:31]<={VAR5[8:31],{8{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd9:
begin
VAR2[0:31]<={VAR5[9:31],{9{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd10:
begin
VAR2[0:31]<={VAR5[10:31],{10{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd11:
begin
VAR2[0:31]<={VAR5[11:31],{11{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd12:
begin
VAR2[0:31]<={VAR5[12:31],{12{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd13:
begin
VAR2[0:31]<={VAR5[13:31],{13{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd14:
begin
VAR2[0:31]<={VAR5[14:31],{14{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd15:
begin
VAR2[0:31]<={VAR5[15:31],{15{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd16:
begin
VAR2[0:31]<={VAR5[16:31],{16{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd17:
begin
VAR2[0:31]<={VAR5[17:31],{17{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd18:
begin
VAR2[0:31]<={VAR5[18:31],{18{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd19:
begin
VAR2[0:31]<={VAR5[19:31],{19{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd20:
begin
VAR2[0:31]<={VAR5[20:31],{20{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd21:
begin
VAR2[0:31]<={VAR5[21:31],{21{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd22:
begin
VAR2[0:31]<={VAR5[22:31],{22{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd23:
begin
VAR2[0:31]<={VAR5[23:31],{23{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd24:
begin
VAR2[0:31]<={VAR5[24:31],{24{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd25:
begin
VAR2[0:31]<={VAR5[25:31],{25{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd26:
begin
VAR2[0:31]<={VAR5[26:31],{26{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd27:
begin
VAR2[0:31]<={VAR5[27:31],{27{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd28:
begin
VAR2[0:31]<={VAR5[28:31],{28{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd29:
begin
VAR2[0:31]<={VAR5[29:31],{29{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd30:
begin
VAR2[0:31]<={VAR5[30:31],{30{1'b0}}};
VAR2[32:127]<=96'b0;
end
5'd31:
begin
VAR2[0:31]<={VAR5[31],{31{1'b0}}};
VAR2[32:127]<=96'b0;
end
endcase
end
endcase
end
case(VAR6)
begin
case(VAR3[2:4])
3'd0:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<=VAR5[120:127];
end
3'd1:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[121:127],{1'b0}};
end
3'd2:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR2[0:119]<=120'b0;
VAR2[120:127]<={VAR5[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<=VAR5[112:127];
end
4'd1:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[113:127],{1'b0}};
end
4'd2:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR2[0:111]<=112'b0;
VAR2[112:127]<={VAR5[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<=VAR5[96:127];
end
5'd1:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[97:127],{1'b0}};
end
5'd2:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR2[0:95]<=96'b0;
VAR2[96:127]<={VAR5[127],{31{1'b0}}};
end
endcase
end
endcase
end
endcase
end
default:
begin
VAR2<=128'd0;
end
endcase
end
endmodule
|
mit
|
bigeagle/riffa
|
fpga/altera/de5/riffa_wrapper_de5.v
| 36,275 |
module MODULE1
parameter VAR62 = 128,
parameter VAR13 = 256,
parameter VAR164 = 5
)
(
input [VAR62-1:0] VAR247,
input [0:0] VAR190,
input [0:0] VAR308,
input [0:0] VAR183,
output VAR335,
input [0:0] VAR328,
output [VAR62-1:0] VAR243,
output [0:0] VAR51,
input VAR204,
output [0:0] VAR130,
output [0:0] VAR50,
output [0:0] VAR107,
input [VAR148-1:0] VAR5,
input [VAR296-1:0] VAR165,
input [VAR252-1:0] VAR18,
input [VAR44-1:0] VAR64,
input [VAR187-1:0] VAR216,
input VAR318,
output VAR36,
input VAR103,
input VAR161,
output VAR106,
input [VAR330-1:0] VAR287, output [VAR330-1:0] VAR199, input [VAR330-1:0] VAR344, output [VAR330-1:0] VAR250, output [(VAR330*VAR75)-1:0] VAR309, output [(VAR330*VAR302)-1:0] VAR58, output [(VAR330*VAR62)-1:0] VAR244, output [VAR330-1:0] VAR259, input [VAR330-1:0] VAR23,
input [VAR330-1:0] VAR122, input [VAR330-1:0] VAR32, output [VAR330-1:0] VAR274, input [VAR330-1:0] VAR267, input [(VAR330*VAR75)-1:0] VAR146, input [(VAR330*VAR302)-1:0] VAR202, input [(VAR330*VAR62)-1:0] VAR162, input [VAR330-1:0] VAR220, output [VAR330-1:0] VAR90
);
localparam VAR266 = "VAR262"; localparam VAR151 = VAR13 * 2;
localparam VAR141 = "VAR269";
localparam VAR346 = 2;
localparam VAR153 = VAR62 / 32;
localparam VAR197 = 1;
localparam VAR125 = 1;
wire clk;
wire VAR104;
wire [VAR62-1:0] VAR254;
wire VAR286;
wire VAR84;
wire [(VAR62/32)-1:0] VAR222;
wire [VAR307(VAR62/32)-1:0] VAR96;
wire [VAR237-1:0] VAR297;
wire VAR248;
wire [VAR307(VAR62/32)-1:0] VAR275;
wire [VAR135-1:0] VAR231;
wire [VAR260-1:0] VAR226;
wire [VAR127-1:0] VAR323;
wire [VAR119-1:0] VAR208;
wire [VAR19-1:0] VAR39;
wire [VAR134-1:0] VAR331;
wire [VAR180-1:0] VAR10;
wire VAR210;
wire [VAR62-1:0] VAR33;
wire VAR149;
wire [(VAR62/32)-1:0] VAR112;
wire VAR111;
wire [VAR307(VAR62/32)-1:0] VAR129;
wire [VAR237-1:0] VAR317;
wire VAR241;
wire [VAR307(VAR62/32)-1:0] VAR261;
wire [VAR135-1:0] VAR337;
wire [VAR54-1:0] VAR227;
wire [VAR310-1:0] VAR268;
wire [VAR260-1:0] VAR270;
wire [VAR119-1:0] VAR77;
wire [VAR292-1:0] VAR319;
wire [VAR40-1:0] VAR179;
wire [VAR314-1:0] VAR304;
wire [VAR19-1:0] VAR333;
wire VAR61;
wire VAR301;
wire [VAR62-1:0] VAR21;
wire VAR329;
wire [VAR307(VAR62/32)-1:0] VAR48;
wire VAR167;
wire [VAR307(VAR62/32)-1:0] VAR154;
wire VAR289;
wire VAR229;
wire [VAR237-1:0] VAR209;
wire [VAR135-1:0] VAR341;
wire [VAR127-1:0] VAR169;
wire [VAR119-1:0] VAR30;
wire [VAR19-1:0] VAR128;
wire [VAR134-1:0] VAR298;
wire [VAR260-1:0] VAR136;
wire [VAR314-1:0] VAR173;
wire [VAR54-1:0] VAR71;
wire [VAR310-1:0] VAR272;
wire VAR192;
wire VAR27;
wire VAR69;
wire VAR282;
wire [VAR62-1:0] VAR249;
wire VAR224;
wire [VAR307(VAR62/32)-1:0] VAR15;
wire VAR172;
wire [VAR307(VAR62/32)-1:0] VAR225;
wire VAR43;
wire VAR343;
wire [VAR237-1:0] VAR255;
wire [VAR135-1:0] VAR306;
wire [VAR292-1:0] VAR230;
wire [VAR19-1:0] VAR52;
wire [VAR260-1:0] VAR233;
wire [VAR54-1:0] VAR312;
wire [VAR310-1:0] VAR257;
wire [VAR119-1:0] VAR321;
wire VAR49;
wire VAR338;
wire VAR98;
wire VAR242;
wire [VAR62-1:0] VAR66;
wire VAR160;
wire [VAR303-1:0] VAR219;
wire VAR256;
wire [VAR303-1:0] VAR68;
wire VAR176;
wire [VAR40-1:0] VAR42;
wire VAR152;
wire [VAR62-1:0] VAR170;
wire VAR342;
wire [VAR303-1:0] VAR163;
wire VAR191;
wire [VAR303-1:0] VAR182;
wire VAR181;
wire VAR217;
wire [VAR62-1:0] VAR295;
wire [VAR2-1:0] VAR294;
wire [(VAR62/32)-1:0] VAR265;
wire VAR215 = 0;
wire VAR174;
wire [VAR62-1:0] VAR185 = 0;
wire [VAR7-1:0] VAR325 = 0;
wire VAR212 = 0;
wire [(VAR62/32)-1:0] VAR299 = 0;
wire VAR334 = 0;
wire VAR9;
wire [VAR62-1:0] VAR46 = 0;
wire [VAR88-1:0] VAR45 = 0;
wire VAR116 = 0;
wire [(VAR62/32)-1:0] VAR201 = 0;
wire VAR273 = 0;
wire VAR186 = 0;
wire [VAR62-1:0] VAR155;
wire [VAR177-1:0] VAR168;
wire VAR79;
wire [(VAR62/32)-1:0] VAR124;
wire VAR245;
wire VAR158 = 0;
wire VAR193;
wire [VAR180-1:0] VAR28;
wire VAR100;
wire VAR290;
wire [VAR236-1:0] VAR157;
wire [VAR150-1:0] VAR234;
wire [VAR324-1:0] VAR63;
wire [VAR105-1:0] VAR178;
wire [VAR315-1:0] VAR38;
wire [VAR47-1:0] VAR285;
wire VAR281;
wire VAR206;
genvar VAR253;
assign clk = VAR103;
assign VAR104 = VAR161;
VAR198
.VAR62 (VAR62))
VAR29
(
.VAR196 (VAR66[VAR62-1:0]),
.VAR239 (VAR176),
.VAR74 (VAR256),
.VAR238 (VAR68[VAR303-1:0]),
.VAR288 (VAR160),
.VAR25 (VAR219[VAR303-1:0]),
.VAR70 (VAR42[VAR40-1:0]),
.VAR326 (VAR152),
.VAR16 (VAR28[VAR180-1:0]),
.VAR189 (VAR193),
.VAR159 (VAR234[VAR150-1:0]),
.VAR207 (VAR157[VAR236-1:0]),
.VAR305 (VAR178[VAR105-1:0]),
.VAR251 (VAR63[VAR324-1:0]),
.VAR92 (VAR290),
.VAR99 (VAR100),
.VAR87 (VAR38[VAR315-1:0]),
.VAR276 (VAR285[VAR47-1:0]),
.VAR78 (VAR206),
.VAR345 (clk),
.VAR166 (VAR104),
.VAR80 (VAR242),
.VAR93 (VAR170[VAR62-1:0]),
.VAR322 (VAR181),
.VAR120 (VAR191),
.VAR121 (VAR182[VAR303-1:0]),
.VAR22 (VAR342),
.VAR85 (VAR163[VAR303-1:0]),
.VAR60 (VAR281),
.VAR335 (VAR335),
.VAR243 (VAR243[VAR62-1:0]),
.VAR51 (VAR51[0:0]),
.VAR130 (VAR130[0:0]),
.VAR50 (VAR50[0:0]),
.VAR107 (VAR107[0:0]),
.VAR36 (VAR36),
.VAR247 (VAR247[VAR62-1:0]),
.VAR190 (VAR190[0:0]),
.VAR308 (VAR308[0:0]),
.VAR183 (VAR183[0:0]),
.VAR328 (VAR328[0:0]),
.VAR204 (VAR204),
.VAR5 (VAR5[VAR148-1:0]),
.VAR165 (VAR165[VAR296-1:0]),
.VAR18 (VAR18[VAR252-1:0]),
.VAR64 (VAR64[VAR47-1:0]),
.VAR216 (VAR216[VAR315-1:0]),
.VAR318 (VAR318));
VAR53
.VAR164 (VAR164),
.VAR125 (VAR125),
.VAR197 (VAR197),
.VAR203 (VAR13/4),
.VAR141 (VAR141))
VAR1
( .VAR280 (VAR254[VAR62-1:0]),
.VAR82 (VAR222[(VAR62/32)-1:0]),
.VAR195 (VAR286),
.VAR31 (VAR84),
.VAR340 (VAR96[VAR307(VAR62/32)-1:0]),
.VAR59 (VAR297[VAR237-1:0]),
.VAR171 (VAR248),
.VAR117 (VAR275[VAR307(VAR62/32)-1:0]),
.VAR94 (VAR231[VAR135-1:0]),
.VAR34 (VAR226[VAR260-1:0]),
.VAR205 (VAR323[VAR127-1:0]),
.VAR89 (VAR208[VAR119-1:0]),
.VAR277 (VAR39[VAR19-1:0]),
.VAR56 (VAR331[VAR134-1:0]),
.VAR142 (VAR10[VAR180-1:0]),
.VAR218 (VAR210),
.VAR184 (VAR33[VAR62-1:0]),
.VAR327 (VAR112[(VAR62/32)-1:0]),
.VAR188 (VAR149),
.VAR110 (VAR111),
.VAR200 (VAR129[VAR307(VAR62/32)-1:0]),
.VAR339 (VAR241),
.VAR8 (VAR261[VAR307(VAR62/32)-1:0]),
.VAR72 (VAR317[VAR237-1:0]),
.VAR279 (VAR337[VAR135-1:0]),
.VAR113 (VAR227[VAR54-1:0]),
.VAR194 (VAR268[VAR310-1:0]),
.VAR133 (VAR270[VAR260-1:0]),
.VAR144 (VAR77[VAR119-1:0]),
.VAR211 (VAR319[VAR292-1:0]),
.VAR76 (VAR179[VAR40-1:0]),
.VAR57 (VAR304[VAR314-1:0]),
.VAR213 (VAR333[VAR19-1:0]),
.VAR235 (VAR61),
.VAR278 (VAR289),
.VAR246 (VAR27),
.VAR102 (VAR69),
.VAR37 (VAR43),
.VAR12 (VAR338),
.VAR263 (VAR98),
.VAR93 (VAR170),
.VAR322 (VAR181),
.VAR120 (VAR191),
.VAR121 (VAR182),
.VAR22 (VAR342),
.VAR85 (VAR163),
.VAR80 (VAR242),
.VAR345 (clk),
.VAR166 (VAR104),
.VAR16 (VAR28[VAR180-1:0]),
.VAR228 (VAR301),
.VAR11 (VAR21[VAR62-1:0]),
.VAR86 (VAR329),
.VAR65 (VAR48[VAR307(VAR62/32)-1:0]),
.VAR97 (VAR167),
.VAR214 (VAR154[VAR307(VAR62/32)-1:0]),
.VAR139 (VAR229),
.VAR336 (VAR209[VAR237-1:0]),
.VAR140 (VAR341[VAR135-1:0]),
.VAR240 (VAR169[VAR127-1:0]),
.VAR26 (VAR30[VAR119-1:0]),
.VAR311 (VAR128[VAR19-1:0]),
.VAR320 (VAR298[VAR134-1:0]),
.VAR145 (VAR136[VAR260-1:0]),
.VAR293 (VAR173[VAR314-1:0]),
.VAR123 (VAR71[VAR54-1:0]),
.VAR175 (VAR272[VAR310-1:0]),
.VAR300 (VAR192),
.VAR258 (VAR282),
.VAR91 (VAR249[VAR62-1:0]),
.VAR4 (VAR224),
.VAR264 (VAR15[VAR307(VAR62/32)-1:0]),
.VAR101 (VAR172),
.VAR55 (VAR225[VAR307(VAR62/32)-1:0]),
.VAR114 (VAR343),
.VAR67 (VAR255[VAR237-1:0]),
.VAR137 (VAR306[VAR135-1:0]),
.VAR332 (VAR230[VAR292-1:0]),
.VAR232 (VAR52[VAR19-1:0]),
.VAR132 (VAR233[VAR260-1:0]),
.VAR108 (VAR312[VAR54-1:0]),
.VAR17 (VAR257[VAR310-1:0]),
.VAR95 (VAR321[VAR119-1:0]),
.VAR313 (VAR49),
.VAR196 (VAR66),
.VAR239 (VAR176),
.VAR74 (VAR256),
.VAR238 (VAR68),
.VAR288 (VAR160),
.VAR25 (VAR219),
.VAR70 (VAR42),
.VAR326 (VAR152),
.VAR35 (VAR186),
.VAR3 (VAR9),
.VAR6 (VAR245),
.VAR316 (VAR79),
.VAR291 (VAR155[VAR62-1:0]),
.VAR115 (VAR124[(VAR62/32)-1:0]),
.VAR223 (VAR168[VAR177-1:0]),
.VAR14 (VAR174),
.VAR83 (VAR217),
.VAR41 (VAR295[VAR62-1:0]),
.VAR20 (VAR265[(VAR62/32)-1:0]),
.VAR109 (VAR294[VAR2-1:0]),
.VAR24 (VAR273),
.VAR221 (VAR116),
.VAR73 (VAR46[VAR62-1:0]),
.VAR126 (VAR201[(VAR62/32)-1:0]),
.VAR147 (VAR45[VAR88-1:0]),
.VAR284 (VAR334),
.VAR131 (VAR212),
.VAR81 (VAR185[VAR62-1:0]),
.VAR138 (VAR299[(VAR62/32)-1:0]),
.VAR118 (VAR325[VAR7-1:0]),
.VAR156 (VAR158),
.VAR143 (VAR215)
);
VAR283
.VAR62 (VAR62),
.VAR330 (VAR330),
.VAR151 (VAR151),
.VAR141 (VAR141),
.VAR266 (VAR266))
VAR271
( .VAR11 (VAR21[VAR62-1:0]),
.VAR228 (VAR301),
.VAR86 (VAR329),
.VAR65 (VAR48[VAR307(VAR62/32)-1:0]),
.VAR97 (VAR167),
.VAR214 (VAR154[VAR307(VAR62/32)-1:0]),
.VAR139 (VAR229),
.VAR336 (VAR209[VAR237-1:0]),
.VAR140 (VAR341[VAR135-1:0]),
.VAR240 (VAR169[VAR127-1:0]),
.VAR26 (VAR30[VAR119-1:0]),
.VAR311 (VAR128[VAR19-1:0]),
.VAR320 (VAR298[VAR134-1:0]),
.VAR145 (VAR136[VAR260-1:0]),
.VAR293 (VAR173[VAR314-1:0]),
.VAR123 (VAR71[VAR54-1:0]),
.VAR175 (VAR272[VAR310-1:0]),
.VAR300 (VAR192),
.VAR258 (VAR282),
.VAR91 (VAR249[VAR62-1:0]),
.VAR4 (VAR224),
.VAR264 (VAR15[VAR307(VAR62/32)-1:0]),
.VAR101 (VAR172),
.VAR55 (VAR225[VAR307(VAR62/32)-1:0]),
.VAR114 (VAR343),
.VAR67 (VAR255[VAR237-1:0]),
.VAR137 (VAR306[VAR135-1:0]),
.VAR332 (VAR230[VAR292-1:0]),
.VAR232 (VAR52[VAR19-1:0]),
.VAR132 (VAR233[VAR260-1:0]),
.VAR108 (VAR312[VAR54-1:0]),
.VAR17 (VAR257[VAR310-1:0]),
.VAR95 (VAR321[VAR119-1:0]),
.VAR313 (VAR49),
.VAR60 (VAR281),
.VAR345 (clk),
.VAR166 (VAR104),
.VAR184 (VAR33[VAR62-1:0]),
.VAR188 (VAR149),
.VAR110 (VAR111),
.VAR200 (VAR129[VAR307(VAR62/32)-1:0]),
.VAR327 (VAR112[(VAR62/32)-1:0]),
.VAR339 (VAR241),
.VAR8 (VAR261[VAR307(VAR62/32)-1:0]),
.VAR72 (VAR317[VAR237-1:0]),
.VAR279 (VAR337[VAR135-1:0]),
.VAR113 (VAR227[VAR54-1:0]),
.VAR194 (VAR268[VAR310-1:0]),
.VAR133 (VAR270[VAR260-1:0]),
.VAR144 (VAR77[VAR119-1:0]),
.VAR211 (VAR319[VAR292-1:0]),
.VAR76 (VAR179[VAR40-1:0]),
.VAR57 (VAR304[VAR314-1:0]),
.VAR213 (VAR333[VAR19-1:0]),
.VAR235 (VAR61),
.VAR195 (VAR286),
.VAR280 (VAR254[VAR62-1:0]),
.VAR31 (VAR84),
.VAR340 (VAR96[VAR307(VAR62/32)-1:0]),
.VAR82 (VAR222[(VAR62/32)-1:0]),
.VAR171 (VAR248),
.VAR117 (VAR275[VAR307(VAR62/32)-1:0]),
.VAR59 (VAR297[VAR237-1:0]),
.VAR94 (VAR231[VAR135-1:0]),
.VAR34 (VAR226[VAR260-1:0]),
.VAR205 (VAR323[VAR127-1:0]),
.VAR89 (VAR208[VAR119-1:0]),
.VAR277 (VAR39[VAR19-1:0]),
.VAR56 (VAR331[VAR134-1:0]),
.VAR142 (VAR10[VAR180-1:0]),
.VAR218 (VAR210),
.VAR278 (VAR289),
.VAR246 (VAR27),
.VAR102 (VAR69),
.VAR37 (VAR43),
.VAR12 (VAR338),
.VAR263 (VAR98),
.VAR16 (VAR28[VAR180-1:0]),
.VAR189 (VAR193),
.VAR159 (VAR234[VAR150-1:0]),
.VAR207 (VAR157[VAR236-1:0]),
.VAR305 (VAR178[VAR105-1:0]),
.VAR251 (VAR63[VAR324-1:0]),
.VAR92 (VAR290),
.VAR99 (VAR100),
.VAR87 (VAR38[VAR315-1:0]),
.VAR276 (VAR285[VAR47-1:0]),
.VAR78 (VAR206),
.VAR106 (VAR106),
.VAR199 (VAR199[VAR330-1:0]),
.VAR250 (VAR250[VAR330-1:0]),
.VAR309 (VAR309[(VAR330*32)-1:0]),
.VAR58 (VAR58[(VAR330*31)-1:0]),
.VAR244 (VAR244[(VAR330*VAR62)-1:0]),
.VAR259 (VAR259[VAR330-1:0]),
.VAR274 (VAR274[VAR330-1:0]),
.VAR90 (VAR90[VAR330-1:0]),
.VAR287 (VAR287[VAR330-1:0]),
.VAR344 (VAR344[VAR330-1:0]),
.VAR23 (VAR23[VAR330-1:0]),
.VAR122 (VAR122[VAR330-1:0]),
.VAR32 (VAR32[VAR330-1:0]),
.VAR267 (VAR267[VAR330-1:0]),
.VAR146 (VAR146[(VAR330*32)-1:0]),
.VAR202 (VAR202[(VAR330*31)-1:0]),
.VAR162 (VAR162[(VAR330*VAR62)-1:0]),
.VAR220 (VAR220[VAR330-1:0]));
endmodule
|
bsd-3-clause
|
MegaShow/college-programming
|
Homework/Digital Circuits and Logical Design/Watch/Print.v
| 1,658 |
module MODULE1(
input clk, input [15:0] VAR6, input [3:0] VAR2, output reg [7:0] VAR8, output reg [3:0] VAR9 );
reg VAR7; reg [3:0] VAR1;
reg [15:0] counter;
reg [31:0] VAR5;
reg [3:0] VAR12;
parameter [15:0] VAR3 = 16'VAR10;
parameter [31:0] VAR4 = 32'VAR11;
|
mit
|
peteasa/oh
|
src/common/hdl/oh_memory_ram.v
| 1,496 |
module MODULE1 # (parameter VAR7 = 104, parameter VAR6 = 32, parameter VAR3 = VAR15(VAR6) )
( input VAR9, input VAR14, input [VAR3-1:0] VAR12, output reg [VAR7-1:0] VAR10, input VAR4, input VAR1, input [VAR3-1:0] VAR5, input [VAR7-1:0] VAR13, input [VAR7-1:0] VAR2 );
reg [VAR7-1:0] VAR11 [VAR6-1:0];
integer VAR8;
always @ (posedge VAR9)
if(VAR14)
VAR10[VAR7-1:0] <= VAR11[VAR12[VAR3-1:0]];
always @(posedge VAR4)
for (VAR8=0;VAR8<VAR7;VAR8=VAR8+1)
if (VAR1 & VAR13[VAR8])
VAR11[VAR5[VAR3-1:0]][VAR8] <= VAR2[VAR8];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a211o/sky130_fd_sc_hd__a211o_1.v
| 2,348 |
module MODULE1 (
VAR11 ,
VAR9 ,
VAR2 ,
VAR8 ,
VAR7 ,
VAR4,
VAR6,
VAR5 ,
VAR3
);
output VAR11 ;
input VAR9 ;
input VAR2 ;
input VAR8 ;
input VAR7 ;
input VAR4;
input VAR6;
input VAR5 ;
input VAR3 ;
VAR10 VAR1 (
.VAR11(VAR11),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR11 ,
VAR9,
VAR2,
VAR8,
VAR7
);
output VAR11 ;
input VAR9;
input VAR2;
input VAR8;
input VAR7;
supply1 VAR4;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR3 ;
VAR10 VAR1 (
.VAR11(VAR11),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
swallat/yosys
|
techlibs/xilinx/lut2lut.v
| 1,116 |
module MODULE6(output VAR10, input VAR11);
parameter [1:0] VAR3 = 0;
\lut #(
.VAR5(1),
.VAR7(VAR3)
) VAR12 (
.VAR1(VAR11),
.VAR4(VAR10)
);
endmodule
module MODULE1(output VAR10, input VAR11, VAR13);
parameter [3:0] VAR3 = 0;
\lut #(
.VAR5(2),
.VAR7(VAR3)
) VAR12 (
.VAR1({VAR13, VAR11}),
.VAR4(VAR10)
);
endmodule
module MODULE3(output VAR10, input VAR11, VAR13, VAR9);
parameter [7:0] VAR3 = 0;
\lut #(
.VAR5(3),
.VAR7(VAR3)
) VAR12 (
.VAR1({VAR9, VAR13, VAR11}),
.VAR4(VAR10)
);
endmodule
module MODULE4(output VAR10, input VAR11, VAR13, VAR9, VAR6);
parameter [15:0] VAR3 = 0;
\lut #(
.VAR5(4),
.VAR7(VAR3)
) VAR12 (
.VAR1({VAR6, VAR9, VAR13, VAR11}),
.VAR4(VAR10)
);
endmodule
module MODULE2(output VAR10, input VAR11, VAR13, VAR9, VAR6, VAR8);
parameter [31:0] VAR3 = 0;
\lut #(
.VAR5(5),
.VAR7(VAR3)
) VAR12 (
.VAR1({VAR8, VAR6, VAR9, VAR13, VAR11}),
.VAR4(VAR10)
);
endmodule
module MODULE5(output VAR10, input VAR11, VAR13, VAR9, VAR6, VAR8, VAR2);
parameter [63:0] VAR3 = 0;
\lut #(
.VAR5(6),
.VAR7(VAR3)
) VAR12 (
.VAR1({VAR2, VAR8, VAR6, VAR9, VAR13, VAR11}),
.VAR4(VAR10)
);
endmodule
|
isc
|
EEorCS/Taximeter_on_Altera_DE2
|
Verilog_sources/wait_time_module.v
| 1,366 |
module MODULE1 (reset, VAR3, clk, VAR1);
input clk,VAR3,reset;
output [11:0] VAR1;
reg [11:0] VAR1;
reg [5:0] VAR2;
always @ (posedge clk or negedge reset)
begin
if(!reset)
begin
VAR1 <= 0;
VAR2 <= 0;
end
else if (VAR3 == 0)
begin
if(VAR2 >= 4)
begin
VAR1 <= VAR1 +1;
VAR2 <= 0;
end
else VAR2 <= VAR2+1;
end
end
endmodule
|
gpl-2.0
|
Raimmaster/Breakout-FPGA
|
sine_wave_rom_do.v
| 1,211 |
module MODULE1 (
input [4:0] address,
output reg [3:0] VAR2
);
always @ (address) begin
case (address)
5'h0: VAR2 = 4'h8;
5'h1: VAR2 = 4'h9;
5'h2: VAR2 = 4'hA;
5'h3: VAR2 = 4'hC;
5'h4: VAR2 = 4'hD;
5'h5: VAR2 = 4'hE;
5'h6: VAR2 = 4'hE;
5'h7: VAR2 = 4'hF;
5'h8: VAR2 = 4'hF;
5'h9: VAR2 = 4'hF;
5'ha: VAR2 = 4'hE;
5'hb: VAR2 = 4'hE;
5'hc: VAR2 = 4'hD;
5'hd: VAR2 = 4'hC;
5'he: VAR2 = 4'hA;
5'hf: VAR2 = 4'h9;
5'h10: VAR2 = 4'h7;
5'h11: VAR2 = 4'h6;
5'h12: VAR2 = 4'h5;
5'h13: VAR2 = 4'h3;
5'h14: VAR2 = 4'h2;
5'h15: VAR2 = 4'h1;
5'h16: VAR2 = 4'h1;
5'h17: VAR2 = 4'h0;
5'h18: VAR2 = 4'h0;
5'h19: VAR2 = 4'h0;
5'h1a: VAR2 = 4'h1;
5'h1b: VAR2 = 4'h1;
5'h1c: VAR2 = 4'h2;
5'h1d: VAR2 = 4'h3;
5'h1e: VAR2 = 4'h5;
5'h1f: VAR2 = 4'h6;
default: VAR2 = 4'VAR1;
endcase
end
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/icgtn/gf180mcu_fd_sc_mcu9t5v0__icgtn_2.functional.v
| 1,064 |
module MODULE1( VAR7, VAR8, VAR1, VAR6, VAR10 );
input VAR1, VAR8, VAR7, VAR10;
output VAR6;
or VAR11( VAR4, VAR8, VAR7 );
VAR5( VAR9, 1'b0, 1'b0, VAR1, VAR4, VAR10 );
wire VAR12;
not VAR2( VAR12, VAR9 );
or VAR3( VAR6, VAR1, VAR12 );
endmodule
|
apache-2.0
|
tau-tao/FPGAIPFilter
|
FPGA_CODE/JTAG_RW_PKT_PROC_MOORE/pll_sys_syn.v
| 15,984 |
module MODULE1
(
clk,
VAR36,
VAR31) ;
output [4:0] clk;
input [1:0] VAR36;
output VAR31;
tri0 [1:0] VAR36;
wire [4:0] VAR41;
wire VAR44;
wire VAR39;
VAR49 VAR29
(
.VAR37(),
.clk(VAR41),
.VAR7(),
.VAR56(VAR44),
.VAR30(VAR44),
.VAR36(VAR36),
.VAR31(VAR39),
.VAR46(),
.VAR50(),
.VAR42(),
.VAR12(),
.VAR6()
,
.VAR4(1'b0),
.VAR13(1'b0),
.VAR20(1'b0),
.VAR15(1'b1),
.VAR24({3{1'b0}}),
.VAR33(1'b0),
.VAR53(1'b0),
.VAR2(1'b0),
.VAR38(1'b1),
.VAR17(1'b0)
);
VAR29.VAR55 = "VAR34",
VAR29.VAR21 = 1,
VAR29.VAR32 = 50,
VAR29.VAR25 = 2,
VAR29.VAR18 = "1500",
VAR29.VAR28 = 5,
VAR29.VAR52 = 50,
VAR29.VAR5 = 1,
VAR29.VAR8 = "0",
VAR29.VAR11 = "VAR54",
VAR29.VAR10 = 20000,
VAR29.VAR45 = "VAR1",
VAR29.VAR23 = "VAR34",
VAR29.VAR19 = "VAR43",
VAR29.VAR14 = "VAR49";
assign
clk = {VAR41[4:0]},
VAR31 = VAR39;
endmodule
module MODULE2 (
VAR16,
VAR40,
VAR27,
VAR31);
input VAR16;
output VAR40;
output VAR27;
output VAR31;
wire [4:0] VAR22;
wire VAR35;
wire [0:0] VAR51 = 1'h0;
wire [1:1] VAR9 = VAR22[1:1];
wire [0:0] VAR48 = VAR22[0:0];
wire VAR40 = VAR48;
wire VAR27 = VAR9;
wire VAR31 = VAR35;
wire VAR26 = VAR16;
wire [1:0] VAR3 = {VAR51, VAR26};
MODULE1 MODULE1 (
.VAR36 (VAR3),
.clk (VAR22),
.VAR31 (VAR35));
endmodule
|
bsd-3-clause
|
walkthetalk/fsref
|
ip/fsa/src/include/mutex_buffer.v
| 1,495 |
module MODULE1 #
(
parameter integer VAR2 = 4
) (
input wire clk,
input wire VAR9,
output wire VAR11,
input wire VAR6,
output reg [VAR2-1:0] VAR3,
input wire VAR8,
output reg [VAR2-1:0] VAR1,
input wire VAR4,
output reg [VAR2-1:0] VAR7
);
assign VAR11 = VAR6;
reg [VAR2-1:0] VAR10;
always @(posedge clk) begin
if (VAR9 == 0) begin
VAR1 <= 0;
end
else if (VAR8) begin
if (VAR6) begin
VAR1 <= VAR3;
end
else begin
VAR1 <= VAR10;
end
end
end
always @(posedge clk) begin
if (VAR9 == 0) begin
VAR7 <= 0;
end
else if (VAR4) begin
if (VAR6) begin
VAR7 <= VAR3;
end
else begin
VAR7 <= VAR10;
end
end
end
always @(posedge clk) begin
if (VAR9 == 0) begin
VAR10 <= 4'b0001;
end
else if (VAR6) begin
VAR10 <= VAR3;
end
end
always @(posedge clk) begin
if (VAR9 == 0) begin
VAR3 <= 4'b0010;
end
else if (VAR6) begin
casez (VAR3 | VAR1 | VAR7)
4'VAR5???0: begin
VAR3 <= 4'b0001;
end
4'VAR5??01: begin
VAR3 <= 4'b0010;
end
4'VAR5?011: begin
VAR3 <= 4'b0100;
end
4'b0111: begin
VAR3 <= 4'b1000;
end
default: begin
VAR3 <= 4'b0010;
end
endcase
end
end
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/icgtn/gf180mcu_fd_sc_mcu7t5v0__icgtn_1.behavioral.pp.v
| 2,820 |
module MODULE1( VAR17, VAR23, VAR8, VAR6, VAR24, VAR20 );
input VAR8, VAR23, VAR17;
inout VAR24, VAR20;
output VAR6;
reg VAR19;
VAR25 VAR2(.VAR17(VAR17),.VAR23(VAR23),.VAR8(VAR8),.VAR6(VAR6),.VAR24(VAR24),.VAR20(VAR20),.VAR19(VAR19));
VAR25 VAR10(.VAR17(VAR17),.VAR23(VAR23),.VAR8(VAR8),.VAR6(VAR6),.VAR24(VAR24),.VAR20(VAR20),.VAR19(VAR19));
not VAR13(VAR18,VAR23);
not VAR15(VAR22,VAR17);
and VAR3(VAR21,VAR22,VAR18);
not VAR11(VAR26,VAR23);
and VAR4(VAR14,VAR17,VAR26);
not VAR5(VAR16,VAR17);
and VAR7(VAR12,VAR16,VAR23);
and VAR9(VAR1,VAR17,VAR23);
|
apache-2.0
|
drichmond/riffa
|
fpga/riffa_hdl/tx_port_monitor_64.v
| 7,934 |
module MODULE1 #(
parameter VAR29 = 9'd64,
parameter VAR15 = 512,
parameter VAR37 = (VAR15 - 4),
parameter VAR7 = VAR1((2**VAR1(VAR15))+1),
parameter VAR2 = 1
)
(
input VAR12,
input VAR25,
input [VAR29:0] VAR8, input VAR4, output VAR36,
output [VAR29-1:0] VAR33, output VAR18, input [VAR7-1:0] VAR32,
output VAR24, input VAR11, output VAR41, output [31:0] VAR21, output [30:0] VAR16, output [31:0] VAR39, output VAR6,
input VAR17 );
reg [5:0] VAR40=VAR43, VAR40=VAR43;
reg VAR10=0, VAR10=0;
reg [VAR2-1:0] VAR35={VAR2{1'd0}}, VAR35={VAR2{1'd0}};
reg VAR38=0, VAR38=0;
reg [63:0] VAR28=64'd0, VAR28=64'd0;
reg [31:0] VAR3=0, VAR3=0;
reg [31:0] VAR27=0, VAR27=0;
reg VAR26=0, VAR26=0;
reg VAR42=0, VAR42=0;
reg VAR14=0, VAR14=0;
reg VAR13=0, VAR13=0;
reg VAR44=0, VAR44=0;
reg VAR31=0, VAR31=0;
wire VAR22 = (VAR35[0] & VAR8[VAR29]);
wire VAR5 = (VAR35[0] & !VAR8[VAR29] & VAR40[3]); wire VAR19 = ((VAR26 | (VAR14 & VAR44)) & VAR5);
assign VAR36 = VAR10;
assign VAR33 = VAR8[VAR29-1:0];
assign VAR18 = VAR5;
assign VAR24 = VAR40[2]; assign VAR41 = VAR28[0];
assign VAR16 = VAR28[31:1];
assign VAR21 = VAR28[63:32];
assign VAR39 = VAR3;
assign VAR6 = !VAR40[3];
always @ (posedge VAR25) begin
VAR31 <= (VAR12 ? 1'd0 : VAR31);
end
always @ begin
VAR40 = VAR40;
case (VAR40)
VAR40 = VAR34;
end
VAR40 = VAR20;
end
VAR40 = ((VAR14 && VAR13) ? VAR30 : VAR9);
end
VAR40 = VAR23;
else if (VAR19 | VAR31)
VAR40 = VAR30;
end
VAR40 = VAR23;
end
VAR40 = VAR43;
end
default: begin
VAR40 = VAR43;
end
endcase
end
always @ (posedge VAR25) begin
VAR10 <= (VAR12 ? 1'd0 : VAR10);
VAR35 <= (VAR12 ? {VAR2{1'd0}} : VAR35);
VAR38 <= (VAR12 ? 1'd0 : VAR38);
VAR28 <= VAR28;
VAR3 <= VAR3;
VAR27 <= VAR27;
VAR26 <= VAR26;
VAR42 <= VAR42;
VAR14 <= VAR14;
VAR13 <= VAR13;
VAR44 <= VAR44;
end
always @ (*) begin
VAR42 = (VAR32 >= VAR37);
VAR35 = ((VAR35<<1) | (VAR10 & !VAR4));
VAR10 = (!VAR40[2] & !(VAR40[1] & (VAR38 | VAR22 | ~VAR4)) & !VAR22 & !VAR42);
VAR38 = VAR22;
if (VAR22)
VAR28 = VAR8[VAR29-1:0];
end
else
VAR28 = VAR28;
VAR14 = (VAR21[31:16] == 16'd0);
VAR13 = (VAR21[15:0] == 16'd0);
VAR44 = (VAR21[15:0] <= 16'd2);
VAR3 = (VAR11 ? 0 : VAR3 + (VAR5<<1));
VAR27 = (VAR11 ? 2*(VAR29/32) : VAR27 + (VAR5<<1));
VAR26 = ((VAR27 >= VAR21) && VAR5);
end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrbn/sky130_fd_sc_lp__dlrbn.behavioral.v
| 2,566 |
module MODULE1 (
VAR10 ,
VAR8 ,
VAR15,
VAR7 ,
VAR4
);
output VAR10 ;
output VAR8 ;
input VAR15;
input VAR7 ;
input VAR4 ;
supply1 VAR3;
supply0 VAR19;
supply1 VAR23 ;
supply0 VAR5 ;
wire VAR25 ;
wire VAR24 ;
reg VAR14 ;
wire VAR11 ;
wire VAR16 ;
wire VAR21 ;
wire VAR2;
wire VAR12 ;
wire VAR1 ;
wire VAR9 ;
wire VAR17 ;
not VAR22 (VAR25 , VAR2 );
not VAR13 (VAR24, VAR16 );
VAR20 VAR18 (VAR12 , VAR11, VAR24, VAR25, VAR14, VAR3, VAR19);
assign VAR1 = ( VAR3 === 1'b1 );
assign VAR9 = ( VAR1 && ( VAR2 === 1'b1 ) );
assign VAR17 = ( VAR1 && ( VAR15 === 1'b1 ) );
buf VAR26 (VAR10 , VAR12 );
not VAR6 (VAR8 , VAR12 );
endmodule
|
apache-2.0
|
lerwys/bpm-sw-old-backup
|
hdl/ip_cores/pcie/7a200ffg1156/pcie_core/source/pcie_core_axi_basic_rx_pipeline.v
| 26,669 |
module MODULE1 #(
parameter VAR55 = 128, parameter VAR73 = "VAR63", parameter VAR56 = 1,
parameter VAR32 = (VAR55 == 128) ? 2 : 1, parameter VAR24 = VAR55 / 8 ) (
output reg [VAR55-1:0] VAR17, output reg VAR79, input VAR65, output [VAR24-1:0] VAR86, output VAR18, output reg [21:0] VAR27,
input [VAR55-1:0] VAR60, input VAR46, input VAR57, input VAR7, output reg VAR28, input VAR38, input [VAR32-1:0] VAR36, input VAR12, input [6:0] VAR81, input VAR21,
input VAR16, input VAR33, input [VAR24-1:0] VAR34, input VAR2, input [4:0] VAR37,
output [2:0] VAR29, input VAR49, input VAR40 );
wire [4:0] VAR3;
wire [4:0] VAR51;
wire [4:0] VAR45;
wire [4:0] VAR22;
reg [VAR24-1:0] VAR10;
wire [VAR24-1:0] VAR85;
wire [VAR24-1:0] VAR58;
reg VAR76;
wire VAR62;
wire [VAR55-1:0] VAR30;
reg [VAR55-1:0] VAR82;
wire VAR42;
reg VAR8;
reg VAR1;
reg [VAR32-1:0] VAR50;
reg VAR25;
reg VAR4;
reg VAR39;
reg [6:0] VAR13;
reg VAR87;
reg VAR69;
reg VAR47;
reg VAR44;
wire VAR48;
wire VAR64;
reg VAR78;
reg VAR80;
assign VAR62 = VAR7 &&
(VAR44 || (VAR46 && !VAR38));
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(VAR28) begin
end
end
end
generate
if(VAR55 == 128) begin : VAR6
assign VAR30 = {VAR60[31:0],
VAR60[63:32],
VAR60[95:64],
VAR60[127:96]};
end
else if(VAR55 == 64) begin : VAR23
assign VAR30 = {VAR60[31:0], VAR60[63:32]};
end
else begin : VAR68
assign VAR30 = VAR60;
end
endgenerate
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(!VAR42) begin
if(VAR8) begin
end
else begin
end
end
end
end
assign VAR42 = (!VAR65 && VAR79);
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
end
end
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(!VAR42) begin
if(VAR47) begin
end
else if(VAR8) begin
end
else begin
end
end
end
generate
if(VAR55 == 128) begin : VAR15
assign VAR18 = 1'b0;
assign VAR86 = {VAR24{1'b1}};
end
else begin : VAR52
assign VAR18 = VAR76;
assign VAR86 = VAR10;
end
endgenerate
generate
if(VAR55 == 128) begin : VAR31
assign VAR85 = 16'h0000;
assign VAR58 = 16'h0000;
end
else if(VAR55 == 64) begin : VAR20
assign VAR85 = VAR36 ? 8'hFF : 8'h0F;
assign VAR58 = VAR50 ? 8'hFF : 8'h0F;
end
else begin : VAR5
assign VAR85 = 4'hF;
assign VAR58 = 4'hF;
end
endgenerate
generate
if(VAR55 == 128) begin : VAR9
assign VAR3 = {(VAR46 && !VAR38), (VAR46 && !VAR36[1]), 3'b000};
assign VAR51 = {(VAR39 && !VAR4), (VAR39 && !VAR50[1]), 3'b000}; end
else begin : VAR11
assign VAR3 = {(VAR46 && !VAR38), 4'b0000};
assign VAR51 = {(VAR39 && !VAR4), 4'b0000}; end
endgenerate
generate
if(VAR55 == 128) begin : VAR26
assign VAR45 = {VAR57, VAR36, 2'b11};
assign VAR22 = {VAR1, VAR50, 2'b11}; end
else if(VAR55 == 64) begin : VAR70
assign VAR45 = {VAR57, 1'b0, VAR36, 2'b11};
assign VAR22 = {VAR1, 1'b0, VAR50, 2'b11}; end
else begin : VAR19
assign VAR45 = {VAR57, 4'b0011};
assign VAR22 = {VAR1, 4'b0011}; end
endgenerate
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(VAR47 && VAR65) begin
end
else if(VAR48) begin
end
else if(VAR79) begin
end
else begin
end
end
end
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(VAR47 && VAR33 && VAR65)
begin
end
else if(VAR48 && !VAR42) begin
end
end
end
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(VAR46 && !VAR57 && VAR62 && VAR28)
begin
end
else if(VAR38) begin
end
else if(VAR57 && !VAR46 && VAR7 && VAR28) begin
end
end
end
assign VAR64 = VAR38 && !VAR80 && VAR44 &&
(!VAR46 || VAR57) && !(VAR28 && VAR57);
always @(posedge VAR49) begin
if(VAR40) begin
end
else begin
if(VAR64) begin
end
else if(VAR47) begin
end
end
end
assign VAR48 = VAR64 || VAR78;
generate
if(VAR73 == "VAR59" && VAR55 == 128) begin : VAR61
reg [2:0] VAR66;
wire VAR84 = (!(|VAR17[92:88]) && !VAR17[94]);
wire VAR83 = (VAR17[92:88] == 5'b00001);
wire VAR75 = (VAR17[92:88] == 5'b00010);
wire VAR54 = (VAR17[92:89] == 4'b0010);
wire VAR72 = ((&VAR17[91:90]) && VAR17[94]);
wire VAR74 = (VAR84 ||
VAR83 ||
VAR75 ||
VAR54 ||
VAR72) && VAR27[13];
wire VAR67 = (!(|VAR17[28:24]) && !VAR17[30]);
wire VAR14 = (VAR17[28:24] == 5'b00001);
wire VAR53 = (VAR17[28:24] == 5'b00010);
wire VAR43 = (VAR17[28:25] == 4'b0010);
wire VAR35 = ((&VAR17[27:26]) && VAR17[30]);
wire VAR71 = (VAR67 ||
VAR14 ||
VAR53 ||
VAR43 ||
VAR35) && !VAR27[13];
wire VAR77 =
VAR27[14] && VAR65 && VAR79;
always @(posedge VAR49) begin
if (VAR40) begin
end
else begin
if((VAR74 || VAR71) && VAR77)
begin
end
end
end
assign VAR29 = VAR66;
end
else begin : VAR41
assign VAR29 = 3'h0;
end
endgenerate
endmodule
|
lgpl-3.0
|
m-labs/milkymist
|
cores/tmu2/rtl/tmu2_hdiv.v
| 2,556 |
module MODULE1(
input VAR33,
input VAR3,
output VAR37,
input VAR20,
output reg VAR11,
input signed [11:0] VAR34,
input signed [11:0] VAR7,
input signed [17:0] VAR16,
input signed [17:0] VAR35,
input VAR10,
input [16:0] VAR27,
input VAR4,
input [16:0] VAR29,
input [10:0] VAR2,
output reg VAR8,
input VAR1,
output reg signed [11:0] VAR28,
output reg signed [11:0] VAR9,
output reg signed [17:0] VAR14,
output reg signed [17:0] VAR31,
output reg VAR26,
output [16:0] VAR22,
output [16:0] VAR12,
output reg VAR24,
output [16:0] VAR13,
output [16:0] VAR32
);
reg VAR15;
wire ready;
VAR17 VAR23(
.VAR33(VAR33),
.VAR3(VAR3),
.VAR15(VAR15),
.VAR19(VAR27),
.VAR30({6'd0, VAR2}),
.ready(ready),
.VAR25(VAR22),
.VAR6(VAR12)
);
VAR17 VAR18(
.VAR33(VAR33),
.VAR3(VAR3),
.VAR15(VAR15),
.VAR19(VAR29),
.VAR30({6'd0, VAR2}),
.ready(),
.VAR25(VAR13),
.VAR6(VAR32)
);
always @(posedge VAR33) begin
if(VAR15) begin
VAR28 <= VAR34;
VAR9 <= VAR7;
VAR14 <= VAR16;
VAR31 <= VAR35;
VAR26 <= VAR10;
VAR24 <= VAR4;
end
end
reg state;
reg VAR21;
parameter VAR36 = 1'b0;
parameter VAR5 = 1'b1;
always @(posedge VAR33) begin
if(VAR3)
state = VAR36;
end
else
state = VAR21;
end
assign VAR37 = state;
always @(*) begin
VAR21 = state;
VAR15 = 1'b0;
VAR8 = 1'b0;
VAR11 = 1'b0;
case(state)
VAR36: begin
VAR11 = 1'b1;
if(VAR20) begin
VAR15 = 1'b1;
VAR21 = VAR5;
end
end
VAR5: begin
if(ready) begin
VAR8 = 1'b1;
if(VAR1)
VAR21 = VAR36;
end
end
endcase
end
endmodule
|
lgpl-3.0
|
cr88192/bgbtech_bjx1core
|
bjx1c32b1/ExOp2.v
| 19,732 |
module MODULE1(
VAR187, reset,
VAR1, VAR153,
VAR32, VAR85,
VAR159, VAR121,
VAR56, VAR170,
VAR34, VAR97,
VAR138, VAR106,
VAR59, VAR152,
VAR116, VAR198,
VAR166, VAR24,
VAR10, VAR82,
VAR36, VAR29,
VAR45, VAR178,
VAR123, VAR38,
VAR129, VAR161,
VAR113, VAR199,
VAR111, VAR164,
VAR185, VAR146,
VAR104, VAR22,
VAR44, VAR70,
VAR180, VAR26,
VAR158, VAR135,
VAR142, VAR81,
VAR133, VAR91
);
input VAR187;
input reset;
input[7:0] VAR1; input[3:0] VAR153;
input[31:0] VAR97;
input[6:0] VAR32;
input[6:0] VAR159;
input[6:0] VAR56;
input[63:0] VAR85; input[63:0] VAR121; input[63:0] VAR170; input[31:0] VAR34;
output[63:0] VAR106; output[6:0] VAR138; output[1:0] VAR59; output[1:0] VAR152;
output[31:0] VAR116; output[63:0] VAR198; output VAR166; output VAR24; output[4:0] VAR10; output[7:0] VAR82;
input[31:0] VAR36; input[31:0] VAR45; input[31:0] VAR123; output[31:0] VAR29; output[31:0] VAR178; output[31:0] VAR38;
input[31:0] VAR129; input[31:0] VAR113; output[31:0] VAR161; output[31:0] VAR199;
input[31:0] VAR111; output[31:0] VAR164;
input[31:0] VAR185; output[31:0] VAR146; input[31:0] VAR104; output[31:0] VAR22;
input[31:0] VAR44;
input[31:0] VAR180;
input[31:0] VAR158;
input[31:0] VAR142;
input[31:0] VAR133;
output[31:0] VAR70;
output[31:0] VAR26;
output[31:0] VAR135;
output[31:0] VAR81;
output[31:0] VAR91;
wire[31:0] VAR47; wire[31:0] VAR50; wire[31:0] VAR19;
assign VAR47 = VAR85[31:0];
assign VAR50 = VAR121[31:0];
assign VAR19 = VAR170[31:0];
reg[31:0] VAR172; reg[6:0] VAR17; reg[1:0] VAR23; reg[1:0] VAR176;
reg[31:0] VAR16;
reg[31:0] VAR124; reg[63:0] VAR21; reg VAR64; reg VAR5; reg[4:0] VAR167; reg[7:0] VAR150;
assign VAR116 = VAR124;
assign VAR198 = VAR21;
assign VAR166 = VAR64;
assign VAR24 = VAR5;
assign VAR10 = VAR167;
assign VAR82 = VAR150;
reg[31:0] VAR80; reg[31:0] VAR31; reg[31:0] VAR48;
reg[31:0] VAR30;
reg[31:0] VAR202;
reg[31:0] VAR25;
reg[63:0] VAR87;
reg[31:0] VAR18;
reg[31:0] VAR184;
reg[31:0] VAR95;
reg[31:0] VAR69;
reg[31:0] VAR3;
reg[31:0] VAR183;
reg[31:0] VAR154;
reg[31:0] VAR96;
reg[31:0] VAR63;
reg[31:0] VAR114;
reg[31:0] VAR66;
assign VAR106 = { VAR16, VAR172 };
assign VAR138 = VAR17;
assign VAR59 = VAR23;
assign VAR152 = VAR176;
assign VAR29 = VAR30;
assign VAR178 = VAR202;
assign VAR38 = VAR25;
assign VAR161 = VAR87[63:32];
assign VAR199 = VAR87[31: 0];
assign VAR164 = VAR18;
assign VAR146 = VAR184;
assign VAR22 = VAR95;
assign VAR70 = VAR154;
assign VAR26 = VAR96;
assign VAR135 = VAR63;
assign VAR81 = VAR114;
assign VAR91 = VAR66;
reg VAR162;
reg VAR119;
reg VAR65;
reg[1:0] VAR191;
reg[63:0] VAR130;
reg[63:0] VAR92;
reg[63:0] VAR54;
reg[63:0] VAR165;
reg[1:0] VAR51;
reg[1:0] VAR99;
reg[31:0] VAR194;
reg[ 7:0] VAR122;
wire[31:0] VAR188;
reg[ 2:0] VAR12;
VAR125 VAR39(
VAR187, reset,
VAR194, VAR122,
VAR188, VAR12);
reg[32:0] VAR192;
reg[32:0] VAR6;
reg VAR55;
reg VAR168;
reg VAR74;
reg VAR79;
reg VAR20;
reg VAR195;
reg VAR46;
reg VAR52;
reg VAR136;
reg VAR177;
reg VAR83;
wire VAR169;
wire[31:0] VAR100;
wire[31:0] VAR179;
wire[31:0] VAR139;
wire[31:0] VAR37;
VAR33 VAR144(
VAR187, VAR177, VAR169,
VAR100, VAR179, VAR139);
VAR127 VAR141(
VAR187, VAR83,
VAR100, VAR179, VAR37);
assign VAR100 = VAR47;
assign VAR179 = VAR50;
assign VAR169 = (VAR1==VAR182);
always @*
begin
VAR16 = 32'VAR160;
VAR172=0;
VAR17=VAR102;
VAR23=VAR115;
VAR176=0;
VAR124=0;
VAR21=0;
VAR64=0;
VAR5=0;
VAR167=0;
VAR150=0;
VAR162=1'VAR196;
VAR119=1'VAR196;
VAR130=64'VAR53;
VAR92=64'VAR53;
VAR191=0;
VAR194=32'VAR160;
VAR122=8'VAR89;
VAR12=0;
VAR177 = 0;
VAR83 = 0;
VAR65=0;
VAR69=VAR123+{28'h0, VAR153};
VAR183=VAR69 + 2;
VAR3=VAR183 + (VAR34<<1);
VAR30=VAR36;
VAR202=VAR45;
VAR25=VAR97;
VAR87={VAR129, VAR113};
VAR18=VAR111;
VAR184=VAR185;
VAR95=VAR104;
VAR154 = VAR44;
VAR96 = VAR180;
VAR63 = VAR158;
VAR114 = VAR142;
VAR66 = VAR133;
VAR80=VAR50+VAR34;
case(VAR1[1:0])
2'h0: VAR31=VAR80;
2'h1: VAR31={VAR80[30:0], 1'b0};
2'h2: VAR31={VAR80[29:0], 2'b0};
2'h3: VAR31={VAR80[28:0], 3'b0};
endcase
VAR48=(VAR1[2]?VAR47:VAR19)+
((VAR159==VAR7)?VAR80:VAR31);
casez(VAR1)
VAR149: begin
end
VAR137: begin
VAR172=VAR47;
VAR17=VAR56;
end
VAR49: begin
VAR172=VAR34;
VAR17=VAR56;
end
VAR201: begin
VAR172=VAR48;
VAR17=VAR56;
end
VAR173: begin
VAR172=VAR48;
VAR17=VAR56;
end
VAR94: begin
VAR172=VAR48;
VAR17=VAR56;
end
VAR72: begin
VAR172=VAR48;
VAR17=VAR56;
end
VAR43: begin
VAR167=5'h00;
if(VAR159==VAR86)
begin
VAR124=VAR48-1;
VAR21=VAR85;
VAR5=1;
VAR172=VAR19-1;
VAR17=VAR56;
end
else
begin
VAR124=VAR48;
VAR21=VAR85;
VAR5=1;
end
end
VAR98: begin
VAR167=5'h01;
if(VAR159==VAR86)
begin
VAR124=VAR48-2;
VAR21=VAR85;
VAR5=1;
VAR172=VAR19-2;
VAR17=VAR56;
end
else
begin
VAR124=VAR48;
VAR21=VAR85;
VAR5=1;
end
end
VAR41: begin
VAR167=5'h02;
if(VAR159==VAR86)
begin
VAR124=VAR48-4;
VAR21=VAR85;
VAR5=1;
VAR172=VAR19-4;
VAR17=VAR56;
end
else
begin
VAR124=VAR48;
VAR21=VAR85;
VAR5=1;
end
end
VAR193: begin
VAR167=5'h03;
if(VAR159==VAR86)
begin
VAR124=VAR48-8;
VAR21=VAR85;
VAR5=1;
VAR172=VAR19-8;
VAR17=VAR56;
end
else
begin
VAR124=VAR48;
VAR21=VAR85;
VAR5=1;
end
end
VAR189: begin
VAR124=VAR48;
VAR64=1;
VAR167=5'h00;
if(VAR159==VAR117)
begin
VAR172=VAR47+1;
VAR17=VAR32;
end
end
VAR11: begin
VAR124=VAR48;
VAR64=1;
VAR167=5'h01;
if(VAR159==VAR117)
begin
VAR172=VAR47+2;
VAR17=VAR32;
end
end
VAR61: begin
VAR124=VAR48;
VAR64=1;
VAR167=5'h02;
if(VAR159==VAR117)
begin
VAR172=VAR47+4;
VAR17=VAR32;
end
end
VAR75: begin
VAR124=VAR48;
VAR64=1;
VAR167=5'h03;
if(VAR159==VAR117)
begin
VAR172=VAR47+8;
VAR17=VAR32;
end
end
VAR76: begin
VAR172=VAR47+VAR50;
VAR17=VAR56;
end
VAR134: begin
VAR172=VAR47-VAR50;
VAR17=VAR56;
end
VAR14: begin
VAR17=VAR56;
VAR130 = {32'h0, VAR47};
VAR92 = {32'h0, VAR50};
VAR191 = 2'h3;
end
VAR190: begin
VAR172=VAR47&VAR50;
VAR17=VAR56;
end
VAR148: begin
VAR172=VAR47|VAR50;
VAR17=VAR56;
end
VAR174: begin
VAR172=VAR47^VAR50;
VAR17=VAR56;
end
VAR90: begin
VAR162=VAR36[0];
{VAR119, VAR172}=
{ 1'b0, VAR47+VAR50}+
{32'h0, VAR162};
VAR30[0]=VAR119;
VAR17=VAR56;
end
VAR145: begin
VAR162=VAR36[0];
{VAR119, VAR172}=
{ 1'b0, VAR47-VAR50}-
{32'h0, VAR162};
VAR30[0]=VAR119;
VAR17=VAR56;
end
VAR67: begin
{VAR119, VAR172}=
{ VAR47[31], VAR47}+
{ VAR50[31], VAR50};
VAR30[0]=VAR119^VAR172[31];
VAR17=VAR56;
end
VAR186: begin
{VAR119, VAR172}=
{ VAR47[31], VAR47}-
{ VAR50[31], VAR50};
VAR30[0]=VAR119^VAR172[31];
VAR17=VAR56;
end
VAR4: begin
VAR194=VAR47;
VAR12=2;
VAR122=VAR50[7:0];
VAR17=VAR56;
end
VAR42: begin
VAR194=VAR47;
VAR12=1;
VAR122=VAR50[7:0];
VAR17=VAR56;
end
VAR175: begin
VAR194=VAR47;
VAR12=4;
VAR122=VAR50[7:0];
VAR17=VAR56;
end
VAR77: begin
VAR194=VAR47;
VAR12=3;
VAR122=VAR50[7:0];
VAR17=VAR56;
end
VAR128: begin
VAR172={VAR47[15:0], 16'h0} +
VAR50;
VAR17=VAR56;
end
VAR71: begin
VAR172=~VAR47;
VAR17=VAR56;
end
VAR8: begin
VAR172={
VAR47[23:16],
VAR47[31:24],
VAR47[ 7: 0],
VAR47[15: 8] };
VAR17=VAR56;
end
VAR15: begin
VAR172={
VAR47[15: 0],
VAR47[31:16]};
VAR17=VAR56;
end
VAR108: begin
VAR172={24'h0, VAR47[7:0]};
VAR17=VAR56;
end
VAR84: begin
VAR172={16'h0, VAR47[15:0]};
VAR17=VAR56;
end
VAR120: begin
VAR172={VAR47[7]?24'hF:24'h0, VAR47[7:0]};
VAR17=VAR56;
end
VAR126: begin
VAR172={VAR47[15]?16'hF:16'h0, VAR47[15:0]};
VAR17=VAR56;
end
VAR131: begin
VAR172=-VAR47;
VAR17=VAR56;
end
VAR60: begin
{VAR119, VAR172}=
{1'b1, ~VAR47}+
(VAR36[0] ? 33'h0 : 33'h1);
VAR17=VAR56;
end
VAR40: begin
VAR30[8] = VAR47[31];
VAR30[9] = VAR50[31];
VAR30[0] = VAR47[31] ^ VAR50[31];
end
VAR143: begin
VAR55 = VAR36[8];
VAR168 = VAR36[9];
VAR74 = VAR36[0];
VAR79 = VAR47[31];
VAR192[32:1] = VAR47;
VAR192[ 0] = VAR74;
if (VAR55 == VAR168)
VAR6 = VAR192 - {1'b0, VAR50};
end
else
VAR6 = VAR192 + {1'b0, VAR50};
VAR20 = VAR6[32];
VAR46 = (VAR79 ^ VAR168) ^ VAR20;
VAR136 = ! (VAR46 ^ VAR168);
VAR172 = VAR6[31:0];
VAR30[8] = VAR46;
VAR30[0] = VAR136;
VAR17=VAR56;
end
VAR109: begin
VAR30[0]=(VAR47==VAR50);
end
VAR151: begin
VAR30[0]=(VAR47>VAR50)^
(VAR47[31]^VAR50[31]);
end
VAR58: begin
VAR30[0]=(VAR47>VAR50);
end
VAR105: begin
VAR30[0]=(VAR47>=VAR50)^
(VAR47[31]^VAR50[31]);
end
VAR203: begin
VAR30[0]=(VAR47>=VAR50);
end
VAR132: begin
VAR30[0]=((VAR47&VAR50)==0);
end
VAR204: begin
VAR130 = {48'h0, VAR47[15:0]};
VAR92 = {48'h0, VAR50[15:0]};
VAR191 = 2'h1;
end
VAR157: begin
VAR130 = {
VAR47[15]?48'hFFFFFFFFFFFF:48'h000000000000,
VAR47[15:0]};
VAR92 = {
VAR50[15]?48'hFFFFFFFFFFFF:48'h000000000000,
VAR50[15:0]};
VAR191 = 2'h1;
end
VAR197: begin
VAR130 = {32'h0, VAR47};
VAR92 = {32'h0, VAR50};
VAR191 = 2'h1;
end
VAR118: begin
VAR130 = {VAR47[31]?32'hFFFFFFFF:32'h00000000, VAR47};
VAR92 = {VAR50[31]?32'hFFFFFFFF:32'h00000000, VAR50};
VAR191 = 2'h1;
end
VAR73: begin
VAR25=VAR3;
end
VAR103: begin
VAR25=VAR3;
VAR202=VAR183;
end
VAR35: begin
VAR25=VAR45;
end
VAR163: begin
VAR25=VAR142;
VAR30=VAR158;
VAR18=VAR133;
end
VAR147: begin
VAR177 = 1;
VAR172 = VAR139;
VAR17 = VAR56;
end
VAR182: begin
VAR177 = 1;
VAR172 = VAR139;
VAR17 = VAR56;
end
VAR9: begin
VAR83 = 1;
VAR172 = VAR37;
VAR17 = VAR56;
end
VAR107: begin
VAR172[31] = 0;
VAR172[30:0] = VAR50[30:0];
VAR17 = VAR56;
end
VAR68: begin
VAR172[31] = !VAR50[31];
VAR172[30:0] = VAR50[30:0];
VAR17 = VAR56;
end
VAR181: begin
VAR172[31] = VAR50[31];
VAR172[30:0] = (0-(VAR50[30:0]-31'h3F800000))+
31'h3F800000;
VAR17 = VAR56;
end
VAR171: begin
VAR172[31] = VAR50[31];
VAR172[30:0] = ((VAR50[30:0]-31'h3F800000)>>>1)+
31'h3F800000;
VAR17 = VAR56;
end
VAR27: begin
VAR30[0] = (VAR47 == VAR50);
end
VAR200: begin
if(VAR47[31])
begin
if(VAR50[31])
begin
VAR30[0] = (VAR47[30:0] < VAR50[30:0]);
end else begin
VAR30[0] = 0;
end
end else begin
if(!VAR50[31])
begin
VAR30[0] = (VAR47[30:0] > VAR50[30:0]);
end else begin
VAR30[0] = 1;
end
end
end
default: begin end
endcase
if(VAR191!=0)
begin
case(VAR191)
2'h0: VAR87 = {VAR129, VAR113};
2'h1: VAR87 = VAR54;
2'h2: VAR87 = {VAR129, VAR113} + VAR54;
2'h3: begin
VAR87 = {VAR129, VAR113};
VAR172 = VAR54[31:0];
end
endcase
VAR23 = (VAR99==VAR191) ?
VAR115 : VAR13;
end
if(VAR12!=0)
VAR172 = VAR188;
if(VAR65)
begin
VAR63 = VAR36;
VAR114 = VAR123;
VAR66 = VAR111;
VAR25=VAR180+32'h00000100;
VAR30=VAR36;
VAR30[30:28]=3'b111;
end
end
reg[63:0] VAR112;
reg[63:0] VAR205;
reg[31:0] VAR101;
reg[31:0] VAR110;
reg[31:0] VAR78;
reg[31:0] VAR57;
reg[1:0] VAR155;
reg[1:0] VAR2;
reg[31:0] VAR93;
reg[31:0] VAR140;
reg[31:0] VAR156;
reg[31:0] VAR62;
reg[1:0] VAR88;
reg[1:0] VAR28;
always @*
begin
VAR101 =
{16'h0, VAR112[15: 0]} *
{16'h0, VAR112[15: 0]};
VAR110 =
{16'h0, VAR112[15: 0]} *
{16'h0, VAR112[31:16]};
VAR78 =
{16'h0, VAR112[31:16]} *
{16'h0, VAR112[15: 0]};
VAR57 =
{16'h0, VAR112[31:16]} *
{16'h0, VAR112[31:16]};
VAR2=VAR155;
VAR165 = {VAR62, VAR93} +
{ 16'h0, VAR140, 16'h0} +
{ 16'h0, VAR156, 16'h0};
VAR28 = VAR88;
end
always @ (posedge VAR187)
begin
VAR112 <= VAR130;
VAR205 <= VAR92;
VAR155 <= VAR191;
VAR93 <= VAR101;
VAR140 <= VAR110;
VAR156 <= VAR78;
VAR62 <= VAR57;
VAR88 <= VAR2;
VAR54 <= VAR165;
VAR99 <= VAR28;
end
endmodule
|
mit
|
egyp7/mor1kx
|
rtl/verilog/mor1kx_cpu_prontoespresso.v
| 39,002 |
module MODULE1
parameter VAR3 = 32,
parameter VAR171 = "VAR233",
parameter VAR155 = 5,
parameter VAR51 = 9,
parameter VAR238 = 2,
parameter VAR277 = "VAR233",
parameter VAR123 = "VAR233",
parameter VAR202 = "VAR233",
parameter VAR211 = 5,
parameter VAR150 = 9,
parameter VAR147 = 2,
parameter VAR31 = "VAR233",
parameter VAR38 = "VAR233",
parameter VAR11 = "VAR306",
parameter VAR195 = "VAR233",
parameter VAR287 = "VAR233",
parameter VAR175 = "VAR233",
parameter VAR230 = "VAR306",
parameter VAR198 = "VAR306",
parameter VAR208 = "VAR306",
parameter VAR121 = "VAR306",
parameter VAR83 = "VAR296",
parameter VAR288 = 0,
parameter VAR82 = "VAR233",
parameter VAR145 = "VAR233",
parameter VAR86 = "VAR233",
parameter VAR271 = "VAR306",
parameter VAR30 = 5,
parameter VAR182 = 32,
parameter VAR19 = {{(VAR3-13){1'b0}},
parameter VAR255 = "VAR292",
parameter VAR7 = "VAR283",
parameter VAR199 = "VAR233",
parameter VAR47 = "VAR233",
parameter VAR107 = "VAR306",
parameter VAR44 = "VAR233",
parameter VAR9 = "VAR233",
parameter VAR174 = "VAR233",
parameter VAR130 = "VAR233",
parameter VAR184 = "VAR233",
parameter VAR112 = "VAR233",
parameter VAR299 = "VAR233",
parameter VAR289 = "VAR233",
parameter VAR124 = "VAR233",
parameter VAR25 = "VAR233",
parameter VAR67 = "VAR233",
parameter VAR189 = "VAR233",
parameter VAR40 = "VAR233",
parameter VAR94 = "VAR233",
parameter VAR223 = "VAR233",
parameter VAR80 = "VAR18",
parameter VAR78 = "VAR233",
parameter VAR257 = "VAR233"
)
(
input clk,
input rst,
input VAR118,
input VAR252,
input [VAR243-1:0] VAR99,
output [VAR3-1:0] VAR290,
output VAR225,
output VAR247,
input VAR100,
input VAR128,
input [VAR3-1:0] VAR14,
output [VAR3-1:0] VAR101,
output [VAR3-1:0] VAR71,
output VAR254,
output [3:0] VAR312,
output VAR24,
output VAR166,
input [31:0] VAR21,
input [15:0] VAR244,
input VAR140,
input [VAR3-1:0] VAR141,
input VAR76,
output [VAR3-1:0] VAR65,
output VAR304,
input VAR138,
output VAR63,
output [15:0] VAR234,
output VAR143,
output VAR295,
output [VAR3-1:0] VAR72,
input [VAR3-1:0] VAR96,
input VAR201,
input [VAR3-1:0] VAR157,
input VAR297,
input [VAR3-1:0] VAR172,
input VAR62,
input [VAR3-1:0] VAR77,
input VAR117,
input [VAR3-1:0] VAR219,
input VAR4,
input [VAR3-1:0] VAR256,
input VAR127,
output [15:0] VAR34,
input [VAR3-1:0] VAR114
);
wire [VAR3-1:0] VAR122;
wire [VAR243-1:0] VAR276;
wire [VAR3-1:0] VAR270;
wire [VAR3-1:0] VAR84;
wire [VAR3-1:0] VAR265; wire [VAR3-1:0] VAR309; wire VAR224; wire VAR203; wire VAR89; wire VAR73; wire VAR148; wire [VAR3-1:0] VAR160; wire VAR12; wire VAR188; wire VAR179; wire VAR263; wire VAR87; wire VAR104; wire VAR116; wire VAR45; wire [VAR55-1:0] VAR205; wire [VAR3-1:0] VAR220; wire VAR250; wire [9:0] VAR111; wire [1:0] VAR158; wire VAR98; wire VAR240; wire VAR285; wire VAR142; wire VAR305; wire VAR301; wire VAR187; wire VAR261; wire VAR149; wire VAR41; wire VAR267; wire VAR50; wire VAR42; wire VAR151; wire VAR90; wire VAR15; wire VAR221; wire VAR272; wire VAR246; wire VAR57; wire VAR210; wire VAR173; wire VAR70; wire VAR75; wire VAR105; wire VAR60; wire [VAR131-1:0] VAR169; wire [VAR131-1:0] VAR227; wire [VAR192-1:0] VAR217; wire VAR59; wire [VAR30-1:0] VAR154; wire [VAR30-1:0] VAR161; wire [VAR30-1:0] VAR206; wire VAR258; wire [VAR3-1:0] VAR300; wire VAR259; wire VAR135; wire VAR284; wire VAR109; wire VAR239; wire [VAR30-1:0] VAR190; wire [VAR30-1:0] VAR37; wire VAR165; wire VAR229; wire [VAR3-1:0] VAR125; wire VAR43; wire VAR275; wire VAR13; wire [VAR3-1:0] VAR54; wire VAR8; wire VAR274; wire [VAR3-1:0] VAR291; wire VAR91; wire [VAR3-1:0] VAR162; wire [VAR3-1:0] VAR167; wire VAR106; wire VAR93; wire VAR178; wire VAR36; wire VAR132; wire [VAR3-1:0] VAR28; wire VAR2; wire [VAR3-1:0] VAR115; wire VAR231; wire [VAR3-1:0] VAR196; wire [VAR3-1:0] VAR126; wire VAR27; wire [VAR3-1:0] VAR22; wire [VAR3-1:0] VAR120; wire [VAR3-1:0] VAR16; wire VAR249;
generate
if (VAR255=="VAR306")
begin : VAR279
VAR200
.VAR3(VAR3),
.VAR30(VAR30),
.VAR19(VAR19)
)
VAR52
(
.VAR290 (VAR290[VAR3-1:0]),
.VAR225 (VAR225),
.VAR97 (VAR276), .VAR125 (VAR125[VAR3-1:0]),
.VAR109 (VAR109),
.VAR190 (VAR190[VAR30-1:0]),
.VAR37 (VAR37[VAR30-1:0]),
.VAR239 (VAR239),
.VAR28 (VAR28[VAR3-1:0]),
.VAR87 (VAR87),
.VAR165 (VAR165),
.clk (clk),
.rst (rst),
.VAR118 (VAR118),
.VAR252 (VAR252),
.VAR99 (VAR99[VAR243-1:0]),
.VAR228 (VAR132), .VAR66 (VAR148), .VAR56 (VAR160), .VAR268 (VAR258), .VAR213 (VAR300), .VAR33(VAR229), .VAR251 (VAR135), .VAR138 (VAR138),
.VAR209 (VAR249), .VAR176 (VAR275), .VAR237 (VAR43), .VAR17 (VAR13));
end
else
begin : VAR159
VAR52
.VAR3(VAR3),
.VAR30(VAR30),
.VAR19(VAR19),
.VAR202(VAR202),
.VAR211(VAR211)
)
VAR52
(
.VAR290 (VAR290[VAR3-1:0]),
.VAR225 (VAR225),
.VAR247 (VAR247),
.VAR97 (VAR276), .VAR125 (VAR125[VAR3-1:0]),
.VAR109 (VAR109),
.VAR190 (VAR190[VAR30-1:0]),
.VAR37 (VAR37[VAR30-1:0]),
.VAR239 (VAR239),
.VAR28 (VAR28[VAR3-1:0]),
.VAR87 (VAR87),
.VAR165 (VAR165),
.VAR284 (VAR284),
.VAR286 (VAR22[VAR3-1:0]), .VAR177 (VAR27), .clk (clk),
.rst (rst),
.VAR118 (VAR118),
.VAR252 (VAR252),
.VAR99 (VAR99[VAR243-1:0]),
.VAR69 (VAR34[VAR278]), .VAR228 (VAR132), .VAR66 (VAR148), .VAR56 (VAR160), .VAR95 (VAR12), .VAR268 (VAR258), .VAR213 (VAR300), .VAR33(VAR229), .VAR251 (VAR135), .VAR138 (VAR138),
.VAR209 (VAR249), .VAR176 (VAR275), .VAR237 (VAR43), .VAR17 (VAR13), .VAR119 (VAR234[15:0]), .VAR315 (VAR143), .VAR153 (VAR295), .VAR266 (VAR72[VAR3-1:0])); end endgenerate
VAR260
.VAR3(VAR3),
.VAR19(VAR19),
.VAR30(VAR30),
.VAR230(VAR230),
.VAR198(VAR198),
.VAR208(VAR208),
.VAR175(VAR175),
.VAR7(VAR7),
.VAR199(VAR199),
.VAR47(VAR47),
.VAR107(VAR107),
.VAR44(VAR44),
.VAR9(VAR9),
.VAR174(VAR174),
.VAR130(VAR130),
.VAR184(VAR184),
.VAR112(VAR112),
.VAR299(VAR299),
.VAR289(VAR289),
.VAR124(VAR124),
.VAR25(VAR25),
.VAR67(VAR67),
.VAR189(VAR189),
.VAR40(VAR40),
.VAR94(VAR94),
.VAR223(VAR223)
)
VAR260
(
.VAR169 (VAR169[VAR131-1:0]),
.VAR227 (VAR227[VAR131-1:0]),
.VAR205 (VAR205[VAR55-1:0]),
.VAR220 (VAR220[VAR3-1:0]),
.VAR250 (VAR250),
.VAR111 (VAR111[9:0]),
.VAR206 (VAR206[VAR30-1:0]),
.VAR154 (VAR154[VAR30-1:0]),
.VAR161 (VAR161[VAR30-1:0]),
.VAR59 (VAR59),
.VAR42 (VAR42),
.VAR151 (VAR151),
.VAR50 (VAR50),
.VAR142 (VAR142),
.VAR305 (VAR305),
.VAR187 (VAR187),
.VAR301 (VAR301),
.VAR285 (VAR285),
.VAR15 (VAR15),
.VAR221 (VAR221),
.VAR90 (VAR90),
.VAR158 (VAR158[1:0]),
.VAR98 (VAR98),
.VAR272 (VAR272),
.VAR57 (VAR57),
.VAR75 (VAR75),
.VAR105 (VAR105),
.VAR240 (VAR240),
.VAR210 (VAR210),
.VAR173 (VAR173),
.VAR70 (VAR70),
.VAR261 (VAR261),
.VAR149 (VAR149),
.VAR41 (VAR41),
.VAR60 (VAR60),
.VAR267 (VAR267),
.VAR246 (VAR246),
.VAR263 (VAR263),
.VAR179 (VAR179),
.VAR104 (VAR104),
.VAR116 (VAR116),
.VAR45 (VAR45),
.VAR217 (VAR217[VAR192-1:0]),
.clk (clk),
.rst (rst),
.VAR215 (VAR276));
VAR53
.VAR3(VAR3),
.VAR7(VAR7),
.VAR199(VAR199),
.VAR47(VAR47),
.VAR107(VAR107),
.VAR44(VAR44),
.VAR9(VAR9),
.VAR174(VAR174),
.VAR130(VAR130),
.VAR289(VAR289),
.VAR124(VAR124),
.VAR25(VAR25),
.VAR67(VAR67),
.VAR189(VAR189),
.VAR40(VAR40),
.VAR94(VAR94),
.VAR223(VAR223),
.VAR80(VAR80)
)
VAR53
(
.VAR13 (VAR13),
.VAR43 (VAR43),
.VAR73 (VAR73),
.VAR203 (VAR203),
.VAR93 (VAR93),
.VAR106 (VAR106),
.VAR309 (VAR309[VAR3-1:0]),
.VAR224 (VAR224),
.VAR167 (VAR167[VAR3-1:0]),
.VAR265 (VAR265[VAR3-1:0]),
.clk (clk),
.rst (rst),
.VAR293 (VAR36), .VAR152 (1'b1), .VAR68 (VAR169), .VAR139 (VAR227), .VAR269 (VAR205), .VAR226 (VAR220), .VAR79 (VAR250), .VAR137 (VAR178), .VAR313 (VAR285), .VAR58 (VAR240), .VAR32 (VAR210), .VAR39 (VAR173), .VAR181 (VAR70), .VAR46 (VAR261), .VAR235 (VAR149), .VAR302 (VAR41), .VAR35 (VAR60), .VAR204 (VAR267), .VAR26 (VAR105), .VAR303 (VAR57), .VAR281 (VAR272), .VAR194 (VAR246), .VAR168 (VAR42), .VAR108 (VAR151), .VAR180 (VAR111), .VAR242 (VAR16), .VAR280 (VAR263), .VAR253 (VAR179), .VAR134 (VAR196), .VAR273 (VAR126), .VAR176 (VAR275), .VAR61 (VAR89));
VAR248
.VAR3(VAR3)
)
VAR248
(
.VAR291 (VAR291[VAR3-1:0]),
.VAR91 (VAR91),
.VAR274 (VAR274),
.VAR8 (VAR8),
.VAR101 (VAR101[VAR3-1:0]),
.VAR254 (VAR254),
.VAR71 (VAR71[VAR3-1:0]),
.VAR312 (VAR312[3:0]),
.VAR24 (VAR24),
.VAR166 (VAR166),
.clk (clk),
.rst (rst),
.VAR74 (VAR132), .VAR156 (VAR265), .VAR273 (VAR126), .VAR81 (VAR15), .VAR133 (VAR221), .VAR64 (VAR158), .VAR103 (VAR98), .VAR113 (VAR259), .VAR268 (VAR258), .VAR209 (VAR249), .VAR218 (VAR109), .VAR100 (VAR100),
.VAR128 (VAR128),
.VAR14 (VAR14[VAR3-1:0]));
VAR186
.VAR3(VAR3)
)
VAR186
(
.VAR115 (VAR115[VAR3-1:0]),
.clk (clk),
.rst (rst),
.VAR92 (VAR309), .VAR102 (VAR291), .VAR5 (VAR16), .VAR298 (VAR54), .VAR282 (VAR162), .VAR314 (VAR50), .VAR81 (VAR15), .VAR281 (VAR272));
VAR216
.VAR3(VAR3),
.VAR30(VAR30),
.VAR182(VAR182)
)
VAR216
(
.VAR196 (VAR196[VAR3-1:0]),
.VAR126 (VAR126[VAR3-1:0]),
.clk (clk),
.rst (rst),
.VAR163 (VAR206), .VAR110 (VAR190), .VAR214 (VAR37), .VAR10 (VAR231), .VAR23 (VAR239), .VAR236 (VAR115));
function [VAR3-1:0] VAR183;
input [4:0] VAR129;
begin
if (VAR231)
VAR183 = VAR115;
end
else
VAR183 = VAR216.VAR88.VAR1[VAR129];
end
endfunction
task VAR241;
input [4:0] VAR129;
input [VAR3-1:0] VAR207;
begin
VAR216.VAR88.VAR1[VAR129] = VAR207;
VAR216.VAR222.VAR1[VAR129] = VAR207;
end
endtask
VAR48
.VAR3(VAR3),
.VAR19(VAR19),
.VAR121(VAR121),
.VAR11(VAR11),
.VAR83(VAR83),
.VAR288(VAR288),
.VAR82(VAR82),
.VAR145(VAR145),
.VAR86(VAR86),
.VAR171(VAR171),
.VAR155(VAR155),
.VAR51(VAR51),
.VAR238(VAR238),
.VAR277(VAR277),
.VAR202(VAR202),
.VAR211(VAR211),
.VAR150(VAR150),
.VAR147(VAR147),
.VAR31(VAR31),
.VAR195(VAR195),
.VAR287(VAR287),
.VAR175(VAR175),
.VAR78(VAR78),
.VAR230(VAR230),
.VAR198(VAR198),
.VAR208(VAR208)
)
VAR48
(
.VAR120 (VAR120[VAR3-1:0]),
.VAR16 (VAR16[VAR3-1:0]),
.VAR54 (VAR54[VAR3-1:0]),
.VAR162 (VAR162[VAR3-1:0]),
.VAR188 (VAR188),
.VAR275 (VAR275),
.VAR89 (VAR89),
.VAR2 (VAR2),
.VAR132 (VAR132),
.VAR178 (VAR178),
.VAR36 (VAR36),
.VAR229 (VAR229),
.VAR259 (VAR259),
.VAR135 (VAR135),
.VAR249 (VAR249),
.VAR65 (VAR65[VAR3-1:0]),
.VAR304 (VAR304),
.VAR63 (VAR63),
.VAR300 (VAR300[VAR3-1:0]),
.VAR258 (VAR258),
.VAR234 (VAR234[15:0]),
.VAR143 (VAR143),
.VAR295 (VAR295),
.VAR72 (VAR72[VAR3-1:0]),
.VAR34 (VAR34[15:0]),
.VAR160 (VAR160[VAR3-1:0]),
.VAR12 (VAR12),
.VAR148 (VAR148),
.VAR231 (VAR231),
.clk (clk),
.rst (rst),
.VAR197 (VAR309), .VAR144 (VAR126), .VAR136 (VAR13), .VAR212 (VAR43), .VAR49 (VAR217), .VAR6 (VAR125), .VAR298 (VAR28), .VAR20 (VAR165), .VAR294 (VAR87), .VAR307 (VAR104), .VAR262 (VAR116), .VAR85 (VAR274), .VAR308 (VAR45), .VAR185 (VAR8), .VAR146 (VAR109), .VAR191 (VAR284), .VAR310 (VAR224), .VAR164 (VAR91), .VAR81 (VAR15), .VAR133 (VAR221), .VAR108 (VAR151), .VAR168 (VAR42), .VAR21 (VAR21[31:0]),
.VAR193 (VAR73), .VAR245 (VAR203), .VAR264 (VAR93), .VAR29 (VAR106), .VAR244 (VAR244[15:0]),
.VAR140 (VAR140),
.VAR141 (VAR141[VAR3-1:0]),
.VAR76 (VAR76),
.VAR138 (VAR138),
.VAR232 (), .VAR170 (), .VAR22 (VAR22[VAR3-1:0]),
.VAR27 (VAR27),
.VAR96 (VAR96[VAR3-1:0]),
.VAR201 (VAR201),
.VAR157 (VAR157[VAR3-1:0]),
.VAR297 (VAR297),
.VAR172 (VAR172[VAR3-1:0]),
.VAR62 (VAR62),
.VAR77 (VAR77[VAR3-1:0]),
.VAR117 (VAR117),
.VAR219 (VAR219[VAR3-1:0]),
.VAR4 (VAR4),
.VAR256 (VAR256[VAR3-1:0]),
.VAR127 (VAR127),
.VAR114 (VAR114[VAR3-1:0]),
.VAR311 (VAR59));
endmodule
|
mpl-2.0
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/system/synthesis/submodules/altera_irq_bridge.v
| 5,256 |
module MODULE1
parameter VAR32 = 32
)
(
input clk,
input reset,
input [VAR32 - 1:0] VAR21,
output VAR33,
output VAR28,
output VAR26,
output VAR22,
output VAR29,
output VAR27,
output VAR15,
output VAR8,
output VAR2,
output VAR1,
output VAR9,
output VAR6,
output VAR24,
output VAR20,
output VAR3,
output VAR10,
output VAR17,
output VAR7,
output VAR16,
output VAR31,
output VAR23,
output VAR25,
output VAR11,
output VAR12,
output VAR5,
output VAR19,
output VAR18,
output VAR4,
output VAR34,
output VAR14,
output VAR35,
output VAR13
);
wire [31:0] VAR30;
assign VAR30 = {{(32 - VAR32){1'b0}}, VAR21};
assign VAR13 = VAR30[0];
assign VAR35 = VAR30[1];
assign VAR14 = VAR30[2];
assign VAR34 = VAR30[3];
assign VAR4 = VAR30[4];
assign VAR18 = VAR30[5];
assign VAR19 = VAR30[6];
assign VAR5 = VAR30[7];
assign VAR12 = VAR30[8];
assign VAR11 = VAR30[9];
assign VAR25 = VAR30[10];
assign VAR23 = VAR30[11];
assign VAR31 = VAR30[12];
assign VAR16 = VAR30[13];
assign VAR7 = VAR30[14];
assign VAR17 = VAR30[15];
assign VAR10 = VAR30[16];
assign VAR3 = VAR30[17];
assign VAR20 = VAR30[18];
assign VAR24 = VAR30[19];
assign VAR6 = VAR30[20];
assign VAR9 = VAR30[21];
assign VAR1 = VAR30[22];
assign VAR2 = VAR30[23];
assign VAR8 = VAR30[24];
assign VAR15 = VAR30[25];
assign VAR27 = VAR30[26];
assign VAR29 = VAR30[27];
assign VAR22 = VAR30[28];
assign VAR26 = VAR30[29];
assign VAR28 = VAR30[30];
assign VAR33 = VAR30[31];
endmodule
|
mit
|
jairov4/accel-oil
|
solution_virtex5/syn/verilog/bitset_next.v
| 16,667 |
module MODULE1 (
VAR27,
VAR48,
VAR64,
VAR68,
VAR18,
VAR3,
VAR13,
VAR58,
VAR23,
VAR35,
VAR26,
VAR12,
VAR66,
VAR59,
VAR65
);
parameter VAR28 = 1'b1;
parameter VAR45 = 1'b0;
parameter VAR34 = 1'b0;
parameter VAR60 = 1'b0;
parameter VAR61 = 32'b00000000000000000000000000000000;
parameter VAR43 = 1'b1;
parameter VAR24 = 2'b1;
parameter VAR50 = 2'b10;
parameter VAR54 = 32'b11111111111111111111111111111111;
parameter VAR67 = 2'b00;
parameter VAR46 = 32'b1;
parameter VAR31 = 8'b1;
parameter VAR55 = 1'b1;
input VAR27;
input VAR48;
input VAR64;
output VAR68;
output VAR18;
output VAR3;
input VAR13;
input [31:0] VAR58;
input [7:0] VAR23;
input [7:0] VAR35;
input [31:0] VAR26;
output [7:0] VAR12;
output [7:0] VAR66;
output [31:0] VAR59;
output [0:0] VAR65;
reg VAR68;
reg VAR18;
reg VAR3;
reg [0:0] VAR4 = 1'b0;
wire VAR44;
reg VAR11 = 1'b0;
wire [1:0] VAR40;
reg [1:0] VAR51;
wire [31:0] VAR53;
reg [31:0] VAR56;
wire [0:0] VAR63;
reg [0:0] VAR16;
wire [7:0] VAR33;
wire [0:0] VAR37;
wire [0:0] VAR5;
wire [31:0] VAR30;
wire [4:0] VAR38;
wire [31:0] VAR47;
wire [4:0] VAR14;
wire [31:0] VAR25;
reg [31:0] VAR42;
reg [31:0] VAR22;
wire [0:0] VAR49;
reg [0:0] VAR6;
reg [0:0] VAR19;
wire [1:0] VAR1;
reg [1:0] VAR10;
reg [1:0] VAR57;
wire [7:0] VAR17;
reg [7:0] VAR21;
reg [7:0] VAR69;
wire [7:0] VAR7;
wire [31:0] VAR8;
wire [7:0] VAR62;
reg [0:0] VAR9;
reg VAR15;
VAR2 VAR41(
.VAR39( VAR30 ),
.VAR36( VAR38 )
);
VAR2 VAR52(
.VAR39( VAR47 ),
.VAR36( VAR14 )
);
always @ (posedge VAR27)
begin : VAR29
if (VAR48 == 1'b1) begin
VAR4 <= VAR34;
end else begin
VAR4 <= VAR9;
end
end
always @ (posedge VAR27)
begin : VAR32
if (VAR48 == 1'b1) begin
VAR11 <= VAR45;
end else begin
if (((VAR34 == VAR4) & ~(((VAR28 == VAR44) & (VAR64 == VAR45)) | ~(VAR28 == VAR13)))) begin
VAR11 <= VAR44;
end
end
end
always @(posedge VAR27)
begin
if ((((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & (VAR60 == VAR37)) | ((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & ~(VAR60 == VAR5)))) begin
VAR21 <= VAR23;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & (VAR60 == VAR5))) begin
VAR21 <= VAR33;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR21 <= VAR17;
end
end
always @(posedge VAR27)
begin
if ((((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & (VAR60 == VAR37)) | ((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & ~(VAR60 == VAR5)))) begin
VAR10 <= VAR50;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & (VAR60 == VAR5))) begin
VAR10 <= VAR24;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR10 <= VAR1;
end
end
always @(posedge VAR27)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & (VAR60 == VAR37))) begin
VAR42 <= VAR61;
end else if ((((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & (VAR60 == VAR5)) | ((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & ~(VAR60 == VAR5)))) begin
VAR42 <= VAR58;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR42 <= VAR25;
end
end
always @(posedge VAR27)
begin
if ((((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & (VAR60 == VAR37)) | ((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & ~(VAR60 == VAR5)))) begin
VAR6 <= VAR43;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13) & ~(VAR63 == VAR60) & ~(VAR60 == VAR37) & (VAR60 == VAR5))) begin
VAR6 <= VAR60;
end else if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR6 <= VAR49;
end
end
always @(posedge VAR27)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR56 <= VAR53;
VAR16 <= VAR63;
VAR51 <= VAR40;
end
end
always @ (VAR4 or VAR11 or VAR16 or VAR21 or VAR7)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR11) & (VAR16 == VAR60))) begin
VAR69 = VAR7;
end else begin
VAR69 = VAR21;
end
end
always @ (VAR4 or VAR11 or VAR51 or VAR16 or VAR10)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR11) & (VAR16 == VAR60))) begin
VAR57 = VAR51;
end else begin
VAR57 = VAR10;
end
end
always @ (VAR4 or VAR11 or VAR56 or VAR16 or VAR42)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR11) & (VAR16 == VAR60))) begin
VAR22 = VAR56;
end else begin
VAR22 = VAR42;
end
end
always @ (VAR4 or VAR11 or VAR16 or VAR6)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR11) & (VAR16 == VAR60))) begin
VAR19 = VAR60;
end else begin
VAR19 = VAR6;
end
end
always @ (VAR64 or VAR4 or VAR44 or VAR11 or VAR13)
begin
if (((~(VAR28 == VAR64) & (VAR34 == VAR4) & (VAR28 == VAR44)) | ((VAR34 == VAR4) & (VAR28 == VAR11) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13)))) begin
VAR68 = VAR28;
end else begin
VAR68 = VAR45;
end
end
always @ (VAR64 or VAR4 or VAR44 or VAR11)
begin
if ((~(VAR28 == VAR64) & (VAR34 == VAR4) & (VAR45 == VAR44) & (VAR45 == VAR11))) begin
VAR18 = VAR28;
end else begin
VAR18 = VAR45;
end
end
always @ (VAR64 or VAR4 or VAR44 or VAR13)
begin
if (((VAR34 == VAR4) & (VAR28 == VAR44) & ~((VAR28 == VAR44) & (VAR64 == VAR45)) & (VAR28 == VAR13))) begin
VAR3 = VAR28;
end else begin
VAR3 = VAR45;
end
end
always @ (VAR64 or VAR44)
begin
if (((VAR45 == VAR44) & (VAR45 == VAR64))) begin
VAR15 = VAR28;
end else begin
VAR15 = VAR45;
end
end
always @ (VAR64 or VAR4 or VAR44 or VAR13 or VAR15)
begin
case (VAR4)
VAR34 :
VAR9 = VAR34;
default :
VAR9 = 'VAR20;
endcase
end
assign VAR33 = (VAR38);
assign VAR7 = (VAR14);
assign VAR62 = (VAR57);
assign VAR17 = VAR31;
assign VAR1 = VAR24;
assign VAR25 = VAR46;
assign VAR49 = VAR43;
assign VAR44 = VAR64;
assign VAR12 = VAR69;
assign VAR66 = VAR62;
assign VAR59 = VAR22;
assign VAR65 = VAR19;
assign VAR53 = (VAR8 & VAR26);
assign VAR8 = (VAR26 + VAR54);
assign VAR37 = (VAR40 == VAR67? 1'b1: 1'b0);
assign VAR5 = (VAR58 == VAR61? 1'b1: 1'b0);
assign VAR63 = (VAR53 == VAR61? 1'b1: 1'b0);
assign VAR40 = VAR35[1:0];
assign VAR30 = VAR58;
assign VAR47 = VAR56;
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkmux2/sky130_fd_sc_hdll__clkmux2.functional.v
| 1,529 |
module MODULE1 (
VAR1 ,
VAR5,
VAR8,
VAR2
);
output VAR1 ;
input VAR5;
input VAR8;
input VAR2 ;
wire VAR4;
VAR3 VAR7 (VAR4, VAR5, VAR8, VAR2 );
buf VAR6 (VAR1 , VAR4);
endmodule
|
apache-2.0
|
jhennessy/parallella-hw-old
|
boards/archive/gen1.1/fpga/hdl/parallella_7020_top.v
| 41,853 |
module MODULE1 (
VAR136, VAR291, VAR358, VAR337,
VAR297, VAR295, VAR42, VAR268, VAR204, VAR131,
VAR322, VAR254, VAR354, VAR273, VAR323, VAR261,
VAR285, VAR36, VAR301, VAR333, VAR128, VAR316,
VAR220, VAR188, VAR100, VAR242, VAR258,
VAR157, VAR307, VAR175, VAR366, VAR141,
VAR231, VAR305, VAR236, VAR11, VAR166,
VAR302, VAR393, VAR252, VAR44, VAR376,
VAR389, VAR238, VAR320, VAR332, VAR176,
VAR125, VAR171, VAR119, VAR164, VAR222,
VAR219, VAR63,
VAR93, VAR241,
VAR5, VAR58,
VAR224, VAR193,
VAR40, VAR278,
VAR373, VAR228,
VAR286, VAR180,
VAR79, VAR385,
VAR118,
VAR277, VAR349,
VAR160, VAR10, VAR367, VAR270,
VAR267, VAR52, VAR375, VAR233, VAR253, VAR16, VAR8,
VAR140, VAR370, VAR347, VAR139, VAR384, VAR221, VAR217,
VAR130, VAR243, VAR327, VAR143, VAR111, VAR283, VAR280,
VAR45, VAR326, VAR369, VAR133, VAR294,
VAR145, VAR146, VAR117, VAR210, VAR94,
VAR190, VAR74, VAR368, VAR76, VAR218,
VAR32, VAR49, VAR321, VAR201, VAR115,
VAR230, VAR18, VAR48,
VAR95,VAR178, VAR12,
VAR83, VAR205, VAR170, VAR172, VAR264, VAR293, VAR184,
VAR359, VAR248, VAR340, VAR215, VAR154, VAR59, VAR386,
VAR113, VAR50, VAR137, VAR324, VAR132, VAR96, VAR43,
VAR60,VAR304
);
parameter VAR206 = 12; parameter VAR251 = 32; parameter VAR255 = 32; parameter VAR213 = 6; parameter VAR88 = 32; parameter VAR214 = 64; parameter VAR151 = 8; parameter VAR23 = 20;
inout [53:0] VAR219;
input VAR277;
input VAR349;
input VAR160;
inout VAR63;
inout VAR93;
inout VAR241;
inout VAR5;
inout VAR58;
inout VAR224;
output VAR136;
inout [2:0] VAR193;
inout [14:0] VAR40;
inout VAR278;
inout VAR373;
inout [31:0] VAR228;
inout [3:0] VAR286;
inout [3:0] VAR180;
inout [3:0] VAR79;
inout VAR385;
inout VAR118;
output VAR83;
output VAR205;
output VAR170;
output VAR172;
output VAR264;
output VAR293;
output VAR184;
output VAR359;
output VAR248;
output VAR340;
output VAR215;
output VAR154;
output VAR59;
output VAR386;
output VAR113;
output VAR50;
output VAR137;
output VAR324;
output VAR132;
output VAR96;
output VAR84;
input VAR371;
input VAR178;
input VAR12;
inout VAR60;
inout VAR304;
input VAR10;
input VAR367;
input VAR270;
input VAR267;
input VAR52;
input VAR375;
input VAR233;
input VAR253;
input VAR16;
input VAR8;
input VAR140;
input VAR370;
input VAR347;
input VAR139;
input VAR384;
input VAR221;
input VAR217;
input VAR130;
input VAR243;
input VAR327;
input VAR143;
input VAR111;
input VAR283;
input VAR280;
output VAR291;
output VAR358;
output VAR337;
output VAR297;
output VAR295;
output VAR42;
output VAR268;
output VAR204;
output VAR131;
output VAR322;
output VAR254;
output VAR354;
output VAR273;
output VAR323;
output VAR261;
output VAR285;
output VAR36;
output VAR301;
output VAR333;
output VAR128;
output VAR316;
output VAR220;
output VAR188;
output VAR100;
input VAR45;
input VAR326;
input VAR369;
input VAR133;
input VAR294;
input VAR145;
input VAR146;
input VAR117;
input VAR210;
input VAR94;
input VAR190;
input VAR74;
input VAR368;
input VAR76;
input VAR218;
input VAR32;
input VAR49;
input VAR321;
input VAR201;
input VAR115;
input VAR230;
input VAR18;
input VAR48;
output VAR242;
output VAR258;
output VAR157;
output VAR307;
output VAR175;
output VAR366;
output VAR141;
output VAR231;
output VAR305;
output VAR236;
output VAR11;
output VAR166;
output VAR302;
output VAR393;
output VAR252;
output VAR44;
output VAR376;
output VAR389;
output VAR238;
output VAR320;
output VAR332;
output VAR176;
output VAR125;
output VAR171;
output VAR119;
output VAR164;
output VAR222;
input VAR95;
wire VAR192; wire VAR27; wire VAR336; wire VAR107; wire [31:0] VAR237; wire [1:0] VAR196; wire [3:0] VAR314; wire VAR300; wire [11:0] VAR189; wire [3:0] VAR30; wire [1:0] VAR108; wire [2:0] VAR121; wire [3:0] VAR150; wire VAR116; wire [2:0] VAR344; wire VAR308; wire [31:0] VAR112; wire [1:0] VAR203; wire [3:0] VAR22; wire [11:0] VAR20; wire [3:0] VAR64; wire [1:0] VAR245; wire [2:0] VAR29; wire [3:0] VAR56; wire VAR53; wire [2:0] VAR7; wire VAR197; wire [VAR206-1:0] VAR54; wire VAR101; wire [1:0] VAR247; wire VAR330; wire [VAR255-1:0] VAR329; wire [VAR206-1:0] VAR14; wire VAR51; wire VAR281; wire [1:0] VAR342; wire VAR392; wire [31:0] VAR239; wire [11:0] VAR152; wire VAR31; wire VAR198; wire [3:0] VAR65; wire VAR309; wire [VAR88-1:0] VAR387; wire [1:0] VAR55; wire [3:0] VAR216; wire VAR364; wire [VAR213-1:0] VAR223; wire [3:0] VAR361; wire [1:0] VAR357; wire [2:0] VAR260; wire [3:0] VAR153; wire VAR353; wire [2:0] VAR85; wire VAR71; wire [VAR88-1:0] VAR81; wire [1:0] VAR173; wire [3:0] VAR25; wire [VAR213-1:0] VAR317; wire [3:0] VAR129; wire [1:0] VAR73; wire [2:0] VAR391; wire [3:0] VAR158; wire VAR135; wire [2:0] VAR186; wire VAR24; wire [5:0] VAR246; wire VAR211; wire [1:0] VAR363; wire VAR194; wire [63:0] VAR212; wire [5:0] VAR39; wire VAR282; wire VAR61; wire [1:0] VAR134; wire VAR46; wire [VAR214-1:0] VAR263; wire [VAR213-1:0] VAR351; wire VAR315; wire VAR274; wire [VAR151-1:0] VAR318; wire VAR346; wire VAR250; wire VAR372;
reg [19:0] VAR21;
reg VAR90;
reg [1:0] VAR13;
reg [31:0] VAR348;
wire VAR102;
wire VAR3;
wire VAR103;
wire VAR240;
wire [1:0] VAR105;
wire VAR226;
wire [15:0] VAR202;
wire VAR67;
wire VAR303;
wire VAR98;
wire VAR244;
wire VAR174;
wire VAR87;
wire [7:0] VAR80;
wire [7:0] VAR374;
wire VAR377;
wire VAR334;
wire VAR104;
wire VAR165;
wire VAR335;
wire VAR232;
wire VAR19;
wire VAR200;
wire [7:0] VAR272;
wire [7:0] VAR35;
wire VAR345;
wire VAR126;
wire VAR266;
wire VAR91;
wire VAR195;
wire VAR6;
wire VAR34;
wire VAR109;
wire VAR288;
wire [7:0] VAR169;
wire [1:0] VAR97;
wire [11:0] VAR292;
wire [23:0] VAR57;
wire [23:0] VAR362;
wire VAR155;
assign VAR57[0] = VAR10;
assign VAR362[0] = VAR367;
assign VAR57[1] = VAR270;
assign VAR362[1] = VAR267;
assign VAR57[2] = VAR52;
assign VAR362[2] = VAR375;
assign VAR57[3] = VAR233;
assign VAR362[3] = VAR253;
assign VAR57[4] = VAR16;
assign VAR362[4] = VAR8;
assign VAR57[5] = VAR140;
assign VAR362[5] = VAR370;
assign VAR57[6] = VAR347;
assign VAR362[6] = VAR139;
assign VAR57[7] = VAR384;
assign VAR362[7] = VAR221;
assign VAR57[8] = VAR217;
assign VAR362[8] = VAR130;
assign VAR57[9] = VAR243;
assign VAR362[9] = VAR327;
assign VAR57[10] = VAR143;
assign VAR362[10] = VAR111;
assign VAR57[11] = VAR283;
assign VAR362[11] = VAR280;
genvar VAR199;
generate
for (VAR199 = 0; VAR199 < 12; VAR199 = VAR199 + 1) begin: VAR207
VAR328
VAR306
(.VAR82 (VAR57[VAR199]),
.VAR69 (VAR362[VAR199]),
.VAR2 (VAR292[VAR199]));
end
endgenerate
assign VAR291 = VAR57[12];
assign VAR358 = VAR362[12];
assign VAR337 = VAR57[13];
assign VAR297 = VAR362[13];
assign VAR295 = VAR57[14];
assign VAR42 = VAR362[14];
assign VAR268 = VAR57[15];
assign VAR204 = VAR362[15];
assign VAR131 = VAR57[16];
assign VAR322 = VAR362[16];
assign VAR254 = VAR57[17];
assign VAR354 = VAR362[17];
assign VAR273 = VAR57[18];
assign VAR323 = VAR362[18];
assign VAR261 = VAR57[19];
assign VAR285 = VAR362[19];
assign VAR36 = VAR57[20];
assign VAR301 = VAR362[20];
assign VAR333 = VAR57[21];
assign VAR128 = VAR362[21];
assign VAR316 = VAR57[22];
assign VAR220 = VAR362[22];
assign VAR188 = VAR57[23];
assign VAR100 = VAR362[23];
genvar VAR227;
generate
for (VAR227 = 12; VAR227 < 24; VAR227 = VAR227 + 1) begin: VAR360
VAR338
VAR38
(.VAR2 (VAR57[VAR227]),
.VAR312 (VAR362[VAR227]),
.VAR82 (1'b1));
end
endgenerate
assign VAR155 = 1'b1;
assign VAR50 = VAR202[0];
assign VAR113 = VAR202[1];
assign VAR386 = VAR202[2];
assign VAR59 = VAR202[3];
assign VAR154 = VAR202[4];
assign VAR215 = VAR202[5];
assign VAR340 = VAR202[6];
assign VAR248 = VAR202[7];
assign VAR359 = VAR202[8];
assign VAR184 = VAR202[9];
assign VAR293 = VAR202[10];
assign VAR264 = VAR202[11];
assign VAR172 = VAR202[12];
assign VAR170 = VAR202[13];
assign VAR205 = VAR202[14];
assign VAR83 = VAR202[15];
assign VAR137 = VAR67;
assign VAR324 = VAR303;
assign VAR132 = VAR98;
assign VAR96 = VAR244;
assign VAR87 = 1'b0;
assign VAR102 = VAR107;
assign VAR3 = ~VAR300;
assign VAR80[0] = VAR45;
assign VAR80[1] = VAR326;
assign VAR80[2] = VAR369;
assign VAR80[3] = VAR133;
assign VAR80[4] = VAR294;
assign VAR80[5] = VAR145;
assign VAR80[6] = VAR146;
assign VAR80[7] = VAR117;
assign VAR374[0] = VAR210;
assign VAR374[1] = VAR94;
assign VAR374[2] = VAR190;
assign VAR374[3] = VAR74;
assign VAR374[4] = VAR368;
assign VAR374[5] = VAR76;
assign VAR374[6] = VAR218;
assign VAR374[7] = VAR32;
assign VAR377 = VAR49;
assign VAR334 = VAR321;
assign VAR104 = VAR201;
assign VAR165 = VAR115;
assign VAR335 = VAR230;
assign VAR232 = VAR18;
assign VAR19 = VAR48; assign VAR200 = 1'b0;
assign VAR242 = VAR272[0];
assign VAR258 = VAR272[1];
assign VAR157 = VAR272[2];
assign VAR307 = VAR272[3];
assign VAR175 = VAR272[4];
assign VAR366 = VAR272[5];
assign VAR141 = VAR272[6];
assign VAR231 = VAR272[7];
assign VAR305 = VAR35[0];
assign VAR236 = VAR35[1];
assign VAR11 = VAR35[2];
assign VAR166 = VAR35[3];
assign VAR302 = VAR35[4];
assign VAR393 = VAR35[5];
assign VAR252 = VAR35[6];
assign VAR44 = VAR35[7];
assign VAR376 = VAR345;
assign VAR389 = VAR126;
assign VAR238 = VAR266;
assign VAR320 = VAR91;
assign VAR332 = VAR195;
assign VAR176 = VAR6;
assign VAR125 = VAR34;
assign VAR171 = VAR109;
assign VAR222 = VAR288;
assign VAR97[1:0] = 2'b00;
genvar VAR106;
generate
for(VAR106=0;VAR106<2;VAR106=VAR106+1) begin : VAR86
VAR167 #(VAR23) VAR167 (.VAR355 (VAR105[VAR106]),
.clk (VAR102),
.VAR144 (VAR97[VAR106]));
end
endgenerate
always @(posedge VAR102)
VAR13[1:0] <= VAR105[1:0];
assign VAR226 = VAR105[1] & ~VAR13[1];
always @ (posedge VAR102)
begin
if (VAR21[19:0] == 20'hff13f) begin
VAR90 <= 1'b0;
VAR21[19:0] <= VAR21[19:0];
end
else begin
VAR90 <= 1'b1;
VAR21[19:0] <= VAR21[19:0] + {{(19){1'b0}},1'b1};
end
end
assign VAR240 = VAR105[0];
assign VAR169[7:0] = ~VAR348[30:23];
always @ (posedge VAR102 or posedge VAR103)
if(VAR103)
VAR348[31:0] <= 32'b0;
else
VAR348[31:0] <= VAR348[31:0] + 1'b1;
assign VAR103 = VAR90 | VAR240 | VAR3 | VAR372;
assign VAR288 = ~(VAR90 | VAR240 | VAR250);
VAR127 VAR127(
.VAR27 (VAR27),
.VAR192 (VAR192),
.VAR250 (VAR250),
.VAR372 (VAR372),
.VAR272 (VAR272[7:0]),
.VAR35 (VAR35[7:0]),
.VAR345 (VAR345),
.VAR126 (VAR126),
.VAR266 (VAR266),
.VAR91 (VAR91),
.VAR195 (VAR195),
.VAR6 (VAR6),
.VAR34 (VAR34),
.VAR109 (VAR109),
.VAR149 (VAR119), .VAR183 (VAR164), .VAR148 (VAR317[VAR213-1:0]), .VAR75 (VAR81[VAR88-1:0]), .VAR287 (VAR129[3:0]), .VAR382 (VAR186[2:0]), .VAR325 (VAR173[1:0]), .VAR299 (VAR73[1:0]), .VAR249 (VAR25[3:0]), .VAR275 (VAR391[2:0]), .VAR177 (VAR24), .VAR229 (VAR53), .VAR62 (VAR351[VAR213-1:0]), .VAR380 (VAR263[VAR214-1:0]), .VAR89 (VAR318[VAR151-1:0]), .VAR161 (VAR315), .VAR159 (VAR346), .VAR191 (VAR198), .VAR259 (VAR211), .VAR298 (VAR54[VAR206-1:0]), .VAR41 (VAR247[1:0]), .VAR296 (VAR330), .VAR310 (VAR223[VAR213-1:0]), .VAR142 (VAR387[VAR88-1:0]), .VAR208 (VAR361[3:0]), .VAR99 (VAR85[2:0]), .VAR77 (VAR55[1:0]), .VAR70 (VAR357[1:0]), .VAR225 (VAR216[3:0]), .VAR124 (VAR260[2:0]), .VAR319 (VAR71), .VAR284 (VAR116), .VAR37 (VAR61), .VAR120 (VAR14[VAR206-1:0]), .VAR356 (VAR329[VAR255-1:0]), .VAR123 (VAR342[1:0]), .VAR147 (VAR51), .VAR378 (VAR392), .VAR276 (VAR158[3:0]), .VAR182 (VAR153[3:0]), .VAR26 (VAR336), .VAR185 (VAR107), .VAR33 (VAR107), .reset (VAR103), .VAR265 (VAR300), .VAR92 (VAR364), .VAR138 (1'b0), .VAR80 (VAR80[7:0]),
.VAR374 (VAR374[7:0]),
.VAR377 (VAR377),
.VAR334 (VAR334),
.VAR104 (VAR104),
.VAR165 (VAR165),
.VAR335 (VAR335),
.VAR232 (VAR232),
.VAR19 (VAR19),
.VAR200 (VAR200),
.VAR262 (VAR135), .VAR179 (VAR20[VAR206-1:0]), .VAR339 (VAR112[VAR88-1:0]), .VAR269 (VAR64[3:0]), .VAR47 (VAR7[2:0]), .VAR290 (VAR203[1:0]), .VAR279 (VAR245[1:0]), .VAR313 (VAR22[3:0]), .VAR365 (VAR29[2:0]), .VAR257 (VAR197), .VAR68 (VAR274), .VAR187 (VAR152[VAR206-1:0]), .VAR110 (VAR239[VAR255-1:0]), .VAR17 (VAR65[3:0]), .VAR4 (VAR31), .VAR181 (VAR309), .VAR78 (VAR246[VAR213-1:0]), .VAR15 (VAR363[1:0]), .VAR271 (VAR194), .VAR209 (VAR101), .VAR168 (VAR353), .VAR343 (VAR189[VAR206-1:0]), .VAR66 (VAR237[VAR88-1:0]), .VAR114 (VAR30[3:0]), .VAR289 (VAR344[2:0]), .VAR350 (VAR196[1:0]), .VAR388 (VAR108[1:0]), .VAR256 (VAR314[3:0]), .VAR156 (VAR121[2:0]), .VAR163 (VAR308), .VAR383 (VAR39[VAR213-1:0]), .VAR234 (VAR212[VAR214-1:0]), .VAR72 (VAR134[1:0]), .VAR122 (VAR282), .VAR311 (VAR46), .VAR390 (VAR281), .VAR28 (VAR56[3:0]), .VAR235 (VAR150[3:0]));
VAR9 VAR9(
.VAR331(VAR107),
.VAR162(VAR107),
.VAR1(VAR60),
.VAR379(VAR304),
.VAR67(VAR67),
.VAR202(VAR202),
.VAR303(VAR303),
.VAR98(VAR98),
.VAR244(VAR244),
.VAR87(VAR87),
.VAR136(VAR136),
.VAR300(VAR300),
.VAR364(VAR364),
.VAR107(VAR107),
.VAR336(VAR336),
.VAR308(VAR308),
.VAR197(VAR197),
.VAR101(VAR101),
.VAR281(VAR281),
.VAR31(VAR31),
.VAR309(VAR309),
.VAR189(VAR189[11:0]),
.VAR20(VAR20[11:0]),
.VAR152(VAR152[11:0]),
.VAR196(VAR196[1:0]),
.VAR108(VAR108[1:0]),
.VAR344(VAR344[2:0]),
.VAR203(VAR203[1:0]),
.VAR245(VAR245[1:0]),
.VAR7(VAR7[2:0]),
.VAR121(VAR121[2:0]),
.VAR29(VAR29[2:0]),
.VAR237(VAR237[31:0]),
.VAR112(VAR112[31:0]),
.VAR239(VAR239[31:0]),
.VAR314(VAR314[3:0]),
.VAR30(VAR30[3:0]),
.VAR150(VAR150[3:0]),
.VAR22(VAR22[3:0]),
.VAR64(VAR64[3:0]),
.VAR56(VAR56[3:0]),
.VAR65(VAR65[3:0]),
.VAR353(VAR353),
.VAR135(VAR135),
.VAR194(VAR194),
.VAR282(VAR282),
.VAR46(VAR46),
.VAR274(VAR274),
.VAR363(VAR363[1:0]),
.VAR134(VAR134[1:0]),
.VAR246(VAR246[5:0]),
.VAR39(VAR39[5:0]),
.VAR212(VAR212[63:0]),
.VAR219(VAR219[53:0]),
.VAR63(VAR63),
.VAR93(VAR93),
.VAR241(VAR241),
.VAR5(VAR5),
.VAR58(VAR58),
.VAR224(VAR224),
.VAR193(VAR193[2:0]),
.VAR40(VAR40[14:0]),
.VAR278(VAR278),
.VAR373(VAR373),
.VAR228(VAR228[31:0]),
.VAR286(VAR286[3:0]),
.VAR180(VAR180[3:0]),
.VAR79(VAR79[3:0]),
.VAR385(VAR385),
.VAR118(VAR118),
.VAR381(VAR277),
.VAR352(VAR349),
.VAR341(VAR160),
.VAR116(VAR116),
.VAR53(VAR53),
.VAR330(VAR330),
.VAR51(VAR51),
.VAR392(VAR392),
.VAR198(VAR198),
.VAR54(VAR54[11:0]),
.VAR14(VAR14[11:0]),
.VAR247(VAR247[1:0]),
.VAR342(VAR342[1:0]),
.VAR329(VAR329[31:0]),
.VAR71(VAR71),
.VAR24(VAR24),
.VAR211(VAR211),
.VAR61(VAR61),
.VAR315(VAR315),
.VAR346(VAR346),
.VAR55(VAR55[1:0]),
.VAR357(VAR357[1:0]),
.VAR85(VAR85[2:0]),
.VAR173(VAR173[1:0]),
.VAR73(VAR73[1:0]),
.VAR186(VAR186[2:0]),
.VAR260(VAR260[2:0]),
.VAR391(VAR391[2:0]),
.VAR387(VAR387[31:0]),
.VAR81(VAR81[31:0]),
.VAR216(VAR216[3:0]),
.VAR361(VAR361[3:0]),
.VAR153(VAR153[3:0]),
.VAR25(VAR25[3:0]),
.VAR129(VAR129[3:0]),
.VAR158(VAR158[3:0]),
.VAR223(VAR223[5:0]),
.VAR317(VAR317[5:0]),
.VAR351(VAR351[5:0]),
.VAR263(VAR263[63:0]),
.VAR318(VAR318[7:0]));
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/de_temp/der_smdisp_fast.v
| 8,295 |
module MODULE1
(
input VAR51,
input VAR47,
input VAR8,
input VAR49,
input VAR9,
input VAR45,
input VAR33,
input [3:0] VAR27,
input [3:0] VAR14,
input [3:0] VAR16,
input VAR18, input VAR32,
output reg VAR15,
output reg VAR11, output reg VAR3, output reg VAR46, output reg VAR4,
output reg VAR54,
output reg VAR43,
output reg VAR35,
output reg VAR52,
output reg VAR44
);
reg VAR37;
reg VAR41;
reg VAR2;
reg VAR28;
reg VAR12;
reg VAR24;
reg VAR17;
reg VAR50;
reg VAR53;
reg VAR22;
enum {
VAR39 =3'b000,
VAR40 =3'b001,
VAR6 =3'b010,
VAR29 =3'b011,
VAR13 =3'b100,
VAR42 =3'b101,
VAR19 =3'b110,
VAR34 =3'b111
} VAR26;
parameter
VAR39 =3'b000,
VAR40 =3'b001,
VAR6 =3'b010,
VAR29 =3'b011,
VAR13 =3'b100,
VAR42 =3'b101,
VAR19 =3'b110,
VAR34 =3'b111;
reg [2:0] VAR26;
parameter
VAR1 = 4'h0,
VAR10 = 4'h1,
VAR36 = 4'h2,
VAR5 = 4'h3,
VAR7 = 4'h5,
VAR38 = 4'h6,
VAR48 = 4'h7,
VAR31 = 4'h8,
VAR25 = 4'h9,
VAR20 = 4'hA,
VAR30 = 4'hB,
VAR55 = 3'b001,
VAR21 = 3'b010,
VAR23 = 3'b100;
always @(posedge VAR51, negedge VAR47) begin
if(!VAR47) begin
VAR24 <= 1'b0;
VAR12 <= 1'b0;
VAR54 <= 1'b0;
VAR4 <= 1'b0;
end
else begin
VAR54 <= VAR24;
VAR24 <= VAR50;
VAR4 <= VAR12;
VAR12 <= VAR17;
end
end
always @(posedge VAR51, negedge VAR47) begin
if(!VAR47) begin
VAR37 <= 1'b0;
VAR2 <= 1'b0;
VAR53 <= 1'b0;
end
else begin
if(VAR45) VAR37 <= 1'b1;
end
else if(VAR41) VAR37 <= 1'b0;
if(VAR9) VAR2 <= 1'b1;
end
else if(VAR28) VAR2 <= 1'b0;
if(VAR46) VAR53 <= 1'b1;
else if(VAR18) VAR53 <= 1'b0;
end
end
always @(posedge VAR51, negedge VAR47) begin
if(!VAR47) begin
VAR15 <= 1'b0;
VAR22 <= 1'b0;
VAR11 <= 1'b0;
VAR52 <= 1'b0;
VAR3 <= 1'b1;
VAR46 <= 1'b0;
VAR44 <= 1'b0;
VAR17 <= 1'b0;
VAR50 <= 1'b0;
VAR43 <= 1'b0;
VAR35 <= 1'b0;
VAR41 <= 1'b0;
VAR28 <= 1'b0;
VAR26 <= VAR39;
end
else begin
VAR15 <= 1'b0;
VAR11 <= 1'b0;
VAR52 <= 1'b0;
VAR3 <= 1'b1;
VAR46 <= 1'b0;
VAR44 <= 1'b0;
VAR17 <= 1'b0;
VAR50 <= 1'b0;
VAR43 <= 1'b0;
VAR35 <= 1'b0;
VAR41 <= 1'b0;
VAR28 <= 1'b0;
case(VAR26)
VAR39: if(!VAR49)VAR26 <= VAR39;
end
else if((VAR27==VAR1) || (VAR27==VAR38) || (VAR27==VAR48)) begin
VAR52 <= 1'b1; VAR26 <= VAR34; end
else if(((VAR27==VAR25) || (VAR27==VAR31)) & VAR8 & !VAR22) begin
VAR15 <= 1'b1; VAR11 <= 1'b1; VAR52 <= 1'b1; VAR22 <= 1'b1;
VAR26 <= VAR29; end
else begin
if(VAR27 == VAR10) begin VAR50 <= 1'b1; VAR52 <= 1'b1; end
if(VAR27 == VAR36) begin VAR17 <= 1'b1; VAR52 <= 1'b1; end
if(VAR27 == VAR5) begin VAR17 <= 1'b1; VAR52 <= 1'b1; end
if(VAR27 == VAR7) begin VAR17 <= 1'b1; VAR52 <= 1'b1; end
if((VAR27 == VAR20) & VAR8) VAR52 <= 1'b1;
if((VAR27 == VAR30) & VAR8) VAR52 <= 1'b1;
if(VAR8) begin VAR11 <= 1'b1;
VAR26 <= VAR40;
end else begin VAR3 <= 1'b1;
VAR26 <= VAR6;
end
end
VAR40: begin VAR26 <= VAR6;
VAR3 <= 1'b0;
end
VAR6: VAR26 <= VAR19;
VAR29: begin if(VAR37) begin
VAR26 <= VAR39;
VAR44 <= 1'b1;
VAR41 <= 1'b1;
VAR22 <= 1'b0;
end
else if(VAR2 && !VAR53) begin
VAR26 <= VAR13;
VAR3 <= 1'b0;
VAR46 <= 1'b1;
VAR28 <= 1'b1;
VAR22 <= 1'b0;
end
else VAR26 <= VAR29;
end
VAR13: VAR26 <= VAR42;
VAR42: begin if(!VAR53) begin
VAR26 <= VAR39;
VAR44 <= 1'b1;
end
else if(!VAR49 || VAR22)VAR26 <= VAR42;
end
else if((VAR27==VAR25) || (VAR27==VAR31)) begin
VAR15 <= 1'b1; VAR11 <= 1'b1; VAR52 <= 1'b1; VAR22 <= 1'b1;
VAR26 <= VAR29; end
else VAR26 <= VAR42;
end
VAR19: begin
if(VAR16 == VAR20 && !VAR53) begin VAR26 <= VAR39;
VAR44 <= 1'b1;
VAR35 <= 1'b1;
end
else if(VAR16==VAR30 && !VAR53) begin VAR26 <= VAR39;
VAR44 <= 1'b1;
VAR43 <= 1'b1;
end
else if(VAR16==VAR20 && VAR53)VAR26 <= VAR19;
end
else if(VAR16==VAR30 && VAR53)VAR26 <= VAR19;
end
else if((VAR16== VAR1) || !VAR33) begin
VAR26 <= VAR39;
VAR44 <= 1'b1;
end
else VAR26 <= VAR19;
end
VAR34: VAR26 <= VAR39;
endcase
end
end
endmodule
|
gpl-3.0
|
praveendath92/securePUF
|
source/Frequency.v
| 1,145 |
module MODULE1(
input wire clk,
input wire rst,
input wire rand,
output reg VAR8
);
parameter VAR7 = 20000, VAR2 = 10182, VAR1 = 9818;
reg [14:0] VAR3, VAR6, VAR5;
always @(posedge clk)
if (rst) begin
VAR3 <= 15'VAR4;
VAR6 <= 0;
VAR5 <= 0;
VAR8 <= 0;
end
else begin
VAR3 <= VAR3 + 1;
VAR6 <= VAR3;
if (VAR3 == (VAR7-1)) begin
VAR3 <= 0;
end
if (rand) VAR5 <= VAR5 + 1;
if (VAR6 == (VAR7-1)) begin
VAR5 <= rand;
if ((VAR5 <= VAR2) && (VAR5 >= VAR1)) VAR8 <= 1;
end
else VAR8 <= 0;
end
end
endmodule
|
gpl-2.0
|
eleqian/WiDSO
|
CPLD/DSO_LA/src/dsc.v
| 1,705 |
module MODULE1(VAR2, clk,
VAR9, VAR4, VAR7, VAR27,
VAR22, VAR18, VAR3, VAR6, VAR17, VAR8, VAR11,
VAR12, VAR20, VAR14, VAR5, VAR1);
input VAR2;
input clk;
input VAR9;
input VAR4;
input VAR7;
output VAR27;
output [18:0] VAR22;
inout [15:0] VAR18;
output VAR3;
output VAR6;
output VAR17;
output VAR8;
output VAR11;
inout [11:0] VAR12;
inout [11:0] VAR20;
inout [2:0] VAR14;
output [1:0] VAR5;
output VAR1;
wire [7:0] VAR13;
wire VAR24;
wire VAR16;
wire VAR29;
wire VAR28;
wire [7:0] VAR25;
wire VAR21;
wire VAR10;
assign VAR29 = VAR12[0];
assign VAR12[0] = 1'VAR15;
assign VAR12[2] = VAR16;
assign VAR12[3] = VAR24;
assign VAR13[7:0] = VAR12[11:4];
assign VAR12[11:4] = 8'VAR15;
assign VAR25[7:0] = VAR20[7:0];
assign VAR21 = VAR20[8];
assign VAR20[8:0] = 9'VAR15;
assign VAR20[9] = VAR10;
assign VAR14[0] = VAR28;
assign VAR22[18] = 0;
VAR19 VAR26(.VAR2(VAR2), .clk(clk),
.VAR9(VAR9), .VAR4(VAR4), .VAR7(VAR7), .VAR27(VAR27), .VAR23(VAR5[0]),
.VAR22(VAR22[17:0]), .VAR18(VAR18), .VAR3(VAR3), .VAR6(VAR6),
.VAR17(VAR17), .VAR8(VAR8), .VAR11(VAR11),
.VAR13(VAR13), .VAR24(VAR24), .VAR16(VAR16),
.VAR25(VAR25), .VAR29(VAR29), .VAR28(VAR28), .VAR21(VAR21), .VAR10(VAR10), .VAR1(VAR1));
endmodule
|
mit
|
ElegantLin/My-CPU
|
Final/Final.srcs/sources_1/imports/sources_1/imports/Chapter11/regfile.v
| 4,555 |
module MODULE1(
input wire clk,
input wire rst,
input wire VAR7,
input wire[VAR2] VAR3,
input wire[VAR19] VAR8,
input wire VAR9,
input wire[VAR2] VAR15,
output reg[VAR19] VAR11,
input wire VAR12,
input wire[VAR2] VAR4,
output reg[VAR19] VAR17
);
reg[VAR19] VAR6[0:VAR18-1];
always @ (posedge clk) begin
if (rst == VAR1) begin
if((VAR7 == VAR13) && (VAR3 != VAR14'h0)) begin
VAR6[VAR3] <= VAR8;
end
end
end
always @ begin
if(rst == VAR16) begin
VAR17 <= VAR10;
end else if(VAR4 == VAR14'h0) begin
VAR17 <= VAR10;
end else if((VAR4 == VAR3) && (VAR7 == VAR13)
&& (VAR12 == VAR5)) begin
VAR17 <= VAR8;
end else if(VAR12 == VAR5) begin
VAR17 <= VAR6[VAR4];
end else begin
VAR17 <= VAR10;
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdlclkp/sky130_fd_sc_ms__sdlclkp.behavioral.v
| 2,508 |
module MODULE1 (
VAR4,
VAR15 ,
VAR20,
VAR10
);
output VAR4;
input VAR15 ;
input VAR20;
input VAR10 ;
supply1 VAR11;
supply0 VAR19;
supply1 VAR24 ;
supply0 VAR25 ;
wire VAR18 ;
wire VAR3 ;
wire VAR7 ;
wire VAR23 ;
wire VAR13 ;
wire VAR5 ;
wire VAR9;
reg VAR12 ;
wire VAR2 ;
wire VAR22 ;
wire VAR17 ;
not VAR1 (VAR3 , VAR18 );
not VAR6 (VAR7 , VAR23 );
nor VAR14 (VAR9, VAR5, VAR13 );
VAR21 VAR16 (VAR18 , VAR9, VAR7, VAR12, VAR11, VAR19);
and VAR8 (VAR4 , VAR3, VAR23 );
assign VAR2 = ( VAR11 === 1'b1 );
assign VAR22 = ( VAR2 & ( VAR5 === 1'b0 ) );
assign VAR17 = ( VAR2 & ( VAR13 === 1'b0 ) );
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/fpu/rtl/fpu_mul_frac_dp.v
| 15,099 |
module MODULE1 (
VAR52,
VAR13,
VAR9,
VAR3,
VAR46,
VAR48,
VAR35,
VAR25,
VAR79,
VAR89,
VAR8,
VAR74,
VAR57,
VAR98,
VAR71,
VAR27,
VAR92,
VAR16,
VAR49,
VAR53,
VAR95,
VAR47,
VAR55,
VAR39,
VAR24,
VAR77,
VAR31,
VAR17,
VAR38,
VAR1,
VAR4,
VAR14,
VAR63,
VAR82,
VAR43,
VAR15,
VAR93,
VAR78,
VAR41,
VAR40,
VAR2,
VAR87,
VAR96,
VAR28,
VAR21,
VAR68,
VAR59,
VAR22,
VAR76,
VAR75,
VAR58
);
input [54:0] VAR52; input [54:0] VAR13; input VAR9; input VAR3; input VAR46; input VAR48; input VAR35; input VAR25; input VAR79; input VAR89; input VAR8; input VAR74; input VAR57; input VAR98; input VAR71; input VAR27; input VAR92; input VAR16; input VAR49; input VAR53; input VAR95; input [105:0] VAR47; input [5:0] VAR55; input [6:0] VAR39; input VAR24; input VAR77; input VAR31; input VAR17; input VAR38; input VAR1; input VAR4; input VAR14; input VAR63; input VAR82;
output [52:0] VAR43; output [52:0] VAR15; output [5:0] VAR93; output [5:0] VAR78; output VAR41; output [6:0] VAR40; output VAR2; output VAR87; output [32:0] VAR96; output VAR28; output VAR21; output VAR68; output VAR59; output [51:0] VAR22;
input VAR76; input VAR75; output VAR58;
wire [54:0] VAR62;
wire [54:0] VAR7;
wire [52:0] VAR19;
wire [52:0] VAR43;
wire [52:0] VAR86;
wire [52:0] VAR15;
wire [52:0] VAR84;
wire [5:0] VAR93;
wire [52:0] VAR34;
wire [5:0] VAR78;
wire VAR41;
wire [5:0] VAR6;
wire [5:0] VAR23;
wire [5:0] VAR91;
wire [6:0] VAR40;
wire [168:63] VAR85;
wire [55:0] VAR37;
wire VAR2;
wire VAR87;
wire [168:0] VAR29;
wire [55:0] VAR56;
wire [54:0] VAR26;
wire [54:0] VAR30;
wire [54:0] VAR11;
wire [54:0] VAR81;
wire [54:0] VAR97;
wire [54:0] VAR61;
wire [54:0] VAR12;
wire [54:0] VAR64;
wire [54:33] VAR90;
wire [32:0] VAR96;
wire [54:3] VAR66;
wire [54:0] VAR88;
wire VAR28;
wire VAR21;
wire VAR68;
wire [52:0] VAR36;
wire VAR59;
wire [51:0] VAR67;
wire [51:0] VAR60;
wire [51:0] VAR22;
wire [30:0] VAR42;
wire sel;
assign sel = ~VAR76;
VAR72 VAR5 (
.clk(clk),
.VAR82(VAR82),
.VAR50(VAR63),
.VAR73(sel)
);
VAR32 #(55) VAR69 (
.din (VAR52[54:0]),
.en (VAR9),
.clk (clk),
.VAR18 (VAR62[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
VAR32 #(55) VAR44 (
.din (VAR13[54:0]),
.en (VAR9),
.clk (clk),
.VAR18 (VAR7[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign VAR19[52:0]= ({53{VAR3}}
& {1'b1, (VAR62[51] || VAR79),
VAR62[50:0]})
| ({53{VAR46}}
& {VAR62[51:0], 1'b0})
| ({53{VAR48}}
& {1'b1, (VAR62[54] || VAR89),
VAR62[53:32], 29'b0})
| ({53{VAR35}}
& {VAR62[54:32], 30'b0})
| ({53{VAR25}}
& 53'h10000000000000);
assign VAR43[52:0]= (~VAR19[52:0]);
assign VAR86[52:0]= ({53{VAR8}}
& {1'b1, (VAR7[51] || VAR27),
VAR7[50:0]})
| ({53{VAR74}}
& {VAR7[51:0], 1'b0})
| ({53{VAR57}}
& {1'b1, (VAR7[54] || VAR92),
VAR7[53:32], 29'b0})
| ({53{VAR98}}
& {VAR7[54:32], 30'b0})
| ({53{VAR71}}
& {1'b1, {23{VAR16}},
{29{VAR49}}});
assign VAR15[52:0]= VAR86[52:0];
assign VAR84[52:0]= ({53{VAR95}}
& {VAR62[54:32], 30'b0})
| ({53{VAR53}}
& {VAR62[51:0], 1'b0});
VAR83 VAR10 (
.din (VAR84[52:0]),
.VAR65 (VAR93[5:0])
);
assign VAR34[52:0]= ({53{VAR95}}
& {VAR7[54:32], 30'b0})
| ({53{VAR53}}
& {VAR7[51:0], 1'b0});
VAR83 VAR33 (
.din (VAR34[52:0]),
.VAR65 (VAR78[5:0])
);
assign VAR41= VAR47[105];
VAR32 #(56) VAR70 (
.din ({{6{VAR55[5]}},
{6{VAR55[4]}},
VAR55[5:0],
VAR39[6:0],
31'h00000000}),
.en (VAR9),
.clk (clk),
.VAR18 ({VAR6[5:0],
VAR23[5:0],
VAR91[5:0],
VAR40[6:0],
VAR42[30:0]}),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign VAR85[168:63]= VAR47[105:0]
<< {VAR6[0], VAR91[4:0]};
assign VAR37[55:0]= {VAR85[168:114], (|VAR85[113:63])};
assign VAR2= VAR37[54];
assign VAR87= VAR37[55];
assign VAR29[168:0]= { VAR47[105:0], 63'b0} >> VAR91[5:0];
assign VAR56[55:0]= {VAR29[168:114], (|VAR29[113:0])};
assign VAR26[54:0]= ~(({55{(VAR24 && VAR37[55])}}
& VAR37[54:0])
| ({55{(!VAR9)}}
& VAR88[54:0]));
VAR80 #(55) VAR94 (
.din (VAR26[54:0]),
.clk (clk),
.VAR18 (VAR30[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign VAR11[54:0]= ~({55{(VAR24
&& (!VAR37[55]))}}
& {VAR37[53:0], 1'b0});
VAR80 #(55) VAR54 (
.din (VAR11[54:0]),
.clk (clk),
.VAR18 (VAR81[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign VAR97[54:0]= ~({55{(VAR77
&& VAR56[55])}}
& VAR56[54:0]);
VAR80 #(55) VAR45 (
.din (VAR97[54:0]),
.clk (clk),
.VAR18 (VAR61[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign VAR12[54:0]= ~({55{(VAR77
&& (!VAR56[55]))}}
& {VAR56[53:0], 1'b0});
VAR80 #(55) VAR51 (
.din (VAR12[54:0]),
.clk (clk),
.VAR18 (VAR64[54:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
assign {VAR90[54:33], VAR96[32:0]} = ~(VAR30[54:0]
& VAR81[54:0]
& VAR61[54:0]
& VAR64[54:0]);
assign VAR66[54:3]= {VAR90[54:33], VAR96[32:3]};
assign VAR88[54:0]= {VAR90[54:33], VAR96[32:0]};
assign VAR28= (|VAR88[2:0]);
assign VAR21= VAR28 || (|VAR88[31:3]);
assign VAR68= VAR21 || (|VAR88[54:32]);
assign VAR36[52:0]= {1'b0, VAR66[54:3]}
+ {23'b0, VAR31, 28'b0, VAR17};
assign VAR59= VAR36[52];
assign VAR67[51:0]= VAR36[51:0];
assign VAR60[51:0]= ({52{VAR38}}
& VAR67[51:0])
| ({52{VAR1}}
& VAR88[54:3])
| ({52{VAR4}}
& {52{VAR14}});
VAR32 #(52) VAR20 (
.din (VAR60[51:0]),
.en (VAR9),
.clk (clk),
.VAR18 (VAR22[51:0]),
.VAR76 (VAR76),
.VAR75 (),
.VAR58 ()
);
endmodule
|
gpl-2.0
|
zhaishaomin/ring_network-based-multicore-
|
core/core_PHT.v
| 3,118 |
module MODULE1( clk,
rst,
VAR9, VAR11, VAR3,
VAR5,
VAR6,
VAR10,
VAR18,
VAR17,
VAR1,
VAR13
);
input clk;
input rst;
input VAR3;
input VAR5;
input VAR6;
input [5:0] VAR9; input [5:0] VAR11; input [3:0] VAR10;
input [1:0] VAR18;
output VAR17;
output [3:0] VAR1;
output [1:0] VAR13;
wire [1:0] VAR13;
wire [3:0] VAR1;
reg VAR4;
reg [1:0] VAR14;
reg [3:0] VAR15 [7:0];
reg [1:0] VAR8 [127:0];
wire [6:0] VAR7;
wire [6:0] VAR2;
assign VAR2={VAR1,VAR9[4:2]};
assign VAR7={VAR10,VAR11[4:2]};
wire [2:0] VAR16;
wire [2:0] VAR12;
assign VAR16={VAR9[5]^VAR9[4],VAR9[3]^VAR9[2],VAR9[1]^VAR9[0]};
assign VAR12={VAR11[5]^VAR11[4],VAR11[3]^VAR11[2],VAR11[1]^VAR11[0]};
always@(posedge clk)
begin
if(VAR3)
begin
if(VAR6)
VAR15[VAR12]<={VAR10[2:0],1'b1};
end
else
VAR15[VAR12]<={VAR10[2:0],1'b1};
end
end
always@(posedge clk)
begin
if(VAR4)
begin
VAR8[VAR7]<=VAR14;
end
end
always@(*)
begin
VAR4=1'b0;
VAR14=2'b00;
if(VAR3)
begin
if(VAR18[1]&&VAR5)
begin
if(VAR18[0]==1'b0)
begin
VAR4=1'b1;
VAR14=2'b11;
end
end
else if((!VAR18[1])&&VAR5)
begin
VAR4=1'b1;
VAR14=2'b10;
end
else if(VAR18[1]&&(!VAR5))
begin
VAR4=1'b1;
VAR14=2'b01;
end
else if((!VAR18[1])&&(!VAR5))
begin
VAR4=1'b1;
VAR14=2'b00;
end
end
end
assign VAR1=VAR15[VAR16];
assign VAR13=VAR8[VAR2];
assign VAR17=VAR13[1];
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/tapvgnd2/sky130_fd_sc_ls__tapvgnd2.pp.symbol.v
| 1,265 |
module MODULE1 (
input VAR4 ,
input VAR1,
input VAR3,
input VAR2
);
endmodule
|
apache-2.0
|
xuwenyihust/MapReduce_NoC
|
RTL/word_com.v
| 2,552 |
module MODULE1(clk, rst, VAR1, VAR12);
parameter VAR6 = 8;
parameter VAR8 = 128; parameter VAR5 = 63;
parameter VAR11 = 4'b0000;
parameter VAR3 = 4'b0001;
parameter VAR13 = 4'b0010;
input clk;
input rst;
input VAR1;
input [VAR6-1:0] VAR12;
reg [VAR8-1:0] VAR4 [VAR5-1:0]; reg [5:0] VAR10; reg [7:0] VAR2; reg [6:0] VAR14;
reg [3:0] VAR9;
reg [3:0] VAR7;
always@(posedge clk or negedge rst)
if(!rst)
VAR9 <= VAR11;
else
VAR9 <= VAR7;
always@*
begin
case(VAR9)
VAR11: begin
if(VAR1 == 1) VAR7 = VAR13;
end
else VAR7 = VAR11;
end
VAR3: begin
if(VAR1 == 1) VAR7 = VAR13;
end
else VAR7 = VAR3;
end
VAR13: begin
if(VAR1 == 0) VAR7 = VAR3;
end
else VAR7 = VAR13;
end
default: begin
VAR7 = VAR11;
end
endcase
end
always@(posedge clk)
case(VAR9)
VAR11: begin
VAR10 <= 0;
VAR2 <= 0;
for(VAR14=0; VAR14<=63; VAR14=VAR14+1)
VAR4[VAR14] <= 128'b0;
end
VAR3: begin
end
VAR13: begin
if( (VAR12==8'h00)&&(VAR2==0)) begin
VAR10 <= VAR10;
end
else if ( (VAR12==8'h00)&&(VAR2!=0) ) begin
VAR10 <= VAR10 + 1;
VAR2 <= 0;
end
else if (VAR2 == 128) begin
VAR10 <= VAR10 + 1;
VAR2 <= 0;
end
else if(VAR1 == 1) begin
VAR4[VAR10] <= VAR4[VAR10] + (VAR12<<VAR2);
VAR2 <= VAR2 + 8;
end
else begin
end
end
default: begin
end
endcase
endmodule
|
mit
|
cafe-alpha/wascafe
|
v13/r07c_de10_20201014_abus4/wasca/synthesis/submodules/wasca_altpll_1.v
| 10,635 |
module MODULE1
(
VAR5,
VAR3,
VAR2,
VAR6) ;
input VAR5;
input VAR3;
input [0:0] VAR2;
output [0:0] VAR6;
tri0 VAR5;
tri1 VAR3;
reg [0:0] VAR10;
reg [0:0] VAR1;
reg [0:0] VAR9;
wire VAR4;
wire VAR8;
wire VAR7;
|
gpl-2.0
|
AloriumTechnology/XLR8Pong
|
extras/rtl/pong16.v
| 3,658 |
module MODULE1 (VAR38,
VAR27,
VAR30,
VAR34,
VAR25,
VAR11,
VAR26,
VAR3,
VAR20,
VAR18
);
input logic VAR38;
input logic VAR27;
input wire VAR30;
input wire VAR25;
input wire VAR11;
input wire [7:0] VAR34;
input [7:0] VAR26;
output VAR3;
output VAR20;
output VAR18;
wire VAR14;
wire VAR15;
reg VAR3;
reg VAR20;
reg VAR4=0;
always @(posedge VAR38) begin
VAR4 <= ~VAR4;
end
reg[7:0] VAR28;
reg[7:0] VAR6;
reg VAR7;
wire VAR40;
wire VAR35;
reg[3:0] VAR8;
reg[3:0] VAR12;
reg[1:0] VAR31;
assign VAR23 = (VAR30 && VAR34 == VAR24);
assign VAR39 = (VAR30 && VAR34 == VAR13);
assign VAR32 = (VAR30 && VAR34 == VAR2);
assign VAR37 = VAR23 & VAR25;
assign VAR5 = VAR39 & VAR25;
assign VAR17 = VAR32 & VAR25;
always @(posedge VAR38) begin
if(!VAR27) begin
VAR28 <= 100;
end else if( VAR37) begin
VAR28 <= VAR26 ;
end
end
always @(posedge VAR38) begin
if(!VAR27) begin
VAR6 <= 100;
end else if( VAR5) begin
VAR6 <= VAR26 ;
end
end
assign VAR40 = | VAR8;
always @(posedge VAR38) begin
if(!VAR27) begin
VAR8 <= 0;
end else begin
VAR8 <= (VAR17 && VAR26[1] ) ? 10 : (VAR8 != 0) ? VAR8-1 : 0;
end
end
assign VAR35 = | VAR12;
always @(posedge VAR38) begin
if(!VAR27) begin
VAR12 <= 0;
end else begin
VAR12 <= (VAR17 && VAR26[0] ) ? 10 : (VAR12 != 0) ? VAR12-1 : 0;
end
end
always @(posedge VAR38) begin
if(!VAR27) begin
VAR31 <= 2'b01;
end else if( VAR17) begin
VAR31 <= (VAR26[4]==1) ? 2'b10 : 2'b01;
end
end
always @(posedge VAR38) begin
if(!VAR27) begin
VAR7 <= 1;
end else if( VAR17) begin
VAR7 <= VAR26[7];
end
end
reg[23:0] VAR41;
reg VAR21;
always @(posedge VAR4) begin
if(~VAR27) begin
VAR41 <= 400;
end else begin
VAR41 <= (VAR41!=0) ? VAR41-1 : 0;
end
end
always @(negedge VAR4) begin
if(~VAR27) begin
VAR21 <= 1'b1;
end else begin
VAR21 <= (VAR41>10 && VAR41 <200) ? 1'b0 : 1'b1;
end
end
always @(posedge VAR38) begin
VAR3 <= VAR14;
VAR20 <= VAR15;
end
wire[8:0] VAR16,VAR33;
wire VAR9;
VAR29 VAR36(.clk (VAR38),
.VAR27 (VAR21),
.VAR14 (VAR14),
.VAR9 (VAR9),
.VAR16 (VAR16),
.VAR33 (VAR33)
);
VAR10 VAR1(.clk (VAR38),
.VAR27 (VAR21),
.VAR9 (VAR9),
.VAR16 (VAR16),
.VAR33 (VAR33[8:1]),
.VAR15 (VAR15),
.VAR18 (VAR18),
.VAR22 (VAR28),
.VAR19 (VAR6),
.VAR7 (VAR7),
.VAR40 (VAR40),
.VAR35 (VAR35),
.VAR31 (VAR31)
);
endmodule
|
lgpl-3.0
|
hydai/Verilog-Practice
|
DigitalDesign/hw1/hw1_101062124/hw1_B/hw1_B.v
| 1,458 |
module MODULE1 (
input [15:0] VAR4,
input [15:0] VAR3,
input clk,
input VAR6,
output reg [15:0] VAR5,
output reg [15:0] VAR2,
output reg [15:0] VAR1,
output reg [15:0] VAR11
);
wire [15:0] VAR8, VAR10, VAR7, VAR9;
always @(posedge clk or negedge VAR6) begin
if (!VAR6) begin
VAR5 <= 0;
VAR2 <= 0;
VAR1 <= 0;
VAR11 <= 0;
end else begin
VAR5 <= (VAR3[0])?(VAR8):(VAR5);
VAR2 <= (VAR3[1])?(VAR10):(VAR2);
VAR1 <= (VAR3[2])?(VAR7):(VAR1);
VAR11 <= (VAR3[3])?(VAR9):(VAR11);
end end
assign VAR8 = (!VAR6)?(0):
(VAR3[15:13] == 3'b000)?(VAR2):
(VAR3[15:13] == 3'b001)?(VAR1):
(VAR3[15:13] == 3'b010)?(VAR11):
(VAR3[15:13] == 3'b011)?(VAR4):
(0);
assign VAR10 = (!VAR6)?(0):
(VAR3[12:10] == 3'b000)?(VAR5):
(VAR3[12:10] == 3'b001)?(VAR1):
(VAR3[12:10] == 3'b010)?(VAR11):
(VAR3[12:10] == 3'b011)?(VAR4):
(0);
assign VAR7 = (!VAR6)?(0):
(VAR3[9:7] == 3'b000)?(VAR5):
(VAR3[9:7] == 3'b001)?(VAR2):
(VAR3[9:7] == 3'b010)?(VAR1):
(VAR3[9:7] == 3'b011)?(VAR4):
(0);
assign VAR9 = (!VAR6)?(0):
(VAR3[6:4] == 3'b000)?(VAR5):
(VAR3[6:4] == 3'b001)?(VAR2):
(VAR3[6:4] == 3'b010)?(VAR1):
(VAR3[6:4] == 3'b011)?(VAR4):
(0);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o22ai/sky130_fd_sc_hd__o22ai_2.v
| 2,352 |
module MODULE2 (
VAR9 ,
VAR8 ,
VAR3 ,
VAR2 ,
VAR6 ,
VAR4,
VAR1,
VAR5 ,
VAR7
);
output VAR9 ;
input VAR8 ;
input VAR3 ;
input VAR2 ;
input VAR6 ;
input VAR4;
input VAR1;
input VAR5 ;
input VAR7 ;
VAR10 VAR11 (
.VAR9(VAR9),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR9 ,
VAR8,
VAR3,
VAR2,
VAR6
);
output VAR9 ;
input VAR8;
input VAR3;
input VAR2;
input VAR6;
supply1 VAR4;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR7 ;
VAR10 VAR11 (
.VAR9(VAR9),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and3/sky130_fd_sc_hd__and3_4.v
| 2,164 |
module MODULE1 (
VAR8 ,
VAR5 ,
VAR2 ,
VAR3 ,
VAR7,
VAR6,
VAR10 ,
VAR9
);
output VAR8 ;
input VAR5 ;
input VAR2 ;
input VAR3 ;
input VAR7;
input VAR6;
input VAR10 ;
input VAR9 ;
VAR1 VAR4 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR8,
VAR5,
VAR2,
VAR3
);
output VAR8;
input VAR5;
input VAR2;
input VAR3;
supply1 VAR7;
supply0 VAR6;
supply1 VAR10 ;
supply0 VAR9 ;
VAR1 VAR4 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9234/axi_ad9234_if.v
| 4,694 |
module MODULE1 (
VAR6,
VAR5,
VAR7,
VAR16,
VAR3,
VAR2,
VAR10,
VAR4,
VAR8);
input VAR6;
input [127:0] VAR5;
output VAR7;
input VAR16;
output [63:0] VAR3;
output [63:0] VAR2;
output VAR10;
output VAR4;
output VAR8;
reg VAR8 = 'd0;
wire [15:0] VAR9;
wire [15:0] VAR13;
wire [15:0] VAR11;
wire [15:0] VAR15;
wire [15:0] VAR1;
wire [15:0] VAR17;
wire [15:0] VAR14;
wire [15:0] VAR12;
assign VAR7 = VAR6;
assign VAR10 = 1'b0;
assign VAR4 = 1'b0;
assign VAR3 = { VAR9, VAR13,
VAR11, VAR15};
assign VAR2 = { VAR1, VAR17,
VAR14, VAR12};
assign VAR9 = {VAR5[ 31: 24], VAR5[ 63: 56]};
assign VAR13 = {VAR5[ 23: 16], VAR5[ 55: 48]};
assign VAR11 = {VAR5[ 15: 8], VAR5[ 47: 40]};
assign VAR15 = {VAR5[ 7: 0], VAR5[ 39: 32]};
assign VAR1 = {VAR5[ 95: 88], VAR5[127:120]};
assign VAR17 = {VAR5[ 87: 80], VAR5[119:112]};
assign VAR14 = {VAR5[ 79: 72], VAR5[111:104]};
assign VAR12 = {VAR5[ 71: 64], VAR5[103: 96]};
always @(posedge VAR6) begin
if (VAR16 == 1'b1) begin
VAR8 <= 1'b0;
end else begin
VAR8 <= 1'b1;
end
end
endmodule
|
gpl-3.0
|
P3Stor/P3Stor
|
ftl/Dynamic_Controller/code/MUX1_8.v
| 3,292 |
module MODULE1( address,
VAR8,din,
VAR5,VAR14,VAR10,VAR15,VAR17,VAR7,VAR13,VAR16,
VAR12 ,VAR9 ,VAR11 ,VAR1 ,VAR3 ,VAR2 ,VAR4 ,VAR6
);
input [2:0] address;
input VAR8;
input [127:0] din;
output reg VAR5;
output reg VAR14;
output reg VAR10;
output reg VAR15;
output reg VAR17;
output reg VAR7;
output reg VAR13;
output reg VAR16;
output reg [127:0] VAR12;
output reg [127:0] VAR9;
output reg [127:0] VAR11;
output reg [127:0] VAR1;
output reg [127:0] VAR3;
output reg [127:0] VAR2;
output reg [127:0] VAR4;
output reg [127:0] VAR6;
always@(*)
begin
case(address)
3'd0:begin
VAR5=VAR8;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=din;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
3'd1:begin
VAR5=1'b0;
VAR14=VAR8;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=din;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
3'd2:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=VAR8;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=din;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
3'd3:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=VAR8;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=din;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
3'd4:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=VAR8;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=din;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
3'd5:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=VAR8;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=din;
VAR4=128'b0;
VAR6=128'b0;
end
3'd6:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=VAR8;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=din;
VAR6=128'b0;
end
3'd7:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=VAR8;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=din;
end
default:begin
VAR5=1'b0;
VAR14=1'b0;
VAR10=1'b0;
VAR15=1'b0;
VAR17=1'b0;
VAR7=1'b0;
VAR13=1'b0;
VAR16=1'b0;
VAR12=128'b0;
VAR9=128'b0;
VAR11=128'b0;
VAR1=128'b0;
VAR3=128'b0;
VAR2=128'b0;
VAR4=128'b0;
VAR6=128'b0;
end
endcase
end
endmodule
|
gpl-2.0
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
source/hardware/low-level-nfc/llnfc-ddr200mt-1.0.0/NPM_Toggle_DI_DDR100.v
| 43,134 |
module MODULE1
(
parameter VAR71 = 4
)
(
VAR127 ,
VAR122 ,
VAR64 ,
VAR31 ,
VAR28 ,
VAR46 ,
VAR91 ,
VAR121 ,
VAR35 ,
VAR48 ,
VAR50 ,
VAR15 ,
VAR5 ,
VAR21 ,
VAR55 ,
VAR131 ,
VAR67 ,
VAR43 ,
VAR2 ,
VAR110 ,
VAR133 ,
VAR8 ,
VAR54 ,
VAR22 ,
VAR101
);
input VAR127 ;
input VAR122 ;
output VAR64 ;
output VAR31 ;
input VAR28 ;
input VAR46 ;
input [VAR71 - 1:0] VAR91 ;
input [15:0] VAR121 ;
output [31:0] VAR35 ;
output VAR48 ;
output VAR50 ;
input VAR15 ;
output VAR5 ;
output VAR21 ;
output [2:0] VAR55 ;
input VAR131 ;
input [31:0] VAR67 ;
input [3:0] VAR43 ;
output [2*VAR71 - 1:0] VAR2 ;
output [3:0] VAR110 ;
output [3:0] VAR133 ;
output [3:0] VAR8 ;
output [3:0] VAR54 ;
output VAR22 ;
output VAR101 ;
localparam VAR65 = 8; localparam VAR73 = 8'b00000001;
localparam VAR4 = 8'b00000010;
localparam VAR58 = 8'b00000100; localparam VAR106 = 8'b00001000; localparam VAR104 = 8'b00010000; localparam VAR93 = 8'b00100000; localparam VAR109 = 8'b01000000; localparam VAR13 = 8'b10000000;
reg [VAR65-1:0] VAR3 ;
reg [VAR65-1:0] VAR38 ;
localparam VAR95 = 9; localparam VAR136 = 9'b000000001;
localparam VAR105 = 9'b000000010;
localparam VAR86 = 9'b000000100; localparam VAR129 = 9'b000001000; localparam VAR98 = 9'b000010000; localparam VAR17 = 9'b000100000; localparam VAR128 = 9'b001000000; localparam VAR6 = 9'b010000000; localparam VAR108 = 9'b100000000;
reg [VAR95-1:0] VAR42 ;
reg [VAR95-1:0] VAR138 ;
localparam VAR125 = 7; localparam VAR33 = 7'b0000001;
localparam VAR75 = 7'b0000010;
localparam VAR19 = 7'b0000100; localparam VAR113 = 7'b0001000; localparam VAR83 = 7'b0010000; localparam VAR87 = 7'b0100000; localparam VAR111 = 7'b1000000;
reg [VAR125-1:0] VAR72 ;
reg [VAR125-1:0] VAR40 ;
reg VAR90 ;
reg VAR26 ;
reg [15:0] VAR23 ;
reg VAR36 ;
wire [17:0] VAR117 ;
reg [17:1] VAR116 ;
reg [3:0] VAR69 ;
reg [17:1] VAR62 ;
reg [17:2] VAR53 ;
wire [2*VAR71 - 1:0] VAR25 ;
reg [3:0] VAR118 ;
wire VAR81 ;
wire VAR37 ;
wire VAR20 ;
wire VAR74 ;
wire VAR130 ;
reg VAR11 ;
wire VAR14 ;
wire VAR44 ;
wire VAR126 ;
wire VAR10 ;
wire VAR9 ;
wire VAR78 ;
wire VAR12 ;
wire VAR100 ;
wire VAR79 ;
wire VAR94 ;
reg VAR123 ;
wire VAR99 ;
reg [13:0] VAR70 ;
wire [15:0] VAR137 ;
wire [12:0] VAR16 ;
reg [12:0] VAR92 ;
reg [12:0] VAR97 ;
wire [31:0] VAR76 ;
wire VAR77 ;
reg VAR49 ;
wire VAR124 ;
reg VAR47 ;
wire VAR39 ;
reg VAR80 ;
reg VAR96 ;
reg [2:0] VAR85 ;
reg [3:0] VAR30 ;
reg [3:0] VAR27 ;
reg [3:0] VAR41 ;
reg [3:0] VAR56 ;
reg [3:0] VAR68 ;
reg [3:0] VAR60 ;
reg [2*VAR71 - 1:0] VAR45 ;
reg [3:0] VAR112 ;
reg [3:0] VAR84 ;
reg [3:0] VAR63 ;
reg [3:0] VAR61 ;
reg VAR18 ;
reg VAR52 ;
reg VAR120 ;
reg [13:0] VAR57 ;
wire [14:0] VAR51 ;
wire VAR1 ;
assign VAR25 = { VAR91[VAR71 - 1:0], VAR91[VAR71 - 1:0] };
assign VAR117[17:0] = (VAR26)? ( { VAR23[15:0], 2'b11 } ) :( { 2'b00, VAR23[15:0] } );
always @ ( * ) begin
case ( { 1'b0, VAR117[0] } )
2'b00: begin VAR118[3:0] <= 4'b0000;
end
2'b01: begin VAR118[3:0] <= 4'b1110;
end
2'b10: begin VAR118[3:0] <= 4'b0010;
end
2'b11: begin VAR118[3:0] <= 4'b1010;
end
endcase
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR30[3:0] <= 0;
VAR27[3:0] <= 0;
VAR41[3:0] <= 0;
VAR56[3:0] <= 0;
VAR68[3:0] <= 0;
VAR60[3:0] <= 0;
end else begin
VAR30[3:0] <= VAR41[3:0];
VAR27[3:0] <= VAR56[3:0];
VAR41[3:0] <= VAR56[3:0];
VAR56[3:0] <= VAR68[3:0];
VAR68[3:0] <= VAR43[3:0];
VAR60[3:0] <= (VAR30[3:0])
& (VAR27[3:0])
& (VAR41[3:0]);
end
end
assign VAR81 = (VAR69[3:0] == 4'b0000);
assign VAR37 = (VAR69[3:0] == 4'b0011);
assign VAR20 = (VAR69[3:0] == 4'b1000);
assign VAR74 = (VAR116[17:1] == VAR117[17:1]);
assign VAR130 = (VAR69[3:0] == 4'b1101);
assign VAR14 = (VAR3 != VAR73) & (VAR3 != VAR4);
assign VAR44 = ~VAR126;
assign VAR126 = |(VAR117[17:3]);
assign VAR10 = (VAR44) & (VAR117[2:1] == 2'b00);
assign VAR9 = (VAR44) & (VAR117[2:1] == 2'b01);
assign VAR78 = (VAR44) & (VAR117[2:1] == 2'b10);
assign VAR12 = (VAR44) & (VAR117[2:1] == 2'b11);
assign VAR100 = (VAR126) & (VAR117[1] == 1'b0);
assign VAR79 = (VAR126) & (VAR117[1] == 1'b1);
assign VAR94 = (VAR14)
& ( ( (VAR10) & ( VAR60[3]) )
| ( (VAR9) & ( VAR60[2]) )
| ( (VAR78) & ( VAR60[1]) )
| ( (VAR12) & ( VAR60[0]) )
| ( (VAR100) & ( ~ VAR131) )
| ( (VAR79) & ( ~ VAR131) ) );
assign VAR99 = (VAR42 == VAR86);
assign VAR77 = (VAR62[17:2] == VAR117[17:2]);
assign VAR124 = (VAR53[17:2] == VAR117[17:2]);
assign VAR39 = (VAR11) & (VAR49) & (VAR47);
assign VAR16[12:0] = ((VAR72 == VAR113) | (VAR15))? (VAR97[12:0]):(VAR92[12:0]);
VAR32
VAR119 (
.VAR24(VAR127), .VAR89(VAR123), .VAR7(VAR123), .VAR135(VAR70[13:0]), .VAR115(VAR137[15:0]), .VAR102(VAR127), .VAR132(VAR1), .VAR107(VAR16[12:0]), .VAR59(VAR76[31:0]) );
assign VAR137[15:0] = (VAR120)? (VAR67[31:16]):(VAR67[15:0]);
reg [13:0] VAR66;
reg [13:0] VAR29;
reg [13:0] VAR34;
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR66[13:0] <= 0;
VAR29[13:0] <= 0;
VAR34[13:0] <= 0;
VAR57[13:0] <= 0;
end else begin
VAR66[13:0] <= VAR70[13:0];
VAR29[13:0] <= VAR66[13:0];
VAR34[13:0] <= VAR29[13:0];
VAR57[13:0] <= VAR34[13:0];
end
end
VAR88
VAR114
(
.VAR134({ 1'b0, VAR57[13:0] }), .VAR82({ 1'b0, VAR16[12:0], 1'b1 }), .VAR103(VAR51[14:0]) );
assign VAR1 = ~VAR51[14];
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR3 <= VAR73;
end else begin
VAR3 <= VAR38;
end
end
always @ ( * ) begin
case (VAR3)
VAR73: begin
VAR38 <= VAR4;
end
VAR4: begin
VAR38 <= (VAR28)? VAR58:VAR4;
end
VAR58: begin
VAR38 <= (VAR37)? VAR106:VAR58;
end
VAR106: begin
VAR38 <= (VAR20)? ((VAR74)? VAR93:VAR104):VAR106;
end
VAR104: begin
VAR38 <= (VAR74)? VAR93:VAR104;
end
VAR93: begin
VAR38 <= VAR109;
end
VAR109: begin
VAR38 <= (VAR130)? VAR13:VAR109;
end
VAR13: begin
VAR38 <= (VAR39)? VAR4:VAR13;
end
default:
VAR38 <= VAR4;
endcase
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR90 <= 0;
VAR26 <= 0;
VAR23[15:0] <= 0;
VAR116[17:1] <= 0;
VAR69[3:0] <= 0;
VAR45 <= 0;
VAR112[3:0] <= 0;
VAR84[3:0] <= 0;
VAR63[3:0] <= 0;
VAR61[3:0] <= 0;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end else begin
case (VAR38)
VAR73: begin
VAR90 <= 0;
VAR26 <= 0;
VAR23[15:0] <= 0;
VAR116[17:1] <= 0;
VAR69[3:0] <= 0;
VAR45 <= 0;
VAR112[3:0] <= 0;
VAR84[3:0] <= 0;
VAR63[3:0] <= 0;
VAR61[3:0] <= 0;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR4: begin
VAR90 <= 1;
VAR26 <= 0;
VAR23[15:0] <= 0;
VAR116[17:1] <= 0;
VAR69[3:0] <= 0;
VAR45 <= 0;
VAR112[3:0] <= 0;
VAR84[3:0] <= 0;
VAR63[3:0] <= 0;
VAR61[3:0] <= 0;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR58: begin
VAR90 <= 0;
VAR26 <= (VAR81)? VAR46:VAR26;
VAR23[15:0] <= (VAR81)? VAR121[15:0]:VAR23[15:0];
VAR116[17:1] <= 0;
VAR69[3:0] <= VAR69[3:0] + 1'b1;
VAR45 <= (VAR81)? VAR25:VAR45;
VAR112[3:0] <= 4'b0000;
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR106: begin
VAR90 <= 0;
VAR26 <= VAR26;
VAR23[15:0] <= VAR23[15:0];
VAR116[17:1] <= 0;
VAR69[3:0] <= VAR69[3:0] + 1'b1;
VAR45 <= VAR45;
VAR112[3:0] <= 4'b1111;
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR104: begin
VAR90 <= 0;
VAR26 <= VAR26;
VAR23[15:0] <= VAR23[15:0];
VAR116[17:1] <= VAR116[17:1] + 1'b1;
VAR69[3:0] <= VAR69[3:0];
VAR45 <= VAR45;
VAR112[3:0] <= 4'b1010;
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR93: begin
VAR90 <= 0;
VAR26 <= VAR26;
VAR23[15:0] <= VAR23[15:0];
VAR116[17:1] <= VAR116[17:1];
VAR69[3:0] <= VAR69[3:0];
VAR45 <= VAR45;
VAR112[3:0] <= VAR118[3:0];
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR109: begin
VAR90 <= 0;
VAR26 <= VAR26;
VAR23[15:0] <= VAR23[15:0];
VAR116[17:1] <= VAR116[17:1];
VAR69[3:0] <= VAR69[3:0] + 1'b1;
VAR45 <= VAR45;
VAR112[3:0] <= { 4{ VAR118[3] } };
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 0;
end
VAR13: begin
VAR90 <= 0;
VAR26 <= VAR26;
VAR23[15:0] <= VAR23[15:0];
VAR116[17:1] <= VAR116[17:1];
VAR69[3:0] <= VAR69[3:0];
VAR45 <= VAR45;
VAR112[3:0] <= { 4{ VAR118[3] } };
VAR84[3:0] <= 4'b0000;
VAR63[3:0] <= 4'b0000;
VAR61[3:0] <= 4'b0000;
VAR18 <= 0;
VAR52 <= 0;
VAR11 <= 1;
end
endcase
end
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR42 <= VAR136;
end else begin
VAR42 <= VAR138;
end
end
always @ ( * ) begin
case (VAR42)
VAR136: begin
VAR138 <= VAR105;
end
VAR105: begin
VAR138 <= (VAR28)? VAR86:VAR105;
end
VAR86: begin
if (VAR94) begin
if (VAR126) begin
VAR138 <= VAR129;
end else if (VAR12) begin
VAR138 <= VAR98;
end else if (VAR78) begin
VAR138 <= VAR17;
end else if (VAR9) begin
VAR138 <= VAR128;
end else begin VAR138 <= VAR6;
end
end else begin
VAR138 <= VAR86;
end
end
VAR129: begin
VAR138 <= (VAR77)? ((VAR79)? VAR98:VAR17):VAR129;
end
VAR98: begin
VAR138 <= (VAR120)? VAR128:VAR98;
end
VAR17: begin
VAR138 <= (VAR120)? VAR6:VAR17;
end
VAR128: begin
VAR138 <= (VAR120)? VAR108:VAR128;
end
VAR6: begin
VAR138 <= (VAR120)? VAR108:VAR6;
end
VAR108: begin
VAR138 <= (VAR39)? VAR105:VAR108;
end
default:
VAR138 <= VAR105;
endcase
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR62[17:2] <= 16'h0001;
VAR62[1] <= 1'b0;
VAR80 <= 0;
VAR96 <= 0;
VAR85[2:0] <= 0;
VAR123 <= 0;
VAR70[13:0] <= 0;
VAR49 <= 0;
VAR120 <= 0;
end else begin
case (VAR138)
VAR136: begin
VAR62[17:2] <= 16'h0001;
VAR62[1] <= 1'b0;
VAR80 <= 0;
VAR96 <= 0;
VAR85[2:0] <= 0;
VAR123 <= 0;
VAR70[13:0] <= 0;
VAR49 <= 0;
VAR120 <= 0;
end
VAR105: begin
VAR62[17:2] <= 16'h0001;
VAR62[1] <= 1'b0;
VAR80 <= 0;
VAR96 <= 0;
VAR85[2:0] <= 0;
VAR123 <= 0;
VAR70[13:0] <= 0;
VAR49 <= 0;
VAR120 <= 0;
end
VAR86: begin
VAR62[17:2] <= 16'h0001;
VAR62[1] <= 1'b0;
VAR80 <= 0;
VAR96 <= (VAR20)? 1'b1:VAR96;
VAR85[2:0] <= 0;
VAR123 <= 0;
VAR70[13:0] <= 0;
VAR49 <= 0;
VAR120 <= 0;
end
VAR129: begin
VAR62[17:1] <= VAR62[17:1] + 1'b1;
VAR80 <= 1;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 3'b000;
VAR123 <= 1;
VAR70[13:0] <= (VAR99)? 0:(VAR70[13:0] + 1'b1);
VAR49 <= 0;
VAR120 <= 1'b0;
end
VAR98: begin
VAR62[17:1] <= VAR62[17:1];
VAR80 <= 0;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 3'b100;
VAR123 <= 1;
VAR70[13:0] <= (VAR99)? 0:(VAR70[13:0] + 1'b1);
VAR49 <= 0;
VAR120 <= (VAR42 == VAR98)? 1'b1:1'b0;
end
VAR17: begin
VAR62[17:1] <= VAR62[17:1];
VAR80 <= 0;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 3'b101;
VAR123 <= 1;
VAR70[13:0] <= (VAR99)? 0:(VAR70[13:0] + 1'b1);
VAR49 <= 0;
VAR120 <= (VAR42 == VAR17)? 1'b1:1'b0;
end
VAR128: begin
VAR62[17:1] <= VAR62[17:1];
VAR80 <= 0;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 3'b110;
VAR123 <= 1;
VAR70[13:0] <= (VAR99)? 0:(VAR70[13:0] + 1'b1);
VAR49 <= 0;
VAR120 <= (VAR42 == VAR128)? 1'b1:1'b0;
end
VAR6: begin
VAR62[17:1] <= VAR62[17:1];
VAR80 <= 0;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 3'b111;
VAR123 <= 1;
VAR70[13:0] <= (VAR99)? 0:(VAR70[13:0] + 1'b1);
VAR49 <= 0;
VAR120 <= (VAR42 == VAR6)? 1'b1:1'b0;
end
VAR108: begin
VAR62[17:1] <= VAR62[17:1];
VAR80 <= 0;
VAR96 <= (VAR130)? 1'b0:VAR96;
VAR85[2:0] <= 0;
VAR123 <= 0;
VAR70[13:0] <= VAR70[13:0];
VAR49 <= 1;
VAR120 <= 0;
end
endcase
end
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR72 <= VAR33;
end else begin
VAR72 <= VAR40;
end
end
always @ ( * ) begin
case (VAR72)
VAR33: begin
VAR40 <= VAR75;
end
VAR75: begin
VAR40 <= (VAR28)? VAR19:VAR75;
end
VAR19: begin
VAR40 <= (VAR94)? VAR113:VAR19;
end
VAR113: begin
case ({ VAR1, VAR124, VAR15 })
3'b000: VAR40 <= VAR113;
3'b001: VAR40 <= VAR113;
3'b010: VAR40 <= VAR113;
3'b011: VAR40 <= VAR113;
3'b100: VAR40 <= VAR83;
3'b101: VAR40 <= VAR83;
3'b110: VAR40 <= VAR83;
3'b111: VAR40 <= VAR83;
endcase
end
VAR83: begin
case ({ VAR1, VAR124, VAR15 })
3'b011: VAR40 <= VAR111;
3'b111: VAR40 <= VAR111;
3'b001: VAR40 <= VAR113;
3'b101: VAR40 <= VAR83;
3'b000: VAR40 <= VAR87;
3'b010: VAR40 <= VAR87;
3'b100: VAR40 <= VAR87;
3'b110: VAR40 <= VAR87;
endcase
end
VAR87: begin
case ({ VAR1, VAR124, VAR15 })
3'b011: VAR40 <= VAR111;
3'b111: VAR40 <= VAR111;
3'b001: VAR40 <= VAR113;
3'b101: VAR40 <= VAR83;
3'b000: VAR40 <= VAR87;
3'b010: VAR40 <= VAR87;
3'b100: VAR40 <= VAR87;
3'b110: VAR40 <= VAR87;
endcase
end
VAR111: begin
VAR40 <= (VAR39)? VAR75:VAR111;
end
default:
VAR40 <= VAR75;
endcase
end
always @ (posedge VAR127, posedge VAR122) begin
if (VAR122) begin
VAR53[17:2] <= 0;
VAR92[12:0] <= 0;
VAR97[12:0] <= 0;
VAR36 <= 0;
VAR47 <= 0;
end else begin
case (VAR40)
VAR33: begin
VAR53[17:2] <= 0;
VAR92[12:0] <= 0;
VAR97[12:0] <= 0;
VAR36 <= 0;
VAR47 <= 0;
end
VAR75: begin
VAR53[17:2] <= 0;
VAR92[12:0] <= 0;
VAR97[12:0] <= 0;
VAR36 <= 0;
VAR47 <= 0;
end
VAR19: begin
VAR53[17:2] <= 0;
VAR92[12:0] <= 0;
VAR97[12:0] <= 0;
VAR36 <= 1'b0;
VAR47 <= 0;
end
VAR113: begin
VAR53[17:2] <= VAR53[17:2];
VAR92[12:0] <= VAR92[12:0];
VAR97[12:0] <= VAR97[12:0];
VAR36 <= 1'b0;
VAR47 <= 0;
end
VAR83: begin
VAR53[17:2] <= { 3'b000, VAR16[12:0] };
VAR92[12:0] <= VAR97[12:0];
VAR97[12:0] <= VAR97[12:0] + 1'b1;
VAR36 <= 1'b1;
VAR47 <= 0;
end
VAR87: begin
VAR53[17:2] <= VAR53[17:2];
VAR92[12:0] <= VAR92[12:0];
VAR97[12:0] <= VAR97[12:0];
VAR36 <= 1'b1;
VAR47 <= 0;
end
VAR111: begin
VAR53[17:2] <= VAR53[17:2];
VAR92[12:0] <= VAR92[12:0];
VAR97[12:0] <= VAR97[12:0];
VAR36 <= 0;
VAR47 <= 1;
end
endcase
end
end
assign VAR64 = VAR90 | VAR39 ;
assign VAR31 = VAR39 ;
assign VAR35 = VAR76 ;
assign VAR48 = VAR124 & VAR36;
assign VAR50 = VAR36 ;
assign VAR5 = VAR80 ;
assign VAR21 = VAR96 ;
assign VAR55 = VAR85 ;
assign VAR2 = VAR45 ;
assign VAR110 = VAR112 ;
assign VAR133 = VAR84 ;
assign VAR8 = VAR63;
assign VAR54 = VAR61;
assign VAR22 = VAR18 ;
assign VAR101 = VAR52 ;
endmodule
|
gpl-3.0
|
kyzhai/NUNY
|
src/hardware/mast_new_bb.v
| 5,022 |
module MODULE1 (
address,
VAR1,
VAR2);
input [11:0] address;
input VAR1;
output [11:0] VAR2;
tri1 VAR1;
endmodule
|
gpl-2.0
|
tmatsuya/milkymist-ml401
|
cores/uart/rtl/uart.v
| 1,848 |
module MODULE1 #(
parameter VAR2 = 4'h0,
parameter VAR21 = 100000000,
parameter VAR7 = 115200
) (
input VAR9,
input VAR15,
input [13:0] VAR10,
input VAR4,
input [31:0] VAR11,
output reg [31:0] VAR6,
output VAR22,
output VAR16,
input VAR17,
output VAR8
);
reg [15:0] VAR14;
wire [7:0] VAR23;
wire [7:0] VAR20;
wire VAR5;
VAR1 VAR12(
.VAR9(VAR9),
.VAR15(VAR15),
.VAR17(VAR17),
.VAR8(VAR8),
.VAR14(VAR14),
.VAR23(VAR23),
.VAR19(VAR22),
.VAR20(VAR20),
.VAR5(VAR5),
.VAR18(VAR16)
);
wire VAR3 = VAR10[13:10] == VAR2;
assign VAR20 = VAR11[7:0];
assign VAR5 = VAR3 & VAR4 & (VAR10[0] == 1'b0);
parameter VAR13 = VAR21/VAR7/16;
always @(posedge VAR9) begin
if(VAR15) begin
VAR14 <= VAR13;
VAR6 <= 32'd0;
end else begin
VAR6 <= 32'd0;
if(VAR3) begin
case(VAR10[0])
1'b0: VAR6 <= VAR23;
1'b1: VAR6 <= VAR14;
endcase
if(VAR4) begin
if(VAR10[0] == 1'b1)
VAR14 <= VAR11[15:0];
end
end
end
end
endmodule
|
lgpl-3.0
|
Digilent/vivado-library
|
ip/video_scaler/hdl/verilog/fifo_w32_d2_A.v
| 2,988 |
module MODULE2 (
clk,
VAR26,
VAR7,
VAR19,
VAR8);
parameter VAR23 = 32'd32;
parameter VAR16 = 32'd1;
parameter VAR6 = 2'd2;
input clk;
input [VAR23-1:0] VAR26;
input VAR7;
input [VAR16-1:0] VAR19;
output [VAR23-1:0] VAR8;
reg[VAR23-1:0] VAR18 [0:VAR6-1];
integer VAR11;
always @ (posedge clk)
begin
if (VAR7)
begin
for (VAR11=0;VAR11<VAR6-1;VAR11=VAR11+1)
VAR18[VAR11+1] <= VAR18[VAR11];
VAR18[0] <= VAR26;
end
end
assign VAR8 = VAR18[VAR19];
endmodule
module MODULE1 (
clk,
reset,
VAR12,
VAR10,
VAR27,
VAR24,
VAR15,
VAR25,
VAR21,
VAR17);
parameter VAR2 = "VAR14";
parameter VAR23 = 32'd32;
parameter VAR16 = 32'd1;
parameter VAR6 = 2'd2;
input clk;
input reset;
output VAR12;
input VAR10;
input VAR27;
output[VAR23 - 1:0] VAR24;
output VAR15;
input VAR25;
input VAR21;
input[VAR23 - 1:0] VAR17;
wire[VAR16 - 1:0] VAR3 ;
wire[VAR23 - 1:0] VAR9, VAR1;
wire VAR13;
reg[VAR16:0] VAR22 = ~{(VAR16+1){1'b0}};
reg VAR4 = 0, VAR5 = 1;
assign VAR12 = VAR4;
assign VAR15 = VAR5;
assign VAR9 = VAR17;
assign VAR24 = VAR1;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR22 <= ~{VAR16+1{1'b0}};
VAR4 <= 1'b0;
VAR5 <= 1'b1;
end
else begin
if (((VAR27 & VAR10) == 1 & VAR4 == 1) &&
((VAR21 & VAR25) == 0 | VAR5 == 0))
begin
VAR22 <= VAR22 - 2'd1;
if (VAR22 == 2'd0)
VAR4 <= 1'b0;
VAR5 <= 1'b1;
end
else if (((VAR27 & VAR10) == 0 | VAR4 == 0) &&
((VAR21 & VAR25) == 1 & VAR5 == 1))
begin
VAR22 <= VAR22 + 2'd1;
VAR4 <= 1'b1;
if (VAR22 == VAR6 - 2'd2)
VAR5 <= 1'b0;
end
end
end
assign VAR3 = VAR22[VAR16] == 1'b0 ? VAR22[VAR16-1:0]:{VAR16{1'b0}};
assign VAR13 = (VAR21 & VAR25) & VAR5;
MODULE2
.VAR23(VAR23),
.VAR16(VAR16),
.VAR6(VAR6))
VAR20 (
.clk(clk),
.VAR26(VAR9),
.VAR7(VAR13),
.VAR19(VAR3),
.VAR8(VAR1));
endmodule
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/reg_cam_cell.v
| 2,770 |
module MODULE1 (
clk,rst,
VAR6,VAR2,VAR4,VAR7,
VAR5,VAR8
);
parameter VAR3 = 32;
input clk,rst;
input [VAR3-1:0] VAR6, VAR2;
input VAR4,VAR7;
input [VAR3-1:0] VAR5;
output VAR8;
reg VAR8;
reg VAR9;
reg [VAR3 - 1 : 0] VAR11;
reg [VAR3 - 1 : 0] VAR10;
always @(posedge clk) begin
if (rst) begin
VAR9 <= 1'b0;
VAR11 <= {VAR3{1'b0}};
VAR10 <= {VAR3{1'b0}};
end else begin
if (VAR7) begin
VAR9 <= VAR4;
VAR11 <= VAR6;
VAR10 <= VAR2;
end
end
end
wire [VAR3-1:0] VAR15;
genvar VAR14;
generate
for (VAR14=0; VAR14<VAR3; VAR14=VAR14+1)
begin : VAR12
assign VAR15[VAR14] = !VAR10[VAR14] | !(VAR11[VAR14] ^ VAR5[VAR14]);
end
endgenerate
reg [4:0] VAR13;
integer VAR1,VAR16;
always @(negedge clk) begin
VAR1 = 0;
for (VAR16=0; VAR16 < VAR3; VAR16=VAR16+1)
if (VAR15[VAR16] == 1'b1)
VAR1 = VAR16;
VAR13 = VAR1;
end
always @(posedge clk) begin
if (rst) VAR8 <= 1'b0;
end
else VAR8 <= (& VAR15) & VAR9;
end
endmodule
|
mit
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v
| 1,476 |
module MODULE1 #(parameter VAR7(VAR19)
, parameter VAR7(VAR10)
, parameter VAR9=0
, parameter VAR24=VAR23(VAR10)
, parameter VAR5=0
, parameter VAR3=1
)
(input VAR12
, input VAR11
, input [VAR17(VAR19, 1):0] VAR4
, input [VAR24-1:0] VAR8
, input VAR18
, input VAR6
, output logic [VAR17(VAR19, 1):0] VAR16
);
wire VAR20;
if (VAR5)
begin
VAR21 VAR2
(.VAR12( VAR12 )
,.VAR1( VAR18 )
,.VAR25( 1'b0 )
,.VAR15( VAR20 )
);
end
else
begin
assign VAR20 = VAR12;
end
VAR22
,.VAR10(VAR10)
,.VAR9(VAR9)
,.VAR13(VAR3) ) VAR14
(.VAR12( VAR20 )
,.VAR11
,.VAR4
,.VAR8
,.VAR18
,.VAR6
,.VAR16
);
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o22ai/sky130_fd_sc_lp__o22ai.functional.pp.v
| 2,159 |
module MODULE1 (
VAR13 ,
VAR19 ,
VAR12 ,
VAR2 ,
VAR8 ,
VAR18,
VAR3,
VAR14 ,
VAR11
);
output VAR13 ;
input VAR19 ;
input VAR12 ;
input VAR2 ;
input VAR8 ;
input VAR18;
input VAR3;
input VAR14 ;
input VAR11 ;
wire VAR4 ;
wire VAR6 ;
wire VAR16 ;
wire VAR1;
nor VAR10 (VAR4 , VAR2, VAR8 );
nor VAR17 (VAR6 , VAR19, VAR12 );
or VAR15 (VAR16 , VAR6, VAR4 );
VAR5 VAR7 (VAR1, VAR16, VAR18, VAR3);
buf VAR9 (VAR13 , VAR1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a21oi/sky130_fd_sc_hs__a21oi_1.v
| 2,134 |
module MODULE2 (
VAR1 ,
VAR5 ,
VAR2 ,
VAR4 ,
VAR3,
VAR6
);
output VAR1 ;
input VAR5 ;
input VAR2 ;
input VAR4 ;
input VAR3;
input VAR6;
VAR7 VAR8 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR1 ,
VAR5,
VAR2,
VAR4
);
output VAR1 ;
input VAR5;
input VAR2;
input VAR4;
supply1 VAR3;
supply0 VAR6;
VAR7 VAR8 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/bd/design_1/ip/design_1_axis_broadcaster_0_0/hdl/tdata_design_1_axis_broadcaster_0_0.v
| 3,332 |
module MODULE1 #
(
parameter VAR2 = 8,
parameter VAR4 = 8
)
(
input wire [VAR2-1:0] VAR1,
output wire [VAR4-1:0] VAR3
);
assign VAR3 = {VAR1[7:0],VAR1[7:0]};
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21a/sky130_fd_sc_hdll__o21a.symbol.v
| 1,349 |
module MODULE1 (
input VAR4,
input VAR7,
input VAR1,
output VAR2
);
supply1 VAR8;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
alexforencich/hdg2000
|
fpga/lib/axis/rtl/axis_demux_64_4.v
| 10,477 |
module MODULE1 #
(
parameter VAR43 = 64,
parameter VAR38 = (VAR43/8)
)
(
input wire clk,
input wire rst,
input wire [VAR43-1:0] VAR1,
input wire [VAR38-1:0] VAR44,
input wire VAR59,
output wire VAR47,
input wire VAR46,
input wire VAR2,
output wire [VAR43-1:0] VAR7,
output wire [VAR38-1:0] VAR56,
output wire VAR26,
input wire VAR34,
output wire VAR37,
output wire VAR31,
output wire [VAR43-1:0] VAR5,
output wire [VAR38-1:0] VAR14,
output wire VAR8,
input wire VAR17,
output wire VAR42,
output wire VAR19,
output wire [VAR43-1:0] VAR33,
output wire [VAR38-1:0] VAR24,
output wire VAR51,
input wire VAR18,
output wire VAR29,
output wire VAR12,
output wire [VAR43-1:0] VAR15,
output wire [VAR38-1:0] VAR13,
output wire VAR27,
input wire VAR21,
output wire VAR11,
output wire VAR20,
input wire enable,
input wire [1:0] select
);
reg [1:0] VAR41 = 0, VAR60;
reg VAR52 = 0, VAR22;
reg VAR9 = 0, VAR50;
reg [VAR43-1:0] VAR10;
reg [VAR38-1:0] VAR45;
reg VAR58;
reg VAR6 = 0;
reg VAR28;
reg VAR4;
wire VAR25;
assign VAR47 = VAR9;
reg VAR57;
reg VAR40;
always @* begin
case (VAR41)
2'd0: begin
VAR40 = VAR26;
VAR57 = VAR34;
end
2'd1: begin
VAR40 = VAR8;
VAR57 = VAR17;
end
2'd2: begin
VAR40 = VAR51;
VAR57 = VAR18;
end
2'd3: begin
VAR40 = VAR27;
VAR57 = VAR21;
end
endcase
end
always @* begin
VAR60 = VAR41;
VAR22 = VAR52;
VAR50 = 0;
if (VAR52) begin
if (VAR59 & VAR47) begin
VAR22 = ~VAR46;
end
end else if (enable & VAR59 & ~VAR40) begin
VAR22 = 1;
VAR60 = select;
end
VAR50 = VAR25 & VAR22;
VAR10 = VAR1;
VAR45 = VAR44;
VAR58 = VAR59 & VAR47;
VAR28 = VAR46;
VAR4 = VAR2;
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR41 <= 0;
VAR52 <= 0;
VAR9 <= 0;
end else begin
VAR41 <= VAR60;
VAR52 <= VAR22;
VAR9 <= VAR50;
end
end
reg [VAR43-1:0] VAR32 = 0;
reg [VAR38-1:0] VAR35 = 0;
reg VAR36 = 0;
reg VAR53 = 0;
reg VAR30 = 0;
reg VAR49 = 0;
reg VAR23 = 0;
reg VAR55 = 0;
reg [VAR43-1:0] VAR16 = 0;
reg [VAR38-1:0] VAR54 = 0;
reg VAR39 = 0;
reg VAR48 = 0;
reg VAR3 = 0;
assign VAR7 = VAR32;
assign VAR56 = VAR35;
assign VAR26 = VAR36;
assign VAR37 = VAR23;
assign VAR31 = VAR55;
assign VAR5 = VAR32;
assign VAR14 = VAR35;
assign VAR8 = VAR53;
assign VAR42 = VAR23;
assign VAR19 = VAR55;
assign VAR33 = VAR32;
assign VAR24 = VAR35;
assign VAR51 = VAR30;
assign VAR29 = VAR23;
assign VAR12 = VAR55;
assign VAR15 = VAR32;
assign VAR13 = VAR35;
assign VAR27 = VAR49;
assign VAR11 = VAR23;
assign VAR20 = VAR55;
assign VAR25 = VAR57 | (~VAR39 & ~VAR40) | (~VAR39 & ~VAR58);
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR32 <= 0;
VAR35 <= 0;
VAR36 <= 0;
VAR53 <= 0;
VAR30 <= 0;
VAR49 <= 0;
VAR23 <= 0;
VAR55 <= 0;
VAR6 <= 0;
VAR16 <= 0;
VAR54 <= 0;
VAR39 <= 0;
VAR48 <= 0;
VAR3 <= 0;
end else begin
VAR6 <= VAR25;
if (VAR6) begin
if (VAR57 | ~VAR40) begin
VAR32 <= VAR10;
VAR35 <= VAR45;
case (VAR41)
2'd0: VAR36 <= VAR58;
2'd1: VAR53 <= VAR58;
2'd2: VAR30 <= VAR58;
2'd3: VAR49 <= VAR58;
endcase
VAR23 <= VAR28;
VAR55 <= VAR4;
end else begin
VAR16 <= VAR10;
VAR54 <= VAR45;
VAR39 <= VAR58;
VAR48 <= VAR28;
VAR3 <= VAR4;
end
end else if (VAR57) begin
VAR32 <= VAR16;
VAR35 <= VAR54;
case (VAR41)
2'd0: VAR36 <= VAR39;
2'd1: VAR53 <= VAR39;
2'd2: VAR30 <= VAR39;
2'd3: VAR49 <= VAR39;
endcase
VAR23 <= VAR48;
VAR55 <= VAR3;
VAR16 <= 0;
VAR54 <= 0;
VAR39 <= 0;
VAR48 <= 0;
VAR3 <= 0;
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sedfxbp/sky130_fd_sc_ls__sedfxbp_1.v
| 2,564 |
module MODULE2 (
VAR9 ,
VAR6 ,
VAR12 ,
VAR5 ,
VAR11 ,
VAR2 ,
VAR7 ,
VAR8,
VAR1,
VAR3 ,
VAR10
);
output VAR9 ;
output VAR6 ;
input VAR12 ;
input VAR5 ;
input VAR11 ;
input VAR2 ;
input VAR7 ;
input VAR8;
input VAR1;
input VAR3 ;
input VAR10 ;
VAR4 VAR13 (
.VAR9(VAR9),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR9 ,
VAR6,
VAR12,
VAR5 ,
VAR11 ,
VAR2,
VAR7
);
output VAR9 ;
output VAR6;
input VAR12;
input VAR5 ;
input VAR11 ;
input VAR2;
input VAR7;
supply1 VAR8;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR10 ;
VAR4 VAR13 (
.VAR9(VAR9),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_transmitFIFODataIn.v
| 2,241 |
module MODULE1 (
address,
VAR2,
clk,
VAR8,
VAR7,
VAR3,
VAR4,
VAR1
)
;
output [ 8: 0] VAR4;
output [ 31: 0] VAR1;
input [ 1: 0] address;
input VAR2;
input clk;
input VAR8;
input VAR7;
input [ 31: 0] VAR3;
wire VAR9;
reg [ 8: 0] VAR5;
wire [ 8: 0] VAR4;
wire [ 8: 0] VAR6;
wire [ 31: 0] VAR1;
assign VAR9 = 1;
assign VAR6 = {9 {(address == 0)}} & VAR5;
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR5 <= 0;
end
else if (VAR2 && ~VAR7 && (address == 0))
VAR5 <= VAR3[8 : 0];
end
assign VAR1 = {32'b0 | VAR6};
assign VAR4 = VAR5;
endmodule
|
gpl-3.0
|
takeshineshiro/fpga_linear_128
|
LVDS_AD_bb.v
| 5,334 |
module MODULE1 (
VAR2,
VAR3,
VAR5,
VAR4,
VAR1);
input [7:0] VAR2;
input VAR3;
output VAR5;
output [95:0] VAR4;
output VAR1;
endmodule
|
mit
|
dries007/Basys3
|
VGA_text/VGA_text.srcs/sources_1/ip/ClockDivider/ClockDivider_clk_wiz.v
| 6,939 |
module MODULE1
( input clk,
output VAR43,
output VAR59,
output VAR31
);
VAR67 VAR13
(.VAR64 (VAR73),
.VAR39 (clk));
wire [15:0] VAR7;
wire VAR10;
wire VAR44;
wire VAR41;
wire VAR74;
wire VAR9;
wire VAR57;
wire VAR85;
wire VAR16;
wire VAR83;
wire VAR33;
wire VAR38;
wire VAR76;
wire VAR34;
wire VAR46;
wire VAR15;
wire VAR36;
VAR45
.VAR28 ("VAR86"),
.VAR90 ("VAR12"),
.VAR26 ("VAR86"),
.VAR40 (5),
.VAR35 (54.000),
.VAR50 (0.000),
.VAR18 ("VAR86"),
.VAR29 (10.000),
.VAR14 (0.000),
.VAR60 (0.500),
.VAR71 ("VAR86"),
.VAR78 (120),
.VAR2 (0.000),
.VAR82 (0.500),
.VAR49 ("VAR86"),
.VAR30 (60),
.VAR54 (0.000),
.VAR42 (0.500),
.VAR37 ("VAR86"),
.VAR51 (10.0))
VAR84
(
.VAR5 (VAR74),
.VAR47 (VAR57),
.VAR17 (VAR24),
.VAR66 (VAR85),
.VAR81 (VAR80),
.VAR87 (VAR16),
.VAR70 (VAR77),
.VAR4 (VAR83),
.VAR58 (VAR33),
.VAR63 (VAR38),
.VAR68 (VAR76),
.VAR61 (VAR34),
.VAR75 (VAR46),
.VAR52 (VAR9),
.VAR72 (VAR73),
.VAR62 (1'b0),
.VAR21 (1'b1),
.VAR11 (7'h0),
.VAR88 (1'b0),
.VAR22 (1'b0),
.VAR19 (16'h0),
.VAR8 (VAR7),
.VAR65 (VAR10),
.VAR32 (1'b0),
.VAR20 (1'b0),
.VAR55 (1'b0),
.VAR79 (1'b0),
.VAR23 (VAR44),
.VAR56 (VAR41),
.VAR89 (VAR36),
.VAR3 (VAR15),
.VAR1 (1'b0),
.VAR53 (1'b0));
VAR48 VAR25
(.VAR64 (VAR9),
.VAR39 (VAR74));
VAR48 VAR6
(.VAR64 (VAR43),
.VAR39 (VAR24));
VAR48 VAR27
(.VAR64 (VAR59),
.VAR39 (VAR80));
VAR48 VAR69
(.VAR64 (VAR31),
.VAR39 (VAR77));
endmodule
|
mit
|
sabertazimi/hust-lab
|
architecture/design/fpga/src/branch_hazard_detector.v
| 1,386 |
module MODULE1
(
input [4:0] VAR7,
input [4:0] VAR1,
input VAR6,
input [4:0] VAR2,
input VAR11,
input [4:0] VAR4,
input VAR9,
input VAR8,
input VAR5,
output VAR3,
output VAR10
);
assign VAR3 = VAR8 || VAR5;
assign VAR10 = (VAR9 && VAR6 && VAR2 != 0 && (VAR2 == VAR7 || VAR2 == VAR1))
|| (VAR9 && VAR11 && VAR4 != 0 && (VAR4 == VAR7 || VAR4 == VAR1));
endmodule
|
mit
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/rxc_engine_classic.v
| 37,558 |
module MODULE2
parameter VAR39 = 128,
parameter VAR26 = 10
)
(
input VAR187,
input VAR88,
input [VAR39-1:0] VAR35,
input VAR102,
input VAR138,
input [VAR170-1:0] VAR133,
input VAR51,
input [VAR170-1:0] VAR129,
input [VAR28-1:0] VAR147,
output [VAR39-1:0] VAR92,
output VAR152,
output [(VAR39/32)-1:0] VAR156,
output VAR179,
output [VAR67(VAR39/32)-1:0] VAR90,
output VAR144,
output [VAR67(VAR39/32)-1:0] VAR173,
output [VAR14-1:0] VAR47,
output [VAR82-1:0] VAR122,
output [VAR157-1:0] VAR91,
output [VAR84-1:0] VAR105,
output [VAR145-1:0] VAR113,
output [VAR75-1:0] VAR17,
output [VAR29-1:0] VAR33,
output [VAR52-1:0] VAR120,
output VAR125,
input [(VAR26+1)*VAR39-1:0] VAR175,
input [VAR26:0] VAR121,
input [(VAR26+1)*VAR170-1:0] VAR97,
input [VAR26:0] VAR141,
input [VAR26:0] VAR191
);
localparam VAR154 = (VAR82+VAR14);
localparam VAR116 = 1;
localparam VAR136 = 1; localparam VAR41 = 1;
localparam VAR130 = VAR41;
localparam VAR109 = VAR130 - 1;
localparam VAR8 = VAR41 + VAR136 + VAR116;
localparam VAR38 = VAR41 + (VAR184/VAR39);
localparam VAR108 = VAR38;
localparam VAR106 = (VAR57/VAR39) + VAR116;
localparam VAR93 = (VAR24/VAR39) + VAR116;
localparam VAR34 = (VAR184/VAR39) + VAR116;
localparam VAR87 = VAR39*VAR116 + (VAR57%VAR39);
localparam VAR3 = VAR39*VAR116 + (VAR24%VAR39);
localparam VAR110 = VAR39*VAR116 + (VAR184%VAR39);
localparam VAR69 = VAR67(VAR39/32);
localparam VAR49 = 32;
localparam VAR66 = (VAR65 + VAR49)/32;
localparam VAR63 = (64/VAR39);
wire [VAR119-1:0] VAR81;
wire [VAR22-1:0] VAR111;
wire [VAR169-1:0] VAR117;
wire [VAR31-1:0] VAR161;
wire [2:0] VAR99;
wire [2:0] VAR1;
wire [(VAR39/32)-1:0] VAR165;
wire VAR182;
wire [VAR67(VAR39/32)-1:0] VAR160;
wire [(VAR39/32)-1:0] VAR16;
wire VAR76;
wire VAR76;
wire [2:0] VAR77;
wire [3:0] VAR192;
wire VAR96;
wire [VAR39-1:0] VAR13;
wire [95:0] VAR140;
wire VAR183;
wire VAR78;
wire [VAR67(VAR39/32)-1:0] VAR4;
wire VAR180;
wire [VAR67(VAR39/32)-1:0] VAR171;
wire [(VAR39/32)-1:0] VAR40;
wire [VAR26:0] VAR80;
reg VAR94,VAR94;
assign VAR99 = 3'b011;
assign VAR1 = 3'b010;
assign VAR96 = ~VAR81[2] & (VAR9 == "VAR127");
assign VAR77 = (VAR99 + {2'd0,VAR96}); assign VAR160 = VAR1 + VAR96 + VAR161;
assign VAR92 = VAR175[(VAR8)*VAR39 +: VAR39];
assign VAR152 = VAR183;
assign VAR144 = VAR78;
assign VAR173 = VAR4;
assign VAR179 = VAR180;
assign VAR90 = VAR171;
assign VAR17 = VAR140[VAR186];
assign VAR113 = VAR70({VAR140[VAR146],VAR140[VAR68]});
assign VAR105 = VAR140[VAR195];
assign VAR120 = VAR140[VAR104];
assign VAR33 = VAR140[VAR181];
assign VAR91 = VAR140[VAR115];
assign VAR125 = VAR140[VAR128];
assign VAR122 = 0; assign VAR47 = 0;
assign VAR182 = VAR121[VAR116+1];
assign VAR76 = VAR192 != 0;
generate
if(VAR39 == 32) begin
assign VAR192[3] = 0;
assign VAR192[2] = VAR80[VAR116 + 3] & VAR111[VAR95] & ~VAR94; assign VAR192[1] = VAR80[VAR116 + 2] & VAR111[VAR95] & ~VAR111[VAR42]; assign VAR192[0] = VAR80[VAR116 + 2] & ~VAR111[VAR95]; end else if(VAR39 == 64) begin
assign VAR192[3] = 0;
assign VAR192[2] = VAR80[VAR116 + 2] & VAR111[VAR95] & ~VAR94; if(VAR9 == "VAR127") begin
assign VAR192[1] = VAR80[VAR116 + 1] & VAR111[VAR95] & ~VAR111[VAR42] & VAR175[VAR110 + 2]; end else begin
assign VAR192[1] = VAR80[VAR116 + 1] & VAR111[VAR95] & ~VAR111[VAR42]; end
assign VAR192[0] = VAR80[VAR116 + 1] & ~VAR111[VAR95] & VAR94; end else if (VAR39 == 128) begin
assign VAR192[3] = 0;
assign VAR192[2] = VAR80[VAR116 + 1] & VAR111[VAR95] & ~VAR94; if(VAR9 == "VAR127") begin
assign VAR192[1] = VAR80[VAR116] & VAR175[VAR87 + VAR95] & ~VAR175[VAR87 + VAR42] & VAR175[VAR110 + 2]; end else begin
assign VAR192[1] = VAR80[VAR116] & VAR175[VAR87 + VAR95] & ~VAR175[VAR87 + VAR42];
end
assign VAR192[0] = VAR80[VAR116] & ~VAR175[VAR87 + VAR95]; end else begin assign VAR192[3] = 0;
assign VAR192[2] = 0;
assign VAR192[1] = 0;
assign VAR192[0] = VAR80[VAR116];
end endgenerate
always @(*) begin
VAR94 = VAR94;
if(VAR76) begin
VAR94 = 1'b1;
end else if (VAR121[VAR116+1]) begin
VAR94 = 1'b0;
end
end
always @(posedge VAR187) begin
if(VAR88) begin
VAR94 <= 1'b0;
end else begin
VAR94 <= VAR94;
end
end
register
.VAR159 (32))
VAR85
(
.VAR137 (VAR111[31:0]),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[VAR87 +: 32]),
.VAR174 (VAR80[VAR106]));
register
.VAR159 (32))
VAR126
(
.VAR137 (VAR111[63:32]),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[VAR3 +: 32]),
.VAR174 (VAR80[VAR93]));
register
.VAR159 (32))
VAR56
(
.VAR137 (VAR111[95:64]),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[VAR110 +: 32]),
.VAR174 (VAR80[VAR34]));
register
.VAR159 (VAR169))
VAR83
(
.VAR137 (VAR117),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[(VAR135 + VAR39*VAR116) +: VAR169]),
.VAR174 (VAR80[VAR135/VAR39 + VAR116]));
register
.VAR159 (VAR31))
VAR43
(
.VAR137 (VAR161),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[((VAR86%VAR39) + VAR39*VAR116) +: VAR31]),
.VAR174 (VAR80[VAR86/VAR39 + VAR116]));
register
.VAR159 (VAR119))
VAR190
(
.VAR137 (VAR81),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR163 (VAR175[((VAR149%VAR39) + VAR39*VAR116) +: VAR119]),
.VAR174 (VAR80[VAR149/VAR39 + VAR116]));
register
.VAR159 (1),
.VAR32 (1'b0)
)
VAR21
(
.VAR137 (VAR76),
.VAR163 (VAR76),
.VAR174 (1),
.VAR187 (VAR187),
.VAR88 (VAR88));
assign VAR16 = {VAR39/32{1'b1}} << ({VAR69{VAR76}}& VAR77[VAR69-1:0]);
VAR62
.VAR114 (VAR39/32)
)
VAR153
(
.VAR124 (VAR165),
.VAR7 (VAR182),
.VAR172 (VAR160)
);
generate
if(VAR136 == 0) begin
assign VAR156 = {VAR165 & VAR16} & {VAR39/32{~VAR94 | ~VAR111[VAR95]}};
end else begin
register
.VAR159 (VAR39/32),
.VAR32 (0)
)
VAR61
( .VAR137 (VAR40),
.VAR88 (~VAR94 | ~VAR111[VAR95]),
.VAR163 (VAR165 & VAR16),
.VAR174 (1),
.VAR187 (VAR187));
VAR178
.VAR103 (VAR136-1),
.VAR159 (VAR39/32),
.VAR167 (0)
)
VAR162
(
.VAR176 (), .VAR137 (VAR156),
.VAR19 (),
.VAR163 (VAR40),
.VAR53 (1),
.VAR73 (1'b1),
.VAR187 (VAR187),
.VAR88 (VAR88));
end
endgenerate
VAR178
.VAR103 (VAR136),
.VAR159 (VAR22 + 2*(VAR67(VAR39/32) + 1)),
.VAR167 (0)
)
VAR168
(
.VAR176 (), .VAR137 ({VAR140,VAR180,VAR171,VAR78,VAR4}),
.VAR19 (VAR183),
.VAR163 ({VAR111, VAR76,VAR77[VAR69-1:0],VAR182,VAR160[VAR69-1:0]}),
.VAR53 (VAR94),
.VAR73 (1'b1),
.VAR187 (VAR187),
.VAR88 (VAR88));
VAR177
.VAR103 (VAR26),
.VAR159 (1'b1)
)
VAR59
(
.VAR137 (VAR80),
.VAR163 (VAR138 & VAR102 & (VAR175[VAR68] == VAR6)),
.VAR187 (VAR187),
.VAR88 (VAR88));
endmodule
module MODULE1
parameter VAR26=10
)
(
input VAR187,
input VAR88,
input [VAR39-1:0] VAR35,
input VAR102,
input VAR138,
input [VAR170-1:0] VAR133,
input VAR51,
input [VAR170-1:0] VAR129,
input [VAR28-1:0] VAR147,
output [VAR39-1:0] VAR92,
output VAR152,
output [(VAR39/32)-1:0] VAR156,
output VAR179,
output [VAR67(VAR39/32)-1:0] VAR90,
output VAR144,
output [VAR67(VAR39/32)-1:0] VAR173,
output [VAR14-1:0] VAR47,
output [VAR82-1:0] VAR122,
output [VAR157-1:0] VAR91,
output [VAR84-1:0] VAR105,
output [VAR145-1:0] VAR113,
output [VAR75-1:0] VAR17,
output [VAR29-1:0] VAR33,
output [VAR52-1:0] VAR120,
output VAR125,
input [(VAR26+1)*VAR39-1:0] VAR175,
input [VAR26:0] VAR121,
input [(VAR26+1)*VAR170-1:0] VAR97,
input [(VAR26+1)*VAR170-1:0] VAR142,
input [VAR26:0] VAR141,
input [VAR26:0] VAR191
);
localparam VAR154 = (VAR82+VAR14);
localparam VAR116 = 1;
localparam VAR136 = 1;
localparam VAR41 = 1;
localparam VAR151 = 1; localparam VAR8 = VAR41 + VAR136 + VAR116 + VAR151;
localparam VAR69 = VAR67(VAR39/32);
localparam VAR55 = 64;
localparam VAR60 = VAR116 * VAR39;
localparam VAR36 = (VAR39/32) + 2 + VAR67(VAR39/32) + 1 + VAR157 + VAR145 + VAR84 + VAR11 + VAR75 + VAR29;
wire [VAR170-1:0] VAR44;
wire [VAR170-1:0] VAR48;
wire [VAR65-1:0] VAR23;
wire [VAR65-1:0] VAR10;
wire [VAR65-1:0] VAR89;
wire VAR45;
wire VAR12;
wire VAR58;
wire VAR132;
wire VAR12;
wire VAR45;
wire VAR132;
wire VAR58;
wire [VAR65-1:0] VAR23;
wire VAR158;
wire [2:0] VAR5;
wire VAR148;
wire [1:0] VAR50;
wire VAR72; wire VAR143; wire VAR101;
wire VAR185;
wire VAR74;
wire VAR189;
wire [3:0] VAR71;
wire [3:0] VAR98;
wire [VAR65-1:0] VAR23;
wire VAR158;
wire VAR148;
wire VAR12;
wire [63:0] VAR140;
wire [VAR169-1:0] VAR2;
wire [VAR31-1:0] VAR100;
wire [2:0] VAR107; wire [VAR170-1:0] VAR15; wire VAR72; wire VAR143; wire [1:0] VAR5;
wire [3:0] VAR71;
wire [3:0] VAR98;
wire [VAR39-1:0] VAR13;
wire VAR183;
wire [(VAR39/32)-1:0] VAR40;
wire VAR180;
wire [VAR67(VAR39/32)-1:0] VAR171;
wire VAR78;
wire [VAR67(VAR39/32)-1:0] VAR4;
wire [VAR157-1:0] VAR25;
wire [VAR145-1:0] VAR164;
wire [VAR84-1:0] VAR139;
wire [VAR11-1:0] VAR118;
wire [VAR75-1:0] VAR64;
wire VAR54;
wire [VAR29-1:0] VAR112;
reg VAR131;
reg VAR27;
assign VAR58 = VAR141[VAR116] & ~VAR44[1];
assign VAR132 = VAR141[VAR116] & VAR48[1];
assign VAR89 = VAR175[VAR60 +: VAR39];
assign VAR10 = {VAR175[VAR116*VAR39 +: VAR55],
VAR175[(VAR116+1)*VAR39 + VAR55 +: VAR55 ]};
assign VAR44 = VAR142[VAR170*VAR116 +: VAR170];
assign VAR48 = VAR142[VAR170*(VAR116) +: VAR170];
assign VAR12 = VAR58 | ((VAR131 | VAR27) & VAR191[VAR116]);
assign VAR101 = VAR141[VAR116 + VAR151] & VAR12;
assign VAR5 = {1'b0,VAR132,1'b0} + 3'd3;
assign VAR185 = VAR191[VAR116 + VAR151];
assign VAR189 = ~VAR23[VAR42] & VAR58;
assign VAR158 = (VAR189 | VAR132);
assign VAR148 = VAR121[VAR116 + VAR151];
assign VAR50 = VAR97[(VAR116+VAR151)*VAR170 +: VAR69];
assign VAR72 = VAR158 & VAR148 & (VAR23[VAR68] == VAR6);
assign VAR143 = (VAR158 & ~VAR148 & (VAR23[VAR68] == VAR6)) |
(VAR143 & ~VAR148);
assign VAR71 = 4'hf << (VAR158 ? VAR5[1:0] : 0);
assign VAR40 = VAR98 & VAR71 & {4{VAR183}};
assign VAR183 = VAR72 | VAR143;
assign VAR180 = VAR158;
assign VAR78 = VAR148;
assign VAR171 = VAR5;
assign VAR112 = VAR23[VAR181];
assign VAR25 = VAR23[VAR115];
assign VAR139 = VAR23[VAR195];
assign VAR118 = VAR23[VAR79];
assign VAR64 = VAR23[VAR186];
assign VAR54 = VAR23[VAR128];
assign VAR164 = VAR70({VAR23[VAR146],VAR23[VAR68]});
assign VAR92 = VAR175[VAR39*VAR8 +: VAR39];
assign VAR173 = VAR97[VAR170*(VAR8) +: VAR69];
always @(posedge VAR187) begin
VAR131 <= VAR141[VAR116] & VAR48[1];
if(VAR58 | VAR88) begin
VAR27 <=0;
end else begin
VAR27 <= (VAR131 | VAR27) & ~VAR191[VAR116];
end
end
mux
.VAR155 (2),
.VAR46 (1),
.VAR159 (VAR65),
.VAR18 ("VAR166")
)
VAR37
(
.VAR134 (VAR23[VAR65-1:0]),
.VAR30 ({VAR10[VAR65-1:0],
VAR89[VAR65-1:0]}),
.VAR150 (VAR131 | VAR27)
);
register
.VAR159 (64 + 1),
.VAR32 (0)
)
VAR123
(
.VAR137 ({VAR23[VAR55-1:0], VAR12}),
.VAR163 ({VAR23[VAR55-1:0], VAR12}),
.VAR174 (VAR58 | VAR131),
.VAR88 (VAR88),
.VAR187 (VAR187));
register
.VAR159 (64),
.VAR32 (0)
)
VAR20
(
.VAR137 (VAR23[VAR65-1:VAR55]),
.VAR163 (VAR23[VAR65-1:VAR55]),
.VAR174 (VAR58 | VAR131 | VAR27), .VAR88 (VAR88),
.VAR187 (VAR187));
register
.VAR159 (2),
.VAR32 (0)
)
VAR188 (
.VAR137 ({VAR132,VAR58}),
.VAR163 ({VAR131,VAR58}),
.VAR174 (1),
.VAR88 (VAR88),
.VAR187 (VAR187));
register
.VAR159 (128 + 4),
.VAR32 (0)
)
VAR193
( .VAR137 ({VAR23,
VAR158, VAR5,
VAR148}),
.VAR88 (0),
.VAR163 ({VAR23,
VAR158, VAR5[1:0],
VAR148}),
.VAR174 (1),
.VAR187 (VAR187));
register
.VAR159 (3+8),
.VAR32 (0)
)
VAR194
( .VAR137 ({VAR12,
VAR72, VAR143,
VAR98, VAR71}),
.VAR88 (VAR88),
.VAR163 ({VAR12,
VAR72, VAR143,
VAR98, VAR71}), .VAR174 (1),
.VAR187 (VAR187));
VAR62
.VAR114 (4)
)
VAR153
(
.VAR124 (VAR98),
.VAR7 (VAR148),
.VAR172 (VAR50)
);
VAR178
.VAR103 (VAR136),
.VAR159 (VAR36),
.VAR167 (0)
)
VAR168
(
.VAR176 (), .VAR137 ({VAR156, VAR179, VAR90,
VAR144, VAR91, VAR113,
VAR105, VAR120, VAR33,
VAR17, VAR125}),
.VAR19 (VAR152),
.VAR163 ({VAR40, VAR180, VAR171,
VAR78, VAR25, VAR164,
VAR139, VAR118, VAR112,
VAR64, VAR54}),
.VAR53 (VAR183),
.VAR73 (1'b1),
.VAR187 (VAR187),
.VAR88 (VAR88));
endmodule
|
gpl-3.0
|
DreamSourceLab/DSLogic-hdl
|
src/cons_buf.v
| 17,713 |
module MODULE1 (
input VAR86,
input VAR40,
input VAR140,
input VAR104,
input VAR85,
input VAR59,
input VAR60,
input VAR95,
input [15:0] VAR102,
input VAR61,
input VAR16,
output VAR33,
input [31:0] VAR118,
output VAR132,
output [15:0] VAR37,
input [23:0] VAR92,
input [23:0] VAR5,
input [7:0] VAR71,
input [7:0] VAR143,
input [16:0] VAR55,
output VAR41,
output VAR12,
input VAR120,
output [31:0] VAR65,
input VAR36,
input [15:0] VAR23
);
reg VAR98;
reg VAR130;
wire [15:0] VAR31;
wire VAR30;
wire VAR24;
reg VAR15;
wire VAR96;
reg VAR133;
wire VAR3;
reg [VAR99:0] VAR54;
wire [VAR99:0] VAR119;
wire [VAR99:0] VAR72;
reg [15:0] VAR35;
wire [15:0] VAR87;
wire VAR126;
reg VAR123;
wire [15:0] VAR129;
reg [23:0] VAR83;
wire [23:0] VAR136;
reg VAR10;
reg [23:0] VAR52;
wire [23:0] VAR81;
assign VAR12 = VAR85 ? 1'b0 : VAR16;
assign VAR65 = VAR85 ? 32'b0 : VAR118;
assign VAR33 = VAR85 ? VAR98 : VAR120;
assign VAR132 = VAR85 ? VAR130 : VAR36;
assign VAR37 = VAR85 ? VAR31 : VAR23;
reg VAR116;
wire VAR121;
reg VAR110;
wire VAR112;
reg VAR125;
wire VAR113;
reg VAR46;
wire VAR20;
reg [16:0] VAR56;
reg [16:0] VAR97;
reg [16:0] VAR48;
reg [16:0] VAR100;
reg [16:0] VAR19;
reg [3:0] VAR21;
wire [3:0] VAR27;
reg [3:0] VAR42;
wire [3:0] VAR124;
reg [3:0] VAR67;
wire [3:0] VAR1;
reg [3:0] VAR74;
wire [3:0] VAR107;
reg [3:0] VAR73;
wire [3:0] VAR22;
reg [3:0] VAR137;
wire [3:0] VAR64;
reg [3:0] VAR82;
wire [3:0] VAR17;
reg [3:0] VAR127;
wire [3:0] VAR66;
reg [3:0] VAR26;
wire [3:0] VAR101;
reg [3:0] VAR43;
wire [3:0] VAR44;
assign VAR27 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[7:0] > VAR56[7:0])) ? {VAR21[2:0], 1'b1} :
(VAR133 & (VAR102[7:0] < VAR56[7:0])) ? {VAR21[2:0], 1'b0} : VAR21;
assign VAR124 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[7:0] > VAR97[7:0])) ? {VAR42[2:0], 1'b1} :
(VAR133 & (VAR102[7:0] < VAR97[7:0])) ? {VAR42[2:0], 1'b0} : VAR42;
assign VAR1 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[7:0] > VAR48[7:0])) ? {VAR67[2:0], 1'b1} :
(VAR133 & (VAR102[7:0] < VAR48[7:0])) ? {VAR67[2:0], 1'b0} : VAR67;
assign VAR107 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[7:0] > VAR100[7:0])) ? {VAR74[2:0], 1'b1} :
(VAR133 & (VAR102[7:0] < VAR100[7:0])) ? {VAR74[2:0], 1'b0} : VAR74;
assign VAR22 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[7:0] > VAR19[7:0])) ? {VAR73[2:0], 1'b1} :
(VAR133 & (VAR102[7:0] < VAR19[7:0])) ? {VAR73[2:0], 1'b0} : VAR73;
assign VAR64 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[15:8] > VAR56[15:8])) ? {VAR137[2:0], 1'b1} :
(VAR133 & (VAR102[15:8] < VAR56[15:8])) ? {VAR137[2:0], 1'b0} : VAR137;
assign VAR17 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[15:8] > VAR97[15:8])) ? {VAR82[2:0], 1'b1} :
(VAR133 & (VAR102[15:8] < VAR97[15:8])) ? {VAR82[2:0], 1'b0} : VAR82;
assign VAR66 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[15:8] > VAR48[15:8])) ? {VAR127[2:0], 1'b1} :
(VAR133 & (VAR102[15:8] < VAR48[15:8])) ? {VAR127[2:0], 1'b0} : VAR127;
assign VAR101 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[15:8] > VAR100[15:8])) ? {VAR26[2:0], 1'b1} :
(VAR133 & (VAR102[15:8] < VAR100[15:8])) ? {VAR26[2:0], 1'b0} : VAR26;
assign VAR44 = (VAR59 & ~VAR10) ? 'b0101 :
(VAR133 & (VAR102[15:8] > VAR19[15:8])) ? {VAR43[2:0], 1'b1} :
(VAR133 & (VAR102[15:8] < VAR19[15:8])) ? {VAR43[2:0], 1'b0} : VAR43;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR56 <= VAR50 'b0;
VAR97 <= VAR50 'b0;
VAR48 <= VAR50 'b0;
VAR100 <= VAR50 'b0;
VAR19 <= VAR50 'b0;
VAR21 <= VAR50 'b0101;
VAR42 <= VAR50 'b0101;
VAR67 <= VAR50 'b0101;
VAR74 <= VAR50 'b0101;
VAR73 <= VAR50 'b0101;
VAR137 <= VAR50 'b0101;
VAR82 <= VAR50 'b0101;
VAR127 <= VAR50 'b0101;
VAR26 <= VAR50 'b0101;
VAR43 <= VAR50 'b0101;
end else begin
VAR56 <= VAR50 VAR133 ? VAR102 : VAR56;
VAR97 <= VAR50 VAR133 & VAR54[0] ? VAR102 : VAR97;
VAR48 <= VAR50 VAR133 & &VAR54[1:0] ? VAR102 : VAR48;
VAR100 <= VAR50 VAR133 & &VAR54[2:0] ? VAR102 : VAR100;
VAR19 <= VAR50 VAR133 & &VAR54[3:0] ? VAR102 : VAR19;
VAR21 <= VAR50 VAR27;
VAR42 <= VAR50 VAR124;
VAR67 <= VAR50 VAR1;
VAR74 <= VAR50 VAR107;
VAR73 <= VAR50 VAR22;
VAR137 <= VAR50 VAR64;
VAR82 <= VAR50 VAR17;
VAR127 <= VAR50 VAR66;
VAR26 <= VAR50 VAR101;
VAR43 <= VAR50 VAR44;
end
end
assign VAR121 = &VAR21 | &VAR42 | &VAR67 |
&VAR74 | &VAR73;
assign VAR113 = &VAR137 | &VAR82 | &VAR127 |
&VAR26 | &VAR43;
assign VAR112 = ~|VAR21 | ~|VAR42 | ~|VAR67 |
~|VAR74 | ~|VAR73;
assign VAR20 = ~|VAR137 | ~|VAR82 | ~|VAR127 |
~|VAR26 | ~|VAR43;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR116 <= VAR50 1'b0;
VAR125 <= VAR50 1'b0;
VAR110 <= VAR50 1'b0;
VAR46 <= VAR50 1'b0;
end else begin
VAR116 <= VAR50 VAR121;
VAR125 <= VAR50 VAR113;
VAR110 <= VAR50 VAR112;
VAR46 <= VAR50 VAR20;
end
end
reg [VAR99:0] VAR88;
wire [VAR99:0] VAR75;
reg VAR139;
wire VAR69;
wire VAR7;
reg VAR135;
wire VAR68;
reg VAR90;
reg VAR142;
wire VAR141;
reg VAR114;
reg VAR13;
reg VAR18;
reg VAR84;
reg VAR57;
wire VAR115;
wire VAR109;
wire VAR25;
wire VAR9;
reg VAR51;
reg VAR38;
reg VAR2;
reg VAR138;
reg VAR131;
reg VAR94;
reg VAR122;
reg VAR128;
reg VAR111;
wire VAR80;
wire VAR8;
wire VAR70;
wire VAR4;
wire VAR62;
wire VAR47;
wire VAR53;
wire VAR14;
wire VAR49;
assign VAR115 = (VAR102[7:0] >= VAR55[7:0]) &
(VAR56[7:0] <= VAR55[7:0]) &
(VAR116 | (~VAR116 & ~VAR110));
assign VAR25 = (VAR102[15:8] >= VAR55[15:8]) &
(VAR56[15:8] <= VAR55[15:8]) &
(VAR125 | (~VAR125 & ~VAR46));
assign VAR109 = (VAR102[7:0] <= VAR55[7:0]) &
(VAR56[7:0] >= VAR55[7:0]) &
(VAR110 | (~VAR116 & ~VAR110));
assign VAR9 = (VAR102[15:8] <= VAR55[15:8]) &
(VAR56[15:8] >= VAR55[15:8]) &
(VAR46 | (~VAR125 & ~VAR46));
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR13 <= VAR50 1'b0;
VAR84 <= VAR50 1'b0;
VAR18 <= VAR50 1'b0;
VAR57 <= VAR50 1'b0;
end else begin
VAR13 <= VAR50 VAR115;
VAR84 <= VAR50 VAR25;
VAR18 <= VAR50 VAR109;
VAR57 <= VAR50 VAR9;
end
end
assign VAR80 = (VAR143 == 'd0);
assign VAR8 = (VAR143 == 'd1) & (VAR71 == 'b0);
assign VAR70 = (VAR143 == 'd1) & (VAR71 == 'b1);
assign VAR4 = (VAR143 == 'd2) & (VAR71 == 'b0);
assign VAR62 = (VAR143 == 'd2) & (VAR71 == 'b1);
assign VAR47 = (VAR143 == 'd3) & (VAR71 == 'b0);
assign VAR53 = (VAR143 == 'd3) & (VAR71 == 'b1);
assign VAR14 = (VAR143 == 'd4) & (VAR71 == 'b0);
assign VAR49 = (VAR143 == 'd4) & (VAR71 == 'b1);
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR51 <= VAR50 1'b0;
VAR38 <= VAR50 1'b0;
VAR2 <= VAR50 1'b0;
VAR138 <= VAR50 1'b0;
VAR131 <= VAR50 1'b0;
VAR94 <= VAR50 1'b0;
VAR122 <= VAR50 1'b0;
VAR128 <= VAR50 1'b0;
VAR111 <= VAR50 1'b0;
end else begin
VAR51 <= VAR50 VAR80;
VAR38 <= VAR50 VAR8;
VAR2 <= VAR50 VAR70;
VAR138 <= VAR50 VAR4;
VAR131 <= VAR50 VAR62;
VAR94 <= VAR50 VAR47;
VAR122 <= VAR50 VAR53;
VAR128 <= VAR50 VAR14;
VAR111 <= VAR50 VAR49;
end
end
assign VAR141 = (VAR15 & VAR51) ? 1'b1 :
(VAR15 & VAR38 & VAR13 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR2 & VAR18 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR138 & VAR84 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR131 & VAR57 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR94 & VAR13 & VAR84 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR122 & VAR18 & VAR57 & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR128 & (VAR13 | VAR84) & VAR72 >= VAR5) ? 1'b1 :
(VAR15 & VAR111 & (VAR18 | VAR57) & VAR72 >= VAR5) ? 1'b1 :
(VAR90 & ~VAR135) ? 1'b0 : VAR142;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR142 <= VAR50 1'b0;
VAR114 <= VAR50 1'b0;
end else begin
VAR142 <= VAR50 VAR141;
VAR114 <= VAR50 VAR142;
end
end
assign VAR69 = ((VAR142 & ~VAR114) | (VAR139 & VAR88 == 'b10)) ? 1'b0 :
((VAR7 & ~VAR142) | (VAR114 & VAR88 > 'b1)) ? 1'b1 : VAR139;
assign VAR75 = (VAR59 & ~VAR10) ? VAR5 :
(VAR142 & ~VAR114) ? VAR72 - VAR5 :
(VAR139 & VAR114 & VAR88 != 'b0) ? VAR88 - 1'b1 : VAR88;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR139 <= VAR50 1'b0;
VAR88 <= VAR50 'b0;
end else begin
VAR139 <= VAR50 VAR69;
VAR88 <= VAR50 VAR75;
end
end
assign VAR68 = (VAR142 & VAR88 == 'b1 & VAR30) ? 1'b1 :
VAR24 ? 1'b0 : VAR135;
assign VAR126 = VAR135 & ~VAR11 & ~VAR24 & ~|VAR52;
assign VAR81 = ((VAR59 & ~VAR10) | (~VAR135 & VAR90)) ? VAR105 :
|VAR52 ? VAR52 - 1'b1 : VAR52;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR135 <= VAR50 1'b0;
VAR90 <= VAR50 1'b0;
VAR123 <= VAR50 1'b0;
VAR52 <= VAR50 'b0;
end else begin
VAR135 <= VAR50 VAR68;
VAR90 <= VAR50 VAR135;
VAR123 <= VAR50 VAR126;
VAR52 <= VAR50 VAR81;
end
end
reg [VAR99:0] VAR77;
wire [VAR99:0] VAR89;
assign VAR89 = VAR126 ? VAR77 + 1'b1 :
VAR30 ? 'b0 : VAR77;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40)
VAR77 <= VAR50 'b0;
end
else
VAR77 <= VAR50 VAR89;
end
always @(posedge VAR86)
begin
VAR10 <= VAR50 VAR59;
end
assign VAR136 = (VAR59 & ~VAR10) ? 23'b0 :
(VAR95 & (VAR83 == VAR92)) ? 23'b0 :
VAR135 ? 23'b0 :
(VAR95 & ~VAR135) ? VAR83 + 1'b1 : VAR83;
assign VAR3 = VAR95 & (VAR83 == VAR92);
assign VAR96 = VAR3 & ~VAR135;
assign VAR119 = (~VAR135 & VAR90) ? 'b0 :
(VAR15 & ~&VAR54) ? VAR54 + 1'b1 : VAR54;
assign VAR87 = VAR56;
always @(posedge VAR86 or posedge VAR40)
begin
if (VAR40) begin
VAR83 <= VAR50 24'b0;
VAR133 <= VAR50 1'b0;
VAR15 <= VAR50 1'b0;
VAR54 <= VAR50 'b0;
VAR35 <= VAR50 16'b0;
end else begin
VAR83 <= VAR50 VAR136;
VAR133 <= VAR50 VAR3;
VAR15 <= VAR50 VAR96;
VAR54 <= VAR50 VAR119;
VAR35 <= VAR50 VAR87;
end
end
VAR45 VAR45 (
.clk(VAR86), .rst(VAR40), .din(VAR35), .VAR78(VAR15), .VAR134(VAR139 | VAR126), .dout(VAR129), .VAR91(VAR30), .VAR58(VAR24), .VAR103(VAR7),
.VAR106(VAR72)
);
wire VAR34;
wire VAR79;
wire VAR117;
wire VAR11;
VAR108 VAR108(
.VAR28(VAR86), .VAR29(VAR40), .VAR32(VAR140), .VAR6(VAR104), .din(VAR129), .VAR78(VAR123), .VAR134(VAR16 & ~VAR34), .dout(VAR31), .VAR91(VAR79), .VAR58(VAR34), .VAR103(VAR11), .VAR63(VAR117) );
always @(posedge VAR140 or posedge VAR104)
begin
if (VAR104) begin
VAR98 <= VAR50 1'b0;
VAR130 <= VAR50 1'b0;
end else begin
VAR98 <= VAR50 VAR16 & ~VAR34;
VAR130 <= VAR50 VAR16 & ~VAR34;
end
end
reg VAR39;
wire VAR93;
reg VAR76;
assign VAR93 = VAR11 ? 1'b1 : VAR39;
always @(posedge VAR140 or posedge VAR104)
begin
if (VAR104) begin
VAR39 <= VAR50 1'b0;
VAR76 <= VAR50 1'b0;
end else begin
VAR39 <= VAR50 VAR93;
VAR76 <= VAR50 VAR39;
end
end
assign VAR41 = ~VAR85 ? VAR61 : (VAR39 & ~VAR76);
endmodule
|
gpl-2.0
|
alexforencich/xfcp
|
example/VCU118/fpga/rtl/fpga.v
| 17,251 |
module MODULE1 (
input wire VAR100,
input wire VAR117,
input wire reset,
input wire VAR198,
input wire VAR166,
input wire VAR4,
input wire VAR218,
input wire VAR248,
input wire [3:0] VAR74,
output wire [7:0] VAR29,
inout wire VAR61,
inout wire VAR104,
input wire VAR116,
input wire VAR69,
output wire VAR16,
output wire VAR12,
input wire VAR226,
input wire VAR85,
output wire VAR249,
input wire VAR216,
inout wire VAR84,
output wire VAR41,
input wire VAR8,
output wire VAR241,
output wire VAR26,
input wire VAR99
);
wire VAR46;
wire VAR139;
wire VAR230;
wire VAR88;
wire VAR159 = reset;
wire VAR128;
wire VAR223;
VAR146 #(
.VAR162("VAR192"),
.VAR171("VAR192")
)
VAR205 (
.VAR72 (VAR46),
.VAR204 (VAR100),
.VAR194 (VAR117)
);
VAR235 #(
.VAR144("VAR37"),
.VAR66(8),
.VAR147(0.5),
.VAR111(0),
.VAR33(1),
.VAR201(0.5),
.VAR208(0),
.VAR246(1),
.VAR106(0.5),
.VAR253(0),
.VAR131(1),
.VAR195(0.5),
.VAR95(0),
.VAR71(1),
.VAR123(0.5),
.VAR21(0),
.VAR125(1),
.VAR39(0.5),
.VAR62(0),
.VAR76(1),
.VAR40(0.5),
.VAR19(0),
.VAR13(8),
.VAR86(0),
.VAR113(1),
.VAR160(0.010),
.VAR170(8.0),
.VAR221("VAR192"),
.VAR59("VAR192")
)
VAR67 (
.VAR145(VAR46),
.VAR233(VAR223),
.VAR188(VAR159),
.VAR130(1'b0),
.VAR179(VAR139),
.VAR200(),
.VAR141(),
.VAR82(),
.VAR38(),
.VAR152(),
.VAR68(),
.VAR126(),
.VAR209(),
.VAR243(),
.VAR222(),
.VAR252(VAR223),
.VAR110(),
.VAR196(VAR128)
);
VAR191
VAR51 (
.VAR204(VAR139),
.VAR72(VAR230)
);
VAR149 #(
.VAR91(4)
)
VAR14 (
.clk(VAR230),
.rst(~VAR128),
.out(VAR88)
);
wire VAR203;
wire VAR56;
wire VAR5;
wire VAR217;
wire VAR220;
wire [3:0] VAR60;
VAR251 #(
.VAR229(9),
.VAR91(4),
.VAR2(125000)
)
VAR244 (
.clk(VAR230),
.rst(VAR88),
.in({VAR198,
VAR166,
VAR4,
VAR218,
VAR248,
VAR74}),
.out({VAR203,
VAR56,
VAR5,
VAR217,
VAR220,
VAR60})
);
wire VAR212;
wire VAR232;
VAR43 #(
.VAR229(2),
.VAR91(2)
)
VAR187 (
.clk(VAR230),
.in({VAR8, VAR99}),
.out({VAR212, VAR232})
);
wire VAR11;
wire VAR119;
wire VAR30;
wire VAR181;
wire VAR154;
wire VAR140;
assign VAR11 = VAR61;
assign VAR61 = VAR30 ? 1'VAR93 : VAR119;
assign VAR181 = VAR104;
assign VAR104 = VAR140 ? 1'VAR93 : VAR154;
wire VAR28;
wire VAR121;
wire VAR180;
wire [7:0] VAR34;
wire VAR242;
wire VAR239;
wire [7:0] VAR247;
wire VAR25;
wire VAR57;
wire [15:0] VAR168;
wire VAR47 = VAR168[0];
wire VAR245 = VAR168[1];
wire VAR213 = VAR168[2];
wire VAR9 = VAR168[3];
wire VAR44 = VAR168[4];
wire VAR207 = VAR168[5];
wire VAR135 = VAR168[6];
wire VAR92 = VAR168[7];
wire [1:0] VAR112 = VAR168[9:8];
wire [1:0] VAR133 = VAR168[11:10];
wire VAR127 = VAR168[12];
wire VAR238 = VAR168[13];
wire [1:0] VAR189 = VAR168[15:14];
wire [4:0] VAR89;
assign VAR89[4] = 1'b1; assign VAR89[3] = 1'b0; assign VAR89[2] = 1'b0; assign VAR89[1] = 1'b0; assign VAR89[0] = 1'b0;
wire [15:0] VAR107;
assign VAR107[15] = 1'b1; assign VAR107[14] = 1'b1; assign VAR107[13:12] = 2'b01; assign VAR107[11:10] = 2'b10; assign VAR107[9] = 1'b0; assign VAR107[8:7] = 2'b00; assign VAR107[6] = 1'b0; assign VAR107[5] = 1'b0; assign VAR107[4:1] = 4'b0000; assign VAR107[0] = 1'b1;
VAR58
VAR94 (
.VAR182 (VAR16),
.VAR173 (VAR12),
.VAR165 (VAR116),
.VAR97 (VAR69),
.VAR215 (VAR226),
.VAR199 (VAR85),
.reset (VAR88),
.VAR27 (VAR28),
.VAR197 (),
.VAR148 (VAR121),
.VAR63 (),
.VAR36 (),
.VAR136 (),
.VAR90 (),
.VAR178 (),
.VAR64 (),
.VAR20 (),
.VAR120 (),
.VAR153 (VAR180),
.VAR109 (VAR133 != 2'b10),
.VAR186 (VAR133 == 2'b01),
.VAR211 (VAR34),
.VAR122 (VAR242),
.VAR42 (VAR239),
.VAR79 (VAR247),
.VAR103 (VAR25),
.VAR143 (VAR57),
.VAR52 (),
.VAR98 (VAR89),
.VAR184 (),
.VAR7 (VAR107),
.VAR240 (1'b0),
.VAR225 (VAR168),
.VAR228 (1'b1),
.VAR50 (),
.VAR96 (),
.VAR236 (),
.VAR224 (),
.VAR81 (),
.VAR142 (),
.VAR132 (),
.VAR35 (),
.VAR157 (),
.VAR158 (),
.VAR227 (),
.VAR75 (),
.VAR115 (),
.VAR150 (),
.VAR101 (),
.VAR114 (16'b0),
.VAR45 (1'b0),
.VAR161 (1'b0),
.VAR118 (16'b0),
.VAR24 (1'b0),
.VAR206 (1'b0),
.VAR129 (16'b0),
.VAR175 (1'b0),
.VAR31 (1'b0),
.VAR53 (),
.VAR48 (),
.VAR65 (),
.VAR138 (1'b1),
.VAR163 (1'b1),
.VAR177 (1'b1),
.VAR22 (1'b1),
.VAR124 (1'b1),
.VAR54 (1'b1),
.VAR87 (1'b1),
.VAR176 (1'b1),
.VAR156 (1'b1),
.VAR70 (1'b1),
.VAR108 (1'b1),
.VAR234 (1'b1),
.VAR18 ()
);
reg [19:0] VAR10 = 20'hfffff;
reg [4:0] VAR214 = 5'h03;
reg [4:0] VAR250 = 5'h00;
reg [15:0] VAR193 = 16'd0;
reg [1:0] VAR6 = 2'b01;
reg VAR172 = 1'b0;
wire VAR102;
reg [3:0] VAR134 = 0;
always @(posedge VAR230) begin
if (VAR88) begin
VAR134 <= 0;
VAR10 <= 20'hfffff;
VAR250 <= 5'h00;
VAR193 <= 16'd0;
VAR172 <= 1'b0;
end else begin
VAR172 <= VAR172 & !VAR102;
if (VAR10 > 0) begin
VAR10 <= VAR10 - 1;
end else if (!VAR102) begin
VAR134 <= VAR134;
end else begin
VAR172 <= 1'b0;
case (VAR134)
4'd0: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h001F;
VAR172 <= 1'b1;
VAR134 <= 4'd1;
end
4'd1: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h0031;
VAR172 <= 1'b1;
VAR134 <= 4'd2;
end
4'd2: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h401F;
VAR172 <= 1'b1;
VAR134 <= 4'd3;
end
4'd3: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h0070;
VAR172 <= 1'b1;
VAR134 <= 4'd4;
end
4'd4: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h001F;
VAR172 <= 1'b1;
VAR134 <= 4'd5;
end
4'd5: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h00D3;
VAR172 <= 1'b1;
VAR134 <= 4'd6;
end
4'd6: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h401F;
VAR172 <= 1'b1;
VAR134 <= 4'd7;
end
4'd7: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h4000;
VAR172 <= 1'b1;
VAR134 <= 4'd8;
end
4'd8: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h001F;
VAR172 <= 1'b1;
VAR134 <= 4'd9;
end
4'd9: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h016F;
VAR172 <= 1'b1;
VAR134 <= 4'd10;
end
4'd10: begin
VAR250 <= 5'h0D;
VAR193 <= 16'h401F;
VAR172 <= 1'b1;
VAR134 <= 4'd11;
end
4'd11: begin
VAR250 <= 5'h0E;
VAR193 <= 16'h0015;
VAR172 <= 1'b1;
VAR134 <= 4'd12;
end
4'd12: begin
VAR134 <= 4'd12;
end
endcase
end
end
end
wire VAR15;
wire VAR3;
wire VAR78;
wire VAR169;
VAR183
VAR174 (
.clk(VAR230),
.rst(VAR88),
.VAR17(VAR214),
.VAR1(VAR250),
.VAR32(VAR193),
.VAR210(VAR6),
.VAR105(VAR172),
.VAR155(VAR102),
.VAR219(),
.VAR185(),
.VAR80(1'b1),
.VAR137(VAR15),
.VAR3(VAR3),
.VAR78(VAR78),
.VAR169(VAR169),
.VAR73(),
.VAR83(8'd3)
);
assign VAR41 = VAR15;
assign VAR3 = VAR84;
assign VAR84 = VAR169 ? 1'VAR93 : VAR78;
VAR237
VAR151 (
.clk(VAR230),
.rst(VAR88),
.VAR198(VAR203),
.VAR166(VAR56),
.VAR4(VAR5),
.VAR218(VAR217),
.VAR248(VAR220),
.VAR74(VAR60),
.VAR29(VAR29),
.VAR11(VAR11),
.VAR119(VAR119),
.VAR30(VAR30),
.VAR181(VAR181),
.VAR154(VAR154),
.VAR140(VAR140),
.VAR49(VAR28),
.VAR23(VAR121),
.VAR202(VAR180),
.VAR167(VAR247),
.VAR231(VAR25),
.VAR164(VAR57),
.VAR77(VAR34),
.VAR190(VAR242),
.VAR55(VAR239),
.VAR249(VAR249),
.VAR216(VAR216),
.VAR8(VAR212),
.VAR241(VAR241),
.VAR26(VAR26),
.VAR99(VAR232)
);
endmodule
|
mit
|
sukinull/hls_stream
|
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/processing_system7_bfm_v2_0/e69044ca/hdl/processing_system7_bfm_v2_0_gen_reset.v
| 4,863 |
module MODULE1(
VAR28,
VAR5,
VAR38,
VAR22,
VAR19,
VAR8,
VAR13,
VAR40,
VAR4,
VAR16,
VAR31,
VAR33,
VAR23,
VAR44,
VAR30,
VAR10,
VAR18,
VAR6,
VAR21,
VAR3,
VAR37,
VAR34,
VAR17,
VAR41,
VAR43,
VAR26,
VAR27,
VAR1,
VAR9,
VAR29,
VAR36,
VAR24,
VAR35,
VAR14
);
input VAR28;
input VAR5;
input VAR22;
input VAR19;
input VAR8;
input VAR13;
input VAR40;
input VAR4;
input VAR16;
input VAR31;
input VAR33;
output VAR23;
output VAR44;
output VAR30;
output VAR10;
output VAR18;
output VAR6;
output VAR21;
output VAR3;
output VAR37;
output VAR38;
output VAR34;
output VAR17;
output VAR41;
output VAR43;
output VAR26;
output VAR27;
output VAR1;
output VAR9;
output VAR29;
output VAR36;
output VAR24;
output VAR35;
output VAR14;
reg [31:0] VAR25;
reg VAR15;
reg VAR11;
reg VAR7;
reg VAR12;
reg VAR20;
reg VAR45;
reg VAR46;
reg VAR2;
reg VAR39;
assign VAR38 = VAR28 & VAR5;
assign VAR43 = !VAR25[0];
assign VAR41 = !VAR25[1];
assign VAR17 = !VAR25[2];
assign VAR34 = !VAR25[3];
assign VAR26 = !VAR25[24];
assign VAR14 = !VAR25[23];
assign VAR35 = !VAR25[22];
assign VAR24 = !VAR25[21];
assign VAR36 = !VAR25[20];
assign VAR29 = !VAR25[17];
assign VAR9 = !VAR25[16];
assign VAR1 = !VAR25[13];
assign VAR27 = !VAR25[12];
assign VAR23 = (!VAR28 & !VAR5)? 1'b0 : VAR15;
assign VAR44 = (!VAR28 & !VAR5)? 1'b0 : VAR11;
assign VAR30 = (!VAR28 & !VAR5)? 1'b0 : VAR7;
assign VAR10 = (!VAR28 & !VAR5)? 1'b0 : VAR12;
assign VAR18 = (!VAR28 & !VAR5)? 1'b0 : VAR20;
assign VAR6 = (!VAR28 & !VAR5)? 1'b0 : VAR45;
assign VAR21 = (!VAR28 & !VAR5)? 1'b0 : VAR46;
assign VAR3 = (!VAR28 & !VAR5)? 1'b0 : VAR2;
assign VAR37 = (!VAR28 & !VAR5)? 1'b0 : VAR39;
task VAR42;
input[31:0] VAR32;
begin
VAR25[0] = VAR32[0];
VAR25[1] = VAR32[1];
VAR25[2] = VAR32[2];
VAR25[3] = VAR32[3];
VAR25[12] = VAR32[12];
VAR25[13] = VAR32[13];
VAR25[16] = VAR32[16];
VAR25[17] = VAR32[17];
VAR25[20] = VAR32[20];
VAR25[21] = VAR32[21];
VAR25[22] = VAR32[22];
VAR25[23] = VAR32[23];
VAR25[24] = VAR32[24];
end
endtask
always@(negedge VAR28 or negedge VAR5) VAR25 = 32'h01f3300f;
|
gpl-2.0
|
RP7/R7-OCM
|
src/rtl/ad9361_1t1r.v
| 3,619 |
module MODULE1
(
VAR10,
VAR30,
VAR28,
VAR13,
VAR32,
VAR15,
VAR8,
VAR38,
VAR3,
VAR36,
VAR20,
VAR27,
clk,
rst,
VAR40,
VAR2,
VAR16,
VAR12,
VAR31,
VAR14
);
input VAR10; input VAR30; input VAR28; input VAR13; input [5:0]VAR32; input [5:0]VAR15;
output VAR8; output VAR38; output VAR3; output VAR36; output [5:0]VAR20; output [5:0]VAR27;
output clk;
input rst;
output reg [11:0]VAR40;
output reg [11:0]VAR2;
input [11:0]VAR16;
input [11:0]VAR12;
output reg VAR31;
output reg VAR14;
wire VAR1;
wire [13:0]VAR11;
reg [13:0]VAR21;
reg [13:0]VAR22;
reg [11:0]VAR4;
reg [11:0]VAR17;
VAR18 VAR9 (
.VAR23({VAR10,VAR32}), .VAR39({VAR30,VAR15}), .VAR41(VAR28), .VAR7(VAR13), .VAR34(rst), .VAR1(VAR1), .VAR25(VAR11) );
VAR24 VAR6 (
.VAR29({VAR8,VAR20}), .VAR33({VAR38,VAR27}), .VAR5(VAR1), .VAR35(VAR22), .VAR26(rst), .VAR34(rst), .VAR37(VAR3), .VAR19(VAR36) );
always @(posedge VAR1 or posedge rst) begin
if (rst) begin
VAR21 <= 14'h0;
VAR40 <= 12'h0;
VAR2 <= 12'h0;
VAR31 <= 1'b0;
end
else if (VAR11[13]==1'b1) begin
VAR31 <= 1'b1;
VAR21 <= VAR11;
end
else if(VAR31==1'b1) begin
VAR31 <= 1'b0;
VAR40[11:6] = VAR21[5:0];
VAR2[11:6] = VAR21[12:7];
VAR40[5:0] = VAR11[5:0];
VAR2[5:0] = VAR11[12:7];
end
end
assign clk = VAR1;
always @(posedge VAR1 or posedge rst) begin
if (rst) begin
VAR14 <= 1'b0;
VAR22 <= 14'h0;
VAR4 <= 12'h0;
VAR17 <= 12'h0;
end
else if (VAR14==0'b1) begin
VAR14 <= 1'b1;
VAR4 <= VAR16;
VAR17 <= VAR12;
VAR22[5:0] <= VAR16[11:6];
VAR22[12:7] <= VAR12[11:6];
VAR22[6] <= 1'b1;
VAR22[13] <= 1'b1;
end
else begin
VAR14 <= 1'b0;
VAR22[5:0] <= VAR4[5:0];
VAR22[12:7] <= VAR17[5:0];
VAR22[6] <= 1'b0;
VAR22[13] <= 1'b0;
end
end
endmodule
|
apache-2.0
|
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
|
general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_adder_subtractor_0_0/affine_block_ieee754_fp_adder_subtractor_0_0_stub.v
| 1,374 |
module MODULE1(VAR1, VAR2, VAR3)
;
input [31:0]VAR1;
input [31:0]VAR2;
output [31:0]VAR3;
endmodule
|
mit
|
chebykinn/university
|
circuitry/lab3/src/hdl/pipeline.v
| 8,873 |
module MODULE1 ( input wire clk,
input wire rst,
output VAR81,
output [31:0] VAR15,
input [31:0] VAR43,
input VAR57,
output wire VAR46,
output wire VAR18,
output wire [31:0] VAR9,
output wire [31:0] VAR34,
input wire [31:0] VAR32 );
wire [1:0] VAR65;
wire VAR76;
wire [31:0] VAR74;
wire [31:0] VAR47;
wire [31:0] VAR36;
wire [31:0] VAR40; wire VAR16;
wire [31:0] VAR29;
wire [4:0] VAR96;
wire [5:0] VAR17;
wire [4:0] VAR19;
wire [4:0] VAR59;
wire [5:0] VAR86;
wire VAR51;
wire VAR110;
wire VAR70;
wire VAR25;
wire VAR77;
wire VAR82;
wire VAR78;
wire [1:0] VAR48;
wire [31:0] VAR54;
wire [31:0] VAR41;
wire [31:0] VAR21;
wire [4:0] VAR100; wire [4:0] VAR8; wire [4:0] VAR14; wire [5:0] VAR102;
wire [31:0] VAR58;
wire [31:0] VAR24;
wire [4:0] VAR71;
wire [5:0] VAR64;
wire VAR30;
wire VAR1;
wire VAR63;
wire VAR7;
wire [4:0] VAR66;
wire VAR112;
wire VAR89;
wire [31:0] VAR72;
wire [31:0] VAR95;
wire VAR105;
wire VAR2;
wire [1:0] VAR13;
wire [1:0] VAR98;
wire [1:0] VAR35;
wire [1:0] VAR42;
VAR68 VAR73(
.clk ( clk ),
.rst ( rst ),
.VAR31(VAR116),
.VAR16 ( VAR16 ),
.VAR76 ( VAR76 ),
.VAR65 ( VAR65 ),
.VAR81 ( VAR81 ),
.VAR15 ( VAR15 ),
.VAR43 ( VAR43),
.VAR74 ( VAR74 ),
.VAR47 ( VAR47 ),
.VAR39 ( VAR40 ),
.VAR87 ( VAR36 ));
VAR45 VAR27(
.clk ( clk ), .rst ( rst ), .VAR96 ( VAR96 ),
.VAR11(VAR116),
.VAR90 ( VAR71 ),
.VAR19 ( VAR19 ),
.VAR59 ( VAR59 ),
.VAR3 ( VAR64 ),
.VAR17 ( VAR17 ),
.VAR86 ( VAR86 ),
.VAR105 ( VAR105 ),
.VAR37 ( VAR51 ),
.VAR60 ( VAR63 ),
.VAR76 ( VAR76 ),
.VAR16 ( VAR16 ),
.VAR57(VAR57),
.VAR113 ( VAR2 ));
VAR93 VAR50(
.VAR26 (VAR63),
.VAR67 (VAR112),
.VAR61 (VAR71),
.VAR103 (VAR66),
.VAR44 (VAR14),
.VAR33 (VAR100),
.VAR79 (VAR19),
.VAR75 (VAR59),
.VAR13 ( VAR13 ),
.VAR98 ( VAR98 ),
.VAR35 ( VAR35 ),
.VAR42 ( VAR42 ));
VAR83 VAR23(
.clk ( clk ),
.rst ( rst ),
.VAR55 ( VAR112 ),
.VAR104 ( VAR66 ), .VAR29 ( VAR29 ), .VAR106 ( VAR40 ),
.VAR36 ( VAR36 ),
.VAR31(VAR116),
.VAR111 ( VAR13 ), .VAR62 ( VAR98 ), .VAR22 ( VAR58 ), .VAR101 ( VAR29 ),
.VAR10 ( VAR2 ),
.VAR19( VAR19 ),
.VAR59( VAR59 ),
.VAR86( VAR86 ),
.VAR54 ( VAR54 ),
.VAR41 ( VAR41 ),
.VAR100 ( VAR100 ),
.VAR14 ( VAR14 ),
.VAR8 ( VAR8 ),
.VAR102 ( VAR102 ),
.VAR21 ( VAR21 ),
.VAR51 ( VAR51 ),
.VAR110 ( VAR110 ),
.VAR70 ( VAR70 ),
.VAR25 ( VAR25 ),
.VAR77 ( VAR77 ),
.VAR82 ( VAR82 ),
.VAR78 ( VAR78 ),
.VAR48 ( VAR48 ),
.VAR47 ( VAR47 ),
.VAR74 ( VAR74 ),
.VAR105 ( VAR105 ),
.VAR115 ( VAR65 ));
VAR52 VAR20(
.clk ( clk ),
.rst ( rst ),
.VAR6 ( VAR51 ),
.VAR12 ( VAR110 ),
.VAR53 ( VAR70 ),
.VAR31(VAR116),
.VAR84 ( VAR25 ),
.VAR94 ( VAR77 ),
.VAR28 ( VAR82 ),
.VAR80 ( VAR78 ),
.VAR49 ( VAR48 ),
.VAR92 ( VAR54 ),
.VAR114 ( VAR41 ),
.VAR109 ( VAR21 ),
.VAR107 ( VAR100 ), .rd ( VAR8 ), .VAR4 ( VAR102 ),
.VAR111 ( VAR35 ), .VAR62 ( VAR42 ), .VAR22 ( VAR58 ), .VAR101 ( VAR29 ), .VAR96 ( VAR96 ),
.VAR17 ( VAR17 ),
.VAR58 ( VAR58 ),
.VAR24 ( VAR24 ),
.VAR71 ( VAR71 ),
.VAR64 ( VAR64 ),
.VAR30 ( VAR30 ),
.VAR1 ( VAR1 ),
.VAR63 ( VAR63 ),
.VAR7 ( VAR7 ));
VAR97 VAR108(
.clk ( clk ),
.rst ( rst ),
.VAR53 ( VAR30 ),
.VAR84 ( VAR1 ),
.VAR88 ( VAR58 ),
.VAR114 ( VAR24 ),
.VAR31(VAR116),
.VAR91 ( VAR71 ),
.VAR6 ( VAR63 ),
.VAR12 ( VAR7 ),
.VAR66 ( VAR66 ),
.VAR112 ( VAR112 ),
.VAR89 ( VAR89 ),
.VAR72 ( VAR72 ),
.VAR95 ( VAR95 ),
.VAR46 ( VAR46 ),
.VAR18 ( VAR18 ),
.VAR9 ( VAR9 ),
.VAR34 ( VAR34 ),
.VAR32 ( VAR32 ));
VAR85 VAR5(
.VAR99 ( VAR89 ),
.VAR56 ( VAR72 ),
.VAR38 ( VAR95 ),
.VAR69 ( VAR29 ));
endmodule
|
mit
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/sound/sound.v
| 1,485 |
module MODULE2(
input wire clk,
input wire [7:0] din,
input wire VAR1,
input wire VAR12,
input wire VAR14,
output wire VAR6
);
reg [6:0] VAR7;
reg [7:0] VAR3;
reg VAR11;
reg VAR13;
reg VAR5;
wire VAR9;
always @(posedge clk)
begin
if( VAR1 && (VAR13!=VAR5) )
VAR11 <= 1'b1;
end
else if( VAR12 )
VAR11 <= 1'b0;
end
always @(posedge clk) if( VAR1 ) VAR5 <= VAR13;
always @(posedge clk)
if( VAR1 )
VAR13 <= VAR14 ? din[3] : din[4] ;
always @(posedge clk)
if( VAR12 )
VAR3 <= din;
always @(negedge clk)
VAR7 <= VAR7 + 6'd1;
assign VAR9 = ( {VAR7,clk} < VAR3 );
MODULE1 MODULE1
(
.clk( clk ),
.VAR10( VAR11 ? VAR13 : VAR9 ),
.VAR4( VAR6 )
);
endmodule
module MODULE1(
input wire clk,
input wire VAR10,
output wire VAR4
);
reg VAR8, VAR2;
assign VAR4 = VAR8 ^ VAR2;
always @(posedge clk)
if( VAR10!=VAR4 )
VAR8 <= ~VAR8;
always @(negedge clk)
if( VAR10!=VAR4 )
VAR2 <= ~VAR2;
endmodule
|
gpl-3.0
|
mgolub2/T3MAPS_Model
|
t3mapsModel.v
| 1,328 |
module MODULE1 (
input VAR9,
input VAR3,
input VAR1,
input VAR2,
input VAR4,
output VAR12,
output VAR8
);
reg [63:0] VAR10[17:0]; reg [175:0] VAR7;
reg [31:0] VAR5;
reg [143:0]VAR6;
wire [5:0] VAR11;
assign VAR11 = VAR5[5:0];
assign VAR8 = VAR7[0];
assign VAR12 = VAR10[VAR11][0];
always @ (posedge VAR2, posedge VAR3, posedge VAR1)
begin
VAR7 = VAR7 >> 1;
VAR7[175] = VAR9;
if (VAR3) begin
VAR6 = VAR7[143:0];
end
if (VAR1) begin
VAR5 = VAR7[175:144];
end
end
always @ (posedge VAR4)
begin
VAR10[VAR11] = VAR10[VAR11] >> 1;
end
endmodule
|
gpl-2.0
|
sabertazimi/hust-lab
|
digitalLogic/design/washmach_design/src/rinse_mode.v
| 6,778 |
module MODULE1
(
input VAR7, input VAR19, input VAR4, input [31:0]clk, input [2:0]VAR2,
output reg VAR16,
output reg VAR26, output reg VAR17,output reg VAR11,
output [2:0]VAR3, output reg VAR10, output reg [31:0]VAR29,
output reg [2:0]state
);
reg [2:0]VAR15;
reg VAR30, VAR8, VAR12, VAR27; wire [31:0]VAR24, VAR21, VAR5, VAR9; wire [2:0]VAR14; wire VAR25, VAR1, VAR22, VAR28; parameter VAR13 = 0, VAR20 = 1, VAR6 = 2, VAR18 = 3, VAR23 = 4;
begin
end
begin
begin
begin
end
|
mit
|
binderclip/BCOpenMIPS
|
cpu-code/data_ram.v
| 1,394 |
module MODULE1(
input wire clk,
input wire VAR8,
input wire VAR13,
input wire[VAR9] addr,
input wire[3:0] sel,
input wire[VAR9] VAR1,
output reg[VAR9] VAR15
);
reg[VAR4] VAR7[0:VAR14 - 1];
reg[VAR4] VAR3[0:VAR14 - 1];
reg[VAR4] VAR11[0:VAR14 - 1];
reg[VAR4] VAR10[0:VAR14 - 1];
always @(posedge clk) begin
if (VAR8 == VAR6) begin
end
else if (VAR13 == VAR5) begin
if (sel[3] == 1'b1) begin
VAR10[addr[VAR12 + 1 : 2]] <= VAR1[31:24];
end
if (sel[2] == 1'b1) begin
VAR11[addr[VAR12 + 1 : 2]] <= VAR1[23:16];
end
if (sel[1] == 1'b1) begin
VAR3[addr[VAR12 + 1 : 2]] <= VAR1[15:8];
end
if (sel[0] == 1'b1) begin
VAR7[addr[VAR12 + 1 : 2]] <= VAR1[7:0];
end
end
end
always @(*) begin
if (VAR8 == VAR6) begin
VAR15 <= VAR16;
end
else if (VAR13 == VAR2) begin
VAR15 <= {VAR10[addr[VAR12 + 1 : 2]],
VAR11[addr[VAR12 + 1 : 2]],
VAR3[addr[VAR12 + 1 : 2]],
VAR7[addr[VAR12 + 1 : 2]]};
end
else begin
VAR15 <= VAR16;
end
end
endmodule
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.