repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
mamijaz/RISC-V
src/riscv_instruction_cache/MULTIPLEXER_16_TO_1.v
3,023
module MODULE1 #( parameter VAR4 = 32 ) ( input [VAR4 - 1 : 0] VAR15 , input [VAR4 - 1 : 0] VAR10 , input [VAR4 - 1 : 0] VAR17 , input [VAR4 - 1 : 0] VAR2 , input [VAR4 - 1 : 0] VAR7 , input [VAR4 - 1 : 0] VAR18 , input [VAR4 - 1 : 0] VAR16 , input [VAR4 - 1 : 0] VAR6 , input [VAR4 - 1 : 0] VAR20 , input [VAR4 - 1 : 0] VAR19 , input [VAR4 - 1 : 0] VAR5 , input [VAR4 - 1 : 0] VAR13 , input [VAR4 - 1 : 0] VAR9 , input [VAR4 - 1 : 0] VAR12 , input [VAR4 - 1 : 0] VAR14 , input [VAR4 - 1 : 0] VAR11 , input [3 : 0] VAR3 , output [VAR4 - 1 : 0] VAR8 ); reg [VAR4 - 1 : 0] VAR1; always@(*) begin case(VAR3) 4'b0000: begin VAR1 = VAR15 ; end 4'b0001: begin VAR1 = VAR10 ; end 4'b0010: begin VAR1 = VAR17 ; end 4'b0011: begin VAR1 = VAR2 ; end 4'b0100: begin VAR1 = VAR7 ; end 4'b0101: begin VAR1 = VAR18 ; end 4'b0110: begin VAR1 = VAR16 ; end 4'b0111: begin VAR1 = VAR6 ; end 4'b1000: begin VAR1 = VAR20 ; end 4'b1001: begin VAR1 = VAR19 ; end 4'b1010: begin VAR1 = VAR5 ; end 4'b1011: begin VAR1 = VAR13 ; end 4'b1100: begin VAR1 = VAR9 ; end 4'b1101: begin VAR1 = VAR12 ; end 4'b1110: begin VAR1 = VAR14 ; end 4'b1111: begin VAR1 = VAR11 ; end endcase end assign VAR8 = VAR1; endmodule
bsd-2-clause
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
source/hardware/nvme/nvme_host_ctrl_8lane-1.0.0/pcie_hcmd.v
15,112
module MODULE1 # ( parameter VAR161 = 128, parameter VAR21 = 36 ) ( input VAR82, input VAR158, input [VAR21-1:2] VAR190, input [VAR21-1:2] VAR162, input [7:0] VAR191, input [7:0] VAR8, input [7:0] VAR18, input [7:0] VAR55, input [7:0] VAR148, input [7:0] VAR105, input [7:0] VAR106, input [7:0] VAR113, input [7:0] VAR103, input [7:0] VAR70, input [7:0] VAR117, input [7:0] VAR78, input [VAR161-1:0] VAR185, input VAR3, input VAR107, output VAR69, output [7:0] VAR171, output [11:2] VAR157, output [VAR21-1:2] VAR194, input VAR149, output [7:0] VAR16, output [7:0] VAR196, output [7:0] VAR127, output [7:0] VAR156, output [7:0] VAR153, output [7:0] VAR131, output [7:0] VAR60, output [7:0] VAR44, output [7:0] VAR109, output VAR167, output [7:0] VAR53, output [11:2] VAR99, output [VAR21-1:2] VAR5, input VAR37, input VAR164, output [VAR161-1:0] VAR43, input VAR136, input [7:0] VAR34, output [44:0] VAR29, input VAR96, input [6:0] VAR133, input [18:0] VAR86, output VAR71, input [6:0] VAR182, output [18:0] VAR31, input VAR74, input [34:0] VAR123, input [34:0] VAR135, output VAR22, input VAR181, input VAR160, input [8:0] VAR85, input [8:0] VAR126, input [7:0] VAR47, input [7:0] VAR144, input [7:0] VAR98, input [7:0] VAR198, input [7:0] VAR30, input [7:0] VAR13, input [7:0] VAR87, input [7:0] VAR179, input [VAR21-1:2] VAR187, input [VAR21-1:2] VAR24, input [VAR21-1:2] VAR121, input [VAR21-1:2] VAR130, input [VAR21-1:2] VAR128, input [VAR21-1:2] VAR166, input [VAR21-1:2] VAR35, input [VAR21-1:2] VAR33, input [3:0] VAR174, input [3:0] VAR25, input [3:0] VAR46, input [3:0] VAR17, input [3:0] VAR118, input [3:0] VAR45, input [3:0] VAR176, input [3:0] VAR104, input [8:0] VAR42, input [8:0] VAR147, input [7:0] VAR95, input [7:0] VAR19, input [7:0] VAR134, input [7:0] VAR79, input [7:0] VAR15, input [7:0] VAR23, input [7:0] VAR97, input [7:0] VAR122, input [VAR21-1:2] VAR172, input [VAR21-1:2] VAR170, input [VAR21-1:2] VAR81, input [VAR21-1:2] VAR180, input [VAR21-1:2] VAR114, input [VAR21-1:2] VAR197, input [VAR21-1:2] VAR80, input [VAR21-1:2] VAR189, input VAR138, output [18:0] VAR61, output VAR36, input [10:0] VAR142, output [31:0] VAR154, input VAR132, input [34:0] VAR110, input [34:0] VAR175, output VAR143 ); wire VAR26; wire [8:0] VAR68; wire [127:0] VAR112; wire VAR119; wire [6:0] VAR150; wire [19:0] VAR58; wire [6:0] VAR48; wire [19:0] VAR177; wire VAR129; wire [7:0] VAR137; wire [44:0] VAR32; wire VAR159; wire [6:0] VAR73; wire [18:0] VAR9; wire VAR83; wire VAR116; wire [6:0] VAR75; wire VAR57; wire VAR27; wire [6:0] VAR90; wire [7:0] VAR67; wire [7:0] VAR169; wire [7:0] VAR2; wire [7:0] VAR66; wire [7:0] VAR94; wire [7:0] VAR139; wire [7:0] VAR7; wire [7:0] VAR125; wire [7:0] VAR77; VAR72 VAR12( .VAR14 (VAR82), .VAR10 (VAR26), .VAR88 (VAR68), .VAR163 (VAR112), .VAR89 (VAR181), .VAR49 (VAR142), .VAR54 (VAR154) ); VAR145 VAR91( .clk (VAR82), .VAR10 (VAR119), .VAR88 (VAR150), .VAR163 (VAR58), .VAR49 (VAR48), .VAR54 (VAR177) ); VAR155 VAR64( .clk (VAR82), .VAR10 (VAR129), .VAR88 (VAR137), .VAR163 (VAR32), .VAR49 (VAR34), .VAR54 (VAR29) ); VAR192 VAR20 ( .clk (VAR82), .VAR141 (VAR158), .VAR76 (VAR159), .VAR168 (VAR73), .VAR183 (VAR9), .VAR100 (VAR83), .VAR1 (VAR96), .VAR41 (VAR133), .VAR52 (VAR86), .VAR124 (VAR71), .VAR49 (VAR182), .VAR54 (VAR31) ); VAR186 VAR50 ( .VAR82 (VAR82), .VAR158 (VAR158), .VAR102 (VAR116), .VAR40 (VAR75), .VAR101 (VAR57), .VAR11 (VAR27), .VAR151 (VAR90) ); VAR28 # ( .VAR161 (VAR161) ) VAR39( .VAR82 (VAR82), .VAR158 (VAR158), .VAR190 (VAR190), .VAR191 (VAR191), .VAR18 (VAR18), .VAR55 (VAR55), .VAR148 (VAR148), .VAR105 (VAR105), .VAR106 (VAR106), .VAR113 (VAR113), .VAR103 (VAR103), .VAR70 (VAR70), .VAR117 (VAR117), .VAR193 (VAR67), .VAR178 (VAR169), .VAR173 (VAR2), .VAR84 (VAR66), .VAR59 (VAR94), .VAR140 (VAR139), .VAR195 (VAR7), .VAR63 (VAR125), .VAR188 (VAR77), .VAR102 (VAR116), .VAR40 (VAR75), .VAR101 (VAR57), .VAR78 (VAR78), .VAR185 (VAR185), .VAR3 (VAR3), .VAR107 (VAR107), .VAR69 (VAR69), .VAR171 (VAR171), .VAR157 (VAR157), .VAR194 (VAR194), .VAR149 (VAR149), .VAR4 (VAR26), .VAR93 (VAR68), .VAR38 (VAR112), .VAR146 (VAR119), .VAR92 (VAR150), .VAR56 (VAR58), .VAR51 (VAR129), .VAR152 (VAR137), .VAR115 (VAR32), .VAR111 (VAR159), .VAR184 (VAR73), .VAR165 (VAR9), .VAR65 (VAR83), .VAR181 (VAR181), .VAR160 (VAR160), .VAR85 (VAR85), .VAR126 (VAR126), .VAR47 (VAR47), .VAR144 (VAR144), .VAR98 (VAR98), .VAR198 (VAR198), .VAR30 (VAR30), .VAR13 (VAR13), .VAR87 (VAR87), .VAR179 (VAR179), .VAR187 (VAR187), .VAR24 (VAR24), .VAR121 (VAR121), .VAR130 (VAR130), .VAR128 (VAR128), .VAR166 (VAR166), .VAR35 (VAR35), .VAR33 (VAR33), .VAR138 (VAR138), .VAR61 (VAR61), .VAR36 (VAR36) ); VAR62 # ( .VAR161 (VAR161) ) VAR120( .VAR82 (VAR82), .VAR158 (VAR158), .VAR6 (VAR48), .VAR108 (VAR177), .VAR162 (VAR162), .VAR8 (VAR8), .VAR16 (VAR16), .VAR196 (VAR196), .VAR127 (VAR127), .VAR156 (VAR156), .VAR153 (VAR153), .VAR131 (VAR131), .VAR60 (VAR60), .VAR44 (VAR44), .VAR109 (VAR109), .VAR193 (VAR67), .VAR178 (VAR169), .VAR173 (VAR2), .VAR84 (VAR66), .VAR59 (VAR94), .VAR140 (VAR139), .VAR195 (VAR7), .VAR63 (VAR125), .VAR188 (VAR77), .VAR11 (VAR27), .VAR151 (VAR90), .VAR167 (VAR167), .VAR53 (VAR53), .VAR99 (VAR99), .VAR5 (VAR5), .VAR37 (VAR37), .VAR164 (VAR164), .VAR43 (VAR43), .VAR136 (VAR136), .VAR74 (VAR74), .VAR123 (VAR123), .VAR135 (VAR135), .VAR22 (VAR22), .VAR181 (VAR181), .VAR160 (VAR160), .VAR174 (VAR174), .VAR25 (VAR25), .VAR46 (VAR46), .VAR17 (VAR17), .VAR118 (VAR118), .VAR45 (VAR45), .VAR176 (VAR176), .VAR104 (VAR104), .VAR126 (VAR126), .VAR42 (VAR42), .VAR147 (VAR147), .VAR95 (VAR95), .VAR19 (VAR19), .VAR134 (VAR134), .VAR79 (VAR79), .VAR15 (VAR15), .VAR23 (VAR23), .VAR97 (VAR97), .VAR122 (VAR122), .VAR172 (VAR172), .VAR170 (VAR170), .VAR81 (VAR81), .VAR180 (VAR180), .VAR114 (VAR114), .VAR197 (VAR197), .VAR80 (VAR80), .VAR189 (VAR189), .VAR132 (VAR132), .VAR110 (VAR110), .VAR175 (VAR175), .VAR143 (VAR143) ); endmodule
gpl-3.0
n8thenetninja/Cloud-Car
VeriLog/QuartusProjects/ServoController/i2cslave/trunk/rtl/i2cSlaveTop.v
3,075
module MODULE1 ( clk, rst, VAR1, VAR7, VAR3 ); input clk; input rst; inout VAR1; input VAR7; output [7:0] VAR3; VAR6 VAR8( .clk(clk), .rst(rst), .VAR1(VAR1), .VAR7(VAR7), .VAR3(VAR3), .VAR4(), .VAR10(), .VAR5(), .VAR2(8'h12), .VAR11(8'h34), .VAR12(8'h56), .VAR9(8'h78) ); endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_min/rtl/jbi_min_rq_rhq_buf.v
3,436
module MODULE1( VAR14, clk, VAR17, VAR6, VAR24, VAR23, VAR4, VAR7, VAR12, VAR11, VAR22 ); input clk; input VAR17; input VAR6; input [4:0] VAR24; input VAR23; input VAR4; input VAR7; input [VAR21-1:0] VAR12; input [VAR21-1:0] VAR11; input [VAR20-1:0] VAR22; output [VAR20-1:0] VAR14; wire [VAR20-1:0] VAR14; wire VAR5; VAR15 VAR1 (.VAR3(VAR17), .VAR10(clk), .VAR2(VAR7), .VAR8(VAR4), .VAR6(VAR6), .VAR27(1'b0), .VAR16(VAR24), .VAR13(VAR11), .VAR26(VAR12), .VAR9({1'b0, VAR22}), .VAR23(VAR23), .VAR25(), .VAR19(), .VAR18({VAR5, VAR14}), .do() ); endmodule
gpl-2.0
Jawanga/ece385final
usb_system/synthesis/submodules/usb_system_jtag_uart.v
17,487
module MODULE5 ( clk, VAR15, VAR20, VAR22, VAR25, VAR50, VAR48 ) ; output VAR22; output [ 7: 0] VAR25; output VAR50; output [ 5: 0] VAR48; input clk; input [ 7: 0] VAR15; input VAR20; wire VAR22; wire [ 7: 0] VAR25; wire VAR50; wire [ 5: 0] VAR48; always @(posedge clk) begin if (VAR20) ("%VAR31", VAR15); end assign VAR48 = {6{1'b0}}; assign VAR25 = {8{1'b0}}; assign VAR22 = 1'b0; assign VAR50 = 1'b1; endmodule module MODULE4 ( clk, VAR13, VAR15, VAR20, VAR1, VAR22, VAR25, VAR50, VAR48 ) ; output VAR22; output [ 7: 0] VAR25; output VAR50; output [ 5: 0] VAR48; input clk; input VAR13; input [ 7: 0] VAR15; input VAR20; input VAR1; wire VAR22; wire [ 7: 0] VAR25; wire VAR50; wire [ 5: 0] VAR48; MODULE5 MODULE3 ( .clk (clk), .VAR22 (VAR22), .VAR15 (VAR15), .VAR20 (VAR20), .VAR25 (VAR25), .VAR50 (VAR50), .VAR48 (VAR48) ); endmodule module MODULE3 ( clk, VAR35, VAR44, VAR2, VAR19, VAR28, VAR16 ) ; output VAR2; output [ 7: 0] VAR19; output VAR28; output [ 5: 0] VAR16; input clk; input VAR35; input VAR44; reg [ 31: 0] VAR46; wire VAR2; reg VAR36; wire [ 7: 0] VAR19; wire VAR7; wire [ 31: 0] VAR29; wire [ 6: 0] VAR47; wire VAR28; wire [ 5: 0] VAR16; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR46 <= 32'h0; VAR36 <= 1'b0; end else begin VAR36 <= VAR35; if (VAR36) VAR46 <= VAR46 - 1'b1; if (VAR7) VAR46 <= VAR29; end end assign VAR2 = VAR46 == 32'b0; assign VAR28 = VAR46 > 7'h40; assign VAR47 = (VAR28) ? 7'h40 : VAR46; assign VAR16 = VAR47[5 : 0]; assign VAR7 = 1'b0; assign VAR29 = 32'b0; assign VAR19 = 8'b0; endmodule module MODULE1 ( clk, VAR13, VAR35, VAR44, VAR26, VAR39, VAR2, VAR19, VAR28, VAR16 ) ; output VAR2; output [ 7: 0] VAR19; output VAR28; output [ 5: 0] VAR16; input clk; input VAR13; input VAR35; input VAR44; input [ 7: 0] VAR26; input VAR39; wire VAR2; wire [ 7: 0] VAR19; wire VAR28; wire [ 5: 0] VAR16; MODULE3 MODULE4 ( .clk (clk), .VAR2 (VAR2), .VAR35 (VAR35), .VAR19 (VAR19), .VAR28 (VAR28), .VAR16 (VAR16), .VAR44 (VAR44) ); endmodule module MODULE2 ( VAR14, VAR41, VAR17, VAR10, VAR38, clk, VAR44, VAR23, VAR21, VAR9, VAR57, VAR55 ) ; output VAR23; output [ 31: 0] VAR21; output VAR9; output VAR57; output VAR55; input VAR14; input VAR41; input VAR17; input VAR10; input [ 31: 0] VAR38; input clk; input VAR44; reg VAR34; wire VAR51; wire VAR23; wire [ 31: 0] VAR21; reg VAR9; reg VAR57; reg VAR52; reg VAR4; wire VAR2; wire VAR22; wire VAR13; wire VAR35; wire [ 7: 0] VAR19; wire [ 7: 0] VAR15; reg VAR20; reg VAR45; reg VAR12; wire VAR8; wire VAR32; reg VAR18; wire [ 7: 0] VAR25; wire VAR37; reg VAR11; wire VAR1; reg VAR6; reg VAR55; wire VAR28; wire [ 5: 0] VAR16; reg VAR42; reg VAR49; reg VAR33; reg VAR54; reg VAR27; wire [ 7: 0] VAR26; reg VAR40; wire VAR56; wire VAR5; wire VAR50; wire [ 5: 0] VAR48; reg VAR53; wire VAR39; assign VAR1 = VAR37 & ~VAR50; assign VAR39 = VAR56 & ~VAR28; assign VAR13 = ~VAR44; MODULE4 MODULE2 ( .clk (clk), .VAR22 (VAR22), .VAR13 (VAR13), .VAR15 (VAR15), .VAR20 (VAR20), .VAR25 (VAR25), .VAR1 (VAR1), .VAR50 (VAR50), .VAR48 (VAR48) ); MODULE1 MODULE1 ( .clk (clk), .VAR2 (VAR2), .VAR13 (VAR13), .VAR35 (VAR35), .VAR19 (VAR19), .VAR28 (VAR28), .VAR16 (VAR16), .VAR44 (VAR44), .VAR26 (VAR26), .VAR39 (VAR39) ); assign VAR8 = VAR45 & VAR52; assign VAR32 = VAR12 & (VAR18 | VAR4); assign VAR23 = VAR8 | VAR32; assign VAR51 = VAR5 | VAR56; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR18 <= 1'b0; end else if (VAR5 & ~VAR2) VAR18 <= 1'b1; else if (VAR6) VAR18 <= 1'b0; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR11 <= 1'b0; VAR40 <= 1'b1; end else begin VAR11 <= VAR37 & ~VAR50; VAR40 <= ~VAR28; end end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR52 <= 1'b0; VAR4 <= 1'b0; VAR20 <= 1'b0; VAR42 <= 1'b0; VAR6 <= 1'b0; VAR45 <= 1'b0; VAR12 <= 1'b0; VAR34 <= 1'b0; VAR53 <= 1'b0; VAR9 <= 1'b1; end else begin VAR52 <= {VAR22,VAR48} <= 8; VAR4 <= (7'h40 - {VAR28,VAR16}) <= 8; VAR20 <= 1'b0; VAR6 <= 1'b0; VAR9 <= ~(VAR41 & (~VAR10 | ~VAR17) & VAR9); if (VAR51) VAR34 <= 1'b1; if (VAR41 & ~VAR10 & VAR9) if (VAR14) begin VAR12 <= VAR38[0]; VAR45 <= VAR38[1]; if (VAR38[10] & ~VAR51) VAR34 <= 1'b0; end else begin VAR20 <= ~VAR22; VAR53 <= VAR22; end if (VAR41 & ~VAR17 & VAR9) begin if (~VAR14) VAR42 <= ~VAR2; VAR6 <= ~VAR14; end end end assign VAR15 = VAR38[7 : 0]; assign VAR35 = (VAR41 & ~VAR17 & VAR9 & ~VAR14) ? ~VAR2 : 1'b0; assign VAR21 = VAR6 ? { {9{1'b0}},VAR28,VAR16,VAR42,VAR53,~VAR22,~VAR2,1'b0,VAR34,VAR8,VAR32,VAR19 } : { {9{1'b0}},(7'h40 - {VAR22,VAR48}),VAR42,VAR53,~VAR22,~VAR2,1'b0,VAR34,VAR8,VAR32,{6{1'b0}},VAR45,VAR12 }; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR55 <= 0; end else VAR55 <= ~VAR22; end always @(posedge clk) begin VAR27 <= 1'b0; VAR54 <= 1'b0; VAR33 <= VAR40 ? VAR25 : {8{VAR11}}; VAR49 <= 1'b0; end assign VAR37 = VAR49; assign VAR56 = VAR54; assign VAR26 = VAR33; assign VAR5 = VAR27; always @(VAR2) begin VAR57 = ~VAR2; end endmodule
apache-2.0
sabertazimi/hust-lab
verilog/labs/lab4/src/Datapath_with_mux_adder_register_memory.v
1,865
module MODULE1 ( input VAR35, input reset, input [(VAR25 - 1):0] VAR11, input VAR3, input VAR13, input VAR4, output [(VAR25 - 1):0] VAR7, output [(VAR25 - 1):0] VAR22, output [(VAR25 - 1):0] VAR10, output [(VAR25 - 1):0] VAR8, output [(VAR25 - 1):0] VAR27, output [(VAR25 - 1):0] VAR1, output [(VAR25 - 1):0] VAR31, output [(VAR25 - 1):0] VAR20, output VAR17 ); assign VAR17 = (VAR22 == 0); VAR6 #(VAR25) VAR18 (.VAR12(VAR8), .VAR29(VAR31), .VAR2(VAR3), .VAR21(VAR7)); VAR6 #(VAR25) VAR14 (.VAR12(0), .VAR29(VAR20), .VAR2(VAR13), .VAR21(VAR22)); VAR6 #(VAR25) VAR9 (.VAR12(0), .VAR29(VAR1), .VAR2(VAR4), .VAR21(VAR10)); VAR33 #(VAR25) VAR26 (.VAR35(VAR35), .VAR24(VAR22), .reset(reset), .VAR11(VAR11), .VAR30(VAR8)); VAR33 #(VAR25) VAR34 (.VAR35(VAR35), .VAR24(VAR10), .reset(reset), .VAR11(VAR11), .VAR30(VAR27)); VAR32 #(VAR25) VAR23 (.VAR15(VAR27), .VAR19(VAR20), .VAR2(VAR1)); VAR32 #(VAR25) VAR5 (.VAR15(VAR8), .VAR19(1), .VAR2(VAR31)); memory #(VAR25) VAR28 (.address(VAR7), .VAR16(VAR20)); endmodule
mit
jncronin/jca
cpu/rom.v
7,173
module MODULE1 ( address, VAR27, VAR50, VAR56, VAR44); input [11:0] address; input VAR27; input [7:0] VAR50; input VAR56; output [7:0] VAR44; tri1 VAR27; wire [7:0] VAR48; wire [7:0] VAR44 = VAR48[7:0]; VAR25 VAR9 ( .VAR19 (address), .VAR5 (VAR27), .VAR53 (VAR50), .VAR46 (VAR56), .VAR43 (VAR48), .VAR36 (1'b0), .VAR29 (1'b0), .VAR3 (1'b1), .VAR15 (1'b0), .VAR1 (1'b0), .VAR35 (1'b1), .VAR33 (1'b1), .VAR28 (1'b1), .VAR18 (1'b1), .VAR24 (1'b1), .VAR55 (1'b1), .VAR41 (1'b1), .VAR51 (1'b1), .VAR38 (), .VAR37 (), .VAR32 (1'b1), .VAR11 (1'b1), .VAR12 (1'b0)); VAR9.VAR54 = "VAR6", VAR9.VAR10 = "VAR6", VAR9.VAR2 = "../VAR17.VAR39" VAR9.VAR2 = "../VAR17.VAR8" , VAR9.VAR21 = "VAR14 VAR47", VAR9.VAR52 = "VAR22=VAR31", VAR9.VAR16 = "VAR25", VAR9.VAR26 = 4096, VAR9.VAR20 = "VAR30", VAR9.VAR13 = "VAR34", VAR9.VAR49 = "VAR23", VAR9.VAR45 = "VAR7", VAR9.VAR40 = 12, VAR9.VAR42 = 8, VAR9.VAR4 = 1; endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/iobdg/iobdg_dbg/rtl/iobdg_dbg_porta.v
6,264
module MODULE1 ( VAR15, VAR44, VAR8, VAR2, VAR34, VAR37, VAR42, clk, VAR17, VAR28, VAR23, VAR29, VAR5, VAR24, VAR19, VAR33, VAR14, VAR1, VAR38, VAR35, VAR21 ); input VAR42; input clk; input [39:0] VAR17; input [39:0] VAR28; input [39:0] VAR23; input [39:0] VAR29; input [39:0] VAR5; input VAR24; input VAR19; input VAR33; input VAR14; input VAR1; output [39:0] VAR15; output VAR44; output [2:0] VAR8; output [2:0] VAR2; input [63:0] VAR38; input [63:0] VAR35; input VAR21; output VAR34; output VAR37; wire VAR32; wire VAR7; wire VAR20; wire [3:0] VAR6; wire [39:0] VAR27; reg [39:0] VAR3; reg VAR13; wire [39:0] VAR10; wire VAR26; wire VAR36; wire VAR39; wire VAR4; wire VAR11; wire VAR25; assign VAR32 = VAR38[8]; assign VAR7 = VAR38[6]; assign VAR20 = VAR38[5]; assign VAR6 = VAR38[3:0]; assign VAR27 = VAR35[39:0]; always @(VAR6 or VAR17 or VAR24 or VAR28 or VAR19 or VAR23 or VAR33 or VAR29 or VAR14 or VAR5 or VAR1) case (VAR6) 4'b0000: {VAR13,VAR3} = {VAR24,VAR17}; 4'b0001: {VAR13,VAR3} = {VAR19,VAR28}; 4'b0010: {VAR13,VAR3} = {VAR33,VAR23}; 4'b0011: {VAR13,VAR3} = {VAR14,VAR29}; 4'b0100: {VAR13,VAR3} = {VAR1,VAR5}; default: {VAR13,VAR3} = {1'b0,40'b0}; endcase assign VAR10 = VAR13 ? VAR3 : VAR27; VAR22 #(40) VAR43 (.din(VAR10), .clk(clk), .VAR31(VAR15)); VAR22 #(1) VAR30 (.din(VAR32), .clk(clk), .VAR31(VAR44)); assign VAR26 = ~VAR8[0] & VAR32; VAR22 #(1) VAR18 (.din(VAR26), .clk(clk), .VAR31(VAR8[0])); assign VAR8[1] = VAR8[0]; assign VAR8[2] = VAR8[0]; assign VAR2 = ~VAR8; VAR12 #(1) VAR40 (.din(VAR21), .clk(clk), .VAR42(VAR42), .VAR31(VAR36)); VAR12 #(1) VAR9 (.din(VAR36), .clk(clk), .VAR42(VAR42), .VAR31(VAR39)); VAR12 #(1) VAR41 (.din(VAR39), .clk(clk), .VAR42(VAR42), .VAR31(VAR4)); assign VAR11 = VAR39 & ~VAR4; assign VAR34 = VAR7 & VAR11; assign VAR25 = VAR20 & VAR11; VAR12 #(1) VAR16 (.din(VAR25), .clk(clk), .VAR42(VAR42), .VAR31(VAR37)); endmodule
gpl-2.0
hly11/CollisionDetectionFPGA
hardware/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_0/design_1_clk_wiz_0_0.v
3,872
module MODULE1 ( input VAR1, output VAR4 ); VAR2 VAR3 ( .VAR1(VAR1), .VAR4(VAR4) ); endmodule
gpl-2.0
545/Atari7800
core/ag_6502/trunk/juke-box/clkdiv.v
1,167
module MODULE2(input clk, output VAR10); parameter VAR4 = 16; wire VAR6; VAR3 #( .VAR9(VAR4) ) VAR8 ( .VAR1(VAR10), .VAR7(clk), .VAR12(VAR6), .VAR5(VAR6), .VAR11(0) ); endmodule module MODULE1(input clk, output reg VAR10 = 0); parameter VAR4 = 16; integer VAR2 = 0; always @(posedge clk) begin VAR2 <= (VAR2?VAR2:(VAR4/2)) - 1; if (!VAR2) VAR10 <= ~VAR10; end endmodule
gpl-2.0
Siliciumer/DOS-Mario-FPGA
sources/clk_divider.v
1,898
module MODULE1 VAR4 = 100 ) ( input wire VAR3, input wire rst, output reg VAR7 ); localparam VAR6 = 1000000 / 2 / (VAR4/100) ; reg [VAR8(VAR6)-1:0] VAR1; always @( posedge(VAR3), posedge(rst) ) begin if(rst) begin : VAR5 VAR1 <= 0; VAR7 <= 1'b0; end else begin : VAR9 if (VAR1 == (VAR6 - 1)) begin : VAR10 VAR1 <= 0; VAR7 <= ~VAR7; end else begin : VAR11 VAR1 <= VAR1 + 1; VAR7 <= VAR7; end end end function integer VAR8(input integer VAR2); begin VAR8 = 0; while (VAR2) begin VAR8 = VAR8 + 1; VAR2 = VAR2 >> 1; end end endfunction endmodule
mit
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/Fetch_FSL.v
5,075
module MODULE1( input [107:0] VAR30, input [107:0] VAR20, input VAR9, input reset, input VAR3, input VAR25, output reg [31:0] VAR7 = 32'h00000000, output reg [31:0] VAR6 = 32'h00000000, output reg [31:0] VAR19 = 32'h00000000, output reg [1:0] VAR13, output reg VAR1, output reg [7:0] VAR2, output reg VAR33 = 1'b0, output reg VAR28 = 1'b0 ); wire [3:0] VAR10; wire [31:0] VAR23; wire [31:0] VAR8; wire [31:0] VAR5; wire [7:0] VAR31; wire [3:0] VAR15; wire [31:0] VAR36; wire [31:0] VAR24; wire [31:0] VAR29; wire [7:0] VAR38; assign VAR31 = VAR30[107:100]; assign VAR10 = VAR30[99:96]; assign VAR23 = VAR30[31:0]; assign VAR8 = VAR30[63:32]; assign VAR5 = VAR30[95:64]; assign VAR38 = VAR20[107:100]; assign VAR15 = VAR20[99:96]; assign VAR36 = VAR20[31:0]; assign VAR24 = VAR20[63:32]; assign VAR29 = VAR20[95:64]; parameter VAR18 = 4'd0, VAR39 = 4'd1, VAR35 = 4'd2, VAR11 = 4'd3, VAR12 = 4'd4, VAR4 = 4'd5, VAR21 = 4'd6, VAR34 = 4'd7, VAR14 = 4'd8, VAR32 = 4'd9, VAR27 = 4'd10; parameter VAR17 = 1'b0, VAR16 = 1'b1; parameter VAR26 = 2'b01, VAR22 = 2'b00, VAR37 = 2'b11; always @ (posedge VAR3) begin if (reset == 1'b1) begin VAR7 <= 32'h00000000; VAR6 <= 32'h00000000; VAR19 <= 32'h00000000; VAR33 <= 1'b0; VAR28 <= 1'b0; end else begin if (VAR9 == 1'b0) begin VAR2 <= VAR31; case(VAR10) VAR18: begin VAR13 <= VAR26; VAR1 <= VAR16; VAR7 <= 32'h3F800000; VAR6 <= 32'h00000000; VAR19 <= VAR5; VAR33 <= 1'b1; end VAR39: begin VAR13 <= VAR37; VAR1 <= VAR16; VAR7 <= 32'h3F800000; VAR6 <= 32'h00000000; VAR19 <= VAR5; VAR33 <= 1'b1; end VAR35: begin VAR13 <= VAR26; VAR1 <= VAR17; VAR7 <= 32'h3F800000; VAR6 <= VAR8; VAR19 <= 32'h00000000; VAR33 <= 1'b1; end VAR11: begin VAR13 <= VAR37; VAR1 <= VAR17; VAR7 <= 32'h3F800000; VAR6 <= VAR8; VAR19 <= 32'h00000000; VAR33 <= 1'b1; end VAR12: begin VAR13 <= VAR37; VAR1 <= VAR16; VAR7 <= 32'h3F800000; VAR6 <= 32'h3F800000; VAR19 <= VAR5; VAR33 <= 1'b1; end VAR21: begin VAR13 <= VAR22; VAR1 <= VAR17; VAR7 <= VAR23; VAR6 <= VAR8; VAR19 <= 32'h00000000; VAR33 <= 1'b1; end VAR27: begin VAR13 <= VAR26; VAR1 <= VAR17; VAR7 <= VAR23; VAR6 <= VAR8; VAR19 <= 32'h00000000; VAR33 <= 1'b1; end endcase end else begin VAR2 <= VAR38; case(VAR15) VAR4: begin VAR13 <= VAR37; VAR1 <= VAR17; VAR7[31] <= VAR36[31]; VAR7[30:23] <= VAR36[30:23] - 8'h01; VAR7[22:0] <= VAR36[22:0]; VAR6[31] <= 1'b0; VAR6[30:23] <= VAR24[30:23] - 8'h01; VAR6[22:0] <= VAR24[22:0]; VAR19 <= 32'h00000000; VAR33 <= 1'b1; end VAR32: begin VAR13 <= VAR37; VAR1 <= VAR17; VAR7 <= VAR36; VAR6[30:0] <= VAR24[30:0]; VAR6[31] <= 1'b0; VAR19 <= 32'h00000000; VAR33 <= 1'b1; VAR28 <= 1'b1; end endcase end if (VAR25 == 1'b1) begin VAR33 <= 1'b0; end end end endmodule
apache-2.0
phase4ground/DVB-receiver
modem/rfnoc-modem/rfnoc/fpga-src/apsk_modulator_configmod.v
12,424
module MODULE1 # ( parameter integer VAR29 = 4, parameter integer VAR35 = 40, parameter integer VAR19 = 16, parameter integer VAR77 = 16, parameter integer VAR20 = 4, parameter integer VAR67 = 32, parameter integer VAR9 = 32, parameter integer VAR82 = 32, parameter integer VAR56 = 32, parameter integer VAR42 = 32, parameter integer VAR43 = 4 ) ( input wire VAR74, input wire VAR21, output wire VAR73, input wire [VAR67-1:0] VAR79, input wire VAR18, input wire VAR87, input wire VAR46, input wire VAR8, input wire VAR14, output wire [VAR9-1:0] VAR2, output wire VAR76, output wire VAR7, input wire VAR80, input wire VAR13, output wire VAR11, input wire [VAR82-1:0] VAR4, input wire VAR66, input wire VAR16, input wire VAR17, input wire VAR40, output wire VAR44, input wire [VAR56-1:0] VAR69, input wire VAR31, input wire VAR71, input wire [VAR20-1:0] VAR49, input wire VAR22 ); localparam integer VAR64 = 8; localparam integer VAR36 = 8; localparam integer VAR53 = VAR67 + VAR36; wire reset; reg [VAR47(VAR67):0] VAR27; reg [VAR53-1:0] VAR12; reg [7:0] VAR86; reg VAR30; wire VAR62; wire VAR81; wire VAR1; wire [VAR64-1:0] VAR34; reg VAR60; reg VAR5; wire VAR63; wire VAR45; wire VAR52; wire VAR25; wire [2*VAR19-1:0] VAR50; wire VAR48; wire VAR83; wire VAR10; wire VAR89; wire VAR24; wire VAR55; wire VAR59; wire VAR84; wire [VAR19-1:0] VAR6; wire [VAR19-1:0] VAR54; wire VAR85; wire VAR72; wire VAR58; wire VAR28; wire VAR88; wire VAR26; assign reset = !VAR21 | VAR76; assign VAR62 = VAR74; assign VAR63 = VAR74; assign VAR10 = VAR74; assign VAR89 = VAR74; assign VAR81 = !reset; assign VAR45 = !reset; assign VAR24 = !reset; assign VAR55 = !reset; always @(posedge VAR74) begin if(reset) begin VAR5 <= 0; end else begin if ((VAR27 > 0) | VAR87) begin VAR5 <= 1; end else if (VAR60 & VAR1 & (VAR27 == 0)) begin VAR5 <= 0; end else begin VAR5 <= VAR5; end end end assign VAR73 = !(VAR27 > VAR49) ? VAR1 & !VAR30 : 0; always @(posedge VAR74) begin if(reset) begin VAR27 <= 0; end else begin if ((VAR27 <= VAR49) & VAR73 & VAR87) begin VAR27 <= VAR67 - VAR49 + VAR27; end else if (VAR75 & VAR83) begin VAR27 <= VAR27 - VAR49; end else begin VAR27 <= VAR27; end end end always @(posedge VAR74) begin if(reset) begin VAR60 <= 0; VAR30 <= 0; end else begin VAR30 = VAR30 | (VAR18 & VAR87 & VAR73); if (!(VAR27 >= VAR49) & !VAR18) begin VAR60 <= VAR30; end else if (VAR76) begin VAR30 <= 0; end else begin VAR60 <= VAR60; end end end always @(posedge VAR74) begin if(reset) begin VAR12 <= 0; end else begin if ((VAR27 <= VAR49) & VAR73 & VAR87) begin VAR12 = VAR12 >> VAR49; case (VAR27) 0 : VAR12 <= {8'd0, VAR79}; 1 : VAR12 <= {7'd0, VAR79, VAR12[0]}; 2 : VAR12 <= {6'd0, VAR79, VAR12[1:0]}; 3 : VAR12 <= {5'd0, VAR79, VAR12[2:0]}; 4 : VAR12 <= {4'd0, VAR79, VAR12[3:0]}; 5 : VAR12 <= {3'd0, VAR79, VAR12[4:0]}; 6 : VAR12 <= {2'd0, VAR79, VAR12[5:0]}; 7 : VAR12 <= {1'd0, VAR79, VAR12[6:0]}; 8 : VAR12 <= {VAR79, VAR12[7:0]}; default : VAR12 <= {8'd0, VAR79}; endcase end else if (VAR75 & VAR83) begin VAR12 <= VAR12 >> VAR49; end else begin VAR12 <= VAR12; end end end always @(*) begin case (VAR49) 0 : VAR86 <= 8'b00000001; 1 : VAR86 <= 8'b00000001; 2 : VAR86 <= 8'b00000011; 3 : VAR86 <= 8'b00000111; 4 : VAR86 <= 8'b00001111; 5 : VAR86 <= 8'b00011111; 6 : VAR86 <= 8'b00111111; 7 : VAR86 <= 8'b01111111; 8 : VAR86 <= 8'b11111111; default : VAR86 <= 8'b00000001; endcase end assign VAR34 = VAR12[VAR64-1:0] & VAR86; VAR78 #( .VAR41(2*VAR19), .VAR64(VAR64) ) VAR15 ( .VAR74(VAR62), .VAR21(VAR81), .VAR73(VAR1), .VAR79(VAR34), .VAR18(VAR60), .VAR87(VAR5), .VAR46(VAR63), .VAR8(VAR45), .VAR14(VAR75), .VAR2(VAR50), .VAR76(VAR48), .VAR7(VAR83), .VAR51(VAR80), .VAR37(VAR13), .VAR65(VAR11), .VAR33(VAR4), .VAR70(VAR66), .VAR38(VAR16) ); assign VAR75 = VAR52 & VAR25; assign VAR44 = VAR88 & VAR88; assign VAR59 = VAR14; assign VAR84 = VAR14; VAR32 #( .VAR35(VAR35), .VAR3(VAR19), .VAR68(VAR19), .VAR77(VAR77), .VAR39(VAR29), .VAR57(1) ) VAR23 ( .VAR74(VAR63), .VAR21(VAR45), .VAR73(VAR52), .VAR79(VAR50[2*VAR19-1:VAR19]), .VAR18(VAR48), .VAR87(VAR83), .VAR46(VAR10), .VAR8(VAR24), .VAR14(VAR59), .VAR2(VAR6), .VAR76(VAR85), .VAR7(VAR58), .VAR17(VAR17), .VAR40(VAR40), .VAR44(VAR88), .VAR69(VAR69[VAR77-1:0]), .VAR31(VAR31), .VAR71(VAR71) ); VAR32 #( .VAR35(VAR35), .VAR3(VAR19), .VAR68(VAR19), .VAR77(VAR77), .VAR39(VAR29), .VAR57(1) ) VAR61 ( .VAR74(VAR63), .VAR21(VAR45), .VAR73(VAR25), .VAR79(VAR50[VAR19-1:0]), .VAR18(VAR48), .VAR87(VAR83), .VAR46(VAR89), .VAR8(VAR55), .VAR14(VAR84), .VAR2(VAR54), .VAR76(VAR72), .VAR7(VAR28), .VAR17(VAR17), .VAR40(VAR40), .VAR44(VAR26), .VAR69(VAR69[VAR77-1:0]), .VAR31(VAR31), .VAR71(VAR71) ); assign VAR2 = {VAR6, VAR54}; assign VAR7 = VAR58 & VAR28; assign VAR76 = VAR85 & VAR72;
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkbuf/sky130_fd_sc_ls__clkbuf_16.v
2,040
module MODULE2 ( VAR3 , VAR7 , VAR1, VAR2, VAR4 , VAR8 ); output VAR3 ; input VAR7 ; input VAR1; input VAR2; input VAR4 ; input VAR8 ; VAR6 VAR5 ( .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1), .VAR2(VAR2), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR3, VAR7 ); output VAR3; input VAR7; supply1 VAR1; supply0 VAR2; supply1 VAR4 ; supply0 VAR8 ; VAR6 VAR5 ( .VAR3(VAR3), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or2/sky130_fd_sc_hs__or2.blackbox.v
1,191
module MODULE1 ( VAR2, VAR4, VAR3 ); output VAR2; input VAR4; input VAR3; supply1 VAR1; supply0 VAR5; endmodule
apache-2.0
briburrell/amica
device/scrypt_mono_pll/scrypt_mono_pll.srcs/sources_1/imports/scrypt_mono_pll/salsaengine.v
20,437
module MODULE1 (VAR92, reset, din, dout, VAR72, VAR33, VAR75, VAR54 ); input VAR92; input reset; input VAR72; input VAR33; output VAR75; output reg VAR54 = 1'b0; parameter VAR36 = 8; input [VAR36-1:0] din; output [VAR36-1:0] dout; parameter VAR74 = 11; parameter VAR5 = 8; function integer VAR56; input integer VAR98; begin VAR98 = VAR98-1; for (VAR56=0; VAR98>0; VAR56=VAR56+1) VAR98 = VAR98>>1; end endfunction parameter VAR24 = VAR56(VAR5); parameter VAR27 = (VAR74 == 13) ? VAR74-1 : VAR74; reg [VAR24:0]VAR37 = 0; reg [VAR24:0]VAR96 = VAR5+1; reg VAR6=0, VAR64=0, VAR17=0, VAR10=0; always @ (posedge VAR92) begin VAR37 <= (VAR37 == VAR5) ? 0 : VAR37 + 1; VAR96 <= VAR37; VAR6 <= reset; VAR64 <= VAR6; VAR17 <= VAR33; VAR10 <= VAR17; end parameter VAR91 = 0, VAR18 = 1, VAR12 = 2, VAR66 = 4; reg [2:0] VAR60 = VAR91; parameter VAR3=0, VAR4=1, VAR49=2, VAR104=3, VAR65=4, VAR67=5; reg [2:0] VAR63 = VAR3; reg [10:0] VAR78 = 11'd0; reg VAR13 = 1'd0; reg VAR95 = 1'b0; reg VAR83 = 1'b0; reg VAR58 = 1'b0; reg VAR23 = 1'b0; reg VAR14 = 1'b1; reg [VAR24+1:0] VAR19 = 0; wire [511:0] VAR102; reg [511:0] VAR105; reg [511:0] VAR39; wire [511:0] VAR57; wire [511:0] VAR71; wire [511:0] VAR51; reg [1023:0] VAR34; reg [31:0] VAR82; assign dout = VAR34[1023:1024-VAR36]; reg [VAR24+30:0] VAR77 [VAR5-1:0]; wire [2:0] VAR41; wire [10:0] VAR26; wire [9:0] VAR42; wire VAR101; wire VAR94; wire VAR47; wire [VAR24+1:0] VAR45; wire [9:0] VAR31 = VAR42 + 10'd1; reg [31:0] VAR29 [VAR5-1:0]; wire [1023:0] VAR86; genvar VAR11; generate for (VAR11 = 0; VAR11 < 32; VAR11 = VAR11 + 1) begin : VAR88 wire [31:0] VAR25; assign VAR25 = VAR34[VAR89(VAR11)]; assign VAR86[VAR89(VAR11)] = { VAR25[7:0], VAR25[15:8], VAR25[23:16], VAR25[31:24] }; end endgenerate reg [9:0] VAR79 = 10'd0; wire [9:0] VAR38; wire [VAR74-1:0]VAR85; wire [VAR74-1:0]VAR43; wire [VAR74-1:0]VAR84; wire [VAR74-1:0]VAR70; wire [VAR74-1:0]VAR106; wire [255:0]VAR8; wire [255:0]VAR2; wire [255:0]VAR50; wire [255:0]VAR90; wire [255:0]VAR81; wire [255:0]VAR62; wire [255:0]VAR100; wire [255:0]VAR59; wire [1023:0]VAR30; reg VAR99 = 1'b0; wire VAR93; assign VAR93 = VAR92; wire [15:0] VAR7 = 16'hfffe; wire [VAR74-VAR24-1:0] VAR103; if (VAR74 < 13) assign VAR103 = (VAR38[9:VAR24+10-VAR74] == VAR7[9:VAR24+10-VAR74]) ? VAR7[VAR74-VAR24-1:0] : VAR38[9:VAR24+10-VAR74]; else assign VAR103 = VAR38; wire [VAR24-1:0] VAR52; assign VAR52 = VAR37[VAR24-1:0]; assign VAR85 = { VAR52+1, VAR47 ? VAR7[VAR74-VAR24:1] : VAR103 }; wire [9:0] VAR97 = VAR95 ? VAR7[10:1] : VAR79; assign VAR43 = { VAR52, VAR97[9:VAR24+10-VAR74] }; assign VAR84 = { VAR52, VAR97[9:VAR24+10-VAR74] }; assign VAR70 = { VAR52, VAR97[9:VAR24+10-VAR74] }; assign VAR106 = { VAR52, VAR97[9:VAR24+10-VAR74] }; reg [VAR74-1:0] VAR107 = 0; reg [VAR74-1:0] VAR40 = 0; reg [VAR74-1:0] VAR46 = 0; reg [VAR74-1:0] VAR69 = 0; wire [VAR74-1:0] VAR35 = VAR85 | VAR107; wire [VAR74-1:0] VAR15 = VAR85 | VAR40; wire [VAR74-1:0] VAR53 = VAR85 | VAR46; wire [VAR74-1:0] VAR55 = VAR85 | VAR69; VAR73 # (.VAR74(VAR74)) VAR44 (VAR35, VAR43, VAR93, VAR8, VAR99, VAR2); VAR73 # (.VAR74(VAR74)) VAR32 (VAR15, VAR84, VAR93, VAR50, VAR99, VAR90); VAR73 # (.VAR74(VAR74)) VAR1 (VAR53, VAR70, VAR93, VAR81, VAR99, VAR62); VAR73 # (.VAR74(VAR74)) VAR20 (VAR55, VAR106, VAR93, VAR100, VAR99, VAR59); assign VAR30 = { VAR59, VAR62, VAR90, VAR2 }; assign { VAR100, VAR81, VAR50, VAR8 } = VAR83 ? VAR86 : { VAR102, VAR51}; VAR22 VAR68 (VAR92, VAR105, VAR39, VAR102, VAR51, VAR38); wire [511:0] VAR76; assign VAR76 = {512{VAR14}}; assign VAR57 = VAR60[2] ? (VAR51 & VAR76) ^ VAR30[511:0] : VAR60[0] ? VAR86[511:0] : VAR51; assign VAR71 = VAR60[2] ? (VAR102 & VAR76) ^ VAR30[1023:512] : VAR60[0] ? VAR86[1023:512] : VAR102; assign { VAR41, VAR42, VAR26, VAR101, VAR94, VAR47, VAR45} = (VAR37 == VAR5 ) ? 0 : VAR77[VAR37]; reg VAR28 = 1'b0; reg VAR16 = 1'b0; wire VAR48; assign VAR48 = VAR10 & ~VAR16; assign VAR75 = VAR28; else reg [15:0] VAR9 = 0; parameter VAR87 = (VAR5==16) ? ((VAR74==12) ? 60928 : (VAR74==11) ? 95744 : 165376) / VAR5 : ((VAR74==12) ? 23040 : (VAR74==11) ? 36864 : 50688) / VAR5 ; reg VAR48 = 1'b0; assign VAR75 = VAR28; VAR61 always @ (posedge VAR92) begin VAR105 <= VAR57; VAR39 <= VAR71; if (VAR96 != VAR5) VAR77[VAR96] <= VAR64 ? 0 : { VAR63, VAR79, VAR78, VAR13, VAR95, VAR58, VAR19 }; VAR63 <= VAR41; VAR79 <= VAR42; VAR78 <= VAR26; VAR19 <= VAR45; VAR13 <= VAR101; VAR95 <= VAR94; VAR58 <= VAR47; VAR107 <= {VAR74{VAR64}}; VAR60 <= VAR91; VAR58 <= 0; VAR83 <= 0; VAR23 <= 0; VAR99 <= 0; VAR14 <= 1; if (VAR10 && VAR37!=VAR5) VAR16 <= 1'b1; if (VAR64) VAR16 <= 1'b0; VAR9 <= VAR9 + 1; if (VAR64 || VAR9 == VAR87) begin VAR9 <= 0; if (~VAR64 && VAR10) VAR48 <= 1'b1; end if (VAR72) begin VAR34 <= { VAR34[1023-VAR36:0], VAR82[31:32-VAR36] }; VAR82 <= { VAR82[31-VAR36:0], din}; end else if (VAR60==VAR18 && VAR96 != VAR5) begin VAR34 <= VAR23 ? VAR30 : { VAR102, VAR51 }; VAR82 <= VAR29[VAR96]; VAR29[VAR96] <= VAR82; end if (VAR64 == 1'b1) begin VAR63 <= VAR3; VAR28 <= 1'b0; VAR54 <= 1'b0; end else begin case (VAR41) VAR3: begin if (VAR37!=VAR5 && VAR48) begin VAR60 <= VAR18; VAR21 VAR80 VAR48 <= 1'b0; VAR28 <= 1'b0; VAR79 <= 0; VAR95 <= 1'b0; VAR83 <= 1'b1; VAR99 <= 1'b1; VAR63 <= VAR4; end end VAR4: begin VAR60 <= VAR12; VAR79 <= VAR31; VAR78 <= 0; if (VAR74 == 13) VAR99 <= 1'b1; VAR13 <= 1'b0; VAR28 <= 1'b1; VAR54 <= 1'b0; VAR63 <= VAR49; end VAR49: begin VAR60 <= VAR12; VAR79 <= VAR31; if (VAR42==1022) end VAR13 <= 1'b1; else if (~VAR101) begin if (VAR74 < 13) end VAR99 <= ~|VAR31[VAR24+9-VAR27:0]; else VAR99 <= 1'b1; end if (VAR101) begin VAR95 <= 1'b1; VAR63 <= VAR104; VAR60 <= VAR66; if (VAR74 < 13) begin VAR19 <= { {VAR24+12-VAR27{1'b0}}, VAR38[VAR24+9-VAR27:0] }; if ( VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1] ) VAR19 <= { {VAR24+11-VAR27{1'b0}}, 1'b1, VAR38[VAR24+9-VAR27:0] }; if ( (VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1]) || |VAR38[VAR24+9-VAR27:0] ) begin VAR99 <= 1'b1; VAR14 <= 0; VAR63 <= VAR65; end if ( ( VAR38[VAR24+9-VAR27:0] == 1 ) && !( VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1] ) ) VAR58 <= 1'b1; end end end VAR104: begin VAR60 <= VAR12; VAR78 <= VAR26 + 11'd1; if (VAR26==1023) begin VAR28 <= 1'b0; if (VAR10) begin VAR60 <= VAR18; VAR54 <= 1'b1; VAR63 <= VAR4; VAR79 <= 0; VAR83 <= 1'b1; VAR95 <= 1'b0; VAR99 <= 1'b1; end else begin VAR63 <= VAR67; VAR58 <= 1'b1; VAR99 <= 1'b1; end end else begin VAR60 <= VAR66; if (VAR74 < 13) begin VAR19 <= { {VAR24+12-VAR27{1'b0}}, VAR38[VAR24+9-VAR27:0] }; if ( VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1] ) VAR19 <= { {VAR24+11-VAR27{1'b0}}, 1'b1, VAR38[VAR24+9-VAR27:0] }; if ( (VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1]) || |VAR38[VAR24+9-VAR27:0] ) begin VAR99 <= 1'b1; VAR14 <= 0; VAR63 <= VAR65; end if ( ( VAR38[VAR24+9-VAR27:0] == 1 ) && !( VAR38[9:VAR24+10-VAR27] == VAR7[VAR27-VAR24:1] ) ) VAR58 <= 1'b1; end end end VAR67: begin if (VAR10) begin VAR60 <= VAR18; VAR54 <= 1'b1; VAR63 <= VAR4; VAR79 <= 0; VAR83 <= 1'b1; VAR23 <= 1'b1; VAR95 <= 1'b0; VAR99 <= 1'b1; end else VAR58 <= 1'b1; end VAR65: begin VAR60 <= VAR12; VAR19 <= VAR45 - 1; if (VAR45==2) VAR58 <= 1'b1; if (VAR45==1) begin VAR60 <= VAR66; VAR63 <= VAR104; end end endcase end if (VAR63==VAR104) end endmodule
gpl-3.0
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/iodelay_ctrl.v
7,730
module MODULE1 # ( parameter VAR21 = 100, parameter VAR5 = "VAR14", parameter VAR1 = "VAR37", parameter VAR30 = 1 ) ( input VAR24, input VAR29, input VAR3, input VAR35, output VAR2, output VAR34 ); localparam VAR31 = 15; wire VAR6; wire VAR36; wire VAR28; reg [VAR31-1:0] VAR38 ; wire VAR13; wire VAR19; assign VAR19 = VAR30 ? ~VAR35: VAR35; generate if (VAR1 == "VAR37") begin: VAR20 VAR39 # ( .VAR25 ("VAR7"), .VAR4 ("VAR16") ) VAR17 ( .VAR26 (VAR24), .VAR33 (VAR29), .VAR11 (VAR36) ); end else if (VAR1 == "VAR8") begin : VAR9 VAR12 # ( .VAR4 ("VAR16") ) VAR17 ( .VAR26 (VAR3), .VAR11 (VAR36) ); end endgenerate VAR10 VAR22 ( .VAR11 (VAR6), .VAR26 (VAR36) ); assign VAR2 = VAR6; assign VAR13 = VAR19; always @(posedge VAR6 or posedge VAR13) if (VAR13) end else assign VAR28 = VAR38[VAR31-1]; VAR27 VAR23 ( .VAR18 (VAR34), .VAR32 (VAR6), .VAR15 (VAR28) ); endmodule
mit
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_read_datapath.v
25,752
module MODULE1( VAR70, VAR19, VAR103, VAR13, VAR12, VAR73, VAR63, VAR36, VAR97, VAR101, VAR42, VAR53, VAR151, VAR22, VAR47, VAR71, VAR110, VAR41, VAR32, VAR48 ); parameter VAR7 = ""; parameter VAR85 = ""; parameter VAR20 = ""; parameter VAR16 = ""; parameter VAR147 = ""; parameter VAR83 = ""; parameter VAR104 = ""; parameter VAR116 = ""; parameter VAR142 = ""; parameter VAR107 = ""; parameter VAR141 = ""; parameter VAR140 = ""; parameter VAR134 = ""; parameter VAR25 = ""; parameter VAR102 = ""; parameter VAR90 = ""; parameter VAR154 = ""; parameter VAR60 = ""; parameter VAR84 = ""; parameter VAR11 = ""; parameter VAR79 = ""; parameter VAR1 = ""; parameter VAR45 = ""; parameter VAR121 = ""; parameter VAR126 = ""; parameter VAR52 = ""; parameter VAR99 = ""; parameter VAR58 = ""; parameter VAR27 = ""; parameter VAR108 = ""; localparam VAR37 = 2; localparam VAR49 = VAR147 * 2; localparam VAR118 = VAR147 / VAR83; localparam VAR38 = VAR108 ? 1 : VAR126; localparam VAR78 = VAR141 / VAR83; localparam VAR109 = VAR49 / VAR83; localparam VAR64 = VAR49 / (VAR83 * VAR38); localparam VAR136 = (2 ** VAR45) + VAR99; localparam VAR115 = 2; localparam VAR80 = 4 * VAR118; localparam VAR155 = (VAR52 > 4) ? ((VAR52 - 4) / 2) : 0; localparam VAR33 = (VAR52 + 6) / 2; input VAR70; input [VAR83-1:0] VAR19; input [VAR83-1:0] VAR12; input VAR103; input VAR13; input [VAR49-1:0] VAR73; input VAR63; input [VAR134-1:0] VAR36; input [VAR83-1:0] VAR97; input [VAR83-1:0] VAR101; input [VAR83-1:0] VAR42; input VAR53; input VAR151; output [VAR141-1:0] VAR22; output VAR41; output [VAR141-1:0] VAR47; output [VAR140-1:0] VAR71; output [VAR83*VAR121-1:0] VAR110; output [VAR83-1:0] VAR48; input [VAR27-1:0] VAR32; reg VAR41; reg [1:0] VAR100 [VAR83-1:0]; wire [VAR83*VAR121-1:0] VAR150; wire [VAR83-1:0] VAR18; wire [VAR83-1:0] VAR46; wire [VAR83-1:0] VAR87; reg [VAR83-1:0] VAR26; reg [VAR83-1:0] VAR105; wire [VAR83-1:0] VAR61; wire [VAR83-1:0] VAR98; reg [VAR83-1:0] VAR44; wire [VAR141-1:0] VAR6; wire VAR125 = VAR63; wire VAR124 = VAR70; wire VAR95; assign VAR95 = VAR32[3]; assign VAR46 = {VAR83{VAR13}}; assign VAR87 = {VAR83{VAR103}}; generate genvar VAR72, VAR152; for (VAR72=0; VAR72<VAR83; VAR72=VAR72+1) begin: VAR133 wire [VAR115-1:0] VAR120; reg [VAR45-1:0] VAR9; wire [VAR66(VAR84)-1:0] VAR24; assign VAR24 = VAR58; wire VAR106 = VAR101[VAR72]; always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR100[VAR72] <= {1'b0, ((VAR95) ? VAR24[0] : 1'b0)}; end else begin if (VAR97[VAR72]) begin VAR100[VAR72] <= 2'b01; end else if (VAR101[VAR72]) begin VAR100[VAR72] <= 2'b00; end end end wire [VAR37-1:0] VAR131; VAR21 VAR153( .clk (VAR63), .VAR34 (VAR70), .VAR31 (VAR100[VAR72]), .VAR8 ({VAR37{VAR151}}), .VAR23 (VAR131)); always @(posedge VAR63) begin if (~VAR70) begin VAR9 <= (VAR95) ? (VAR24 >> VAR66(VAR37)) : {VAR45{1'b0}}; end else begin VAR9 <= VAR106 ? (VAR9 + 2'd1) : VAR9; end end for (VAR152=0; VAR152<VAR115; VAR152=VAR152+1) begin: VAR55 reg [VAR136-1:0] VAR94; always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR94 <= {VAR136{1'b0}}; end else begin VAR94 <= {1'b0, VAR94[VAR136-1:1]}; VAR94[VAR9+VAR99] <= VAR131[VAR152]; end end assign VAR120[VAR152] = VAR94[0]; end wire [VAR121-1:0] VAR112 = VAR120; for (VAR152=0; VAR152<VAR121; VAR152=VAR152+1) begin: VAR135 assign VAR150[VAR72+(VAR152*VAR83)] = VAR112[VAR152]; end end endgenerate assign VAR110 = VAR150; reg [VAR25-1:0] VAR15; reg [VAR25-1:0] VAR76; always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR76 <= {VAR25{1'b0}}; VAR15 <= {VAR25{1'b0}}; end else begin VAR76 <= {VAR76[VAR25-2:0], VAR151}; VAR15 <= {VAR15[VAR25-2:0], VAR53}; end end generate genvar VAR138, VAR54, VAR29, VAR40; for (VAR138=0; VAR138<VAR83; VAR138=VAR138+1) begin: VAR57 wire [VAR38-1:0] VAR137; wire [VAR38-1:0] VAR39; wire VAR69; wire [VAR80-1:0] VAR50; wire [VAR109-1:0] VAR30; assign VAR30 = VAR73[(VAR109*(VAR138+1)-1) : (VAR109*VAR138)]; VAR114 VAR56( .VAR34 (VAR70), .VAR63 (VAR63), .VAR15 (VAR15), .VAR117 (VAR36), .VAR69 (), .VAR18 (VAR18[VAR138]) ); VAR114 VAR92( .VAR34 (VAR70), .VAR63 (VAR63), .VAR15 (VAR76), .VAR117 (VAR36), .VAR69 (VAR69), .VAR18 () ); always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR26[VAR138] <= 1'b0; VAR105[VAR138] <= 1'b0; end else begin VAR26[VAR138] <= ~VAR19[VAR138]; VAR105[VAR138] <= ~VAR19[VAR138]; end end wire [VAR80-1:0] VAR3; always @(posedge VAR12[VAR138] or negedge VAR26[VAR138]) begin if (~VAR26[VAR138]) VAR44[VAR138] <= 1'b0; end else VAR44[VAR138] <= ~VAR44[VAR138]; end assign VAR61[VAR138] = VAR44[VAR138]; VAR2 #( .delay(10) ) VAR67( .VAR144(VAR61[VAR138]), .VAR5(VAR44[VAR138]), ); assign VAR98[VAR138] = ~VAR61[VAR138]; for (VAR54=0; VAR54<VAR38; VAR54=VAR54+1) begin: VAR145 assign VAR137[VAR54] = 1'b1; assign VAR39[VAR54] = 1'b1; reg [VAR60-1:0] VAR86 ; reg [VAR60-1:0] VAR143 ; always @(posedge VAR61[VAR138] or negedge VAR105[VAR138]) begin if (~VAR105[VAR138]) VAR86 <= {VAR60{1'b0}}; end else if (VAR137[VAR54]) begin if (VAR154 == 2 ** VAR60) VAR86 <= VAR86 + 1'b1; end else VAR86 <= (VAR86 == VAR154 - 1) ? {VAR60{1'b0}} : VAR86 + 1'b1; end end always @(posedge VAR98[VAR138] or negedge VAR105[VAR138]) begin if (~VAR105[VAR138]) VAR143 <= {VAR60{1'b0}}; end else if (VAR39[VAR54]) begin if (VAR154 == 2 ** VAR60) VAR143 <= VAR143 + 1'b1; end else VAR143 <= (VAR143 == VAR154 - 1) ? {VAR60{1'b0}} : VAR143 + 1'b1; end end reg [VAR90-1:0] VAR74 ; always @(posedge VAR125) begin if (VAR19[VAR138]) VAR74 <= {VAR90{1'b0}}; end else if (VAR69) begin if (VAR102 == 2 ** VAR90) VAR74 <= VAR74 + 1'b1; end else VAR74 <= (VAR74 == VAR102 - 1) ? {VAR90{1'b0}} : VAR74 + 1'b1; end end VAR65 VAR123( .VAR119 (VAR61[VAR138]), .VAR137 (VAR137[VAR54]), .VAR10 (VAR86), .VAR129 (VAR30[(VAR64*(VAR54+1)-1) : (VAR64*VAR54)]), .VAR130 (VAR124), .VAR75 (VAR125), .VAR68 (VAR69), .VAR77 (VAR74), .VAR4 (VAR3[(VAR64*(VAR54+1)-1) : (VAR64*VAR54)]) ); VAR65 VAR17( .VAR119 (VAR98[VAR138]), .VAR137 (VAR39[VAR54]), .VAR10 (VAR143), .VAR129 (VAR30[(VAR64*(VAR54+1)-1) : (VAR64*VAR54)]), .VAR130 (VAR124), .VAR75 (VAR125), .VAR68 (VAR69), .VAR77 (VAR74), .VAR4 (VAR3[(VAR64*(VAR54+1)-1+VAR109) : (VAR64*VAR54+VAR109)]) ); end assign VAR50 = VAR3; for (VAR40=0; VAR40<4; VAR40=VAR40+1) begin: VAR14 wire [VAR118-1:0] VAR149 = VAR50[VAR118 * (VAR40 + 1) - 1 : VAR118 * VAR40]; assign VAR6[VAR118 * (VAR138 + 1) + VAR147 * VAR40 - 1 : VAR118 * VAR138 + VAR147 * VAR40] = VAR149; end end endgenerate assign VAR22 = VAR6; generate genvar VAR93, VAR96; for (VAR93=0; VAR93<VAR83; VAR93=VAR93+1) begin: VAR146 wire [VAR78-1:0] VAR139; for (VAR96=0; VAR96<VAR37*2; VAR96=VAR96+1) begin: VAR113 wire [VAR118-1:0] VAR82 = VAR22[VAR118 * (VAR93+1) + VAR147 * VAR96 - 1 : VAR118 * VAR93 + VAR147 * VAR96]; assign VAR139[(VAR96+1)*VAR118-1:VAR96*VAR118] = VAR82; end assign VAR47[(VAR93+1)*VAR78-1 : VAR93*VAR78] = VAR139; end endgenerate always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR41 <= 1'b0; end else begin VAR41 <= &VAR18; end end reg [VAR140-1:0] VAR71; generate genvar VAR148; for (VAR148 = 0; VAR148 < VAR140; VAR148 = VAR148 + 1) begin : VAR88 reg [VAR33-1:0] VAR81 ; wire [VAR33:0] VAR59; assign VAR59 = {VAR81,VAR151}; always @(posedge VAR63 or negedge VAR70) begin if (~VAR70) begin VAR81 <= {VAR33{1'b0}}; VAR71[VAR148] = 1'b0; end else begin VAR81 <= {VAR81[VAR33-2:0],VAR151}; VAR71[VAR148] = ~(|VAR59[VAR33:VAR155]); end end end endgenerate wire [VAR83-1:0] VAR35; reg [VAR83-1:0] VAR43; generate genvar VAR111; for (VAR111=0; VAR111<VAR83; VAR111=VAR111+1) begin: VAR132 always @(posedge VAR61[VAR111] or negedge VAR26[VAR111]) if (~VAR26[VAR111]) VAR43[VAR111] <= 0; end else VAR43[VAR111] <= 1; end endgenerate assign VAR48 = VAR43; function integer VAR66; input integer VAR51; begin VAR51 = VAR51 - 1; for (VAR66 = 0; VAR51 > 0; VAR66 = VAR66 + 1) VAR51 = VAR51 >> 1; end endfunction endmodule
lgpl-3.0
SWORDfpga/ComputerOrganizationDesign
labs/lab07/lab07/Code/CPU/SCtrl_M.v
3,210
module MODULE1(input[5:0]VAR5, input[5:0]VAR4, input wire VAR11, input wire VAR3, output reg VAR6, output reg VAR9, output reg [1:0] VAR12, output reg VAR10, output reg [1:0]VAR14, output reg VAR8, output reg VAR7, output reg [2:0]VAR13, output reg VAR1 ); always @* begin VAR6=0; VAR9=0; VAR12=2'b00; VAR8=0; VAR14=2'b00; VAR10=0; VAR7=0; VAR1=0; case(VAR5) 6'b000000: begin VAR6=1;VAR8=1; case(VAR4) 6'b100000: VAR13=3'b010; 6'b100010: VAR13=3'b110; 6'b100100: VAR13=3'b000; 6'b100101: VAR13=3'b001; 6'b101010: VAR13=3'b111; 6'b100111: VAR13=3'b100; 6'b000010: VAR13=3'b101; 6'b010110: VAR13=3'b011; 6'h08: VAR14=2'b11; default: VAR13=3'VAR2; endcase end 6'b100011: begin VAR13=3'b010; VAR9=1;VAR12=2'b01;VAR8=1; end 6'b101011: begin VAR13=3'b010; VAR9=1; VAR7=1; end 6'b000100: begin VAR13=3'b110; VAR14={1'b0,VAR3}; end 6'b000010: VAR14=2'b10; 6'h05: begin VAR13=3'b110; VAR14={1'b0,~VAR3}; end 6'h24: begin VAR13=3'b111; VAR9=1; VAR8=1; end 6'h08: begin VAR13=3'b010; VAR9=1; VAR8=1; end 6'h0c: begin VAR13=3'b000; VAR9=1; VAR8=1; end 6'h0d: begin VAR13=3'b001; VAR9=1; VAR8=1;end 6'h03: begin VAR10=1; VAR14=2'b10; VAR12=2'b11; VAR8=1; end 6'h0f: begin VAR12=2'b10; VAR8=1;end default: VAR13=3'b010; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/einvp/sky130_fd_sc_ls__einvp_2.v
2,130
module MODULE1 ( VAR8 , VAR5 , VAR1 , VAR2, VAR9, VAR7 , VAR4 ); output VAR8 ; input VAR5 ; input VAR1 ; input VAR2; input VAR9; input VAR7 ; input VAR4 ; VAR6 VAR3 ( .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1), .VAR2(VAR2), .VAR9(VAR9), .VAR7(VAR7), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR8 , VAR5 , VAR1 ); output VAR8 ; input VAR5 ; input VAR1; supply1 VAR2; supply0 VAR9; supply1 VAR7 ; supply0 VAR4 ; VAR6 VAR3 ( .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1) ); endmodule
apache-2.0
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_fmsw_gp.v
6,424
module MODULE1( VAR4, VAR81, VAR40, VAR83, VAR35, VAR65, VAR66, VAR14, VAR36, VAR62, VAR78, VAR63, VAR24, VAR46, VAR89, VAR59, VAR82, VAR91, VAR17, VAR60, VAR1, VAR54, VAR87, VAR12, VAR76, VAR73, VAR38, VAR77, VAR39, VAR58, VAR79, VAR96, VAR94, VAR31, VAR33, VAR103, VAR72, VAR88, VAR70, VAR53, VAR41, VAR56, VAR8, VAR10, VAR98, VAR30, VAR51, VAR43, VAR28, VAR9, VAR102, VAR84, VAR45, VAR22, VAR101, VAR34, VAR26, VAR104, VAR11, VAR86, VAR6, VAR19, VAR69, VAR74, VAR50, VAR80, VAR7, VAR61, VAR64, VAR85, VAR2, VAR99 ); input VAR4; input VAR81; input [VAR21-1:0]VAR40; input [VAR21-1:0]VAR83; input [VAR21-1:0]VAR87; input [VAR21-1:0]VAR12; output [VAR21-1:0]VAR45; output [VAR21-1:0]VAR101; output [VAR21-1:0]VAR22; output [VAR21-1:0]VAR34; output [VAR21-1:0]VAR26; output VAR35; output VAR65; input [VAR55-1:0] VAR66; input [VAR68-1:0] VAR14; input [VAR97:0] VAR36; output VAR62; output VAR78; input VAR63; input VAR24; input VAR46; input [VAR68-1:0] VAR89; input [VAR97:0] VAR59; output [VAR55-1:0] VAR82; output [VAR55-1:0] VAR91; output [VAR55-1:0] VAR17; output VAR60; output VAR1; output VAR54; output VAR76; output VAR73; input [VAR55-1:0] VAR38; input [VAR68-1:0] VAR77; input [VAR97:0] VAR39; output VAR58; output VAR79; input VAR96; input VAR94; input VAR31; input [VAR68-1:0] VAR33; input [VAR97:0] VAR103; output [VAR55-1:0] VAR72; output [VAR55-1:0] VAR88; output [VAR55-1:0] VAR70; output VAR53; output VAR41; output VAR56; input VAR8; output VAR10; output [VAR68-1:0]VAR104; output [VAR55-1:0]VAR11; output [VAR97:0]VAR86; input VAR30; input [VAR55-1:0] VAR19; output VAR98; output [VAR68-1:0] VAR6; output [VAR97:0] VAR69; input VAR51; output VAR43; output [VAR68-1:0]VAR74; output [VAR55-1:0]VAR50; output [VAR97:0]VAR80; input VAR9; input [VAR55-1:0] VAR61; output VAR28; output [VAR68-1:0] VAR7; output [VAR97:0] VAR64; input VAR84; input [VAR55-1:0] VAR2; output VAR102; output [VAR68-1:0] VAR85; output [VAR97:0] VAR99; VAR48 VAR23( .VAR81(VAR81), .VAR4(VAR4), .VAR93(VAR40), .VAR95(VAR87), .VAR15(VAR62), .VAR90(VAR58), .VAR32(VAR66), .VAR27(VAR38), .VAR92(VAR14), .VAR37(VAR77), .VAR57(VAR36), .VAR42(VAR39), .VAR5(VAR35), .VAR100(VAR76), .VAR49(VAR10), .VAR20(VAR45), .VAR29(VAR11), .VAR3(VAR104), .VAR75(VAR86), .VAR25(VAR8) ); VAR48 VAR18( .VAR81(VAR81), .VAR4(VAR4), .VAR93(VAR40), .VAR95(VAR87), .VAR15(VAR78), .VAR90(VAR79), .VAR32(VAR66), .VAR27(VAR38), .VAR92(VAR14), .VAR37(VAR77), .VAR57(VAR36), .VAR42(VAR39), .VAR5(VAR65), .VAR100(VAR73), .VAR49(VAR43), .VAR20(VAR22), .VAR29(VAR50), .VAR3(VAR74), .VAR75(VAR80), .VAR25(VAR51) ); VAR47 VAR67( .VAR81(VAR81), .VAR4(VAR4), .VAR93(VAR83), .VAR95(VAR12), .VAR71(VAR63), .VAR52(VAR96), .VAR32(VAR82), .VAR27(VAR72), .VAR92(VAR89), .VAR37(VAR33), .VAR57(VAR59), .VAR42(VAR103), .VAR15(VAR60), .VAR90(VAR53), .VAR49(VAR98), .VAR20(VAR101), .VAR29(VAR19), .VAR3(VAR6), .VAR75(VAR69), .VAR16(VAR30) ); VAR47 VAR44( .VAR81(VAR81), .VAR4(VAR4), .VAR93(VAR83), .VAR95(VAR12), .VAR71(VAR24), .VAR52(VAR94), .VAR32(VAR91), .VAR27(VAR88), .VAR92(VAR89), .VAR37(VAR33), .VAR57(VAR59), .VAR42(VAR103), .VAR15(VAR1), .VAR90(VAR41), .VAR49(VAR28), .VAR20(VAR34), .VAR29(VAR61), .VAR3(VAR7), .VAR75(VAR64), .VAR16(VAR9) ); VAR47 VAR13( .VAR81(VAR81), .VAR4(VAR4), .VAR93(VAR83), .VAR95(VAR12), .VAR71(VAR46), .VAR52(VAR31), .VAR32(VAR17), .VAR27(VAR70), .VAR92(VAR89), .VAR37(VAR33), .VAR57(VAR59), .VAR42(VAR103), .VAR15(VAR54), .VAR90(VAR56), .VAR49(VAR102), .VAR20(VAR26), .VAR29(VAR2), .VAR3(VAR85), .VAR75(VAR99), .VAR16(VAR84) ); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffnsnq/gf180mcu_fd_sc_mcu9t5v0__dffnsnq_1.behavioral.v
3,781
module MODULE1( VAR31, VAR3, VAR23, VAR32 ); input VAR31, VAR3, VAR23; output VAR32; reg VAR2; VAR6 VAR26(.VAR31(VAR31),.VAR3(VAR3),.VAR23(VAR23),.VAR32(VAR32),.VAR2(VAR2)); VAR6 VAR15(.VAR31(VAR31),.VAR3(VAR3),.VAR23(VAR23),.VAR32(VAR32),.VAR2(VAR2)); not VAR12(VAR27,VAR3); and VAR16(VAR11,VAR23,VAR27); and VAR22(VAR7,VAR23,VAR3); buf VAR30(VAR21,VAR23); not VAR19(VAR28,VAR31); not VAR17(VAR4,VAR3); and VAR9(VAR5,VAR4,VAR28); not VAR1(VAR18,VAR31); and VAR29(VAR24,VAR3,VAR18); not VAR13(VAR20,VAR3); and VAR25(VAR14,VAR20,VAR31); and VAR10(VAR8,VAR3,VAR31);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor3/sky130_fd_sc_hs__nor3.symbol.v
1,272
module MODULE1 ( input VAR4, input VAR5, input VAR1, output VAR2 ); supply1 VAR6; supply0 VAR3; endmodule
apache-2.0
0a-/linguist
samples/Verilog/ps2_mouse.v
7,180
module MODULE1 ( input clk, input reset, inout VAR12, inout VAR22, input [7:0] VAR11, input VAR8, output VAR21, output VAR14, output [7:0] VAR24, output VAR16, output VAR7, output VAR4 ); wire VAR18; wire VAR6; reg [7:0] VAR9; reg VAR13; reg VAR2; reg VAR23; reg [2:0] VAR15; reg [2:0] VAR19; localparam VAR17 = 3'h0, VAR26 = 3'h1, VAR27 = 3'h2, VAR25 = 3'h3, VAR28 = 3'h4; always @(posedge clk) begin if(reset == 1'b1) VAR19 <= VAR17; end else VAR19 <= VAR15; end always @(*) begin VAR15 = VAR17; case (VAR19) VAR17: begin if((VAR9 == 8'hFF) && (VAR8 == 1'b1)) VAR15 = VAR27; end else if ((VAR2 == 1'b0) && (VAR18 == 1'b1)) VAR15 = VAR26; end else VAR15 = VAR17; end VAR26: begin if((VAR16 == 1'b1)) VAR15 = VAR17; end else VAR15 = VAR26; end VAR27: begin if((VAR21 == 1'b1) || (VAR14 == 1'b1)) VAR15 = VAR25; end else VAR15 = VAR27; end VAR25: begin if(VAR8 == 1'b0) VAR15 = VAR17; end else if((VAR2 == 1'b0) && (VAR18 == 1'b1)) VAR15 = VAR28; else VAR15 = VAR25; end VAR28: begin if(VAR16 == 1'b1) begin if(VAR8 == 1'b0) VAR15 = VAR17; end else VAR15 = VAR25; end else VAR15 = VAR28; end default: VAR15 = VAR17; endcase end always @(posedge clk) begin if(reset == 1'b1) begin VAR23 <= 1'b1; VAR13 <= 1'b1; VAR2 <= 1'b1; end else begin VAR23 <= VAR13; VAR13 <= VAR12; VAR2 <= VAR22; end end always @(posedge clk) begin if(reset == 1'b1) VAR9 <= 6'h00; end else if((VAR19 == VAR17) && (VAR9 != 8'hFF)) VAR9 <= VAR9 + 6'h01; else if (VAR19 != VAR17) VAR9 <= 6'h00; end assign VAR18 = ((VAR13 == 1'b1) && (VAR23 == 1'b0)) ? 1'b1 : 1'b0; assign VAR6 = ((VAR13 == 1'b0) && (VAR23 == 1'b1)) ? 1'b1 : 1'b0; assign VAR7 = (VAR19 == VAR26); assign VAR4 = (VAR19 == VAR25); VAR1 VAR3 ( .clk (clk), .reset (reset), .VAR11 (VAR11), .VAR8 (VAR8), .VAR18 (VAR18), .VAR6 (VAR6), .VAR12 (VAR12), .VAR22 (VAR22), .VAR21 (VAR21), .VAR14 (VAR14) ); VAR5 VAR20 ( .clk (clk), .reset (reset), .VAR4 (VAR4), .VAR7 (VAR7), .VAR18 (VAR18), .VAR6 (VAR6), .VAR10 (VAR2), .VAR24 (VAR24), .VAR16 (VAR16) ); endmodule
mit
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_ecc_encoder_64_syn.v
21,837
module MODULE2 # ( parameter VAR3 = 0 ) ( clk, VAR14, VAR9, VAR18 ) ; input clk; input VAR14; input [63:0] VAR9; output [71:0] VAR18; wire [63:0] VAR12; wire [34:0] VAR7; wire [17:0] VAR11; wire [8:0] VAR10; wire [3:0] VAR5; wire [1:0] VAR4; wire [30:0] VAR15; wire [6:0] VAR19; wire [70:0] VAR8; wire [70:0] VAR1; reg [70:0] VAR17; wire [70:0] VAR13; reg [70:0] VAR2; assign VAR12 = VAR9, VAR7 = { (VAR12[63] ^ VAR7[33]), (VAR12[61] ^ VAR7[32]), (VAR12[59] ^ VAR7[31]), (VAR12[57] ^ VAR7[30]), (VAR12[56] ^ VAR7[29]), (VAR12[54] ^ VAR7[28]), (VAR12[52] ^ VAR7[27]), (VAR12[50] ^ VAR7[26]), (VAR12[48] ^ VAR7[25]), (VAR12[46] ^ VAR7[24]), (VAR12[44] ^ VAR7[23]), (VAR12[42] ^ VAR7[22]), (VAR12[40] ^ VAR7[21]), (VAR12[38] ^ VAR7[20]), (VAR12[36] ^ VAR7[19]), (VAR12[34] ^ VAR7[18]), (VAR12[32] ^ VAR7[17]), (VAR12[30] ^ VAR7[16]), (VAR12[28] ^ VAR7[15]), (VAR12[26] ^ VAR7[14]), (VAR12[25] ^ VAR7[13]), (VAR12[23] ^ VAR7[12]), (VAR12[21] ^ VAR7[11]), (VAR12[19] ^ VAR7[10]), (VAR12[17] ^ VAR7[9]), (VAR12[15] ^ VAR7[8]), (VAR12[13] ^ VAR7[7]), (VAR12[11] ^ VAR7[6]), (VAR12[10] ^ VAR7[5]), (VAR12[8] ^ VAR7[4]), (VAR12[6] ^ VAR7[3]), (VAR12[4] ^ VAR7[2]), (VAR12[3] ^ VAR7[1]), (VAR12[1] ^ VAR7[0]), VAR12[0] }, VAR11 = { ((VAR12[62] ^ VAR12[63]) ^ VAR11[16]), ((VAR12[58] ^ VAR12[59]) ^ VAR11[15]), ((VAR12[55] ^ VAR12[56]) ^ VAR11[14]), ((VAR12[51] ^ VAR12[52]) ^ VAR11[13]), ((VAR12[47] ^ VAR12[48]) ^ VAR11[12]), ((VAR12[43] ^ VAR12[44]) ^ VAR11[11]), ((VAR12[39] ^ VAR12[40]) ^ VAR11[10]), ((VAR12[35] ^ VAR12[36]) ^ VAR11[9]), ((VAR12[31] ^ VAR12[32]) ^ VAR11[8]), ((VAR12[27] ^ VAR12[28]) ^ VAR11[7]), ((VAR12[24] ^ VAR12[25]) ^ VAR11[6]), ((VAR12[20] ^ VAR12[21]) ^ VAR11[5]), ((VAR12[16] ^ VAR12[17]) ^ VAR11[4]), ((VAR12[12] ^ VAR12[13]) ^ VAR11[3]), ((VAR12[9] ^ VAR12[10]) ^ VAR11[2]), ((VAR12[5] ^ VAR12[6]) ^ VAR11[1]), ((VAR12[2] ^ VAR12[3]) ^ VAR11[0]), VAR12[0] }, VAR10 = { ((((VAR12[60] ^ VAR12[61]) ^ VAR12[62]) ^ VAR12[63]) ^ VAR10[7]), ((((VAR12[53] ^ VAR12[54]) ^ VAR12[55]) ^ VAR12[56]) ^ VAR10[6]), ((((VAR12[45] ^ VAR12[46]) ^ VAR12[47]) ^ VAR12[48]) ^ VAR10[5]), ((((VAR12[37] ^ VAR12[38]) ^ VAR12[39]) ^ VAR12[40]) ^ VAR10[4]), ((((VAR12[29] ^ VAR12[30]) ^ VAR12[31]) ^ VAR12[32]) ^ VAR10[3]), ((((VAR12[22] ^ VAR12[23]) ^ VAR12[24]) ^ VAR12[25]) ^ VAR10[2]), ((((VAR12[14] ^ VAR12[15]) ^ VAR12[16]) ^ VAR12[17]) ^ VAR10[1]), ((((VAR12[7] ^ VAR12[8]) ^ VAR12[9]) ^ VAR12[10]) ^ VAR10[0]), ((VAR12[1] ^ VAR12[2]) ^ VAR12[3]) }, VAR5 = { ((((((((VAR12[49] ^ VAR12[50]) ^ VAR12[51]) ^ VAR12[52]) ^ VAR12[53]) ^ VAR12[54]) ^ VAR12[55]) ^ VAR12[56]) ^ VAR5[2]), ((((((((VAR12[33] ^ VAR12[34]) ^ VAR12[35]) ^ VAR12[36]) ^ VAR12[37]) ^ VAR12[38]) ^ VAR12[39]) ^ VAR12[40]) ^ VAR5[1]), ((((((((VAR12[18] ^ VAR12[19]) ^ VAR12[20]) ^ VAR12[21]) ^ VAR12[22]) ^ VAR12[23]) ^ VAR12[24]) ^ VAR12[25]) ^ VAR5[0]), ((((((VAR12[4] ^ VAR12[5]) ^ VAR12[6]) ^ VAR12[7]) ^ VAR12[8]) ^ VAR12[9]) ^ VAR12[10]) }, VAR4 = { ((((((((((((((((VAR12[41] ^ VAR12[42]) ^ VAR12[43]) ^ VAR12[44]) ^ VAR12[45]) ^ VAR12[46]) ^ VAR12[47]) ^ VAR12[48]) ^ VAR12[49]) ^ VAR12[50]) ^ VAR12[51]) ^ VAR12[52]) ^ VAR12[53]) ^ VAR12[54]) ^ VAR12[55]) ^ VAR12[56]) ^ VAR4[0]), ((((((((((((((VAR12[11] ^ VAR12[12]) ^ VAR12[13]) ^ VAR12[14]) ^ VAR12[15]) ^ VAR12[16]) ^ VAR12[17]) ^ VAR12[18]) ^ VAR12[19]) ^ VAR12[20]) ^ VAR12[21]) ^ VAR12[22]) ^ VAR12[23]) ^ VAR12[24]) ^ VAR12[25]) }, VAR15 = { (VAR12[56] ^ VAR15[29]), (VAR12[55] ^ VAR15[28]), (VAR12[54] ^ VAR15[27]), (VAR12[53] ^ VAR15[26]), (VAR12[52] ^ VAR15[25]), (VAR12[51] ^ VAR15[24]), (VAR12[50] ^ VAR15[23]), (VAR12[49] ^ VAR15[22]), (VAR12[48] ^ VAR15[21]), (VAR12[47] ^ VAR15[20]), (VAR12[46] ^ VAR15[19]), (VAR12[45] ^ VAR15[18]), (VAR12[44] ^ VAR15[17]), (VAR12[43] ^ VAR15[16]), (VAR12[42] ^ VAR15[15]), (VAR12[41] ^ VAR15[14]), (VAR12[40] ^ VAR15[13]), (VAR12[39] ^ VAR15[12]), (VAR12[38] ^ VAR15[11]), (VAR12[37] ^ VAR15[10]), (VAR12[36] ^ VAR15[9]), (VAR12[35] ^ VAR15[8]), (VAR12[34] ^ VAR15[7]), (VAR12[33] ^ VAR15[6]), (VAR12[32] ^ VAR15[5]), (VAR12[31] ^ VAR15[4]), (VAR12[30] ^ VAR15[3]), (VAR12[29] ^ VAR15[2]), (VAR12[28] ^ VAR15[1]), (VAR12[27] ^ VAR15[0]), VAR12[26] }, VAR19 = { (VAR12[63] ^ VAR19[5]), (VAR12[62] ^ VAR19[4]), (VAR12[61] ^ VAR19[3]), (VAR12[60] ^ VAR19[2]), (VAR12[59] ^ VAR19[1]), (VAR12[58] ^ VAR19[0]), VAR12[57] }, VAR1 = { (VAR13[70] ^ VAR1[69]), (VAR13[69] ^ VAR1[68]), (VAR13[68] ^ VAR1[67]), (VAR13[67] ^ VAR1[66]), (VAR13[66] ^ VAR1[65]), (VAR13[65] ^ VAR1[64]), (VAR13[64] ^ VAR1[63]), (VAR13[63] ^ VAR1[62]), (VAR13[62] ^ VAR1[61]), (VAR13[61] ^ VAR1[60]), (VAR13[60] ^ VAR1[59]), (VAR13[59] ^ VAR1[58]), (VAR13[58] ^ VAR1[57]), (VAR13[57] ^ VAR1[56]), (VAR13[56] ^ VAR1[55]), (VAR13[55] ^ VAR1[54]), (VAR13[54] ^ VAR1[53]), (VAR13[53] ^ VAR1[52]), (VAR13[52] ^ VAR1[51]), (VAR13[51] ^ VAR1[50]), (VAR13[50] ^ VAR1[49]), (VAR13[49] ^ VAR1[48]), (VAR13[48] ^ VAR1[47]), (VAR13[47] ^ VAR1[46]), (VAR13[46] ^ VAR1[45]), (VAR13[45] ^ VAR1[44]), (VAR13[44] ^ VAR1[43]), (VAR13[43] ^ VAR1[42]), (VAR13[42] ^ VAR1[41]), (VAR13[41] ^ VAR1[40]), (VAR13[40] ^ VAR1[39]), (VAR13[39] ^ VAR1[38]), (VAR13[38] ^ VAR1[37]), (VAR13[37] ^ VAR1[36]), (VAR13[36] ^ VAR1[35]), (VAR13[35] ^ VAR1[34]), (VAR13[34] ^ VAR1[33]), (VAR13[33] ^ VAR1[32]), (VAR13[32] ^ VAR1[31]), (VAR13[31] ^ VAR1[30]), (VAR13[30] ^ VAR1[29]), (VAR13[29] ^ VAR1[28]), (VAR13[28] ^ VAR1[27]), (VAR13[27] ^ VAR1[26]), (VAR13[26] ^ VAR1[25]), (VAR13[25] ^ VAR1[24]), (VAR13[24] ^ VAR1[23]), (VAR13[23] ^ VAR1[22]), (VAR13[22] ^ VAR1[21]), (VAR13[21] ^ VAR1[20]), (VAR13[20] ^ VAR1[19]), (VAR13[19] ^ VAR1[18]), (VAR13[18] ^ VAR1[17]), (VAR13[17] ^ VAR1[16]), (VAR13[16] ^ VAR1[15]), (VAR13[15] ^ VAR1[14]), (VAR13[14] ^ VAR1[13]), (VAR13[13] ^ VAR1[12]), (VAR13[12] ^ VAR1[11]), (VAR13[11] ^ VAR1[10]), (VAR13[10] ^ VAR1[9]), (VAR13[9] ^ VAR1[8]), (VAR13[8] ^ VAR1[7]), (VAR13[7] ^ VAR1[6]), (VAR13[6] ^ VAR1[5]), (VAR13[5] ^ VAR1[4]), (VAR13[4] ^ VAR1[3]), (VAR13[3] ^ VAR1[2]), (VAR13[2] ^ VAR1[1]), (VAR13[1] ^ VAR1[0]), VAR13[0] }, VAR8 = { (VAR2[70] ^ VAR8[69]), (VAR2[69] ^ VAR8[68]), (VAR2[68] ^ VAR8[67]), (VAR2[67] ^ VAR8[66]), (VAR2[66] ^ VAR8[65]), (VAR2[65] ^ VAR8[64]), VAR17 [64 : 0] }, VAR18 = {VAR8[70], VAR2}, VAR13 = {VAR19[6], VAR15[30], VAR4[1], VAR5[3], VAR10[8], VAR11[17], VAR7[34], VAR12}; generate if (VAR3) begin always @ (posedge clk or negedge VAR14) begin if (!VAR14) begin VAR2 <= 0; VAR17 <= 0; end else begin VAR2 <= VAR13; VAR17 <= VAR1; end end end else begin always @ (*) begin VAR2 = VAR13; VAR17 = VAR1; end end endgenerate endmodule module MODULE1 # ( parameter VAR3 = 0 ) ( clk, VAR14, VAR9, VAR18 ); input clk; input VAR14; input [63:0] VAR9; output [71:0] VAR18; wire [71:0] VAR16; wire [71:0] VAR18 = VAR16[71:0]; MODULE2 # ( .VAR3 (VAR3) ) VAR6 ( .clk (clk), .VAR14 (VAR14), .VAR9 (VAR9), .VAR18 (VAR16) ); endmodule
gpl-3.0
asicguy/gplgpu
hdl/altera_project/ram_128_32x32_dp/ram_128_32x32_dp_bb.v
7,352
module MODULE1 ( VAR2, VAR1, VAR3, VAR6, VAR4, VAR7, VAR5); input [127:0] VAR2; input [3:0] VAR1; input VAR3; input [1:0] VAR6; input VAR4; input VAR7; output [31:0] VAR5; endmodule
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/verilog/image_filter_FAST_t_opr_k_buf_val_0_V.v
1,643
module MODULE1 (VAR12, VAR14, VAR6, VAR4, VAR15, VAR9, VAR8, clk); parameter VAR3 = 8; parameter VAR11 = 11; parameter VAR2 = 1920; input[VAR11-1:0] VAR12; input VAR14; output reg[VAR3-1:0] VAR6; input[VAR11-1:0] VAR4; input VAR15; input[VAR3-1:0] VAR9; input VAR8; input clk; reg [VAR3-1:0] VAR7[VAR2-1:0]; always @(posedge clk) begin if (VAR14) begin VAR6 <= VAR7[VAR12]; end end always @(posedge clk) begin if (VAR15) begin if (VAR8) begin VAR7[VAR4] <= VAR9; end end end endmodule module MODULE2( reset, clk, VAR5, VAR14, VAR6, VAR1, VAR15, VAR8, VAR9); parameter VAR10 = 32'd8; parameter VAR16 = 32'd1920; parameter VAR17 = 32'd11; input reset; input clk; input[VAR17 - 1:0] VAR5; input VAR14; output[VAR10 - 1:0] VAR6; input[VAR17 - 1:0] VAR1; input VAR15; input VAR8; input[VAR10 - 1:0] VAR9; MODULE1 VAR13( .clk( clk ), .VAR12( VAR5 ), .VAR14( VAR14 ), .VAR6( VAR6 ), .VAR4( VAR1 ), .VAR15( VAR15 ), .VAR9( VAR9 ), .VAR8( VAR8 )); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and2b/sky130_fd_sc_hs__and2b.pp.blackbox.v
1,234
module MODULE1 ( VAR4 , VAR2 , VAR3 , VAR5, VAR1 ); output VAR4 ; input VAR2 ; input VAR3 ; input VAR5; input VAR1; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_ddr_4sig_clk.v
4,648
module MODULE1(VAR5 ,VAR32 ,VAR21 , VAR9 ,VAR28 ,VAR1 ,VAR7 ,VAR15 ,VAR16 ,VAR34 ,VAR10 ,VAR22 ,VAR13 ,VAR14 ,VAR25 ,VAR2 ,VAR27 ,VAR36 ,VAR11 ); input [7:0] VAR28 ; input [3:0] VAR27 ; input [8:1] VAR36 ; input [8:1] VAR11 ; inout [3:0] VAR1 ; output VAR9 ; output VAR10 ; input VAR5 ; input VAR32 ; input VAR21 ; input VAR7 ; input VAR15 ; input VAR16 ; input VAR34 ; input VAR22 ; input VAR13 ; input VAR14 ; input VAR25 ; input VAR2 ; wire VAR4 ; wire VAR20 ; wire VAR30 ; wire VAR18 ; wire VAR8 ; wire VAR29 ; wire VAR19 ; VAR24 VAR33 ( .clk (VAR4 ), .VAR15 (VAR15 ) ); VAR37 VAR26 ( .VAR11 ({VAR11 } ), .VAR36 ({VAR36 } ), .VAR28 ({VAR28 } ), .VAR17 (VAR1[0] ), .VAR3 (VAR21 ), .VAR32 (VAR32 ), .VAR12 (VAR7 ), .VAR22 (VAR22 ), .clk (VAR4 ), .VAR27 (VAR27[0] ), .VAR14 (VAR14 ), .VAR5 (VAR5 ), .VAR13 (VAR13 ), .VAR16 (VAR16 ), .VAR31 (VAR20 ), .VAR25 (VAR25 ), .VAR2 (VAR2 ), .VAR34 (VAR34 ), .VAR10 (VAR30 ) ); VAR37 VAR35 ( .VAR11 ({VAR11 } ), .VAR36 ({VAR36 } ), .VAR28 ({VAR28 } ), .VAR17 (VAR1[1] ), .VAR3 (VAR20 ), .VAR32 (VAR32 ), .VAR12 (VAR7 ), .VAR22 (VAR30 ), .clk (VAR4 ), .VAR27 (VAR27[1] ), .VAR14 (VAR14 ), .VAR5 (VAR5 ), .VAR13 (VAR13 ), .VAR16 (VAR16 ), .VAR31 (VAR8 ), .VAR25 (VAR25 ), .VAR2 (VAR2 ), .VAR34 (VAR34 ), .VAR10 (VAR19 ) ); VAR37 VAR23 ( .VAR11 ({VAR11 } ), .VAR36 ({VAR36 } ), .VAR28 ({VAR28 } ), .VAR17 (VAR1[2] ), .VAR3 (VAR8 ), .VAR32 (VAR32 ), .VAR12 (VAR7 ), .VAR22 (VAR19 ), .clk (VAR4 ), .VAR27 (VAR27[2] ), .VAR14 (VAR14 ), .VAR5 (VAR5 ), .VAR13 (VAR13 ), .VAR16 (VAR16 ), .VAR31 (VAR29 ), .VAR25 (VAR25 ), .VAR2 (VAR2 ), .VAR34 (VAR34 ), .VAR10 (VAR18 ) ); VAR37 VAR6 ( .VAR11 ({VAR11 } ), .VAR36 ({VAR36 } ), .VAR28 ({VAR28 } ), .VAR17 (VAR1[3] ), .VAR3 (VAR29 ), .VAR32 (VAR32 ), .VAR12 (VAR7 ), .VAR22 (VAR18 ), .clk (VAR4 ), .VAR27 (VAR27[3] ), .VAR14 (VAR14 ), .VAR5 (VAR5 ), .VAR13 (VAR13 ), .VAR16 (VAR16 ), .VAR31 (VAR9 ), .VAR25 (VAR25 ), .VAR2 (VAR2 ), .VAR34 (VAR34 ), .VAR10 (VAR10 ) ); endmodule
gpl-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v
1,629
if (VAR10 && (VAR6==VAR15)) \ begin: VAR14 \ VAR13 VAR11 (.VAR9); \ end module MODULE1 #(parameter VAR8(VAR6) , parameter VAR10=1 ) (output [VAR6-1:0] VAR9 ); begin :VAR4 assign VAR9 = { VAR6 {1'b0} }; end VAR3 assert(VAR10==0) else ("## %VAR5 VAR2 VAR7 VAR12 VAR16 VAR1 VAR14"); end endmodule
bsd-3-clause
lkesteloot/alice
alice4/fpga/Alice4-DE0-Nano-SoC/Font_ROM.v
95,880
module MODULE1( input wire clk, input wire [10:0] addr, output reg [7:0] VAR1); reg [10:0] VAR2; always @(posedge clk) begin VAR2 <= addr; end always @* case (VAR2) 11'h000: VAR1 = 8'b00000000; 11'h001: VAR1 = 8'b00000000; 11'h002: VAR1 = 8'b00000000; 11'h003: VAR1 = 8'b00000000; 11'h004: VAR1 = 8'b00000000; 11'h005: VAR1 = 8'b00000000; 11'h006: VAR1 = 8'b00000000; 11'h007: VAR1 = 8'b00000000; 11'h008: VAR1 = 8'b00000000; 11'h009: VAR1 = 8'b00000000; 11'h00a: VAR1 = 8'b00000000; 11'h00b: VAR1 = 8'b00000000; 11'h00c: VAR1 = 8'b00000000; 11'h00d: VAR1 = 8'b00000000; 11'h00e: VAR1 = 8'b00000000; 11'h00f: VAR1 = 8'b00000000; 11'h010: VAR1 = 8'b00000000; 11'h011: VAR1 = 8'b00000000; 11'h012: VAR1 = 8'b01111110; 11'h013: VAR1 = 8'b10000001; 11'h014: VAR1 = 8'b10100101; 11'h015: VAR1 = 8'b10000001; 11'h016: VAR1 = 8'b10000001; 11'h017: VAR1 = 8'b10111101; 11'h018: VAR1 = 8'b10011001; 11'h019: VAR1 = 8'b10000001; 11'h01a: VAR1 = 8'b10000001; 11'h01b: VAR1 = 8'b01111110; 11'h01c: VAR1 = 8'b00000000; 11'h01d: VAR1 = 8'b00000000; 11'h01e: VAR1 = 8'b00000000; 11'h01f: VAR1 = 8'b00000000; 11'h020: VAR1 = 8'b00000000; 11'h021: VAR1 = 8'b00000000; 11'h022: VAR1 = 8'b01111110; 11'h023: VAR1 = 8'b11111111; 11'h024: VAR1 = 8'b11011011; 11'h025: VAR1 = 8'b11111111; 11'h026: VAR1 = 8'b11111111; 11'h027: VAR1 = 8'b11000011; 11'h028: VAR1 = 8'b11100111; 11'h029: VAR1 = 8'b11111111; 11'h02a: VAR1 = 8'b11111111; 11'h02b: VAR1 = 8'b01111110; 11'h02c: VAR1 = 8'b00000000; 11'h02d: VAR1 = 8'b00000000; 11'h02e: VAR1 = 8'b00000000; 11'h02f: VAR1 = 8'b00000000; 11'h030: VAR1 = 8'b00000000; 11'h031: VAR1 = 8'b00000000; 11'h032: VAR1 = 8'b00000000; 11'h033: VAR1 = 8'b00000000; 11'h034: VAR1 = 8'b01101100; 11'h035: VAR1 = 8'b11111110; 11'h036: VAR1 = 8'b11111110; 11'h037: VAR1 = 8'b11111110; 11'h038: VAR1 = 8'b11111110; 11'h039: VAR1 = 8'b01111100; 11'h03a: VAR1 = 8'b00111000; 11'h03b: VAR1 = 8'b00010000; 11'h03c: VAR1 = 8'b00000000; 11'h03d: VAR1 = 8'b00000000; 11'h03e: VAR1 = 8'b00000000; 11'h03f: VAR1 = 8'b00000000; 11'h040: VAR1 = 8'b00000000; 11'h041: VAR1 = 8'b00000000; 11'h042: VAR1 = 8'b00000000; 11'h043: VAR1 = 8'b00000000; 11'h044: VAR1 = 8'b00010000; 11'h045: VAR1 = 8'b00111000; 11'h046: VAR1 = 8'b01111100; 11'h047: VAR1 = 8'b11111110; 11'h048: VAR1 = 8'b01111100; 11'h049: VAR1 = 8'b00111000; 11'h04a: VAR1 = 8'b00010000; 11'h04b: VAR1 = 8'b00000000; 11'h04c: VAR1 = 8'b00000000; 11'h04d: VAR1 = 8'b00000000; 11'h04e: VAR1 = 8'b00000000; 11'h04f: VAR1 = 8'b00000000; 11'h050: VAR1 = 8'b00000000; 11'h051: VAR1 = 8'b00000000; 11'h052: VAR1 = 8'b00000000; 11'h053: VAR1 = 8'b00011000; 11'h054: VAR1 = 8'b00111100; 11'h055: VAR1 = 8'b00111100; 11'h056: VAR1 = 8'b11100111; 11'h057: VAR1 = 8'b11100111; 11'h058: VAR1 = 8'b11100111; 11'h059: VAR1 = 8'b00011000; 11'h05a: VAR1 = 8'b00011000; 11'h05b: VAR1 = 8'b00111100; 11'h05c: VAR1 = 8'b00000000; 11'h05d: VAR1 = 8'b00000000; 11'h05e: VAR1 = 8'b00000000; 11'h05f: VAR1 = 8'b00000000; 11'h060: VAR1 = 8'b00000000; 11'h061: VAR1 = 8'b00000000; 11'h062: VAR1 = 8'b00000000; 11'h063: VAR1 = 8'b00011000; 11'h064: VAR1 = 8'b00111100; 11'h065: VAR1 = 8'b01111110; 11'h066: VAR1 = 8'b11111111; 11'h067: VAR1 = 8'b11111111; 11'h068: VAR1 = 8'b01111110; 11'h069: VAR1 = 8'b00011000; 11'h06a: VAR1 = 8'b00011000; 11'h06b: VAR1 = 8'b00111100; 11'h06c: VAR1 = 8'b00000000; 11'h06d: VAR1 = 8'b00000000; 11'h06e: VAR1 = 8'b00000000; 11'h06f: VAR1 = 8'b00000000; 11'h070: VAR1 = 8'b00000000; 11'h071: VAR1 = 8'b00000000; 11'h072: VAR1 = 8'b00000000; 11'h073: VAR1 = 8'b00000000; 11'h074: VAR1 = 8'b00000000; 11'h075: VAR1 = 8'b00000000; 11'h076: VAR1 = 8'b00011000; 11'h077: VAR1 = 8'b00111100; 11'h078: VAR1 = 8'b00111100; 11'h079: VAR1 = 8'b00011000; 11'h07a: VAR1 = 8'b00000000; 11'h07b: VAR1 = 8'b00000000; 11'h07c: VAR1 = 8'b00000000; 11'h07d: VAR1 = 8'b00000000; 11'h07e: VAR1 = 8'b00000000; 11'h07f: VAR1 = 8'b00000000; 11'h080: VAR1 = 8'b11111111; 11'h081: VAR1 = 8'b11111111; 11'h082: VAR1 = 8'b11111111; 11'h083: VAR1 = 8'b11111111; 11'h084: VAR1 = 8'b11111111; 11'h085: VAR1 = 8'b11111111; 11'h086: VAR1 = 8'b11100111; 11'h087: VAR1 = 8'b11000011; 11'h088: VAR1 = 8'b11000011; 11'h089: VAR1 = 8'b11100111; 11'h08a: VAR1 = 8'b11111111; 11'h08b: VAR1 = 8'b11111111; 11'h08c: VAR1 = 8'b11111111; 11'h08d: VAR1 = 8'b11111111; 11'h08e: VAR1 = 8'b11111111; 11'h08f: VAR1 = 8'b11111111; 11'h090: VAR1 = 8'b00000000; 11'h091: VAR1 = 8'b00000000; 11'h092: VAR1 = 8'b00000000; 11'h093: VAR1 = 8'b00000000; 11'h094: VAR1 = 8'b00000000; 11'h095: VAR1 = 8'b00111100; 11'h096: VAR1 = 8'b01100110; 11'h097: VAR1 = 8'b01000010; 11'h098: VAR1 = 8'b01000010; 11'h099: VAR1 = 8'b01100110; 11'h09a: VAR1 = 8'b00111100; 11'h09b: VAR1 = 8'b00000000; 11'h09c: VAR1 = 8'b00000000; 11'h09d: VAR1 = 8'b00000000; 11'h09e: VAR1 = 8'b00000000; 11'h09f: VAR1 = 8'b00000000; 11'h0a0: VAR1 = 8'b11111111; 11'h0a1: VAR1 = 8'b11111111; 11'h0a2: VAR1 = 8'b11111111; 11'h0a3: VAR1 = 8'b11111111; 11'h0a4: VAR1 = 8'b11111111; 11'h0a5: VAR1 = 8'b11000011; 11'h0a6: VAR1 = 8'b10011001; 11'h0a7: VAR1 = 8'b10111101; 11'h0a8: VAR1 = 8'b10111101; 11'h0a9: VAR1 = 8'b10011001; 11'h0aa: VAR1 = 8'b11000011; 11'h0ab: VAR1 = 8'b11111111; 11'h0ac: VAR1 = 8'b11111111; 11'h0ad: VAR1 = 8'b11111111; 11'h0ae: VAR1 = 8'b11111111; 11'h0af: VAR1 = 8'b11111111; 11'h0b0: VAR1 = 8'b00000000; 11'h0b1: VAR1 = 8'b00000000; 11'h0b2: VAR1 = 8'b00011110; 11'h0b3: VAR1 = 8'b00001110; 11'h0b4: VAR1 = 8'b00011010; 11'h0b5: VAR1 = 8'b00110010; 11'h0b6: VAR1 = 8'b01111000; 11'h0b7: VAR1 = 8'b11001100; 11'h0b8: VAR1 = 8'b11001100; 11'h0b9: VAR1 = 8'b11001100; 11'h0ba: VAR1 = 8'b11001100; 11'h0bb: VAR1 = 8'b01111000; 11'h0bc: VAR1 = 8'b00000000; 11'h0bd: VAR1 = 8'b00000000; 11'h0be: VAR1 = 8'b00000000; 11'h0bf: VAR1 = 8'b00000000; 11'h0c0: VAR1 = 8'b00000000; 11'h0c1: VAR1 = 8'b00000000; 11'h0c2: VAR1 = 8'b00111100; 11'h0c3: VAR1 = 8'b01100110; 11'h0c4: VAR1 = 8'b01100110; 11'h0c5: VAR1 = 8'b01100110; 11'h0c6: VAR1 = 8'b01100110; 11'h0c7: VAR1 = 8'b00111100; 11'h0c8: VAR1 = 8'b00011000; 11'h0c9: VAR1 = 8'b01111110; 11'h0ca: VAR1 = 8'b00011000; 11'h0cb: VAR1 = 8'b00011000; 11'h0cc: VAR1 = 8'b00000000; 11'h0cd: VAR1 = 8'b00000000; 11'h0ce: VAR1 = 8'b00000000; 11'h0cf: VAR1 = 8'b00000000; 11'h0d0: VAR1 = 8'b00000000; 11'h0d1: VAR1 = 8'b00000000; 11'h0d2: VAR1 = 8'b00111111; 11'h0d3: VAR1 = 8'b00110011; 11'h0d4: VAR1 = 8'b00111111; 11'h0d5: VAR1 = 8'b00110000; 11'h0d6: VAR1 = 8'b00110000; 11'h0d7: VAR1 = 8'b00110000; 11'h0d8: VAR1 = 8'b00110000; 11'h0d9: VAR1 = 8'b01110000; 11'h0da: VAR1 = 8'b11110000; 11'h0db: VAR1 = 8'b11100000; 11'h0dc: VAR1 = 8'b00000000; 11'h0dd: VAR1 = 8'b00000000; 11'h0de: VAR1 = 8'b00000000; 11'h0df: VAR1 = 8'b00000000; 11'h0e0: VAR1 = 8'b00000000; 11'h0e1: VAR1 = 8'b00000000; 11'h0e2: VAR1 = 8'b01111111; 11'h0e3: VAR1 = 8'b01100011; 11'h0e4: VAR1 = 8'b01111111; 11'h0e5: VAR1 = 8'b01100011; 11'h0e6: VAR1 = 8'b01100011; 11'h0e7: VAR1 = 8'b01100011; 11'h0e8: VAR1 = 8'b01100011; 11'h0e9: VAR1 = 8'b01100111; 11'h0ea: VAR1 = 8'b11100111; 11'h0eb: VAR1 = 8'b11100110; 11'h0ec: VAR1 = 8'b11000000; 11'h0ed: VAR1 = 8'b00000000; 11'h0ee: VAR1 = 8'b00000000; 11'h0ef: VAR1 = 8'b00000000; 11'h0f0: VAR1 = 8'b00000000; 11'h0f1: VAR1 = 8'b00000000; 11'h0f2: VAR1 = 8'b00000000; 11'h0f3: VAR1 = 8'b00011000; 11'h0f4: VAR1 = 8'b00011000; 11'h0f5: VAR1 = 8'b11011011; 11'h0f6: VAR1 = 8'b00111100; 11'h0f7: VAR1 = 8'b11100111; 11'h0f8: VAR1 = 8'b00111100; 11'h0f9: VAR1 = 8'b11011011; 11'h0fa: VAR1 = 8'b00011000; 11'h0fb: VAR1 = 8'b00011000; 11'h0fc: VAR1 = 8'b00000000; 11'h0fd: VAR1 = 8'b00000000; 11'h0fe: VAR1 = 8'b00000000; 11'h0ff: VAR1 = 8'b00000000; 11'h100: VAR1 = 8'b00000000; 11'h101: VAR1 = 8'b10000000; 11'h102: VAR1 = 8'b11000000; 11'h103: VAR1 = 8'b11100000; 11'h104: VAR1 = 8'b11110000; 11'h105: VAR1 = 8'b11111000; 11'h106: VAR1 = 8'b11111110; 11'h107: VAR1 = 8'b11111000; 11'h108: VAR1 = 8'b11110000; 11'h109: VAR1 = 8'b11100000; 11'h10a: VAR1 = 8'b11000000; 11'h10b: VAR1 = 8'b10000000; 11'h10c: VAR1 = 8'b00000000; 11'h10d: VAR1 = 8'b00000000; 11'h10e: VAR1 = 8'b00000000; 11'h10f: VAR1 = 8'b00000000; 11'h110: VAR1 = 8'b00000000; 11'h111: VAR1 = 8'b00000010; 11'h112: VAR1 = 8'b00000110; 11'h113: VAR1 = 8'b00001110; 11'h114: VAR1 = 8'b00011110; 11'h115: VAR1 = 8'b00111110; 11'h116: VAR1 = 8'b11111110; 11'h117: VAR1 = 8'b00111110; 11'h118: VAR1 = 8'b00011110; 11'h119: VAR1 = 8'b00001110; 11'h11a: VAR1 = 8'b00000110; 11'h11b: VAR1 = 8'b00000010; 11'h11c: VAR1 = 8'b00000000; 11'h11d: VAR1 = 8'b00000000; 11'h11e: VAR1 = 8'b00000000; 11'h11f: VAR1 = 8'b00000000; 11'h120: VAR1 = 8'b00000000; 11'h121: VAR1 = 8'b00000000; 11'h122: VAR1 = 8'b00011000; 11'h123: VAR1 = 8'b00111100; 11'h124: VAR1 = 8'b01111110; 11'h125: VAR1 = 8'b00011000; 11'h126: VAR1 = 8'b00011000; 11'h127: VAR1 = 8'b00011000; 11'h128: VAR1 = 8'b01111110; 11'h129: VAR1 = 8'b00111100; 11'h12a: VAR1 = 8'b00011000; 11'h12b: VAR1 = 8'b00000000; 11'h12c: VAR1 = 8'b00000000; 11'h12d: VAR1 = 8'b00000000; 11'h12e: VAR1 = 8'b00000000; 11'h12f: VAR1 = 8'b00000000; 11'h130: VAR1 = 8'b00000000; 11'h131: VAR1 = 8'b00000000; 11'h132: VAR1 = 8'b01100110; 11'h133: VAR1 = 8'b01100110; 11'h134: VAR1 = 8'b01100110; 11'h135: VAR1 = 8'b01100110; 11'h136: VAR1 = 8'b01100110; 11'h137: VAR1 = 8'b01100110; 11'h138: VAR1 = 8'b01100110; 11'h139: VAR1 = 8'b00000000; 11'h13a: VAR1 = 8'b01100110; 11'h13b: VAR1 = 8'b01100110; 11'h13c: VAR1 = 8'b00000000; 11'h13d: VAR1 = 8'b00000000; 11'h13e: VAR1 = 8'b00000000; 11'h13f: VAR1 = 8'b00000000; 11'h140: VAR1 = 8'b00000000; 11'h141: VAR1 = 8'b00000000; 11'h142: VAR1 = 8'b01111111; 11'h143: VAR1 = 8'b11011011; 11'h144: VAR1 = 8'b11011011; 11'h145: VAR1 = 8'b11011011; 11'h146: VAR1 = 8'b01111011; 11'h147: VAR1 = 8'b00011011; 11'h148: VAR1 = 8'b00011011; 11'h149: VAR1 = 8'b00011011; 11'h14a: VAR1 = 8'b00011011; 11'h14b: VAR1 = 8'b00011011; 11'h14c: VAR1 = 8'b00000000; 11'h14d: VAR1 = 8'b00000000; 11'h14e: VAR1 = 8'b00000000; 11'h14f: VAR1 = 8'b00000000; 11'h150: VAR1 = 8'b00000000; 11'h151: VAR1 = 8'b01111100; 11'h152: VAR1 = 8'b11000110; 11'h153: VAR1 = 8'b01100000; 11'h154: VAR1 = 8'b00111000; 11'h155: VAR1 = 8'b01101100; 11'h156: VAR1 = 8'b11000110; 11'h157: VAR1 = 8'b11000110; 11'h158: VAR1 = 8'b01101100; 11'h159: VAR1 = 8'b00111000; 11'h15a: VAR1 = 8'b00001100; 11'h15b: VAR1 = 8'b11000110; 11'h15c: VAR1 = 8'b01111100; 11'h15d: VAR1 = 8'b00000000; 11'h15e: VAR1 = 8'b00000000; 11'h15f: VAR1 = 8'b00000000; 11'h160: VAR1 = 8'b00000000; 11'h161: VAR1 = 8'b00000000; 11'h162: VAR1 = 8'b00000000; 11'h163: VAR1 = 8'b00000000; 11'h164: VAR1 = 8'b00000000; 11'h165: VAR1 = 8'b00000000; 11'h166: VAR1 = 8'b00000000; 11'h167: VAR1 = 8'b00000000; 11'h168: VAR1 = 8'b11111110; 11'h169: VAR1 = 8'b11111110; 11'h16a: VAR1 = 8'b11111110; 11'h16b: VAR1 = 8'b11111110; 11'h16c: VAR1 = 8'b00000000; 11'h16d: VAR1 = 8'b00000000; 11'h16e: VAR1 = 8'b00000000; 11'h16f: VAR1 = 8'b00000000; 11'h170: VAR1 = 8'b00000000; 11'h171: VAR1 = 8'b00000000; 11'h172: VAR1 = 8'b00011000; 11'h173: VAR1 = 8'b00111100; 11'h174: VAR1 = 8'b01111110; 11'h175: VAR1 = 8'b00011000; 11'h176: VAR1 = 8'b00011000; 11'h177: VAR1 = 8'b00011000; 11'h178: VAR1 = 8'b01111110; 11'h179: VAR1 = 8'b00111100; 11'h17a: VAR1 = 8'b00011000; 11'h17b: VAR1 = 8'b01111110; 11'h17c: VAR1 = 8'b00110000; 11'h17d: VAR1 = 8'b00000000; 11'h17e: VAR1 = 8'b00000000; 11'h17f: VAR1 = 8'b00000000; 11'h180: VAR1 = 8'b00000000; 11'h181: VAR1 = 8'b00000000; 11'h182: VAR1 = 8'b00011000; 11'h183: VAR1 = 8'b00111100; 11'h184: VAR1 = 8'b01111110; 11'h185: VAR1 = 8'b00011000; 11'h186: VAR1 = 8'b00011000; 11'h187: VAR1 = 8'b00011000; 11'h188: VAR1 = 8'b00011000; 11'h189: VAR1 = 8'b00011000; 11'h18a: VAR1 = 8'b00011000; 11'h18b: VAR1 = 8'b00011000; 11'h18c: VAR1 = 8'b00000000; 11'h18d: VAR1 = 8'b00000000; 11'h18e: VAR1 = 8'b00000000; 11'h18f: VAR1 = 8'b00000000; 11'h190: VAR1 = 8'b00000000; 11'h191: VAR1 = 8'b00000000; 11'h192: VAR1 = 8'b00011000; 11'h193: VAR1 = 8'b00011000; 11'h194: VAR1 = 8'b00011000; 11'h195: VAR1 = 8'b00011000; 11'h196: VAR1 = 8'b00011000; 11'h197: VAR1 = 8'b00011000; 11'h198: VAR1 = 8'b00011000; 11'h199: VAR1 = 8'b01111110; 11'h19a: VAR1 = 8'b00111100; 11'h19b: VAR1 = 8'b00011000; 11'h19c: VAR1 = 8'b00000000; 11'h19d: VAR1 = 8'b00000000; 11'h19e: VAR1 = 8'b00000000; 11'h19f: VAR1 = 8'b00000000; 11'h1a0: VAR1 = 8'b00000000; 11'h1a1: VAR1 = 8'b00000000; 11'h1a2: VAR1 = 8'b00000000; 11'h1a3: VAR1 = 8'b00000000; 11'h1a4: VAR1 = 8'b00000000; 11'h1a5: VAR1 = 8'b00011000; 11'h1a6: VAR1 = 8'b00001100; 11'h1a7: VAR1 = 8'b11111110; 11'h1a8: VAR1 = 8'b00001100; 11'h1a9: VAR1 = 8'b00011000; 11'h1aa: VAR1 = 8'b00000000; 11'h1ab: VAR1 = 8'b00000000; 11'h1ac: VAR1 = 8'b00000000; 11'h1ad: VAR1 = 8'b00000000; 11'h1ae: VAR1 = 8'b00000000; 11'h1af: VAR1 = 8'b00000000; 11'h1b0: VAR1 = 8'b00000000; 11'h1b1: VAR1 = 8'b00000000; 11'h1b2: VAR1 = 8'b00000000; 11'h1b3: VAR1 = 8'b00000000; 11'h1b4: VAR1 = 8'b00000000; 11'h1b5: VAR1 = 8'b00110000; 11'h1b6: VAR1 = 8'b01100000; 11'h1b7: VAR1 = 8'b11111110; 11'h1b8: VAR1 = 8'b01100000; 11'h1b9: VAR1 = 8'b00110000; 11'h1ba: VAR1 = 8'b00000000; 11'h1bb: VAR1 = 8'b00000000; 11'h1bc: VAR1 = 8'b00000000; 11'h1bd: VAR1 = 8'b00000000; 11'h1be: VAR1 = 8'b00000000; 11'h1bf: VAR1 = 8'b00000000; 11'h1c0: VAR1 = 8'b00000000; 11'h1c1: VAR1 = 8'b00000000; 11'h1c2: VAR1 = 8'b00000000; 11'h1c3: VAR1 = 8'b00000000; 11'h1c4: VAR1 = 8'b00000000; 11'h1c5: VAR1 = 8'b00000000; 11'h1c6: VAR1 = 8'b11000000; 11'h1c7: VAR1 = 8'b11000000; 11'h1c8: VAR1 = 8'b11000000; 11'h1c9: VAR1 = 8'b11111110; 11'h1ca: VAR1 = 8'b00000000; 11'h1cb: VAR1 = 8'b00000000; 11'h1cc: VAR1 = 8'b00000000; 11'h1cd: VAR1 = 8'b00000000; 11'h1ce: VAR1 = 8'b00000000; 11'h1cf: VAR1 = 8'b00000000; 11'h1d0: VAR1 = 8'b00000000; 11'h1d1: VAR1 = 8'b00000000; 11'h1d2: VAR1 = 8'b00000000; 11'h1d3: VAR1 = 8'b00000000; 11'h1d4: VAR1 = 8'b00000000; 11'h1d5: VAR1 = 8'b00100100; 11'h1d6: VAR1 = 8'b01100110; 11'h1d7: VAR1 = 8'b11111111; 11'h1d8: VAR1 = 8'b01100110; 11'h1d9: VAR1 = 8'b00100100; 11'h1da: VAR1 = 8'b00000000; 11'h1db: VAR1 = 8'b00000000; 11'h1dc: VAR1 = 8'b00000000; 11'h1dd: VAR1 = 8'b00000000; 11'h1de: VAR1 = 8'b00000000; 11'h1df: VAR1 = 8'b00000000; 11'h1e0: VAR1 = 8'b00000000; 11'h1e1: VAR1 = 8'b00000000; 11'h1e2: VAR1 = 8'b00000000; 11'h1e3: VAR1 = 8'b00000000; 11'h1e4: VAR1 = 8'b00010000; 11'h1e5: VAR1 = 8'b00111000; 11'h1e6: VAR1 = 8'b00111000; 11'h1e7: VAR1 = 8'b01111100; 11'h1e8: VAR1 = 8'b01111100; 11'h1e9: VAR1 = 8'b11111110; 11'h1ea: VAR1 = 8'b11111110; 11'h1eb: VAR1 = 8'b00000000; 11'h1ec: VAR1 = 8'b00000000; 11'h1ed: VAR1 = 8'b00000000; 11'h1ee: VAR1 = 8'b00000000; 11'h1ef: VAR1 = 8'b00000000; 11'h1f0: VAR1 = 8'b00000000; 11'h1f1: VAR1 = 8'b00000000; 11'h1f2: VAR1 = 8'b00000000; 11'h1f3: VAR1 = 8'b00000000; 11'h1f4: VAR1 = 8'b11111110; 11'h1f5: VAR1 = 8'b11111110; 11'h1f6: VAR1 = 8'b01111100; 11'h1f7: VAR1 = 8'b01111100; 11'h1f8: VAR1 = 8'b00111000; 11'h1f9: VAR1 = 8'b00111000; 11'h1fa: VAR1 = 8'b00010000; 11'h1fb: VAR1 = 8'b00000000; 11'h1fc: VAR1 = 8'b00000000; 11'h1fd: VAR1 = 8'b00000000; 11'h1fe: VAR1 = 8'b00000000; 11'h1ff: VAR1 = 8'b00000000; 11'h200: VAR1 = 8'b00000000; 11'h201: VAR1 = 8'b00000000; 11'h202: VAR1 = 8'b00000000; 11'h203: VAR1 = 8'b00000000; 11'h204: VAR1 = 8'b00000000; 11'h205: VAR1 = 8'b00000000; 11'h206: VAR1 = 8'b00000000; 11'h207: VAR1 = 8'b00000000; 11'h208: VAR1 = 8'b00000000; 11'h209: VAR1 = 8'b00000000; 11'h20a: VAR1 = 8'b00000000; 11'h20b: VAR1 = 8'b00000000; 11'h20c: VAR1 = 8'b00000000; 11'h20d: VAR1 = 8'b00000000; 11'h20e: VAR1 = 8'b00000000; 11'h20f: VAR1 = 8'b00000000; 11'h210: VAR1 = 8'b00000000; 11'h211: VAR1 = 8'b00000000; 11'h212: VAR1 = 8'b00011000; 11'h213: VAR1 = 8'b00111100; 11'h214: VAR1 = 8'b00111100; 11'h215: VAR1 = 8'b00111100; 11'h216: VAR1 = 8'b00011000; 11'h217: VAR1 = 8'b00011000; 11'h218: VAR1 = 8'b00011000; 11'h219: VAR1 = 8'b00000000; 11'h21a: VAR1 = 8'b00011000; 11'h21b: VAR1 = 8'b00011000; 11'h21c: VAR1 = 8'b00000000; 11'h21d: VAR1 = 8'b00000000; 11'h21e: VAR1 = 8'b00000000; 11'h21f: VAR1 = 8'b00000000; 11'h220: VAR1 = 8'b00000000; 11'h221: VAR1 = 8'b01100110; 11'h222: VAR1 = 8'b01100110; 11'h223: VAR1 = 8'b01100110; 11'h224: VAR1 = 8'b00100100; 11'h225: VAR1 = 8'b00000000; 11'h226: VAR1 = 8'b00000000; 11'h227: VAR1 = 8'b00000000; 11'h228: VAR1 = 8'b00000000; 11'h229: VAR1 = 8'b00000000; 11'h22a: VAR1 = 8'b00000000; 11'h22b: VAR1 = 8'b00000000; 11'h22c: VAR1 = 8'b00000000; 11'h22d: VAR1 = 8'b00000000; 11'h22e: VAR1 = 8'b00000000; 11'h22f: VAR1 = 8'b00000000; 11'h230: VAR1 = 8'b00000000; 11'h231: VAR1 = 8'b00000000; 11'h232: VAR1 = 8'b00000000; 11'h233: VAR1 = 8'b01101100; 11'h234: VAR1 = 8'b01101100; 11'h235: VAR1 = 8'b11111110; 11'h236: VAR1 = 8'b01101100; 11'h237: VAR1 = 8'b01101100; 11'h238: VAR1 = 8'b01101100; 11'h239: VAR1 = 8'b11111110; 11'h23a: VAR1 = 8'b01101100; 11'h23b: VAR1 = 8'b01101100; 11'h23c: VAR1 = 8'b00000000; 11'h23d: VAR1 = 8'b00000000; 11'h23e: VAR1 = 8'b00000000; 11'h23f: VAR1 = 8'b00000000; 11'h240: VAR1 = 8'b00011000; 11'h241: VAR1 = 8'b00011000; 11'h242: VAR1 = 8'b01111100; 11'h243: VAR1 = 8'b11000110; 11'h244: VAR1 = 8'b11000010; 11'h245: VAR1 = 8'b11000000; 11'h246: VAR1 = 8'b01111100; 11'h247: VAR1 = 8'b00000110; 11'h248: VAR1 = 8'b00000110; 11'h249: VAR1 = 8'b10000110; 11'h24a: VAR1 = 8'b11000110; 11'h24b: VAR1 = 8'b01111100; 11'h24c: VAR1 = 8'b00011000; 11'h24d: VAR1 = 8'b00011000; 11'h24e: VAR1 = 8'b00000000; 11'h24f: VAR1 = 8'b00000000; 11'h250: VAR1 = 8'b00000000; 11'h251: VAR1 = 8'b00000000; 11'h252: VAR1 = 8'b00000000; 11'h253: VAR1 = 8'b00000000; 11'h254: VAR1 = 8'b11000010; 11'h255: VAR1 = 8'b11000110; 11'h256: VAR1 = 8'b00001100; 11'h257: VAR1 = 8'b00011000; 11'h258: VAR1 = 8'b00110000; 11'h259: VAR1 = 8'b01100000; 11'h25a: VAR1 = 8'b11000110; 11'h25b: VAR1 = 8'b10000110; 11'h25c: VAR1 = 8'b00000000; 11'h25d: VAR1 = 8'b00000000; 11'h25e: VAR1 = 8'b00000000; 11'h25f: VAR1 = 8'b00000000; 11'h260: VAR1 = 8'b00000000; 11'h261: VAR1 = 8'b00000000; 11'h262: VAR1 = 8'b00111000; 11'h263: VAR1 = 8'b01101100; 11'h264: VAR1 = 8'b01101100; 11'h265: VAR1 = 8'b00111000; 11'h266: VAR1 = 8'b01110110; 11'h267: VAR1 = 8'b11011100; 11'h268: VAR1 = 8'b11001100; 11'h269: VAR1 = 8'b11001100; 11'h26a: VAR1 = 8'b11001100; 11'h26b: VAR1 = 8'b01110110; 11'h26c: VAR1 = 8'b00000000; 11'h26d: VAR1 = 8'b00000000; 11'h26e: VAR1 = 8'b00000000; 11'h26f: VAR1 = 8'b00000000; 11'h270: VAR1 = 8'b00000000; 11'h271: VAR1 = 8'b00110000; 11'h272: VAR1 = 8'b00110000; 11'h273: VAR1 = 8'b00110000; 11'h274: VAR1 = 8'b01100000; 11'h275: VAR1 = 8'b00000000; 11'h276: VAR1 = 8'b00000000; 11'h277: VAR1 = 8'b00000000; 11'h278: VAR1 = 8'b00000000; 11'h279: VAR1 = 8'b00000000; 11'h27a: VAR1 = 8'b00000000; 11'h27b: VAR1 = 8'b00000000; 11'h27c: VAR1 = 8'b00000000; 11'h27d: VAR1 = 8'b00000000; 11'h27e: VAR1 = 8'b00000000; 11'h27f: VAR1 = 8'b00000000; 11'h280: VAR1 = 8'b00000000; 11'h281: VAR1 = 8'b00000000; 11'h282: VAR1 = 8'b00001100; 11'h283: VAR1 = 8'b00011000; 11'h284: VAR1 = 8'b00110000; 11'h285: VAR1 = 8'b00110000; 11'h286: VAR1 = 8'b00110000; 11'h287: VAR1 = 8'b00110000; 11'h288: VAR1 = 8'b00110000; 11'h289: VAR1 = 8'b00110000; 11'h28a: VAR1 = 8'b00011000; 11'h28b: VAR1 = 8'b00001100; 11'h28c: VAR1 = 8'b00000000; 11'h28d: VAR1 = 8'b00000000; 11'h28e: VAR1 = 8'b00000000; 11'h28f: VAR1 = 8'b00000000; 11'h290: VAR1 = 8'b00000000; 11'h291: VAR1 = 8'b00000000; 11'h292: VAR1 = 8'b00110000; 11'h293: VAR1 = 8'b00011000; 11'h294: VAR1 = 8'b00001100; 11'h295: VAR1 = 8'b00001100; 11'h296: VAR1 = 8'b00001100; 11'h297: VAR1 = 8'b00001100; 11'h298: VAR1 = 8'b00001100; 11'h299: VAR1 = 8'b00001100; 11'h29a: VAR1 = 8'b00011000; 11'h29b: VAR1 = 8'b00110000; 11'h29c: VAR1 = 8'b00000000; 11'h29d: VAR1 = 8'b00000000; 11'h29e: VAR1 = 8'b00000000; 11'h29f: VAR1 = 8'b00000000; 11'h2a0: VAR1 = 8'b00000000; 11'h2a1: VAR1 = 8'b00000000; 11'h2a2: VAR1 = 8'b00000000; 11'h2a3: VAR1 = 8'b00000000; 11'h2a4: VAR1 = 8'b00000000; 11'h2a5: VAR1 = 8'b01100110; 11'h2a6: VAR1 = 8'b00111100; 11'h2a7: VAR1 = 8'b11111111; 11'h2a8: VAR1 = 8'b00111100; 11'h2a9: VAR1 = 8'b01100110; 11'h2aa: VAR1 = 8'b00000000; 11'h2ab: VAR1 = 8'b00000000; 11'h2ac: VAR1 = 8'b00000000; 11'h2ad: VAR1 = 8'b00000000; 11'h2ae: VAR1 = 8'b00000000; 11'h2af: VAR1 = 8'b00000000; 11'h2b0: VAR1 = 8'b00000000; 11'h2b1: VAR1 = 8'b00000000; 11'h2b2: VAR1 = 8'b00000000; 11'h2b3: VAR1 = 8'b00000000; 11'h2b4: VAR1 = 8'b00000000; 11'h2b5: VAR1 = 8'b00011000; 11'h2b6: VAR1 = 8'b00011000; 11'h2b7: VAR1 = 8'b01111110; 11'h2b8: VAR1 = 8'b00011000; 11'h2b9: VAR1 = 8'b00011000; 11'h2ba: VAR1 = 8'b00000000; 11'h2bb: VAR1 = 8'b00000000; 11'h2bc: VAR1 = 8'b00000000; 11'h2bd: VAR1 = 8'b00000000; 11'h2be: VAR1 = 8'b00000000; 11'h2bf: VAR1 = 8'b00000000; 11'h2c0: VAR1 = 8'b00000000; 11'h2c1: VAR1 = 8'b00000000; 11'h2c2: VAR1 = 8'b00000000; 11'h2c3: VAR1 = 8'b00000000; 11'h2c4: VAR1 = 8'b00000000; 11'h2c5: VAR1 = 8'b00000000; 11'h2c6: VAR1 = 8'b00000000; 11'h2c7: VAR1 = 8'b00000000; 11'h2c8: VAR1 = 8'b00000000; 11'h2c9: VAR1 = 8'b00011000; 11'h2ca: VAR1 = 8'b00011000; 11'h2cb: VAR1 = 8'b00011000; 11'h2cc: VAR1 = 8'b00110000; 11'h2cd: VAR1 = 8'b00000000; 11'h2ce: VAR1 = 8'b00000000; 11'h2cf: VAR1 = 8'b00000000; 11'h2d0: VAR1 = 8'b00000000; 11'h2d1: VAR1 = 8'b00000000; 11'h2d2: VAR1 = 8'b00000000; 11'h2d3: VAR1 = 8'b00000000; 11'h2d4: VAR1 = 8'b00000000; 11'h2d5: VAR1 = 8'b00000000; 11'h2d6: VAR1 = 8'b00000000; 11'h2d7: VAR1 = 8'b01111110; 11'h2d8: VAR1 = 8'b00000000; 11'h2d9: VAR1 = 8'b00000000; 11'h2da: VAR1 = 8'b00000000; 11'h2db: VAR1 = 8'b00000000; 11'h2dc: VAR1 = 8'b00000000; 11'h2dd: VAR1 = 8'b00000000; 11'h2de: VAR1 = 8'b00000000; 11'h2df: VAR1 = 8'b00000000; 11'h2e0: VAR1 = 8'b00000000; 11'h2e1: VAR1 = 8'b00000000; 11'h2e2: VAR1 = 8'b00000000; 11'h2e3: VAR1 = 8'b00000000; 11'h2e4: VAR1 = 8'b00000000; 11'h2e5: VAR1 = 8'b00000000; 11'h2e6: VAR1 = 8'b00000000; 11'h2e7: VAR1 = 8'b00000000; 11'h2e8: VAR1 = 8'b00000000; 11'h2e9: VAR1 = 8'b00000000; 11'h2ea: VAR1 = 8'b00011000; 11'h2eb: VAR1 = 8'b00011000; 11'h2ec: VAR1 = 8'b00000000; 11'h2ed: VAR1 = 8'b00000000; 11'h2ee: VAR1 = 8'b00000000; 11'h2ef: VAR1 = 8'b00000000; 11'h2f0: VAR1 = 8'b00000000; 11'h2f1: VAR1 = 8'b00000000; 11'h2f2: VAR1 = 8'b00000000; 11'h2f3: VAR1 = 8'b00000000; 11'h2f4: VAR1 = 8'b00000010; 11'h2f5: VAR1 = 8'b00000110; 11'h2f6: VAR1 = 8'b00001100; 11'h2f7: VAR1 = 8'b00011000; 11'h2f8: VAR1 = 8'b00110000; 11'h2f9: VAR1 = 8'b01100000; 11'h2fa: VAR1 = 8'b11000000; 11'h2fb: VAR1 = 8'b10000000; 11'h2fc: VAR1 = 8'b00000000; 11'h2fd: VAR1 = 8'b00000000; 11'h2fe: VAR1 = 8'b00000000; 11'h2ff: VAR1 = 8'b00000000; 11'h300: VAR1 = 8'b00000000; 11'h301: VAR1 = 8'b00000000; 11'h302: VAR1 = 8'b01111100; 11'h303: VAR1 = 8'b11000110; 11'h304: VAR1 = 8'b11000110; 11'h305: VAR1 = 8'b11001110; 11'h306: VAR1 = 8'b11011110; 11'h307: VAR1 = 8'b11110110; 11'h308: VAR1 = 8'b11100110; 11'h309: VAR1 = 8'b11000110; 11'h30a: VAR1 = 8'b11000110; 11'h30b: VAR1 = 8'b01111100; 11'h30c: VAR1 = 8'b00000000; 11'h30d: VAR1 = 8'b00000000; 11'h30e: VAR1 = 8'b00000000; 11'h30f: VAR1 = 8'b00000000; 11'h310: VAR1 = 8'b00000000; 11'h311: VAR1 = 8'b00000000; 11'h312: VAR1 = 8'b00011000; 11'h313: VAR1 = 8'b00111000; 11'h314: VAR1 = 8'b01111000; 11'h315: VAR1 = 8'b00011000; 11'h316: VAR1 = 8'b00011000; 11'h317: VAR1 = 8'b00011000; 11'h318: VAR1 = 8'b00011000; 11'h319: VAR1 = 8'b00011000; 11'h31a: VAR1 = 8'b00011000; 11'h31b: VAR1 = 8'b01111110; 11'h31c: VAR1 = 8'b00000000; 11'h31d: VAR1 = 8'b00000000; 11'h31e: VAR1 = 8'b00000000; 11'h31f: VAR1 = 8'b00000000; 11'h320: VAR1 = 8'b00000000; 11'h321: VAR1 = 8'b00000000; 11'h322: VAR1 = 8'b01111100; 11'h323: VAR1 = 8'b11000110; 11'h324: VAR1 = 8'b00000110; 11'h325: VAR1 = 8'b00001100; 11'h326: VAR1 = 8'b00011000; 11'h327: VAR1 = 8'b00110000; 11'h328: VAR1 = 8'b01100000; 11'h329: VAR1 = 8'b11000000; 11'h32a: VAR1 = 8'b11000110; 11'h32b: VAR1 = 8'b11111110; 11'h32c: VAR1 = 8'b00000000; 11'h32d: VAR1 = 8'b00000000; 11'h32e: VAR1 = 8'b00000000; 11'h32f: VAR1 = 8'b00000000; 11'h330: VAR1 = 8'b00000000; 11'h331: VAR1 = 8'b00000000; 11'h332: VAR1 = 8'b01111100; 11'h333: VAR1 = 8'b11000110; 11'h334: VAR1 = 8'b00000110; 11'h335: VAR1 = 8'b00000110; 11'h336: VAR1 = 8'b00111100; 11'h337: VAR1 = 8'b00000110; 11'h338: VAR1 = 8'b00000110; 11'h339: VAR1 = 8'b00000110; 11'h33a: VAR1 = 8'b11000110; 11'h33b: VAR1 = 8'b01111100; 11'h33c: VAR1 = 8'b00000000; 11'h33d: VAR1 = 8'b00000000; 11'h33e: VAR1 = 8'b00000000; 11'h33f: VAR1 = 8'b00000000; 11'h340: VAR1 = 8'b00000000; 11'h341: VAR1 = 8'b00000000; 11'h342: VAR1 = 8'b00001100; 11'h343: VAR1 = 8'b00011100; 11'h344: VAR1 = 8'b00111100; 11'h345: VAR1 = 8'b01101100; 11'h346: VAR1 = 8'b11001100; 11'h347: VAR1 = 8'b11111110; 11'h348: VAR1 = 8'b00001100; 11'h349: VAR1 = 8'b00001100; 11'h34a: VAR1 = 8'b00001100; 11'h34b: VAR1 = 8'b00011110; 11'h34c: VAR1 = 8'b00000000; 11'h34d: VAR1 = 8'b00000000; 11'h34e: VAR1 = 8'b00000000; 11'h34f: VAR1 = 8'b00000000; 11'h350: VAR1 = 8'b00000000; 11'h351: VAR1 = 8'b00000000; 11'h352: VAR1 = 8'b11111110; 11'h353: VAR1 = 8'b11000000; 11'h354: VAR1 = 8'b11000000; 11'h355: VAR1 = 8'b11000000; 11'h356: VAR1 = 8'b11111100; 11'h357: VAR1 = 8'b00000110; 11'h358: VAR1 = 8'b00000110; 11'h359: VAR1 = 8'b00000110; 11'h35a: VAR1 = 8'b11000110; 11'h35b: VAR1 = 8'b01111100; 11'h35c: VAR1 = 8'b00000000; 11'h35d: VAR1 = 8'b00000000; 11'h35e: VAR1 = 8'b00000000; 11'h35f: VAR1 = 8'b00000000; 11'h360: VAR1 = 8'b00000000; 11'h361: VAR1 = 8'b00000000; 11'h362: VAR1 = 8'b00111000; 11'h363: VAR1 = 8'b01100000; 11'h364: VAR1 = 8'b11000000; 11'h365: VAR1 = 8'b11000000; 11'h366: VAR1 = 8'b11111100; 11'h367: VAR1 = 8'b11000110; 11'h368: VAR1 = 8'b11000110; 11'h369: VAR1 = 8'b11000110; 11'h36a: VAR1 = 8'b11000110; 11'h36b: VAR1 = 8'b01111100; 11'h36c: VAR1 = 8'b00000000; 11'h36d: VAR1 = 8'b00000000; 11'h36e: VAR1 = 8'b00000000; 11'h36f: VAR1 = 8'b00000000; 11'h370: VAR1 = 8'b00000000; 11'h371: VAR1 = 8'b00000000; 11'h372: VAR1 = 8'b11111110; 11'h373: VAR1 = 8'b11000110; 11'h374: VAR1 = 8'b00000110; 11'h375: VAR1 = 8'b00000110; 11'h376: VAR1 = 8'b00001100; 11'h377: VAR1 = 8'b00011000; 11'h378: VAR1 = 8'b00110000; 11'h379: VAR1 = 8'b00110000; 11'h37a: VAR1 = 8'b00110000; 11'h37b: VAR1 = 8'b00110000; 11'h37c: VAR1 = 8'b00000000; 11'h37d: VAR1 = 8'b00000000; 11'h37e: VAR1 = 8'b00000000; 11'h37f: VAR1 = 8'b00000000; 11'h380: VAR1 = 8'b00000000; 11'h381: VAR1 = 8'b00000000; 11'h382: VAR1 = 8'b01111100; 11'h383: VAR1 = 8'b11000110; 11'h384: VAR1 = 8'b11000110; 11'h385: VAR1 = 8'b11000110; 11'h386: VAR1 = 8'b01111100; 11'h387: VAR1 = 8'b11000110; 11'h388: VAR1 = 8'b11000110; 11'h389: VAR1 = 8'b11000110; 11'h38a: VAR1 = 8'b11000110; 11'h38b: VAR1 = 8'b01111100; 11'h38c: VAR1 = 8'b00000000; 11'h38d: VAR1 = 8'b00000000; 11'h38e: VAR1 = 8'b00000000; 11'h38f: VAR1 = 8'b00000000; 11'h390: VAR1 = 8'b00000000; 11'h391: VAR1 = 8'b00000000; 11'h392: VAR1 = 8'b01111100; 11'h393: VAR1 = 8'b11000110; 11'h394: VAR1 = 8'b11000110; 11'h395: VAR1 = 8'b11000110; 11'h396: VAR1 = 8'b01111110; 11'h397: VAR1 = 8'b00000110; 11'h398: VAR1 = 8'b00000110; 11'h399: VAR1 = 8'b00000110; 11'h39a: VAR1 = 8'b00001100; 11'h39b: VAR1 = 8'b01111000; 11'h39c: VAR1 = 8'b00000000; 11'h39d: VAR1 = 8'b00000000; 11'h39e: VAR1 = 8'b00000000; 11'h39f: VAR1 = 8'b00000000; 11'h3a0: VAR1 = 8'b00000000; 11'h3a1: VAR1 = 8'b00000000; 11'h3a2: VAR1 = 8'b00000000; 11'h3a3: VAR1 = 8'b00000000; 11'h3a4: VAR1 = 8'b00011000; 11'h3a5: VAR1 = 8'b00011000; 11'h3a6: VAR1 = 8'b00000000; 11'h3a7: VAR1 = 8'b00000000; 11'h3a8: VAR1 = 8'b00000000; 11'h3a9: VAR1 = 8'b00011000; 11'h3aa: VAR1 = 8'b00011000; 11'h3ab: VAR1 = 8'b00000000; 11'h3ac: VAR1 = 8'b00000000; 11'h3ad: VAR1 = 8'b00000000; 11'h3ae: VAR1 = 8'b00000000; 11'h3af: VAR1 = 8'b00000000; 11'h3b0: VAR1 = 8'b00000000; 11'h3b1: VAR1 = 8'b00000000; 11'h3b2: VAR1 = 8'b00000000; 11'h3b3: VAR1 = 8'b00000000; 11'h3b4: VAR1 = 8'b00011000; 11'h3b5: VAR1 = 8'b00011000; 11'h3b6: VAR1 = 8'b00000000; 11'h3b7: VAR1 = 8'b00000000; 11'h3b8: VAR1 = 8'b00000000; 11'h3b9: VAR1 = 8'b00011000; 11'h3ba: VAR1 = 8'b00011000; 11'h3bb: VAR1 = 8'b00110000; 11'h3bc: VAR1 = 8'b00000000; 11'h3bd: VAR1 = 8'b00000000; 11'h3be: VAR1 = 8'b00000000; 11'h3bf: VAR1 = 8'b00000000; 11'h3c0: VAR1 = 8'b00000000; 11'h3c1: VAR1 = 8'b00000000; 11'h3c2: VAR1 = 8'b00000000; 11'h3c3: VAR1 = 8'b00000110; 11'h3c4: VAR1 = 8'b00001100; 11'h3c5: VAR1 = 8'b00011000; 11'h3c6: VAR1 = 8'b00110000; 11'h3c7: VAR1 = 8'b01100000; 11'h3c8: VAR1 = 8'b00110000; 11'h3c9: VAR1 = 8'b00011000; 11'h3ca: VAR1 = 8'b00001100; 11'h3cb: VAR1 = 8'b00000110; 11'h3cc: VAR1 = 8'b00000000; 11'h3cd: VAR1 = 8'b00000000; 11'h3ce: VAR1 = 8'b00000000; 11'h3cf: VAR1 = 8'b00000000; 11'h3d0: VAR1 = 8'b00000000; 11'h3d1: VAR1 = 8'b00000000; 11'h3d2: VAR1 = 8'b00000000; 11'h3d3: VAR1 = 8'b00000000; 11'h3d4: VAR1 = 8'b00000000; 11'h3d5: VAR1 = 8'b01111110; 11'h3d6: VAR1 = 8'b00000000; 11'h3d7: VAR1 = 8'b00000000; 11'h3d8: VAR1 = 8'b01111110; 11'h3d9: VAR1 = 8'b00000000; 11'h3da: VAR1 = 8'b00000000; 11'h3db: VAR1 = 8'b00000000; 11'h3dc: VAR1 = 8'b00000000; 11'h3dd: VAR1 = 8'b00000000; 11'h3de: VAR1 = 8'b00000000; 11'h3df: VAR1 = 8'b00000000; 11'h3e0: VAR1 = 8'b00000000; 11'h3e1: VAR1 = 8'b00000000; 11'h3e2: VAR1 = 8'b00000000; 11'h3e3: VAR1 = 8'b01100000; 11'h3e4: VAR1 = 8'b00110000; 11'h3e5: VAR1 = 8'b00011000; 11'h3e6: VAR1 = 8'b00001100; 11'h3e7: VAR1 = 8'b00000110; 11'h3e8: VAR1 = 8'b00001100; 11'h3e9: VAR1 = 8'b00011000; 11'h3ea: VAR1 = 8'b00110000; 11'h3eb: VAR1 = 8'b01100000; 11'h3ec: VAR1 = 8'b00000000; 11'h3ed: VAR1 = 8'b00000000; 11'h3ee: VAR1 = 8'b00000000; 11'h3ef: VAR1 = 8'b00000000; 11'h3f0: VAR1 = 8'b00000000; 11'h3f1: VAR1 = 8'b00000000; 11'h3f2: VAR1 = 8'b01111100; 11'h3f3: VAR1 = 8'b11000110; 11'h3f4: VAR1 = 8'b11000110; 11'h3f5: VAR1 = 8'b00001100; 11'h3f6: VAR1 = 8'b00011000; 11'h3f7: VAR1 = 8'b00011000; 11'h3f8: VAR1 = 8'b00011000; 11'h3f9: VAR1 = 8'b00000000; 11'h3fa: VAR1 = 8'b00011000; 11'h3fb: VAR1 = 8'b00011000; 11'h3fc: VAR1 = 8'b00000000; 11'h3fd: VAR1 = 8'b00000000; 11'h3fe: VAR1 = 8'b00000000; 11'h3ff: VAR1 = 8'b00000000; 11'h400: VAR1 = 8'b00000000; 11'h401: VAR1 = 8'b00000000; 11'h402: VAR1 = 8'b01111100; 11'h403: VAR1 = 8'b11000110; 11'h404: VAR1 = 8'b11000110; 11'h405: VAR1 = 8'b11000110; 11'h406: VAR1 = 8'b11011110; 11'h407: VAR1 = 8'b11011110; 11'h408: VAR1 = 8'b11011110; 11'h409: VAR1 = 8'b11011100; 11'h40a: VAR1 = 8'b11000000; 11'h40b: VAR1 = 8'b01111100; 11'h40c: VAR1 = 8'b00000000; 11'h40d: VAR1 = 8'b00000000; 11'h40e: VAR1 = 8'b00000000; 11'h40f: VAR1 = 8'b00000000; 11'h410: VAR1 = 8'b00000000; 11'h411: VAR1 = 8'b00000000; 11'h412: VAR1 = 8'b00010000; 11'h413: VAR1 = 8'b00111000; 11'h414: VAR1 = 8'b01101100; 11'h415: VAR1 = 8'b11000110; 11'h416: VAR1 = 8'b11000110; 11'h417: VAR1 = 8'b11111110; 11'h418: VAR1 = 8'b11000110; 11'h419: VAR1 = 8'b11000110; 11'h41a: VAR1 = 8'b11000110; 11'h41b: VAR1 = 8'b11000110; 11'h41c: VAR1 = 8'b00000000; 11'h41d: VAR1 = 8'b00000000; 11'h41e: VAR1 = 8'b00000000; 11'h41f: VAR1 = 8'b00000000; 11'h420: VAR1 = 8'b00000000; 11'h421: VAR1 = 8'b00000000; 11'h422: VAR1 = 8'b11111100; 11'h423: VAR1 = 8'b01100110; 11'h424: VAR1 = 8'b01100110; 11'h425: VAR1 = 8'b01100110; 11'h426: VAR1 = 8'b01111100; 11'h427: VAR1 = 8'b01100110; 11'h428: VAR1 = 8'b01100110; 11'h429: VAR1 = 8'b01100110; 11'h42a: VAR1 = 8'b01100110; 11'h42b: VAR1 = 8'b11111100; 11'h42c: VAR1 = 8'b00000000; 11'h42d: VAR1 = 8'b00000000; 11'h42e: VAR1 = 8'b00000000; 11'h42f: VAR1 = 8'b00000000; 11'h430: VAR1 = 8'b00000000; 11'h431: VAR1 = 8'b00000000; 11'h432: VAR1 = 8'b00111100; 11'h433: VAR1 = 8'b01100110; 11'h434: VAR1 = 8'b11000010; 11'h435: VAR1 = 8'b11000000; 11'h436: VAR1 = 8'b11000000; 11'h437: VAR1 = 8'b11000000; 11'h438: VAR1 = 8'b11000000; 11'h439: VAR1 = 8'b11000010; 11'h43a: VAR1 = 8'b01100110; 11'h43b: VAR1 = 8'b00111100; 11'h43c: VAR1 = 8'b00000000; 11'h43d: VAR1 = 8'b00000000; 11'h43e: VAR1 = 8'b00000000; 11'h43f: VAR1 = 8'b00000000; 11'h440: VAR1 = 8'b00000000; 11'h441: VAR1 = 8'b00000000; 11'h442: VAR1 = 8'b11111000; 11'h443: VAR1 = 8'b01101100; 11'h444: VAR1 = 8'b01100110; 11'h445: VAR1 = 8'b01100110; 11'h446: VAR1 = 8'b01100110; 11'h447: VAR1 = 8'b01100110; 11'h448: VAR1 = 8'b01100110; 11'h449: VAR1 = 8'b01100110; 11'h44a: VAR1 = 8'b01101100; 11'h44b: VAR1 = 8'b11111000; 11'h44c: VAR1 = 8'b00000000; 11'h44d: VAR1 = 8'b00000000; 11'h44e: VAR1 = 8'b00000000; 11'h44f: VAR1 = 8'b00000000; 11'h450: VAR1 = 8'b00000000; 11'h451: VAR1 = 8'b00000000; 11'h452: VAR1 = 8'b11111110; 11'h453: VAR1 = 8'b01100110; 11'h454: VAR1 = 8'b01100010; 11'h455: VAR1 = 8'b01101000; 11'h456: VAR1 = 8'b01111000; 11'h457: VAR1 = 8'b01101000; 11'h458: VAR1 = 8'b01100000; 11'h459: VAR1 = 8'b01100010; 11'h45a: VAR1 = 8'b01100110; 11'h45b: VAR1 = 8'b11111110; 11'h45c: VAR1 = 8'b00000000; 11'h45d: VAR1 = 8'b00000000; 11'h45e: VAR1 = 8'b00000000; 11'h45f: VAR1 = 8'b00000000; 11'h460: VAR1 = 8'b00000000; 11'h461: VAR1 = 8'b00000000; 11'h462: VAR1 = 8'b11111110; 11'h463: VAR1 = 8'b01100110; 11'h464: VAR1 = 8'b01100010; 11'h465: VAR1 = 8'b01101000; 11'h466: VAR1 = 8'b01111000; 11'h467: VAR1 = 8'b01101000; 11'h468: VAR1 = 8'b01100000; 11'h469: VAR1 = 8'b01100000; 11'h46a: VAR1 = 8'b01100000; 11'h46b: VAR1 = 8'b11110000; 11'h46c: VAR1 = 8'b00000000; 11'h46d: VAR1 = 8'b00000000; 11'h46e: VAR1 = 8'b00000000; 11'h46f: VAR1 = 8'b00000000; 11'h470: VAR1 = 8'b00000000; 11'h471: VAR1 = 8'b00000000; 11'h472: VAR1 = 8'b00111100; 11'h473: VAR1 = 8'b01100110; 11'h474: VAR1 = 8'b11000010; 11'h475: VAR1 = 8'b11000000; 11'h476: VAR1 = 8'b11000000; 11'h477: VAR1 = 8'b11011110; 11'h478: VAR1 = 8'b11000110; 11'h479: VAR1 = 8'b11000110; 11'h47a: VAR1 = 8'b01100110; 11'h47b: VAR1 = 8'b00111010; 11'h47c: VAR1 = 8'b00000000; 11'h47d: VAR1 = 8'b00000000; 11'h47e: VAR1 = 8'b00000000; 11'h47f: VAR1 = 8'b00000000; 11'h480: VAR1 = 8'b00000000; 11'h481: VAR1 = 8'b00000000; 11'h482: VAR1 = 8'b11000110; 11'h483: VAR1 = 8'b11000110; 11'h484: VAR1 = 8'b11000110; 11'h485: VAR1 = 8'b11000110; 11'h486: VAR1 = 8'b11111110; 11'h487: VAR1 = 8'b11000110; 11'h488: VAR1 = 8'b11000110; 11'h489: VAR1 = 8'b11000110; 11'h48a: VAR1 = 8'b11000110; 11'h48b: VAR1 = 8'b11000110; 11'h48c: VAR1 = 8'b00000000; 11'h48d: VAR1 = 8'b00000000; 11'h48e: VAR1 = 8'b00000000; 11'h48f: VAR1 = 8'b00000000; 11'h490: VAR1 = 8'b00000000; 11'h491: VAR1 = 8'b00000000; 11'h492: VAR1 = 8'b00111100; 11'h493: VAR1 = 8'b00011000; 11'h494: VAR1 = 8'b00011000; 11'h495: VAR1 = 8'b00011000; 11'h496: VAR1 = 8'b00011000; 11'h497: VAR1 = 8'b00011000; 11'h498: VAR1 = 8'b00011000; 11'h499: VAR1 = 8'b00011000; 11'h49a: VAR1 = 8'b00011000; 11'h49b: VAR1 = 8'b00111100; 11'h49c: VAR1 = 8'b00000000; 11'h49d: VAR1 = 8'b00000000; 11'h49e: VAR1 = 8'b00000000; 11'h49f: VAR1 = 8'b00000000; 11'h4a0: VAR1 = 8'b00000000; 11'h4a1: VAR1 = 8'b00000000; 11'h4a2: VAR1 = 8'b00011110; 11'h4a3: VAR1 = 8'b00001100; 11'h4a4: VAR1 = 8'b00001100; 11'h4a5: VAR1 = 8'b00001100; 11'h4a6: VAR1 = 8'b00001100; 11'h4a7: VAR1 = 8'b00001100; 11'h4a8: VAR1 = 8'b11001100; 11'h4a9: VAR1 = 8'b11001100; 11'h4aa: VAR1 = 8'b11001100; 11'h4ab: VAR1 = 8'b01111000; 11'h4ac: VAR1 = 8'b00000000; 11'h4ad: VAR1 = 8'b00000000; 11'h4ae: VAR1 = 8'b00000000; 11'h4af: VAR1 = 8'b00000000; 11'h4b0: VAR1 = 8'b00000000; 11'h4b1: VAR1 = 8'b00000000; 11'h4b2: VAR1 = 8'b11100110; 11'h4b3: VAR1 = 8'b01100110; 11'h4b4: VAR1 = 8'b01100110; 11'h4b5: VAR1 = 8'b01101100; 11'h4b6: VAR1 = 8'b01111000; 11'h4b7: VAR1 = 8'b01111000; 11'h4b8: VAR1 = 8'b01101100; 11'h4b9: VAR1 = 8'b01100110; 11'h4ba: VAR1 = 8'b01100110; 11'h4bb: VAR1 = 8'b11100110; 11'h4bc: VAR1 = 8'b00000000; 11'h4bd: VAR1 = 8'b00000000; 11'h4be: VAR1 = 8'b00000000; 11'h4bf: VAR1 = 8'b00000000; 11'h4c0: VAR1 = 8'b00000000; 11'h4c1: VAR1 = 8'b00000000; 11'h4c2: VAR1 = 8'b11110000; 11'h4c3: VAR1 = 8'b01100000; 11'h4c4: VAR1 = 8'b01100000; 11'h4c5: VAR1 = 8'b01100000; 11'h4c6: VAR1 = 8'b01100000; 11'h4c7: VAR1 = 8'b01100000; 11'h4c8: VAR1 = 8'b01100000; 11'h4c9: VAR1 = 8'b01100010; 11'h4ca: VAR1 = 8'b01100110; 11'h4cb: VAR1 = 8'b11111110; 11'h4cc: VAR1 = 8'b00000000; 11'h4cd: VAR1 = 8'b00000000; 11'h4ce: VAR1 = 8'b00000000; 11'h4cf: VAR1 = 8'b00000000; 11'h4d0: VAR1 = 8'b00000000; 11'h4d1: VAR1 = 8'b00000000; 11'h4d2: VAR1 = 8'b11000011; 11'h4d3: VAR1 = 8'b11100111; 11'h4d4: VAR1 = 8'b11111111; 11'h4d5: VAR1 = 8'b11111111; 11'h4d6: VAR1 = 8'b11011011; 11'h4d7: VAR1 = 8'b11000011; 11'h4d8: VAR1 = 8'b11000011; 11'h4d9: VAR1 = 8'b11000011; 11'h4da: VAR1 = 8'b11000011; 11'h4db: VAR1 = 8'b11000011; 11'h4dc: VAR1 = 8'b00000000; 11'h4dd: VAR1 = 8'b00000000; 11'h4de: VAR1 = 8'b00000000; 11'h4df: VAR1 = 8'b00000000; 11'h4e0: VAR1 = 8'b00000000; 11'h4e1: VAR1 = 8'b00000000; 11'h4e2: VAR1 = 8'b11000110; 11'h4e3: VAR1 = 8'b11100110; 11'h4e4: VAR1 = 8'b11110110; 11'h4e5: VAR1 = 8'b11111110; 11'h4e6: VAR1 = 8'b11011110; 11'h4e7: VAR1 = 8'b11001110; 11'h4e8: VAR1 = 8'b11000110; 11'h4e9: VAR1 = 8'b11000110; 11'h4ea: VAR1 = 8'b11000110; 11'h4eb: VAR1 = 8'b11000110; 11'h4ec: VAR1 = 8'b00000000; 11'h4ed: VAR1 = 8'b00000000; 11'h4ee: VAR1 = 8'b00000000; 11'h4ef: VAR1 = 8'b00000000; 11'h4f0: VAR1 = 8'b00000000; 11'h4f1: VAR1 = 8'b00000000; 11'h4f2: VAR1 = 8'b01111100; 11'h4f3: VAR1 = 8'b11000110; 11'h4f4: VAR1 = 8'b11000110; 11'h4f5: VAR1 = 8'b11000110; 11'h4f6: VAR1 = 8'b11000110; 11'h4f7: VAR1 = 8'b11000110; 11'h4f8: VAR1 = 8'b11000110; 11'h4f9: VAR1 = 8'b11000110; 11'h4fa: VAR1 = 8'b11000110; 11'h4fb: VAR1 = 8'b01111100; 11'h4fc: VAR1 = 8'b00000000; 11'h4fd: VAR1 = 8'b00000000; 11'h4fe: VAR1 = 8'b00000000; 11'h4ff: VAR1 = 8'b00000000; 11'h500: VAR1 = 8'b00000000; 11'h501: VAR1 = 8'b00000000; 11'h502: VAR1 = 8'b11111100; 11'h503: VAR1 = 8'b01100110; 11'h504: VAR1 = 8'b01100110; 11'h505: VAR1 = 8'b01100110; 11'h506: VAR1 = 8'b01111100; 11'h507: VAR1 = 8'b01100000; 11'h508: VAR1 = 8'b01100000; 11'h509: VAR1 = 8'b01100000; 11'h50a: VAR1 = 8'b01100000; 11'h50b: VAR1 = 8'b11110000; 11'h50c: VAR1 = 8'b00000000; 11'h50d: VAR1 = 8'b00000000; 11'h50e: VAR1 = 8'b00000000; 11'h50f: VAR1 = 8'b00000000; 11'h510: VAR1 = 8'b00000000; 11'h511: VAR1 = 8'b00000000; 11'h512: VAR1 = 8'b01111100; 11'h513: VAR1 = 8'b11000110; 11'h514: VAR1 = 8'b11000110; 11'h515: VAR1 = 8'b11000110; 11'h516: VAR1 = 8'b11000110; 11'h517: VAR1 = 8'b11000110; 11'h518: VAR1 = 8'b11000110; 11'h519: VAR1 = 8'b11010110; 11'h51a: VAR1 = 8'b11011110; 11'h51b: VAR1 = 8'b01111100; 11'h51c: VAR1 = 8'b00001100; 11'h51d: VAR1 = 8'b00001110; 11'h51e: VAR1 = 8'b00000000; 11'h51f: VAR1 = 8'b00000000; 11'h520: VAR1 = 8'b00000000; 11'h521: VAR1 = 8'b00000000; 11'h522: VAR1 = 8'b11111100; 11'h523: VAR1 = 8'b01100110; 11'h524: VAR1 = 8'b01100110; 11'h525: VAR1 = 8'b01100110; 11'h526: VAR1 = 8'b01111100; 11'h527: VAR1 = 8'b01101100; 11'h528: VAR1 = 8'b01100110; 11'h529: VAR1 = 8'b01100110; 11'h52a: VAR1 = 8'b01100110; 11'h52b: VAR1 = 8'b11100110; 11'h52c: VAR1 = 8'b00000000; 11'h52d: VAR1 = 8'b00000000; 11'h52e: VAR1 = 8'b00000000; 11'h52f: VAR1 = 8'b00000000; 11'h530: VAR1 = 8'b00000000; 11'h531: VAR1 = 8'b00000000; 11'h532: VAR1 = 8'b01111100; 11'h533: VAR1 = 8'b11000110; 11'h534: VAR1 = 8'b11000110; 11'h535: VAR1 = 8'b01100000; 11'h536: VAR1 = 8'b00111000; 11'h537: VAR1 = 8'b00001100; 11'h538: VAR1 = 8'b00000110; 11'h539: VAR1 = 8'b11000110; 11'h53a: VAR1 = 8'b11000110; 11'h53b: VAR1 = 8'b01111100; 11'h53c: VAR1 = 8'b00000000; 11'h53d: VAR1 = 8'b00000000; 11'h53e: VAR1 = 8'b00000000; 11'h53f: VAR1 = 8'b00000000; 11'h540: VAR1 = 8'b00000000; 11'h541: VAR1 = 8'b00000000; 11'h542: VAR1 = 8'b11111111; 11'h543: VAR1 = 8'b11011011; 11'h544: VAR1 = 8'b10011001; 11'h545: VAR1 = 8'b00011000; 11'h546: VAR1 = 8'b00011000; 11'h547: VAR1 = 8'b00011000; 11'h548: VAR1 = 8'b00011000; 11'h549: VAR1 = 8'b00011000; 11'h54a: VAR1 = 8'b00011000; 11'h54b: VAR1 = 8'b00111100; 11'h54c: VAR1 = 8'b00000000; 11'h54d: VAR1 = 8'b00000000; 11'h54e: VAR1 = 8'b00000000; 11'h54f: VAR1 = 8'b00000000; 11'h550: VAR1 = 8'b00000000; 11'h551: VAR1 = 8'b00000000; 11'h552: VAR1 = 8'b11000110; 11'h553: VAR1 = 8'b11000110; 11'h554: VAR1 = 8'b11000110; 11'h555: VAR1 = 8'b11000110; 11'h556: VAR1 = 8'b11000110; 11'h557: VAR1 = 8'b11000110; 11'h558: VAR1 = 8'b11000110; 11'h559: VAR1 = 8'b11000110; 11'h55a: VAR1 = 8'b11000110; 11'h55b: VAR1 = 8'b01111100; 11'h55c: VAR1 = 8'b00000000; 11'h55d: VAR1 = 8'b00000000; 11'h55e: VAR1 = 8'b00000000; 11'h55f: VAR1 = 8'b00000000; 11'h560: VAR1 = 8'b00000000; 11'h561: VAR1 = 8'b00000000; 11'h562: VAR1 = 8'b11000011; 11'h563: VAR1 = 8'b11000011; 11'h564: VAR1 = 8'b11000011; 11'h565: VAR1 = 8'b11000011; 11'h566: VAR1 = 8'b11000011; 11'h567: VAR1 = 8'b11000011; 11'h568: VAR1 = 8'b11000011; 11'h569: VAR1 = 8'b01100110; 11'h56a: VAR1 = 8'b00111100; 11'h56b: VAR1 = 8'b00011000; 11'h56c: VAR1 = 8'b00000000; 11'h56d: VAR1 = 8'b00000000; 11'h56e: VAR1 = 8'b00000000; 11'h56f: VAR1 = 8'b00000000; 11'h570: VAR1 = 8'b00000000; 11'h571: VAR1 = 8'b00000000; 11'h572: VAR1 = 8'b11000011; 11'h573: VAR1 = 8'b11000011; 11'h574: VAR1 = 8'b11000011; 11'h575: VAR1 = 8'b11000011; 11'h576: VAR1 = 8'b11000011; 11'h577: VAR1 = 8'b11011011; 11'h578: VAR1 = 8'b11011011; 11'h579: VAR1 = 8'b11111111; 11'h57a: VAR1 = 8'b01100110; 11'h57b: VAR1 = 8'b01100110; 11'h57c: VAR1 = 8'b00000000; 11'h57d: VAR1 = 8'b00000000; 11'h57e: VAR1 = 8'b00000000; 11'h57f: VAR1 = 8'b00000000; 11'h580: VAR1 = 8'b00000000; 11'h581: VAR1 = 8'b00000000; 11'h582: VAR1 = 8'b11000011; 11'h583: VAR1 = 8'b11000011; 11'h584: VAR1 = 8'b01100110; 11'h585: VAR1 = 8'b00111100; 11'h586: VAR1 = 8'b00011000; 11'h587: VAR1 = 8'b00011000; 11'h588: VAR1 = 8'b00111100; 11'h589: VAR1 = 8'b01100110; 11'h58a: VAR1 = 8'b11000011; 11'h58b: VAR1 = 8'b11000011; 11'h58c: VAR1 = 8'b00000000; 11'h58d: VAR1 = 8'b00000000; 11'h58e: VAR1 = 8'b00000000; 11'h58f: VAR1 = 8'b00000000; 11'h590: VAR1 = 8'b00000000; 11'h591: VAR1 = 8'b00000000; 11'h592: VAR1 = 8'b11000011; 11'h593: VAR1 = 8'b11000011; 11'h594: VAR1 = 8'b11000011; 11'h595: VAR1 = 8'b01100110; 11'h596: VAR1 = 8'b00111100; 11'h597: VAR1 = 8'b00011000; 11'h598: VAR1 = 8'b00011000; 11'h599: VAR1 = 8'b00011000; 11'h59a: VAR1 = 8'b00011000; 11'h59b: VAR1 = 8'b00111100; 11'h59c: VAR1 = 8'b00000000; 11'h59d: VAR1 = 8'b00000000; 11'h59e: VAR1 = 8'b00000000; 11'h59f: VAR1 = 8'b00000000; 11'h5a0: VAR1 = 8'b00000000; 11'h5a1: VAR1 = 8'b00000000; 11'h5a2: VAR1 = 8'b11111111; 11'h5a3: VAR1 = 8'b11000011; 11'h5a4: VAR1 = 8'b10000110; 11'h5a5: VAR1 = 8'b00001100; 11'h5a6: VAR1 = 8'b00011000; 11'h5a7: VAR1 = 8'b00110000; 11'h5a8: VAR1 = 8'b01100000; 11'h5a9: VAR1 = 8'b11000001; 11'h5aa: VAR1 = 8'b11000011; 11'h5ab: VAR1 = 8'b11111111; 11'h5ac: VAR1 = 8'b00000000; 11'h5ad: VAR1 = 8'b00000000; 11'h5ae: VAR1 = 8'b00000000; 11'h5af: VAR1 = 8'b00000000; 11'h5b0: VAR1 = 8'b00000000; 11'h5b1: VAR1 = 8'b00000000; 11'h5b2: VAR1 = 8'b00111100; 11'h5b3: VAR1 = 8'b00110000; 11'h5b4: VAR1 = 8'b00110000; 11'h5b5: VAR1 = 8'b00110000; 11'h5b6: VAR1 = 8'b00110000; 11'h5b7: VAR1 = 8'b00110000; 11'h5b8: VAR1 = 8'b00110000; 11'h5b9: VAR1 = 8'b00110000; 11'h5ba: VAR1 = 8'b00110000; 11'h5bb: VAR1 = 8'b00111100; 11'h5bc: VAR1 = 8'b00000000; 11'h5bd: VAR1 = 8'b00000000; 11'h5be: VAR1 = 8'b00000000; 11'h5bf: VAR1 = 8'b00000000; 11'h5c0: VAR1 = 8'b00000000; 11'h5c1: VAR1 = 8'b00000000; 11'h5c2: VAR1 = 8'b00000000; 11'h5c3: VAR1 = 8'b10000000; 11'h5c4: VAR1 = 8'b11000000; 11'h5c5: VAR1 = 8'b11100000; 11'h5c6: VAR1 = 8'b01110000; 11'h5c7: VAR1 = 8'b00111000; 11'h5c8: VAR1 = 8'b00011100; 11'h5c9: VAR1 = 8'b00001110; 11'h5ca: VAR1 = 8'b00000110; 11'h5cb: VAR1 = 8'b00000010; 11'h5cc: VAR1 = 8'b00000000; 11'h5cd: VAR1 = 8'b00000000; 11'h5ce: VAR1 = 8'b00000000; 11'h5cf: VAR1 = 8'b00000000; 11'h5d0: VAR1 = 8'b00000000; 11'h5d1: VAR1 = 8'b00000000; 11'h5d2: VAR1 = 8'b00111100; 11'h5d3: VAR1 = 8'b00001100; 11'h5d4: VAR1 = 8'b00001100; 11'h5d5: VAR1 = 8'b00001100; 11'h5d6: VAR1 = 8'b00001100; 11'h5d7: VAR1 = 8'b00001100; 11'h5d8: VAR1 = 8'b00001100; 11'h5d9: VAR1 = 8'b00001100; 11'h5da: VAR1 = 8'b00001100; 11'h5db: VAR1 = 8'b00111100; 11'h5dc: VAR1 = 8'b00000000; 11'h5dd: VAR1 = 8'b00000000; 11'h5de: VAR1 = 8'b00000000; 11'h5df: VAR1 = 8'b00000000; 11'h5e0: VAR1 = 8'b00010000; 11'h5e1: VAR1 = 8'b00111000; 11'h5e2: VAR1 = 8'b01101100; 11'h5e3: VAR1 = 8'b11000110; 11'h5e4: VAR1 = 8'b00000000; 11'h5e5: VAR1 = 8'b00000000; 11'h5e6: VAR1 = 8'b00000000; 11'h5e7: VAR1 = 8'b00000000; 11'h5e8: VAR1 = 8'b00000000; 11'h5e9: VAR1 = 8'b00000000; 11'h5ea: VAR1 = 8'b00000000; 11'h5eb: VAR1 = 8'b00000000; 11'h5ec: VAR1 = 8'b00000000; 11'h5ed: VAR1 = 8'b00000000; 11'h5ee: VAR1 = 8'b00000000; 11'h5ef: VAR1 = 8'b00000000; 11'h5f0: VAR1 = 8'b00000000; 11'h5f1: VAR1 = 8'b00000000; 11'h5f2: VAR1 = 8'b00000000; 11'h5f3: VAR1 = 8'b00000000; 11'h5f4: VAR1 = 8'b00000000; 11'h5f5: VAR1 = 8'b00000000; 11'h5f6: VAR1 = 8'b00000000; 11'h5f7: VAR1 = 8'b00000000; 11'h5f8: VAR1 = 8'b00000000; 11'h5f9: VAR1 = 8'b00000000; 11'h5fa: VAR1 = 8'b00000000; 11'h5fb: VAR1 = 8'b00000000; 11'h5fc: VAR1 = 8'b00000000; 11'h5fd: VAR1 = 8'b11111111; 11'h5fe: VAR1 = 8'b00000000; 11'h5ff: VAR1 = 8'b00000000; 11'h600: VAR1 = 8'b00110000; 11'h601: VAR1 = 8'b00110000; 11'h602: VAR1 = 8'b00011000; 11'h603: VAR1 = 8'b00000000; 11'h604: VAR1 = 8'b00000000; 11'h605: VAR1 = 8'b00000000; 11'h606: VAR1 = 8'b00000000; 11'h607: VAR1 = 8'b00000000; 11'h608: VAR1 = 8'b00000000; 11'h609: VAR1 = 8'b00000000; 11'h60a: VAR1 = 8'b00000000; 11'h60b: VAR1 = 8'b00000000; 11'h60c: VAR1 = 8'b00000000; 11'h60d: VAR1 = 8'b00000000; 11'h60e: VAR1 = 8'b00000000; 11'h60f: VAR1 = 8'b00000000; 11'h610: VAR1 = 8'b00000000; 11'h611: VAR1 = 8'b00000000; 11'h612: VAR1 = 8'b00000000; 11'h613: VAR1 = 8'b00000000; 11'h614: VAR1 = 8'b00000000; 11'h615: VAR1 = 8'b01111000; 11'h616: VAR1 = 8'b00001100; 11'h617: VAR1 = 8'b01111100; 11'h618: VAR1 = 8'b11001100; 11'h619: VAR1 = 8'b11001100; 11'h61a: VAR1 = 8'b11001100; 11'h61b: VAR1 = 8'b01110110; 11'h61c: VAR1 = 8'b00000000; 11'h61d: VAR1 = 8'b00000000; 11'h61e: VAR1 = 8'b00000000; 11'h61f: VAR1 = 8'b00000000; 11'h620: VAR1 = 8'b00000000; 11'h621: VAR1 = 8'b00000000; 11'h622: VAR1 = 8'b11100000; 11'h623: VAR1 = 8'b01100000; 11'h624: VAR1 = 8'b01100000; 11'h625: VAR1 = 8'b01111000; 11'h626: VAR1 = 8'b01101100; 11'h627: VAR1 = 8'b01100110; 11'h628: VAR1 = 8'b01100110; 11'h629: VAR1 = 8'b01100110; 11'h62a: VAR1 = 8'b01100110; 11'h62b: VAR1 = 8'b01111100; 11'h62c: VAR1 = 8'b00000000; 11'h62d: VAR1 = 8'b00000000; 11'h62e: VAR1 = 8'b00000000; 11'h62f: VAR1 = 8'b00000000; 11'h630: VAR1 = 8'b00000000; 11'h631: VAR1 = 8'b00000000; 11'h632: VAR1 = 8'b00000000; 11'h633: VAR1 = 8'b00000000; 11'h634: VAR1 = 8'b00000000; 11'h635: VAR1 = 8'b01111100; 11'h636: VAR1 = 8'b11000110; 11'h637: VAR1 = 8'b11000000; 11'h638: VAR1 = 8'b11000000; 11'h639: VAR1 = 8'b11000000; 11'h63a: VAR1 = 8'b11000110; 11'h63b: VAR1 = 8'b01111100; 11'h63c: VAR1 = 8'b00000000; 11'h63d: VAR1 = 8'b00000000; 11'h63e: VAR1 = 8'b00000000; 11'h63f: VAR1 = 8'b00000000; 11'h640: VAR1 = 8'b00000000; 11'h641: VAR1 = 8'b00000000; 11'h642: VAR1 = 8'b00011100; 11'h643: VAR1 = 8'b00001100; 11'h644: VAR1 = 8'b00001100; 11'h645: VAR1 = 8'b00111100; 11'h646: VAR1 = 8'b01101100; 11'h647: VAR1 = 8'b11001100; 11'h648: VAR1 = 8'b11001100; 11'h649: VAR1 = 8'b11001100; 11'h64a: VAR1 = 8'b11001100; 11'h64b: VAR1 = 8'b01110110; 11'h64c: VAR1 = 8'b00000000; 11'h64d: VAR1 = 8'b00000000; 11'h64e: VAR1 = 8'b00000000; 11'h64f: VAR1 = 8'b00000000; 11'h650: VAR1 = 8'b00000000; 11'h651: VAR1 = 8'b00000000; 11'h652: VAR1 = 8'b00000000; 11'h653: VAR1 = 8'b00000000; 11'h654: VAR1 = 8'b00000000; 11'h655: VAR1 = 8'b01111100; 11'h656: VAR1 = 8'b11000110; 11'h657: VAR1 = 8'b11111110; 11'h658: VAR1 = 8'b11000000; 11'h659: VAR1 = 8'b11000000; 11'h65a: VAR1 = 8'b11000110; 11'h65b: VAR1 = 8'b01111100; 11'h65c: VAR1 = 8'b00000000; 11'h65d: VAR1 = 8'b00000000; 11'h65e: VAR1 = 8'b00000000; 11'h65f: VAR1 = 8'b00000000; 11'h660: VAR1 = 8'b00000000; 11'h661: VAR1 = 8'b00000000; 11'h662: VAR1 = 8'b00111000; 11'h663: VAR1 = 8'b01101100; 11'h664: VAR1 = 8'b01100100; 11'h665: VAR1 = 8'b01100000; 11'h666: VAR1 = 8'b11110000; 11'h667: VAR1 = 8'b01100000; 11'h668: VAR1 = 8'b01100000; 11'h669: VAR1 = 8'b01100000; 11'h66a: VAR1 = 8'b01100000; 11'h66b: VAR1 = 8'b11110000; 11'h66c: VAR1 = 8'b00000000; 11'h66d: VAR1 = 8'b00000000; 11'h66e: VAR1 = 8'b00000000; 11'h66f: VAR1 = 8'b00000000; 11'h670: VAR1 = 8'b00000000; 11'h671: VAR1 = 8'b00000000; 11'h672: VAR1 = 8'b00000000; 11'h673: VAR1 = 8'b00000000; 11'h674: VAR1 = 8'b00000000; 11'h675: VAR1 = 8'b01110110; 11'h676: VAR1 = 8'b11001100; 11'h677: VAR1 = 8'b11001100; 11'h678: VAR1 = 8'b11001100; 11'h679: VAR1 = 8'b11001100; 11'h67a: VAR1 = 8'b11001100; 11'h67b: VAR1 = 8'b01111100; 11'h67c: VAR1 = 8'b00001100; 11'h67d: VAR1 = 8'b11001100; 11'h67e: VAR1 = 8'b01111000; 11'h67f: VAR1 = 8'b00000000; 11'h680: VAR1 = 8'b00000000; 11'h681: VAR1 = 8'b00000000; 11'h682: VAR1 = 8'b11100000; 11'h683: VAR1 = 8'b01100000; 11'h684: VAR1 = 8'b01100000; 11'h685: VAR1 = 8'b01101100; 11'h686: VAR1 = 8'b01110110; 11'h687: VAR1 = 8'b01100110; 11'h688: VAR1 = 8'b01100110; 11'h689: VAR1 = 8'b01100110; 11'h68a: VAR1 = 8'b01100110; 11'h68b: VAR1 = 8'b11100110; 11'h68c: VAR1 = 8'b00000000; 11'h68d: VAR1 = 8'b00000000; 11'h68e: VAR1 = 8'b00000000; 11'h68f: VAR1 = 8'b00000000; 11'h690: VAR1 = 8'b00000000; 11'h691: VAR1 = 8'b00000000; 11'h692: VAR1 = 8'b00011000; 11'h693: VAR1 = 8'b00011000; 11'h694: VAR1 = 8'b00000000; 11'h695: VAR1 = 8'b00111000; 11'h696: VAR1 = 8'b00011000; 11'h697: VAR1 = 8'b00011000; 11'h698: VAR1 = 8'b00011000; 11'h699: VAR1 = 8'b00011000; 11'h69a: VAR1 = 8'b00011000; 11'h69b: VAR1 = 8'b00111100; 11'h69c: VAR1 = 8'b00000000; 11'h69d: VAR1 = 8'b00000000; 11'h69e: VAR1 = 8'b00000000; 11'h69f: VAR1 = 8'b00000000; 11'h6a0: VAR1 = 8'b00000000; 11'h6a1: VAR1 = 8'b00000000; 11'h6a2: VAR1 = 8'b00000110; 11'h6a3: VAR1 = 8'b00000110; 11'h6a4: VAR1 = 8'b00000000; 11'h6a5: VAR1 = 8'b00001110; 11'h6a6: VAR1 = 8'b00000110; 11'h6a7: VAR1 = 8'b00000110; 11'h6a8: VAR1 = 8'b00000110; 11'h6a9: VAR1 = 8'b00000110; 11'h6aa: VAR1 = 8'b00000110; 11'h6ab: VAR1 = 8'b00000110; 11'h6ac: VAR1 = 8'b01100110; 11'h6ad: VAR1 = 8'b01100110; 11'h6ae: VAR1 = 8'b00111100; 11'h6af: VAR1 = 8'b00000000; 11'h6b0: VAR1 = 8'b00000000; 11'h6b1: VAR1 = 8'b00000000; 11'h6b2: VAR1 = 8'b11100000; 11'h6b3: VAR1 = 8'b01100000; 11'h6b4: VAR1 = 8'b01100000; 11'h6b5: VAR1 = 8'b01100110; 11'h6b6: VAR1 = 8'b01101100; 11'h6b7: VAR1 = 8'b01111000; 11'h6b8: VAR1 = 8'b01111000; 11'h6b9: VAR1 = 8'b01101100; 11'h6ba: VAR1 = 8'b01100110; 11'h6bb: VAR1 = 8'b11100110; 11'h6bc: VAR1 = 8'b00000000; 11'h6bd: VAR1 = 8'b00000000; 11'h6be: VAR1 = 8'b00000000; 11'h6bf: VAR1 = 8'b00000000; 11'h6c0: VAR1 = 8'b00000000; 11'h6c1: VAR1 = 8'b00000000; 11'h6c2: VAR1 = 8'b00111000; 11'h6c3: VAR1 = 8'b00011000; 11'h6c4: VAR1 = 8'b00011000; 11'h6c5: VAR1 = 8'b00011000; 11'h6c6: VAR1 = 8'b00011000; 11'h6c7: VAR1 = 8'b00011000; 11'h6c8: VAR1 = 8'b00011000; 11'h6c9: VAR1 = 8'b00011000; 11'h6ca: VAR1 = 8'b00011000; 11'h6cb: VAR1 = 8'b00111100; 11'h6cc: VAR1 = 8'b00000000; 11'h6cd: VAR1 = 8'b00000000; 11'h6ce: VAR1 = 8'b00000000; 11'h6cf: VAR1 = 8'b00000000; 11'h6d0: VAR1 = 8'b00000000; 11'h6d1: VAR1 = 8'b00000000; 11'h6d2: VAR1 = 8'b00000000; 11'h6d3: VAR1 = 8'b00000000; 11'h6d4: VAR1 = 8'b00000000; 11'h6d5: VAR1 = 8'b11100110; 11'h6d6: VAR1 = 8'b11111111; 11'h6d7: VAR1 = 8'b11011011; 11'h6d8: VAR1 = 8'b11011011; 11'h6d9: VAR1 = 8'b11011011; 11'h6da: VAR1 = 8'b11011011; 11'h6db: VAR1 = 8'b11011011; 11'h6dc: VAR1 = 8'b00000000; 11'h6dd: VAR1 = 8'b00000000; 11'h6de: VAR1 = 8'b00000000; 11'h6df: VAR1 = 8'b00000000; 11'h6e0: VAR1 = 8'b00000000; 11'h6e1: VAR1 = 8'b00000000; 11'h6e2: VAR1 = 8'b00000000; 11'h6e3: VAR1 = 8'b00000000; 11'h6e4: VAR1 = 8'b00000000; 11'h6e5: VAR1 = 8'b11011100; 11'h6e6: VAR1 = 8'b01100110; 11'h6e7: VAR1 = 8'b01100110; 11'h6e8: VAR1 = 8'b01100110; 11'h6e9: VAR1 = 8'b01100110; 11'h6ea: VAR1 = 8'b01100110; 11'h6eb: VAR1 = 8'b01100110; 11'h6ec: VAR1 = 8'b00000000; 11'h6ed: VAR1 = 8'b00000000; 11'h6ee: VAR1 = 8'b00000000; 11'h6ef: VAR1 = 8'b00000000; 11'h6f0: VAR1 = 8'b00000000; 11'h6f1: VAR1 = 8'b00000000; 11'h6f2: VAR1 = 8'b00000000; 11'h6f3: VAR1 = 8'b00000000; 11'h6f4: VAR1 = 8'b00000000; 11'h6f5: VAR1 = 8'b01111100; 11'h6f6: VAR1 = 8'b11000110; 11'h6f7: VAR1 = 8'b11000110; 11'h6f8: VAR1 = 8'b11000110; 11'h6f9: VAR1 = 8'b11000110; 11'h6fa: VAR1 = 8'b11000110; 11'h6fb: VAR1 = 8'b01111100; 11'h6fc: VAR1 = 8'b00000000; 11'h6fd: VAR1 = 8'b00000000; 11'h6fe: VAR1 = 8'b00000000; 11'h6ff: VAR1 = 8'b00000000; 11'h700: VAR1 = 8'b00000000; 11'h701: VAR1 = 8'b00000000; 11'h702: VAR1 = 8'b00000000; 11'h703: VAR1 = 8'b00000000; 11'h704: VAR1 = 8'b00000000; 11'h705: VAR1 = 8'b11011100; 11'h706: VAR1 = 8'b01100110; 11'h707: VAR1 = 8'b01100110; 11'h708: VAR1 = 8'b01100110; 11'h709: VAR1 = 8'b01100110; 11'h70a: VAR1 = 8'b01100110; 11'h70b: VAR1 = 8'b01111100; 11'h70c: VAR1 = 8'b01100000; 11'h70d: VAR1 = 8'b01100000; 11'h70e: VAR1 = 8'b11110000; 11'h70f: VAR1 = 8'b00000000; 11'h710: VAR1 = 8'b00000000; 11'h711: VAR1 = 8'b00000000; 11'h712: VAR1 = 8'b00000000; 11'h713: VAR1 = 8'b00000000; 11'h714: VAR1 = 8'b00000000; 11'h715: VAR1 = 8'b01110110; 11'h716: VAR1 = 8'b11001100; 11'h717: VAR1 = 8'b11001100; 11'h718: VAR1 = 8'b11001100; 11'h719: VAR1 = 8'b11001100; 11'h71a: VAR1 = 8'b11001100; 11'h71b: VAR1 = 8'b01111100; 11'h71c: VAR1 = 8'b00001100; 11'h71d: VAR1 = 8'b00001100; 11'h71e: VAR1 = 8'b00011110; 11'h71f: VAR1 = 8'b00000000; 11'h720: VAR1 = 8'b00000000; 11'h721: VAR1 = 8'b00000000; 11'h722: VAR1 = 8'b00000000; 11'h723: VAR1 = 8'b00000000; 11'h724: VAR1 = 8'b00000000; 11'h725: VAR1 = 8'b11011100; 11'h726: VAR1 = 8'b01110110; 11'h727: VAR1 = 8'b01100110; 11'h728: VAR1 = 8'b01100000; 11'h729: VAR1 = 8'b01100000; 11'h72a: VAR1 = 8'b01100000; 11'h72b: VAR1 = 8'b11110000; 11'h72c: VAR1 = 8'b00000000; 11'h72d: VAR1 = 8'b00000000; 11'h72e: VAR1 = 8'b00000000; 11'h72f: VAR1 = 8'b00000000; 11'h730: VAR1 = 8'b00000000; 11'h731: VAR1 = 8'b00000000; 11'h732: VAR1 = 8'b00000000; 11'h733: VAR1 = 8'b00000000; 11'h734: VAR1 = 8'b00000000; 11'h735: VAR1 = 8'b01111100; 11'h736: VAR1 = 8'b11000110; 11'h737: VAR1 = 8'b01100000; 11'h738: VAR1 = 8'b00111000; 11'h739: VAR1 = 8'b00001100; 11'h73a: VAR1 = 8'b11000110; 11'h73b: VAR1 = 8'b01111100; 11'h73c: VAR1 = 8'b00000000; 11'h73d: VAR1 = 8'b00000000; 11'h73e: VAR1 = 8'b00000000; 11'h73f: VAR1 = 8'b00000000; 11'h740: VAR1 = 8'b00000000; 11'h741: VAR1 = 8'b00000000; 11'h742: VAR1 = 8'b00010000; 11'h743: VAR1 = 8'b00110000; 11'h744: VAR1 = 8'b00110000; 11'h745: VAR1 = 8'b11111100; 11'h746: VAR1 = 8'b00110000; 11'h747: VAR1 = 8'b00110000; 11'h748: VAR1 = 8'b00110000; 11'h749: VAR1 = 8'b00110000; 11'h74a: VAR1 = 8'b00110110; 11'h74b: VAR1 = 8'b00011100; 11'h74c: VAR1 = 8'b00000000; 11'h74d: VAR1 = 8'b00000000; 11'h74e: VAR1 = 8'b00000000; 11'h74f: VAR1 = 8'b00000000; 11'h750: VAR1 = 8'b00000000; 11'h751: VAR1 = 8'b00000000; 11'h752: VAR1 = 8'b00000000; 11'h753: VAR1 = 8'b00000000; 11'h754: VAR1 = 8'b00000000; 11'h755: VAR1 = 8'b11001100; 11'h756: VAR1 = 8'b11001100; 11'h757: VAR1 = 8'b11001100; 11'h758: VAR1 = 8'b11001100; 11'h759: VAR1 = 8'b11001100; 11'h75a: VAR1 = 8'b11001100; 11'h75b: VAR1 = 8'b01110110; 11'h75c: VAR1 = 8'b00000000; 11'h75d: VAR1 = 8'b00000000; 11'h75e: VAR1 = 8'b00000000; 11'h75f: VAR1 = 8'b00000000; 11'h760: VAR1 = 8'b00000000; 11'h761: VAR1 = 8'b00000000; 11'h762: VAR1 = 8'b00000000; 11'h763: VAR1 = 8'b00000000; 11'h764: VAR1 = 8'b00000000; 11'h765: VAR1 = 8'b11000011; 11'h766: VAR1 = 8'b11000011; 11'h767: VAR1 = 8'b11000011; 11'h768: VAR1 = 8'b11000011; 11'h769: VAR1 = 8'b01100110; 11'h76a: VAR1 = 8'b00111100; 11'h76b: VAR1 = 8'b00011000; 11'h76c: VAR1 = 8'b00000000; 11'h76d: VAR1 = 8'b00000000; 11'h76e: VAR1 = 8'b00000000; 11'h76f: VAR1 = 8'b00000000; 11'h770: VAR1 = 8'b00000000; 11'h771: VAR1 = 8'b00000000; 11'h772: VAR1 = 8'b00000000; 11'h773: VAR1 = 8'b00000000; 11'h774: VAR1 = 8'b00000000; 11'h775: VAR1 = 8'b11000011; 11'h776: VAR1 = 8'b11000011; 11'h777: VAR1 = 8'b11000011; 11'h778: VAR1 = 8'b11011011; 11'h779: VAR1 = 8'b11011011; 11'h77a: VAR1 = 8'b11111111; 11'h77b: VAR1 = 8'b01100110; 11'h77c: VAR1 = 8'b00000000; 11'h77d: VAR1 = 8'b00000000; 11'h77e: VAR1 = 8'b00000000; 11'h77f: VAR1 = 8'b00000000; 11'h780: VAR1 = 8'b00000000; 11'h781: VAR1 = 8'b00000000; 11'h782: VAR1 = 8'b00000000; 11'h783: VAR1 = 8'b00000000; 11'h784: VAR1 = 8'b00000000; 11'h785: VAR1 = 8'b11000011; 11'h786: VAR1 = 8'b01100110; 11'h787: VAR1 = 8'b00111100; 11'h788: VAR1 = 8'b00011000; 11'h789: VAR1 = 8'b00111100; 11'h78a: VAR1 = 8'b01100110; 11'h78b: VAR1 = 8'b11000011; 11'h78c: VAR1 = 8'b00000000; 11'h78d: VAR1 = 8'b00000000; 11'h78e: VAR1 = 8'b00000000; 11'h78f: VAR1 = 8'b00000000; 11'h790: VAR1 = 8'b00000000; 11'h791: VAR1 = 8'b00000000; 11'h792: VAR1 = 8'b00000000; 11'h793: VAR1 = 8'b00000000; 11'h794: VAR1 = 8'b00000000; 11'h795: VAR1 = 8'b11000110; 11'h796: VAR1 = 8'b11000110; 11'h797: VAR1 = 8'b11000110; 11'h798: VAR1 = 8'b11000110; 11'h799: VAR1 = 8'b11000110; 11'h79a: VAR1 = 8'b11000110; 11'h79b: VAR1 = 8'b01111110; 11'h79c: VAR1 = 8'b00000110; 11'h79d: VAR1 = 8'b00001100; 11'h79e: VAR1 = 8'b11111000; 11'h79f: VAR1 = 8'b00000000; 11'h7a0: VAR1 = 8'b00000000; 11'h7a1: VAR1 = 8'b00000000; 11'h7a2: VAR1 = 8'b00000000; 11'h7a3: VAR1 = 8'b00000000; 11'h7a4: VAR1 = 8'b00000000; 11'h7a5: VAR1 = 8'b11111110; 11'h7a6: VAR1 = 8'b11001100; 11'h7a7: VAR1 = 8'b00011000; 11'h7a8: VAR1 = 8'b00110000; 11'h7a9: VAR1 = 8'b01100000; 11'h7aa: VAR1 = 8'b11000110; 11'h7ab: VAR1 = 8'b11111110; 11'h7ac: VAR1 = 8'b00000000; 11'h7ad: VAR1 = 8'b00000000; 11'h7ae: VAR1 = 8'b00000000; 11'h7af: VAR1 = 8'b00000000; 11'h7b0: VAR1 = 8'b00000000; 11'h7b1: VAR1 = 8'b00000000; 11'h7b2: VAR1 = 8'b00001110; 11'h7b3: VAR1 = 8'b00011000; 11'h7b4: VAR1 = 8'b00011000; 11'h7b5: VAR1 = 8'b00011000; 11'h7b6: VAR1 = 8'b01110000; 11'h7b7: VAR1 = 8'b00011000; 11'h7b8: VAR1 = 8'b00011000; 11'h7b9: VAR1 = 8'b00011000; 11'h7ba: VAR1 = 8'b00011000; 11'h7bb: VAR1 = 8'b00001110; 11'h7bc: VAR1 = 8'b00000000; 11'h7bd: VAR1 = 8'b00000000; 11'h7be: VAR1 = 8'b00000000; 11'h7bf: VAR1 = 8'b00000000; 11'h7c0: VAR1 = 8'b00000000; 11'h7c1: VAR1 = 8'b00000000; 11'h7c2: VAR1 = 8'b00011000; 11'h7c3: VAR1 = 8'b00011000; 11'h7c4: VAR1 = 8'b00011000; 11'h7c5: VAR1 = 8'b00011000; 11'h7c6: VAR1 = 8'b00000000; 11'h7c7: VAR1 = 8'b00011000; 11'h7c8: VAR1 = 8'b00011000; 11'h7c9: VAR1 = 8'b00011000; 11'h7ca: VAR1 = 8'b00011000; 11'h7cb: VAR1 = 8'b00011000; 11'h7cc: VAR1 = 8'b00000000; 11'h7cd: VAR1 = 8'b00000000; 11'h7ce: VAR1 = 8'b00000000; 11'h7cf: VAR1 = 8'b00000000; 11'h7d0: VAR1 = 8'b00000000; 11'h7d1: VAR1 = 8'b00000000; 11'h7d2: VAR1 = 8'b01110000; 11'h7d3: VAR1 = 8'b00011000; 11'h7d4: VAR1 = 8'b00011000; 11'h7d5: VAR1 = 8'b00011000; 11'h7d6: VAR1 = 8'b00001110; 11'h7d7: VAR1 = 8'b00011000; 11'h7d8: VAR1 = 8'b00011000; 11'h7d9: VAR1 = 8'b00011000; 11'h7da: VAR1 = 8'b00011000; 11'h7db: VAR1 = 8'b01110000; 11'h7dc: VAR1 = 8'b00000000; 11'h7dd: VAR1 = 8'b00000000; 11'h7de: VAR1 = 8'b00000000; 11'h7df: VAR1 = 8'b00000000; 11'h7e0: VAR1 = 8'b00000000; 11'h7e1: VAR1 = 8'b00000000; 11'h7e2: VAR1 = 8'b01110110; 11'h7e3: VAR1 = 8'b11011100; 11'h7e4: VAR1 = 8'b00000000; 11'h7e5: VAR1 = 8'b00000000; 11'h7e6: VAR1 = 8'b00000000; 11'h7e7: VAR1 = 8'b00000000; 11'h7e8: VAR1 = 8'b00000000; 11'h7e9: VAR1 = 8'b00000000; 11'h7ea: VAR1 = 8'b00000000; 11'h7eb: VAR1 = 8'b00000000; 11'h7ec: VAR1 = 8'b00000000; 11'h7ed: VAR1 = 8'b00000000; 11'h7ee: VAR1 = 8'b00000000; 11'h7ef: VAR1 = 8'b00000000; 11'h7f0: VAR1 = 8'b00000000; 11'h7f1: VAR1 = 8'b00000000; 11'h7f2: VAR1 = 8'b00000000; 11'h7f3: VAR1 = 8'b00000000; 11'h7f4: VAR1 = 8'b00010000; 11'h7f5: VAR1 = 8'b00111000; 11'h7f6: VAR1 = 8'b01101100; 11'h7f7: VAR1 = 8'b11000110; 11'h7f8: VAR1 = 8'b11000110; 11'h7f9: VAR1 = 8'b11000110; 11'h7fa: VAR1 = 8'b11111110; 11'h7fb: VAR1 = 8'b00000000; 11'h7fc: VAR1 = 8'b00000000; 11'h7fd: VAR1 = 8'b00000000; 11'h7fe: VAR1 = 8'b00000000; 11'h7ff: VAR1 = 8'b00000000; endcase endmodule
apache-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_c0.v
19,693
module MODULE1 ( input wire VAR96, input wire VAR8, input wire VAR111, output wire VAR37, output wire VAR50, output wire VAR23, output wire [27:0] VAR70, output wire [3:0] VAR134, output wire [1:0] VAR48, output wire [1:0] VAR102, output wire [1:0] VAR43, output wire [1:0] VAR143, output wire [1:0] VAR145, output wire [1:0] VAR107, output wire [1:0] VAR147, output wire [1:0] VAR12, output wire [31:0] VAR85, output wire [3:0] VAR98, input wire [31:0] VAR40, output wire [0:0] VAR113, output wire VAR80, output wire VAR52, output wire [1:0] VAR65, output wire [1:0] VAR42, input wire [1:0] VAR196, input wire VAR207, input wire VAR116, input wire [5:0] VAR34, input wire [5:0] VAR41, output wire VAR192, input wire VAR120, input wire [23:0] VAR131, output wire VAR54, output wire [31:0] VAR155, input wire [31:0] VAR16, input wire [3:0] VAR187, input wire VAR77, input wire VAR140, input wire [2:0] VAR149 ); wire VAR173; wire VAR14; wire VAR204; wire VAR184; wire VAR117; wire [3:0] VAR185; wire [7:0] VAR103; wire [31:0] VAR189; wire VAR172; wire [7:0] VAR81; wire [31:0] VAR141; wire VAR18; wire VAR29; wire VAR209; wire VAR186; wire VAR7; wire VAR9; wire VAR66; wire VAR163; wire [2:0] VAR27; wire VAR28; wire [23:0] VAR121; wire [7:0] VAR3; VAR83 #( .VAR59 (14), .VAR47 (14), .VAR152 (10), .VAR166 (1), .VAR11 (1), .VAR128 (1), .VAR178 (1), .VAR153 (2), .VAR94 (8), .VAR144 (1), .VAR162 (1), .VAR92 (12), .VAR95 (9), .VAR124 (3), .VAR165 (3), .VAR72 (1400), .VAR180 (15), .VAR175 (3), .VAR160 (8), .VAR6 (2), .VAR199 (2), .VAR31 (1), .VAR181 (2), .VAR32 (3), .VAR123 (3), .VAR158 (0), .VAR170 (1), .VAR46 (1), .VAR68 (3), .VAR1 (2), .VAR130 (3), .VAR104 (8), .VAR78 (32), .VAR73 (4), .VAR108 (24), .VAR45 (4), .VAR79 (32), .VAR91 (3), .VAR86 (4), .VAR110 (1), .VAR90 (1), .VAR205 (1), .VAR206 (0), .VAR106 (1), .VAR168 (0), .VAR125 (1), .VAR137 (8), .VAR55 (8), .VAR84 (0), .VAR115 (3), .VAR198 (5), .VAR171 (20), .VAR15 (200), .VAR126 (1), .VAR203 (1), .VAR132 (1), .VAR10 (0), .VAR133 (0), .VAR49 (0), .VAR44 (0), .VAR154 (0), .VAR114 (0), .VAR30 (0), .VAR179 (0), .VAR26 (0), .VAR20 (0), .VAR142 (10), .VAR89 (0), .VAR177 (0), .VAR135 (0), .VAR109 (0), .VAR62 (0), .VAR75 (0), .VAR194 (0), .VAR21 (8), .VAR167 (7), .VAR164 (6), .VAR17 ("VAR57"), .VAR13 (0), .VAR22 (2), .VAR169 (28), .VAR159 (4), .VAR100 (2), .VAR69 (2), .VAR201 (2), .VAR67 (4), .VAR101 (32), .VAR188 (2), .VAR63 (2), .VAR208 (6), .VAR38 (6), .VAR129 (2), .VAR112 (2) ) VAR193 ( .VAR96 (VAR96), .VAR111 (VAR111), .VAR8 (VAR8), .VAR37 (VAR37), .VAR50 (VAR50), .VAR23 (VAR23), .VAR60 (VAR18), .VAR138 (VAR9), .VAR139 (VAR28), .VAR202 (VAR121), .VAR88 (VAR27), .VAR5 (VAR81), .VAR156 (VAR117), .VAR36 (VAR204), .VAR82 (VAR209), .VAR150 (VAR66), .VAR182 (VAR172), .VAR19 (VAR189), .VAR35 (VAR185), .VAR58 (VAR173), .VAR136 (VAR186), .VAR151 (VAR3), .VAR25 (VAR163), .VAR53 (VAR7), .VAR61 (VAR141), .VAR122 (VAR184), .VAR119 (VAR29), .VAR71 (VAR14), .VAR190 (VAR103), .VAR70 (VAR70), .VAR134 (VAR134), .VAR48 (VAR48), .VAR102 (VAR102), .VAR43 (VAR43), .VAR143 (VAR143), .VAR145 (VAR145), .VAR107 (VAR107), .VAR147 (VAR147), .VAR12 (VAR12), .VAR85 (VAR85), .VAR98 (VAR98), .VAR40 (VAR40), .VAR113 (VAR113), .VAR80 (VAR80), .VAR52 (VAR52), .VAR65 (VAR65), .VAR42 (VAR42), .VAR196 (VAR196), .VAR207 (VAR207), .VAR116 (VAR116), .VAR34 (VAR34), .VAR41 (VAR41), .VAR118 (1'b0), .VAR157 (1'b0), .VAR64 (), .VAR183 (8'b00000000), .VAR105 (4'b0000), .VAR74 (32'b00000000000000000000000000000000), .VAR197 (), .VAR174 (), .VAR195 (1'b0), .VAR76 (1'b0), .VAR176 (1'b0), .VAR24 (), .VAR39 (1'b0), .VAR161 (1'b0), .VAR87 (), .VAR4 (1'b0), .VAR33 (1'b0), .VAR2 (), .VAR97 (), .VAR93 (1'b0) ); VAR200 #( .VAR91 (3), .VAR108 (24), .VAR79 (32), .VAR21 (8), .VAR56 (4) ) VAR51 ( .VAR146 (VAR8), .VAR127 (VAR96), .VAR99 (VAR111), .VAR191 (VAR96), .VAR192 (VAR192), .VAR120 (VAR120), .VAR131 (VAR131), .VAR54 (VAR54), .VAR155 (VAR155), .VAR16 (VAR16), .VAR187 (VAR187), .VAR77 (VAR77), .VAR140 (VAR140), .VAR149 (VAR149), .VAR60 (VAR18), .VAR138 (VAR9), .VAR139 (VAR28), .VAR202 (VAR121), .VAR88 (VAR27), .VAR5 (VAR81), .VAR156 (VAR117), .VAR36 (VAR204), .VAR82 (VAR209), .VAR150 (VAR66), .VAR182 (VAR172), .VAR19 (VAR189), .VAR35 (VAR185), .VAR58 (VAR173), .VAR136 (VAR186), .VAR151 (VAR3), .VAR25 (VAR163), .VAR53 (VAR7), .VAR61 (VAR141), .VAR122 (VAR184), .VAR119 (VAR29), .VAR71 (VAR14), .VAR190 (VAR103), .VAR195 (1'b0), .VAR148 (1'b0), .VAR93 (1'b0) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlrbn/sky130_fd_sc_ms__dlrbn.functional.pp.v
2,214
module MODULE1 ( VAR4 , VAR16 , VAR8, VAR9 , VAR5 , VAR13 , VAR12 , VAR10 , VAR18 ); output VAR4 ; output VAR16 ; input VAR8; input VAR9 ; input VAR5 ; input VAR13 ; input VAR12 ; input VAR10 ; input VAR18 ; wire VAR19 ; wire VAR11; wire VAR15 ; not VAR3 (VAR19 , VAR8 ); not VAR14 (VAR11, VAR5 ); VAR2 VAR7 VAR1 (VAR15 , VAR9, VAR11, VAR19, , VAR13, VAR12); buf VAR17 (VAR4 , VAR15 ); not VAR6 (VAR16 , VAR15 ); endmodule
apache-2.0
olgirard/openmsp430
fpga/actel_m1a3pl_dev_kit/rtl/verilog/openmsp430/omsp_mem_backbone.v
18,200
module MODULE1 ( VAR8, VAR89, VAR71, VAR25, VAR64, VAR59, VAR47, VAR79, VAR16, VAR84, VAR41, VAR45, VAR92, VAR83, VAR37, VAR40, VAR38, VAR4, VAR13, VAR60, VAR97, VAR15, VAR36, VAR52, VAR98, VAR75, VAR73, VAR44, VAR27, VAR74, VAR31, VAR3, VAR10, VAR29, VAR99, VAR96, VAR22, VAR87, VAR57, VAR32, VAR23, VAR55, VAR62 ); output VAR8; output [15:0] VAR89; output [VAR28:0] VAR71; output VAR25; output [15:0] VAR64; output [1:0] VAR59; output [15:0] VAR47; output [15:0] VAR79; output VAR16; output [15:0] VAR84; output VAR41; output VAR45; output [13:0] VAR92; output [15:0] VAR83; output [1:0] VAR37; output VAR40; output [VAR54:0] VAR38; output VAR4; output [15:0] VAR13; output [1:0] VAR60; input VAR97; input VAR15; input [15:1] VAR36; input [15:0] VAR52; input VAR98; input [1:0] VAR75; input [15:0] VAR73; input [14:0] VAR44; input VAR27; input [1:0] VAR74; input [15:0] VAR31; input [14:0] VAR3; input VAR10; input VAR29; input [15:1] VAR99; input [15:0] VAR96; input VAR22; input VAR87; input [1:0] VAR57; input [15:0] VAR32; input [15:0] VAR23; input VAR55; input VAR62; wire VAR88; wire [15:0] VAR82; wire VAR86; wire VAR7; wire VAR85; wire VAR53; wire VAR70; wire VAR50; assign VAR8 = VAR15 | (VAR22 & VAR87); assign VAR45 = ~VAR98 & ~(VAR86 | VAR85 | VAR70) & VAR22; assign VAR41 = ~VAR98 & (VAR7 | VAR53 | VAR50 | VAR45); reg VAR78; always @ (posedge VAR29 or posedge VAR55) if (VAR55) VAR78 <= 1'b0; else VAR78 <= VAR41; assign VAR88 = VAR98 | VAR22; wire [1:0] VAR81 = VAR98 ? VAR75 : VAR57; wire [15:1] VAR5 = VAR98 ? VAR36 : VAR99; wire [15:0] VAR65 = VAR98 ? VAR52 : VAR96; assign VAR89 = VAR82; assign VAR84 = VAR82 & {16{VAR78}}; assign VAR8 = VAR15; assign VAR45 = 1'b1; assign VAR41 = 1'b1; assign VAR88 = VAR98; wire [1:0] VAR81 = VAR75; wire [15:1] VAR5 = VAR36; wire [15:0] VAR65 = VAR52; assign VAR89 = VAR82; assign VAR84 = 16'h0000; wire [15:1] VAR72 = VAR99; wire [15:0] VAR26 = VAR96; wire VAR94 = VAR22; wire VAR49 = VAR87; wire [1:0] VAR9 = VAR57; parameter VAR35 = VAR11+VAR90; wire VAR17 = (VAR44>=(VAR11>>1)) & (VAR44< ( VAR35 >>1)); wire VAR39 = VAR27 & VAR17; wire [15:0] VAR66 = {1'b0, VAR44}-(VAR11>>1); assign VAR86 = (VAR5[15:1]>=(VAR11>>1)) & (VAR5[15:1]< ( VAR35 >>1)); assign VAR7 = VAR88 & VAR86 & ~VAR39; wire [15:0] VAR19 = {1'b0, VAR5[15:1]}-(VAR11>>1); wire VAR25 = ~(VAR7 | VAR39); wire [1:0] VAR59 = VAR7 ? ~VAR81 : ~VAR74; wire [VAR28:0] VAR71 = VAR7 ? VAR19[VAR28:0] : VAR66[VAR28:0]; wire [15:0] VAR64 = VAR7 ? VAR65 : VAR31; parameter VAR63 = (16'hFFFF-VAR48+1); wire VAR80 = (VAR44>=(VAR63>>1)); wire VAR76 = VAR27 & ~|VAR74 & VAR80; wire [15:0] VAR14 = VAR44-(VAR63>>1); wire VAR33 = (VAR3>=(VAR63>>1)); wire VAR12 = VAR10 & VAR33; wire [15:0] VAR43 = VAR3-(VAR63>>1); assign VAR85 = (VAR5[15:1]>=(VAR63>>1)); assign VAR53 = VAR88 & VAR85 & ~VAR76 & ~VAR12; wire [15:0] VAR51 = {1'b0, VAR5[15:1]}-(VAR63>>1); wire VAR4 = ~(VAR12 | VAR76 | VAR53); wire [1:0] VAR60 = VAR53 ? ~VAR81 : 2'b11; wire [VAR54:0] VAR38 = VAR53 ? VAR51[VAR54:0] : VAR76 ? VAR14[VAR54:0] : VAR43[VAR54:0]; wire [15:0] VAR13 = VAR65; wire VAR16 = (VAR12 & VAR76); wire VAR61 = (VAR44<(VAR18>>1)); wire VAR56 = VAR27 & VAR61; assign VAR70 = (VAR5[15:1]<(VAR18>>1)); assign VAR50 = VAR88 & VAR70 & ~VAR56; wire VAR40 = VAR50 | VAR56; wire [1:0] VAR37 = VAR50 ? VAR81 : VAR74; wire [VAR95:0] VAR93 = VAR50 ? VAR5[VAR95+1:1] : VAR44[VAR95:0]; wire [14:0] VAR20 = {{15-VAR46{1'b0}}, VAR93}; wire [13:0] VAR92 = VAR20[13:0]; wire [15:0] VAR83 = VAR50 ? VAR65 : VAR31; reg [15:0] VAR77; always @ (posedge VAR29 or posedge VAR55) if (VAR55) VAR77 <= 16'h0000; else VAR77 <= VAR32; reg VAR30; always @(posedge VAR29 or posedge VAR55) if (VAR55) VAR30 <= 1'b0; else VAR30 <= VAR12; wire VAR68 = (~VAR12 & VAR30) & ~VAR97; wire VAR2 = ( VAR12 & ~VAR30) | VAR97; wire VAR21; VAR67 VAR34 (.VAR24(VAR21), .clk (VAR29), .enable(VAR68), .VAR62(VAR62)); wire VAR58 = VAR62; reg [15:0] VAR6; always @(posedge VAR1 or posedge VAR55) if (VAR55) VAR6 <= 16'h0000; else VAR6 <= VAR23; else if (VAR68) VAR6 <= VAR23; reg VAR91; always @(posedge VAR29 or posedge VAR55) if (VAR55) VAR91 <= 1'b0; else if (VAR68) VAR91 <= 1'b1; else if (VAR2) VAR91 <= 1'b0; assign VAR79 = VAR91 ? VAR6 : VAR23; reg [1:0] VAR69; always @(posedge VAR29 or posedge VAR55) if (VAR55) VAR69 <= 2'b00; else VAR69 <= {VAR76, VAR56}; assign VAR47 = VAR69[1] ? VAR23 : VAR69[0] ? VAR77 : VAR73; reg [1:0] VAR42; always @(posedge VAR29 or posedge VAR55) if (VAR55) VAR42 <= 2'b00; else VAR42 <= {VAR53, VAR50}; assign VAR82 = VAR42[1] ? VAR23 : VAR42[0] ? VAR77 : VAR73; endmodule
bsd-3-clause
theapi/nand2tetris_fpga
hack/rtl/verilog/register_display.v
2,868
module MODULE1( input clk, input [15:0] VAR3, input [10:0] VAR6, input [10:0] VAR1, input [2:0] VAR2, output [2:0] VAR8, output VAR9 ); parameter VAR5 = 10; parameter VAR7 = 380; reg [2:0] out = 0; assign VAR8 = out; reg VAR4 = 0; assign VAR9 = VAR4; always @ (posedge clk) begin if (VAR1 >= VAR7 && VAR1 < VAR7 + 11'd6 && VAR6 >= VAR5 && VAR6 < VAR5 + 11'd161) begin VAR4 <= 1'b1; case (VAR6 - VAR5) 11'd0, 11'd1, 11'd2, 11'd3, 11'd4: out <= VAR3[15] ? 3'b100 : 3'b000; 11'd10, 11'd11, 11'd12, 11'd13, 11'd14: out <= VAR3[14] ? 3'b100 : 3'b000; 11'd20, 11'd21, 11'd22, 11'd23, 11'd24: out <= VAR3[13] ? 3'b100 : 3'b000; 11'd30, 11'd31, 11'd32, 11'd33, 11'd34: out <= VAR3[12] ? 3'b100 : 3'b000; 11'd40, 11'd41, 11'd42, 11'd43, 11'd44: out <= VAR3[11] ? 3'b100 : 3'b000; 11'd50, 11'd51, 11'd52, 11'd53, 11'd54: out <= VAR3[10] ? 3'b100 : 3'b000; 11'd60, 11'd61, 11'd62, 11'd63, 11'd64: out <= VAR3[9] ? 3'b100 : 3'b000; 11'd70, 11'd71, 11'd72, 11'd73, 11'd74: out <= VAR3[8] ? 3'b100 : 3'b000; 11'd80, 11'd81, 11'd82, 11'd83, 11'd84: out <= VAR3[7] ? 3'b100 : 3'b000; 11'd90, 11'd91, 11'd92, 11'd93, 11'd94: out <= VAR3[6] ? 3'b100 : 3'b000; 11'd100,11'd101,11'd102,11'd103,11'd104: out <= VAR3[5] ? 3'b100 : 3'b000; 11'd110,11'd111,11'd112,11'd113,11'd114: out <= VAR3[4] ? 3'b100 : 3'b000; 11'd120,11'd121,11'd122,11'd123,11'd124: out <= VAR3[3] ? 3'b100 : 3'b000; 11'd130,11'd131,11'd132,11'd133,11'd134: out <= VAR3[2] ? 3'b100 : 3'b000; 11'd140,11'd141,11'd142,11'd143,11'd144: out <= VAR3[1] ? 3'b100 : 3'b000; 11'd150,11'd151,11'd152,11'd153,11'd154: out <= VAR3[0] ? 3'b100 : 3'b000; default: out <= VAR2; endcase end else begin out <= VAR2; VAR4 <= 1'b0; end end endmodule
mit
sabertazimi/hust-lab
digitalLogic/design/washmach_design/src/time_display.v
2,090
module MODULE1 ( input VAR16, input [(VAR6-1):0] VAR12, input [(VAR6-1):0] VAR1, input [(VAR6-1):0] VAR7, output reg [7:0] VAR13, output reg [7:0] VAR11 ); reg [2:0] VAR17; wire [15:0] VAR9, VAR15, VAR5; VAR8 VAR2 ( .VAR14(VAR12), .VAR3(VAR9) ); VAR8 VAR4 ( .VAR14(VAR1), .VAR3(VAR15) ); VAR8 VAR10 ( .VAR14(VAR7), .VAR3(VAR5) );
mit
mfkiwl/parallella-platform
hdl/ewrapper_io_tx_slow.v
8,735
module MODULE1 ( VAR39, VAR45, VAR62, VAR38, VAR20, VAR71, VAR4, VAR14, VAR58, VAR76 ); input VAR20; input VAR71; input VAR4; input VAR14; input VAR58; input [71:0] VAR76; output [8:0] VAR39; output [8:0] VAR45; output VAR62; output VAR38; reg [1:0] VAR36; reg VAR59; reg VAR46; reg [8:0] VAR69; reg [8:0] VAR22; reg [71:0] VAR55; wire VAR17; wire VAR27; wire VAR47; wire reset; wire VAR64; wire [8:0] VAR50; wire [8:0] VAR75; wire [8:0] VAR73; wire [8:0] VAR67; wire [8:0] VAR65; wire [8:0] VAR21; wire [8:0] VAR5; wire [8:0] VAR28; wire [8:0] VAR9; wire [8:0] VAR54; wire VAR18; wire VAR68; wire VAR2; wire VAR23; wire [71:0] VAR53; wire [8:0] VAR15; wire VAR32; wire [8:0] VAR39; wire [8:0] VAR45; wire VAR62; wire VAR38; wire [8:0] VAR29; wire [8:0] VAR19; wire VAR10; wire VAR16; assign VAR29[8:0] = ~VAR69[8:0]; assign VAR19[8:0] = ~VAR22[8:0]; assign VAR10 = 1'b0; assign VAR16 = 1'b1; assign VAR29[8:0] = VAR69[8:0]; assign VAR19[8:0] = VAR22[8:0]; assign VAR10 = 1'b1; assign VAR16 = 1'b0; assign reset = VAR14; assign VAR53[71:0] = VAR76[71:0]; assign VAR17 = VAR20; assign VAR27 = VAR71; assign VAR47 = VAR4; always @ (posedge VAR17 or posedge reset) if(reset) VAR55[71:0] <= {(72){1'b0}}; else if(VAR64) VAR55[71:0] <= VAR53[71:0]; genvar VAR57; generate for (VAR57 = 0; VAR57 < 9; VAR57 = VAR57 + 1) begin: VAR66 VAR40 #(.VAR31 (VAR13)) VAR7 (.VAR74 (VAR39[VAR57]), .VAR41 (VAR45[VAR57]), .VAR33 (VAR15[VAR57])); end endgenerate VAR40 #(.VAR31 (VAR13)) VAR11 (.VAR74 (VAR62), .VAR41 (VAR38), .VAR33 (VAR32)); genvar VAR26; generate for (VAR26 = 0; VAR26 < 9; VAR26 = VAR26 + 1) begin: VAR24 VAR12 #( .VAR3 ("VAR37"), .VAR34 (1'b0), .VAR51 ("VAR35")) VAR6 ( .VAR63 (VAR15[VAR26]), .VAR52 (VAR17), .VAR44 (1'b1), .VAR30 (VAR29[VAR26]), .VAR8 (VAR19[VAR26]), .VAR61 (reset), .VAR60 (1'b0)); end endgenerate VAR12 #( .VAR3 ("VAR37"), .VAR34 (1'b0), .VAR51 ("VAR35")) VAR72 ( .VAR63 (VAR32), .VAR52 (VAR27), .VAR44 (1'b1), .VAR30 (VAR10), .VAR8 (VAR16), .VAR61 (reset), .VAR60 (1'b0)); always @ (posedge VAR17 or posedge reset) if(reset) begin VAR69[8:0] <= {(9){1'b0}}; VAR22[8:0] <= {(9){1'b0}}; end else begin VAR69[8:0] <= VAR50[8:0]; VAR22[8:0] <= VAR21[8:0]; end VAR1 #(18) VAR1( .out ({VAR50[8:0],VAR21[8:0]}), .VAR43 ({VAR75[8:0],VAR5[8:0]}), .VAR70 (VAR18), .VAR56 ({VAR73[8:0],VAR28[8:0]}), .VAR42 (VAR68), .VAR25 ({VAR67[8:0],VAR9[8:0]}), .VAR77 (VAR2), .VAR49 ({VAR65[8:0],VAR54[8:0]}), .VAR48 (VAR23)); assign VAR18 = (VAR36[1:0] == 2'b00); assign VAR68 = (VAR36[1:0] == 2'b01); assign VAR2 = (VAR36[1:0] == 2'b10); assign VAR23 = (VAR36[1:0] == 2'b11); always @ (posedge VAR17 or posedge reset) if(reset) VAR36[1:0] <= 2'b00; else if(VAR64) VAR36[1:0] <= 2'b00; else VAR36[1:0] <= VAR36[1:0] + 2'b01; always @ (negedge VAR17) VAR59 <= VAR47; always @ (negedge VAR17) VAR46 <= VAR59; assign VAR64 = VAR59 & ~VAR46; assign VAR75[8:0] ={VAR55[71],VAR55[63],VAR55[55], VAR55[47],VAR55[39],VAR55[31], VAR55[23],VAR55[15],VAR55[7]}; assign VAR5[8:0] ={VAR55[70],VAR55[62],VAR55[54], VAR55[46],VAR55[38],VAR55[30], VAR55[22],VAR55[14],VAR55[6]}; assign VAR73[8:0] ={VAR55[69],VAR55[61],VAR55[53], VAR55[45],VAR55[37],VAR55[29], VAR55[21],VAR55[13],VAR55[5]}; assign VAR28[8:0] ={VAR55[68],VAR55[60],VAR55[52], VAR55[44],VAR55[36],VAR55[28], VAR55[20],VAR55[12],VAR55[4]}; assign VAR67[8:0] ={VAR55[67],VAR55[59],VAR55[51], VAR55[43],VAR55[35],VAR55[27], VAR55[19],VAR55[11],VAR55[3]}; assign VAR9[8:0] ={VAR55[66],VAR55[58],VAR55[50], VAR55[42],VAR55[34],VAR55[26], VAR55[18],VAR55[10],VAR55[2]}; assign VAR65[8:0] ={VAR55[65],VAR55[57],VAR55[49], VAR55[41],VAR55[33],VAR55[25], VAR55[17],VAR55[9], VAR55[1]}; assign VAR54[8:0] ={VAR55[64],VAR55[56],VAR55[48], VAR55[40],VAR55[32],VAR55[24], VAR55[16],VAR55[8], VAR55[0]}; endmodule
gpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/7a200ffg1156/ddr_core/user_design/rtl/clocking/mig_7series_v1_9_iodelay_ctrl.v
9,718
module MODULE1 # ( parameter VAR35 = 100, parameter VAR36 = "VAR20", parameter VAR10 = "VAR19", parameter VAR25 = "VAR19", parameter VAR11 = "VAR12", parameter VAR15 = 1, parameter VAR9 = "VAR1" ) ( input VAR29, input VAR34, input VAR5, input VAR41, output VAR23, output VAR37, output VAR43 ); localparam VAR49 = 15; wire VAR4; wire VAR47; wire VAR22; reg [VAR49-1:0] VAR8 ; wire VAR21; wire VAR28; generate if (VAR11 == "VAR1") VAR27 VAR48 ( .VAR24 (VAR41), .VAR2 (VAR37) ); else assign VAR37 = VAR41; endgenerate assign VAR28 = VAR15 ? ~VAR37: VAR37; generate if (VAR10 == "VAR19") begin: VAR32 VAR16 # ( .VAR33 (VAR9), .VAR39 ("VAR12") ) VAR46 ( .VAR24 (VAR29), .VAR45 (VAR34), .VAR2 (VAR47) ); VAR30 VAR13 ( .VAR2 (VAR4), .VAR24 (VAR47) ); end else if (VAR10 == "VAR14") begin : VAR7 VAR26 # ( .VAR39 ("VAR12") ) VAR46 ( .VAR24 (VAR5), .VAR2 (VAR47) ); VAR30 VAR13 ( .VAR2 (VAR4), .VAR24 (VAR47) ); end else if ((VAR10 == "VAR3") || (VAR10 == "VAR42" && VAR25 == "VAR3")) begin : VAR44 assign VAR4 = VAR5; end else if (VAR10 == "VAR42" && VAR25 != "VAR3") begin : VAR6 VAR30 VAR13 ( .VAR2 (VAR4), .VAR24 (VAR5) ); end endgenerate assign VAR23 = VAR4; assign VAR21 = VAR28; always @(posedge VAR4 or posedge VAR21) if (VAR21) end else assign VAR22 = VAR8[VAR49-1]; VAR40 VAR38 ( .VAR31 (VAR43), .VAR17 (VAR4), .VAR18 (VAR22) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfrtn/sky130_fd_sc_lp__sdfrtn.blackbox.v
1,440
module MODULE1 ( VAR7 , VAR4 , VAR1 , VAR5 , VAR6 , VAR2 ); output VAR7 ; input VAR4 ; input VAR1 ; input VAR5 ; input VAR6 ; input VAR2; supply1 VAR8; supply0 VAR10; supply1 VAR3 ; supply0 VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand2b/sky130_fd_sc_ls__nand2b_4.v
2,147
module MODULE2 ( VAR4 , VAR5 , VAR6 , VAR2, VAR9, VAR1 , VAR8 ); output VAR4 ; input VAR5 ; input VAR6 ; input VAR2; input VAR9; input VAR1 ; input VAR8 ; VAR7 VAR3 ( .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR9(VAR9), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR4 , VAR5, VAR6 ); output VAR4 ; input VAR5; input VAR6 ; supply1 VAR2; supply0 VAR9; supply1 VAR1 ; supply0 VAR8 ; VAR7 VAR3 ( .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
hydai/Verilog-Practice
HardwareLab/Lab8/LED7SEG.v
1,178
module MODULE1(VAR3, VAR9, VAR7, VAR8, VAR2, VAR4, clk); input clk; input [3:0] VAR2, VAR4, VAR7, VAR8; output[3:0] VAR3; output[7:0] VAR9; reg[1:0] VAR6; wire[1:0] VAR1; wire[3:0] VAR5; always@(posedge clk) VAR6 <= VAR1; assign VAR1 = VAR6 + 2'd1; assign VAR5 = (VAR6==2'd0) ? VAR4 : (VAR6==2'd1) ? VAR2 : (VAR6==2'd2) ? VAR8 : VAR7 ; assign VAR3 = (VAR6==2'd0) ? 4'b1110 : (VAR6==2'd1) ? 4'b1101 : (VAR6==2'd2) ? 4'b1011 : 4'b0111 ; assign VAR9 = (VAR5==4'h0) ? 8'b00000011 : (VAR5==4'h1) ? 8'b10011111 : (VAR5==4'h2) ? 8'b00100100 : (VAR5==4'h3) ? 8'b00001100 : (VAR5==4'h4) ? 8'b10011000 : (VAR5==4'h5) ? 8'b01001000 : (VAR5==4'h6) ? 8'b01000000 : (VAR5==4'h7) ? 8'b00011111 : (VAR5==4'h8) ? 8'b00000000 : (VAR5==4'h9) ? 8'b00001000 : (VAR5==4'hA) ? 8'b00010000 : (VAR5==4'hB) ? 8'b11000000 : (VAR5==4'hC) ? 8'b01100011 : (VAR5==4'hD) ? 8'b10000100 : (VAR5==4'hE) ? 8'b01100000 : (VAR5==4'hF) ? 8'b01110000 : 8'hFF ; endmodule
mit
diegovalverde/papiGB
rtl/collaterals.v
11,140
module MODULE15 # ( parameter VAR3=VAR4 ) ( input wire VAR51, input wire VAR5, input wire VAR9, input wire [VAR3-1:0] VAR14, output reg [VAR3-1:0] VAR43 ); always @ (posedge VAR51) begin if ( VAR5 ) VAR43 <= {VAR3{1'b0}}; end else begin if (VAR9) VAR43 <= VAR14; end end endmodule module MODULE3 # (parameter VAR3=8) ( input wire [VAR3-1:0] VAR59, input wire [VAR3-1:0] VAR22, output reg [VAR3-1:0] out ); always @ begin out <= (select == 0)? VAR59 : VAR22; end endmodule module MODULE2 # ( parameter VAR3=VAR4 ) ( input wire [2:0] VAR1, input wire [VAR3-1:0] VAR21, VAR6, VAR54, VAR39,VAR46, VAR8, VAR26, VAR16, output reg [VAR3-1:0] VAR53 ); always @( * ) begin case (VAR1) 3'd0: VAR53 = VAR21; 3'd1: VAR53 = VAR6; 3'd2: VAR53 = VAR54; 3'd3: VAR53 = VAR39; 3'd4: VAR53 = VAR46; 3'd5: VAR53 = VAR8; 3'd6: VAR53 = VAR26; 3'd7: VAR53 = VAR16; default: VAR53 = VAR3; endcase end endmodule module MODULE6 # ( parameter VAR3=VAR4 ) ( input wire [3:0] VAR1, input wire [VAR3-1:0] VAR21, VAR6, VAR54, VAR39,VAR46, VAR8, VAR26, VAR16, VAR28, VAR15, VAR2, VAR31, VAR18, VAR33, VAR36, VAR48, output reg [VAR3-1:0] VAR53 ); always @( * ) begin case (VAR1) 4'd0: VAR53 = VAR21; 4'd1: VAR53 = VAR6; 4'd2: VAR53 = VAR54; 4'd3: VAR53 = VAR39; 4'd4: VAR53 = VAR46; 4'd5: VAR53 = VAR8; 4'd6: VAR53 = VAR26; 4'd7: VAR53 = VAR16; 4'd8: VAR53 = VAR28; 4'd9: VAR53 = VAR15; 4'd10: VAR53 = VAR2; 4'd11: VAR53 = VAR31; 4'd12: VAR53 = VAR18; 4'd13: VAR53 = VAR33; 4'd14: VAR53 = VAR36; 4'd15: VAR53 = VAR48; default: VAR53 = VAR3; endcase end endmodule module MODULE7 # ( parameter VAR3=VAR4 ) ( input wire [4:0] VAR1, input wire [VAR3-1:0] VAR21, VAR6, VAR54, VAR39,VAR46, VAR8, VAR26, VAR16, VAR28, VAR15, VAR2, VAR31, VAR18, VAR33, VAR36, VAR48, input wire [VAR3-1:0] VAR29, VAR57, VAR32, VAR24,VAR42, VAR12, VAR19, VAR30, VAR13, VAR58, VAR34, VAR56, VAR55, VAR45, VAR25, VAR41, output reg [VAR3-1:0] VAR53 ); always @( * ) begin case (VAR1) 5'd0: VAR53 = VAR21; 5'd1: VAR53 = VAR6; 5'd2: VAR53 = VAR54; 5'd3: VAR53 = VAR39; 5'd4: VAR53 = VAR46; 5'd5: VAR53 = VAR8; 5'd6: VAR53 = VAR26; 5'd7: VAR53 = VAR16; 5'd8: VAR53 = VAR28; 5'd9: VAR53 = VAR15; 5'd10: VAR53 = VAR2; 5'd11: VAR53 = VAR31; 5'd12: VAR53 = VAR18; 5'd13: VAR53 = VAR33; 5'd14: VAR53 = VAR36; 5'd15: VAR53 = VAR48; 5'd16: VAR53 = VAR29; 5'd17: VAR53 = VAR57; 5'd18: VAR53 = VAR32; 5'd19: VAR53 = VAR24; 5'd20: VAR53 = VAR42; 5'd21: VAR53 = VAR12; 5'd22: VAR53 = VAR19; 5'd23: VAR53 = VAR30; 5'd24: VAR53 = VAR13; 5'd25: VAR53 = VAR58; 5'd26: VAR53 = VAR34; 5'd27: VAR53 = VAR56; 5'd28: VAR53 = VAR55; 5'd29: VAR53 = VAR45; 5'd30: VAR53 = VAR25; 5'd31: VAR53 = VAR41; default: VAR53 = VAR3; endcase end endmodule module MODULE1 # ( parameter VAR3=VAR4 ) ( input wire [1:0] VAR1, input wire [VAR3-1:0]VAR21, VAR6, VAR54,VAR39, output reg [VAR3-1:0] VAR53 ); always @( * ) begin case (VAR1) 2'b00: VAR53 = VAR21; 2'b01: VAR53 = VAR6; 2'b10: VAR53 = VAR54; 2'b11: VAR53 = VAR39; default: VAR53 = VAR3; endcase end endmodule module MODULE11 # ( parameter VAR3=VAR4 ) ( input wire VAR51, input wire VAR5, input wire[VAR3-1:0] VAR47, input wire VAR9, output wire[VAR3-1:0] VAR53 ); reg [VAR3-1:0] VAR27; always @(posedge VAR51) begin if (VAR5) VAR27 <= VAR47; end else begin if (VAR9) begin if (VAR27[VAR3-1]) begin VAR27 <= VAR47; end else begin VAR27 <= VAR27 << 1; end end end end assign VAR53 = VAR27; endmodule module MODULE13 # ( parameter VAR3=VAR4 ) ( input wire [2:0] VAR1, input wire [VAR3-1:0]VAR6, VAR54, VAR39, output reg [VAR3-1:0] VAR44 ); always @( * ) begin case (VAR1) 3'b001: VAR44 = VAR6; 3'b010: VAR44 = VAR54; 3'b100: VAR44 = VAR39; default: VAR44 = VAR3; endcase end endmodule module MODULE10 # ( parameter VAR3=VAR4 ) ( input wire VAR51, input wire VAR5, input wire[VAR3-1:0] VAR47, input wire VAR9, output wire[VAR3-1:0] VAR53 ); reg [VAR3-1:0] VAR27; always @(posedge VAR51) begin if (VAR5) VAR27 <= VAR47; end else begin if (VAR9) VAR27 <= VAR27 << 1; end end assign VAR53 = VAR27; endmodule module MODULE4 # ( parameter VAR3=VAR4 ) ( input wire VAR51, input wire VAR5, input wire[VAR3-1:0] VAR47, input wire VAR9, output wire[VAR3-1:0] VAR53 ); reg [VAR3-1:0] VAR27; always @(posedge VAR51) begin if (VAR5 || VAR27[VAR3-1]) VAR27 <= VAR47; end else begin if (VAR9) VAR27 <= VAR27 << 1; end end assign VAR53 = VAR27; endmodule module MODULE12 ( input wire VAR51, input wire VAR5, input wire VAR9, input wire VAR40, output reg VAR43 ); reg VAR7; always @ (negedge VAR51) begin VAR43 <= VAR7; end always @ ( posedge VAR51 ) begin if (VAR5) VAR7 <= 0; end else if (VAR9) VAR7 <= (VAR40 && !VAR43) || VAR43; else VAR7 <= VAR43; end endmodule module MODULE8 ( input wire VAR51, input wire[31:0] VAR14, output reg[31:0] VAR43 ); always @ (posedge VAR51) VAR43 <= VAR14; endmodule module MODULE14 ( input wire VAR1, input wire [95:0]VAR6, VAR54, output reg [95:0] VAR44 ); always @( * ) begin case (VAR1) 1'b0: VAR44 = VAR6; 1'b1: VAR44 = VAR54; endcase end endmodule module MODULE5 ( input wire VAR1, input wire [15:0]VAR6, VAR54, output reg [15:0] VAR44 ); always @( * ) begin case (VAR1) 1'b0: VAR44 = VAR6; 1'b1: VAR44 = VAR54; endcase end endmodule module MODULE9 ( input wire VAR14, input wire VAR51, input wire VAR5 , output reg VAR43 ); always @ ( posedge VAR51 or posedge VAR5 ) begin if (VAR5) begin VAR43 <= 1'b0; end else begin if (VAR14) VAR43 <= ! VAR43; end end endmodule module MODULE17 # ( parameter VAR49=VAR4, parameter VAR11=VAR4, parameter VAR23=128 ) ( input wire VAR51, input wire VAR37, input wire[VAR11-1:0] VAR52, input wire[VAR11-1:0] VAR17, input wire[VAR11-1:0] VAR20, input wire[VAR49-1:0] VAR38, output reg [VAR49-1:0] VAR50, output reg [VAR49-1:0] VAR10 ); reg [VAR49-1:0] VAR35 [VAR23-1:0]; always @(posedge VAR51) begin if (VAR37) VAR35[VAR20] <= VAR38; VAR50 <= VAR35[VAR52]; VAR10 <= VAR35[VAR17]; end endmodule module MODULE16 # ( parameter VAR49=VAR4, parameter VAR11=VAR4, parameter VAR23=128 ) ( input wire VAR51, input wire VAR37, input wire[VAR11-1:0] VAR52, input wire[VAR11-1:0] VAR20, input wire[VAR49-1:0] VAR38, output reg [VAR49-1:0] VAR50 ); reg [VAR49-1:0] VAR35 [VAR23-1:0]; always @(posedge VAR51) begin if (VAR37) VAR35[VAR20] <= VAR38; VAR50 <= VAR35[VAR52]; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fah/sky130_fd_sc_ls__fah.functional.v
1,648
module MODULE1 ( VAR2, VAR13 , VAR4 , VAR8 , VAR17 ); output VAR2; output VAR13 ; input VAR4 ; input VAR8 ; input VAR17 ; wire VAR12; wire VAR6 ; wire VAR9 ; wire VAR11 ; wire VAR5; xor VAR3 (VAR12, VAR4, VAR8, VAR17 ); buf VAR14 (VAR13 , VAR12 ); and VAR16 (VAR6 , VAR4, VAR8 ); and VAR15 (VAR9 , VAR4, VAR17 ); and VAR1 (VAR11 , VAR8, VAR17 ); or VAR10 (VAR5, VAR6, VAR9, VAR11); buf VAR7 (VAR2 , VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a21bo/sky130_fd_sc_ls__a21bo.blackbox.v
1,383
module MODULE1 ( VAR6 , VAR2 , VAR7 , VAR5 ); output VAR6 ; input VAR2 ; input VAR7 ; input VAR5; supply1 VAR3; supply0 VAR1; supply1 VAR8 ; supply0 VAR4 ; endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/RAMB16_S4_altera_new_bb.v
6,112
module MODULE1 ( address, VAR1, VAR2, VAR3, VAR4, VAR5); input [7:0] address; input VAR1; input VAR2; input [11:0] VAR3; input VAR4; output [11:0] VAR5; tri1 VAR1; tri1 VAR2; endmodule
mit
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_bram_7vx_req.v
5,377
module MODULE1 #( parameter VAR10 = "VAR24", parameter VAR6 = "VAR22", parameter VAR30 = "500 VAR15", parameter VAR1 = "16 VAR29" ) ( input VAR28, input VAR2, input [8:0] VAR9, input [8:0] VAR19, input [127:0] VAR7, input [15:0] VAR4, input VAR3, input VAR18, input VAR11, input VAR27, input [8:0] VAR8, input [8:0] VAR17, output [127:0] VAR12, output [15:0] VAR14, input VAR26, input VAR23, input VAR5, input VAR16 ); VAR21 # ( .VAR10(VAR10), .VAR6(VAR6), .VAR30(VAR30), .VAR1(VAR1) ) VAR25 ( .VAR28 (VAR28), .VAR2 (VAR2), .VAR9 (VAR9[8:0]), .VAR19 (VAR19[8:0]), .VAR7 (VAR7[127:0]), .VAR4 (VAR4[15:0]), .VAR20 ({VAR27, VAR11, VAR18, VAR3}), .VAR8 (VAR8[8:0]), .VAR17 (VAR17[8:0]), .VAR12 (VAR12[127:0]), .VAR14 (VAR14[15:0]), .VAR13 ({VAR16, VAR5, VAR23, VAR26}) ); endmodule
gpl-3.0
hwstar/bdcmotor
system.v
11,196
module MODULE1( input clk, input in, output out); reg VAR28; reg [3:0] VAR72; VAR134 VAR72 = 4'b0000; assign out = VAR28; always @ begin VAR2 = 0; if((VAR72[3] == 1) && (VAR72[4] == 0)) VAR2 = 1; end always @(posedge clk) begin VAR72[4] <= VAR72[3]; VAR72[3] <= VAR72[2]; VAR72[2] <= VAR72[1]; VAR72[1] <= VAR72[0]; VAR72[0] <= VAR120; end endmodule module MODULE2( input VAR70, input VAR76, input [3:0] addr, input [7:0] VAR80, input [7:0] VAR31, input [7:0] VAR22, input [7:0] VAR113, input [7:0] VAR60, input [7:0] VAR5, input [7:0] VAR122, input [7:0] VAR41, input [7:0] VAR26, input [7:0] VAR89, input [7:0] VAR91, output [7:0] VAR135, output VAR107, output VAR8, output VAR86, output VAR104, output VAR62, output VAR112, output VAR47, output VAR68, output VAR43, output VAR66, output VAR85, output VAR84); reg VAR64; reg VAR19; reg VAR75; reg VAR42; reg VAR132; reg VAR111; reg VAR100; reg VAR13; reg VAR35; reg VAR15; reg VAR82; reg VAR121; reg [7:0] VAR17; VAR134 VAR64 = 0; VAR134 VAR19 = 0; VAR134 VAR75 = 0; VAR134 VAR42 = 0; VAR134 VAR132 = 0; VAR134 VAR111 = 0; VAR134 VAR100 = 0; VAR134 VAR13 = 0; VAR134 VAR35 = 0; VAR134 VAR15 = 0; VAR134 VAR82 = 0; VAR134 VAR121 = 0; assign VAR107 = VAR64; assign VAR104 = VAR42; assign VAR47 = VAR100; assign VAR8 = VAR19; assign VAR62 = VAR132; assign VAR68 = VAR13; assign VAR86 = VAR75; assign VAR112 = VAR111; assign VAR43 = VAR35; assign VAR66 = VAR82; assign VAR85 = VAR15; assign VAR84 = VAR121; assign VAR135 = VAR17; always @(*) begin VAR17 <= 8'h00; VAR64 <= 0; VAR19 <= 0; VAR75 <= 0; VAR42 <= 0; VAR132 <= 0; VAR111 <= 0; VAR100 <= 0; VAR13 <= 0; VAR35 <= 0; VAR15 <= 0; VAR82 <= 0; VAR121 <= 0; case(addr) 4'h0: begin VAR17 <= VAR80; VAR19 <= VAR70; VAR64 <= VAR76; end 4'h1: begin VAR17 <= VAR31; end 4'h2: begin VAR75 <= VAR70; VAR17 <= VAR26; end 4'h4: begin VAR17 <= VAR22; VAR132 <= VAR70; VAR42 <= VAR76; end 4'h5: begin VAR17 <= VAR113; end 4'h6: begin VAR111 <= VAR70; VAR17 <= VAR89; end 4'h8: begin VAR17 <= VAR60; VAR13 <= VAR70; VAR100 <= VAR76; end 4'h9: begin VAR17 <= VAR5; end 4'ha: begin VAR35 <= VAR70; VAR17 <= VAR91; end 4'h3, 4'h7, 4'hb, 4'hc: begin VAR17 <= 8'h00; end 4'hd: VAR17 <= VAR41; 4'he: VAR15 <= VAR70; 4'hf: begin VAR17 <= VAR122; VAR82 <= VAR70; VAR121 <= VAR76; end default: begin VAR17 <= 8'VAR52; VAR64 <= 1'VAR53; VAR42 <= 1'VAR53; VAR100 <= 1'VAR53; VAR19 <= 1'VAR53; VAR75 <= 1'VAR53; VAR82 <= 1'VAR53; VAR132 <= 1'VAR53; VAR111 <= 1'VAR53; VAR13 <= 1'VAR53; VAR35 <= 1'VAR53; end endcase end endmodule module MODULE3( output VAR83, output [1:0] VAR118, output [3:0] VAR6, output [1:0] VAR23, output [3:0] VAR24, output [1:0] VAR129, output [3:0] VAR74, output VAR9, output VAR37, output VAR45, input clk, input VAR63, input VAR81, input VAR51, input VAR39, input VAR40, input VAR12, input VAR96, input VAR102, input [1:0] VAR54, input [1:0] VAR117, input [1:0] VAR30); wire VAR107; wire VAR104; wire VAR47; wire VAR38; wire VAR46; wire VAR29; wire VAR106; wire VAR92; wire VAR61; wire VAR8; wire VAR62; wire VAR68; wire VAR86; wire VAR112; wire VAR43; wire VAR131; wire VAR49; wire VAR90; wire VAR1; wire VAR130; wire VAR98; wire VAR16; wire VAR124; wire VAR27; wire VAR71; wire VAR115; wire VAR87; wire VAR128; wire VAR119; wire VAR114; wire VAR76; wire VAR101; wire VAR70; wire VAR66; wire VAR85; wire VAR105; wire VAR84; wire VAR20; wire [7:0] VAR31; wire [7:0] VAR80; wire [7:0] VAR55; wire [7:0] VAR26; wire [7:0] VAR113; wire [7:0] VAR22; wire [7:0] VAR3; wire [7:0] VAR89; wire [7:0] VAR5; wire [7:0] VAR60; wire [7:0] VAR10; wire [7:0] VAR91; wire [3:0] addr; wire [7:0] VAR77; wire [7:0] VAR135; wire [7:0] VAR122; wire [7:0] VAR41; assign VAR9 = VAR105; MODULE1 MODULE2( .clk(clk), .in(VAR101), .out(VAR70)); MODULE2 MODULE1( .VAR70(VAR70), .VAR76(VAR76), .addr(addr), .VAR80(VAR80), .VAR31(VAR31), .VAR26(VAR26), .VAR22(VAR22), .VAR113(VAR113), .VAR89(VAR89), .VAR60(VAR60), .VAR5(VAR5), .VAR91(VAR91), .VAR122(VAR122), .VAR41(VAR41), .VAR135(VAR135), .VAR107(VAR107), .VAR104(VAR104), .VAR47(VAR47), .VAR8(VAR8), .VAR62(VAR62), .VAR68(VAR68), .VAR86(VAR86), .VAR112(VAR112), .VAR43(VAR43), .VAR66(VAR66), .VAR85(VAR85), .VAR84(VAR84)); VAR95 VAR18( .clk(clk), .VAR120(VAR107), .VAR109(VAR38), .VAR123(VAR106)); VAR95 VAR103( .clk(clk), .VAR120(VAR104), .VAR109(VAR46), .VAR123(VAR92)); VAR95 VAR78( .clk(clk), .VAR120(VAR47), .VAR109(VAR29), .VAR123(VAR61)); VAR21 VAR127( .clk(clk), .VAR88(VAR106), .in(VAR55), .out(VAR31)); VAR21 VAR93( .clk(clk), .VAR88(VAR92), .in(VAR3), .out(VAR113)); VAR21 VAR126( .clk(clk), .VAR88(VAR61), .in(VAR10), .out(VAR5)); VAR44 VAR57( .clk(clk), .VAR86(VAR86), .VAR112(VAR112), .VAR43(VAR43), .VAR66(VAR66), .VAR85(VAR85), .VAR96(VAR96), .VAR102(VAR102), .VAR84(VAR84), .VAR77(VAR77), .VAR16(VAR16), .VAR124(VAR124), .VAR27(VAR27), .VAR71(VAR71), .VAR115(VAR115), .VAR87(VAR87), .VAR131(VAR131), .VAR49(VAR49), .VAR90(VAR90), .VAR128(VAR128), .VAR119(VAR119), .VAR114(VAR114), .VAR1(VAR1), .VAR130(VAR130), .VAR98(VAR98), .VAR105(VAR105), .VAR37(VAR37), .VAR122(VAR122), .VAR41(VAR41), .VAR26(VAR26), .VAR89(VAR89), .VAR91(VAR91)); VAR34 VAR14( .clk(clk), .VAR67(VAR71), .VAR56(VAR1), .VAR109(VAR38), .VAR73(VAR16), .VAR69(VAR8), .VAR97(VAR131), .VAR11(VAR105), .VAR58(VAR128), .VAR133(VAR39), .VAR7(VAR54), .VAR77(VAR77), .VAR125(VAR80), .VAR110(VAR55), .VAR4(VAR118), .VAR48(VAR6)); VAR34 VAR99( .clk(clk), .VAR67(VAR115), .VAR56(VAR130), .VAR109(VAR46), .VAR73(VAR124), .VAR69(VAR62), .VAR97(VAR49), .VAR11(VAR105), .VAR58(VAR119), .VAR133(VAR40), .VAR7(VAR117), .VAR77(VAR77), .VAR125(VAR22), .VAR110(VAR3), .VAR4(VAR23), .VAR48(VAR24)); VAR34 VAR36( .clk(clk), .VAR67(VAR87), .VAR56(VAR98), .VAR109(VAR29), .VAR73(VAR27), .VAR69(VAR68), .VAR97(VAR90), .VAR11(VAR105), .VAR58(VAR114), .VAR133(VAR12), .VAR7(VAR30), .VAR77(VAR77), .VAR125(VAR60), .VAR110(VAR10), .VAR4(VAR129), .VAR48(VAR74)); VAR116 VAR108( .out(VAR20), .clk(clk), .VAR88(1'b1), .in(VAR63)); VAR33 VAR65( .VAR59(VAR83), .VAR94(VAR81), .VAR76(VAR76), .VAR101(VAR101), .VAR45(VAR45), .VAR77(VAR77), .addr(addr), .VAR25(VAR20), .VAR32(VAR51), .VAR135(VAR135)); endmodule
gpl-2.0
siamumar/TinyGarbled
circuit_synthesis/aes/KeyExpansion.v
1,391
module MODULE1 ( VAR16, VAR8 ); localparam VAR12 = 10; input [127:0] VAR16; output [128*(VAR12+1)-1:0] VAR8; wire [31:0] VAR4[4*(VAR12+1)-1:0]; wire [31:0] VAR3[VAR12-1:0]; wire [7:0] VAR1[VAR12-1:0]; wire [31:0] VAR21[VAR12-1:0]; wire [31:0] VAR17[VAR12-1:0]; wire [95:0] VAR18[VAR12-1:0]; assign VAR1[0] = 8'h01; assign VAR1[1] = 8'h02; assign VAR1[2] = 8'h04; assign VAR1[3] = 8'h08; assign VAR1[4] = 8'h10; assign VAR1[5] = 8'h20; assign VAR1[6] = 8'h40; assign VAR1[7] = 8'h80; assign VAR1[8] = 8'h1b; assign VAR1[9] = 8'h36; genvar VAR10; generate for(VAR10=0;VAR10<4*(VAR12+1);VAR10=VAR10+1) begin:VAR7 assign VAR8[32*(VAR10+1)-1:32*VAR10] = VAR4[VAR10]; end endgenerate generate for(VAR10=0;VAR10<4*(VAR12+1);VAR10=VAR10+1) begin:VAR2 if(VAR10<4) begin:VAR11 assign VAR4[VAR10] = VAR16[32*(VAR10+1)-1:32*VAR10]; end else begin:VAR20 if(VAR10%4==0) begin:VAR15 assign VAR4[VAR10] = VAR4[VAR10-4] ^ VAR3[VAR10/4-1]; end else begin:VAR14 assign VAR4[VAR10] = VAR4[VAR10-1] ^ VAR4[VAR10-4]; end end end endgenerate generate for(VAR10=0;VAR10<VAR12;VAR10=VAR10+1) begin:VAR6 assign VAR21[VAR10] = {VAR4[4+4*VAR10-1][7:0], VAR4[4+VAR10*4-1][31:8]}; VAR5 VAR19(.VAR13({VAR21[VAR10], 96'b0}), .VAR9({VAR17[VAR10], VAR18[VAR10]})); assign VAR3[VAR10] = {VAR17[VAR10][31:8], VAR1[VAR10] ^ VAR17[VAR10][7:0]}; end endgenerate endmodule
gpl-3.0
ChrisPVille/RL02
FPGA/SPI.v
1,819
module MODULE1( input clk, input rst, input VAR12, input VAR22, output VAR6, input VAR7, output VAR15, input [15:0] din, output [15:0] dout ); reg VAR10, VAR5; reg VAR23, VAR3; reg VAR2, VAR14; reg VAR8, VAR16; reg [15:0] VAR1, VAR17; reg VAR9, VAR11; reg [3:0] VAR4, VAR13; reg [15:0] VAR18, VAR20; reg VAR21, VAR19; assign VAR6 = VAR19; assign VAR15 = VAR11; assign dout = VAR20; always @(*) begin VAR23 = VAR12; VAR10 = VAR22; VAR21 = VAR19; VAR2 = VAR7; VAR8 = VAR14; VAR1 = VAR17; VAR9 = 1'b0; VAR4 = VAR13; VAR18 = VAR20; if (VAR3) begin VAR4 = 4'b0; VAR1 = din; VAR21 = VAR17[15]; end else begin if (!VAR16 && VAR14) begin VAR1 = {VAR17[14:0], VAR5}; VAR4 = VAR13 + 1'b1; if (VAR13 == 4'b1111) begin VAR18 = {VAR17[14:0], VAR5}; VAR9 = 1'b1; VAR1 = din; end end else if (VAR16 && !VAR14) begin VAR21 = VAR17[15]; end end end always @(posedge clk) begin if (rst) begin VAR11 <= 1'b0; VAR13 <= 4'b0; VAR20 <= 16'b0; VAR19 <= 1'b1; end else begin VAR11 <= VAR9; VAR13 <= VAR4; VAR20 <= VAR18; VAR19 <= VAR21; end VAR14 <= VAR2; VAR5 <= VAR10; VAR3 <= VAR23; VAR17 <= VAR1; VAR16 <= VAR8; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a41o/sky130_fd_sc_lp__a41o.blackbox.v
1,382
module MODULE1 ( VAR4 , VAR1, VAR5, VAR2, VAR3, VAR6 ); output VAR4 ; input VAR1; input VAR5; input VAR2; input VAR3; input VAR6; supply1 VAR8; supply0 VAR9; supply1 VAR7 ; supply0 VAR10 ; endmodule
apache-2.0
peteg944/music-fpga
Experimental/Zedboard UART/SPI.v
3,407
module MODULE1 #(parameter VAR22 = 4)( input clk, input rst, input VAR2, output VAR25, output VAR27, input VAR19, input[7:0] VAR13, output[7:0] VAR9, output VAR17, output VAR7 ); localparam VAR23 = 2; localparam VAR11 = 2'd0, VAR15 = 2'd1, VAR18 = 2'd2; reg [VAR23-1:0] VAR8, VAR26; reg [7:0] VAR10, VAR21; reg [VAR22-1:0] VAR3, VAR20; reg VAR4, VAR5; reg [2:0] VAR6, VAR14; reg VAR24, VAR12; reg [7:0] VAR1, VAR16; assign VAR25 = VAR5; assign VAR27 = (VAR20[VAR22-1]) & (VAR26 == VAR18); assign VAR17 = VAR26 != VAR11; assign VAR9 = VAR16; assign VAR7 = VAR12; always @(*) begin VAR3 = VAR20; VAR10 = VAR21; VAR4 = VAR5; VAR6 = VAR14; VAR24 = 1'b0; VAR1 = VAR16; VAR8 = VAR26; case (VAR26) VAR11: begin VAR3 = 4'b0; VAR6 = 3'b0; if (VAR19 == 1'b1) begin VAR10 = VAR13; VAR8 = VAR18; end end VAR15: begin VAR3 = VAR20 + 1'b1; if (VAR20 == {VAR22-1{1'b1}}) begin VAR3 = 1'b0; VAR8 = VAR18; end end VAR18: begin VAR3 = VAR20 + 1'b1; if (VAR20 == 4'b0000) begin VAR4 = VAR21[7]; end else if (VAR20 == {VAR22-1{1'b1}}) begin VAR10 = {VAR21[6:0], VAR2}; end else if (VAR20 == {VAR22{1'b1}}) begin VAR6 = VAR14 + 1'b1; if (VAR14 == 3'b111) begin VAR8 = VAR11; VAR1 = VAR21; VAR24 = 1'b1; end end end endcase end always @(posedge clk) begin if (rst) begin VAR14 <= 3'b0; VAR21 <= 8'b0; VAR20 <= 4'b0; VAR5 <= 1'b0; VAR26 <= VAR11; VAR16 <= 8'b0; VAR12 <= 1'b0; end else begin VAR14 <= VAR6; VAR21 <= VAR10; VAR20 <= VAR3; VAR5 <= VAR4; VAR26 <= VAR8; VAR16 <= VAR1; VAR12 <= VAR24; end end endmodule
mit
Tsung-Wei/OpenTimer
benchmark/s400/s400.v
15,771
module MODULE1 ( VAR275, VAR470, VAR304, VAR168, VAR242, VAR251, VAR56, VAR254, VAR208, VAR10, VAR237); input VAR275; input VAR470; input VAR304; input VAR168; input VAR242; output VAR251; output VAR56; output VAR254; output VAR208; output VAR10; output VAR237; wire VAR44; wire VAR76; wire VAR246; wire VAR223; wire VAR254; wire VAR121; wire VAR28; wire VAR174; wire VAR437; wire VAR11; wire VAR340; wire VAR153; wire VAR72; wire VAR344; wire VAR132; wire VAR250; wire VAR205; wire VAR55; wire VAR64; wire VAR43; wire VAR373; wire VAR484; wire VAR95; wire VAR189; wire VAR29; wire VAR442; wire VAR293; wire VAR167; wire VAR346; wire VAR323; wire VAR59; wire VAR357; wire VAR414; wire VAR473; wire VAR379; wire VAR304; wire VAR448; wire VAR282; wire VAR361; wire VAR182; wire VAR221; wire VAR368; wire VAR412; wire VAR313; wire VAR240; wire VAR146; wire VAR115; wire VAR168; wire VAR74; wire VAR419; wire VAR53; wire VAR88; wire VAR208; wire VAR477; wire VAR374; wire VAR417; wire VAR145; wire VAR263; wire VAR116; wire VAR395; wire VAR371; wire VAR466; wire VAR267; wire VAR3; wire VAR149; wire VAR335; wire VAR85; wire VAR13; wire VAR203; wire VAR440; wire VAR342; wire VAR165; wire VAR215; wire VAR9; wire VAR467; wire VAR185; wire VAR258; wire VAR106; wire VAR451; wire VAR447; wire VAR264; wire VAR465; wire VAR188; wire VAR242; wire VAR444; wire VAR272; wire VAR251; wire VAR470; wire VAR330; wire VAR241; wire VAR105; wire VAR96; wire VAR52; wire VAR51; wire VAR325; wire VAR389; wire VAR201; wire VAR315; wire VAR110; wire VAR170; wire VAR266; wire VAR309; wire VAR434; wire VAR39; wire VAR420; wire VAR275; wire VAR173; wire VAR370; wire VAR126; wire VAR338; wire VAR426; wire VAR348; wire VAR478; wire VAR432; wire VAR161; wire VAR378; wire VAR405; wire VAR464; wire VAR81; wire VAR61; wire VAR197; wire VAR7; wire VAR231; wire VAR341; wire VAR245; wire VAR271; wire VAR58; wire VAR322; wire VAR139; wire VAR23; wire VAR290; wire VAR119; wire VAR439; wire VAR98; wire VAR26; wire VAR92; wire VAR198; wire VAR358; wire VAR20; wire VAR33; wire VAR480; wire VAR375; wire VAR181; wire VAR288; wire VAR354; wire VAR84; wire VAR256; wire VAR152; wire VAR404; wire VAR40; wire VAR111; wire VAR359; wire VAR308; wire VAR14; wire VAR386; wire VAR135; wire VAR70; wire VAR458; wire VAR468; wire VAR169; wire VAR270; wire VAR398; wire VAR200; wire VAR481; wire VAR454; wire VAR47; wire VAR429; wire VAR365; wire VAR252; wire VAR138; wire VAR220; wire VAR131; wire VAR485; wire VAR324; wire VAR273; wire VAR31; wire VAR296; wire VAR367; wire VAR175; wire VAR430; wire VAR10; wire VAR227; wire VAR68; wire VAR171; wire VAR262; wire VAR27; wire VAR285; wire VAR120; wire VAR380; wire VAR178; wire VAR393; wire VAR350; wire VAR456; wire VAR265; wire VAR424; wire VAR150; wire VAR130; wire VAR297; wire VAR24; wire VAR206; wire VAR56; wire VAR383; wire VAR255; wire VAR237; wire VAR2; wire VAR196; wire VAR369; wire VAR349; wire VAR114; wire VAR37; wire VAR433; wire VAR431; wire VAR235; wire VAR157; wire VAR362; wire VAR460; wire VAR261; wire VAR30; wire VAR469; wire VAR32; wire VAR18; wire VAR216; wire VAR162; wire VAR337; wire VAR450; wire VAR34; VAR314 VAR213 ( .VAR403(VAR32), .VAR339(VAR465), .VAR21(VAR235), .VAR364(VAR246), .VAR279(VAR114) ); VAR22 VAR356 ( .VAR232(VAR389), .VAR403(VAR167) ); VAR352 VAR117 ( .VAR224(VAR245), .VAR392(VAR430), .VAR401(VAR344), .VAR482(VAR429) ); VAR164 VAR78 ( .VAR232(VAR26), .VAR230(VAR447) ); VAR80 VAR410 ( .VAR224(VAR245), .VAR392(VAR393), .VAR401(VAR56), .VAR482(VAR261) ); VAR164 VAR102 ( .VAR232(VAR348), .VAR230(VAR365) ); VAR164 VAR457 ( .VAR232(VAR18), .VAR230(VAR426) ); VAR179 VAR321 ( .VAR339(VAR11), .VAR364(VAR31), .VAR403(VAR469), .VAR279(VAR340) ); VAR164 VAR332 ( .VAR232(VAR350), .VAR230(VAR335) ); VAR80 VAR248 ( .VAR224(VAR245), .VAR392(VAR139), .VAR472(VAR10), .VAR482(VAR157) ); VAR164 VAR300 ( .VAR232(VAR26), .VAR230(VAR145) ); VAR35 VAR66 ( .VAR339(VAR346), .VAR403(VAR412), .VAR364(VAR111) ); VAR22 VAR49 ( .VAR403(VAR398), .VAR232(VAR227) ); VAR406 VAR372 ( .VAR403(VAR448), .VAR232(VAR412), .VAR41(VAR61) ); VAR164 VAR435 ( .VAR232(VAR361), .VAR230(VAR37) ); VAR352 VAR268 ( .VAR224(VAR245), .VAR392(VAR296), .VAR401(VAR43), .VAR482(VAR145) ); VAR384 VAR123 ( .VAR339(VAR74), .VAR403(VAR433), .VAR364(VAR264) ); VAR164 VAR289 ( .VAR232(VAR51), .VAR230(VAR370) ); VAR164 VAR127 ( .VAR232(VAR150), .VAR230(VAR131) ); VAR164 VAR409 ( .VAR232(VAR365), .VAR230(VAR485) ); VAR164 VAR228 ( .VAR232(VAR304), .VAR230(VAR215) ); VAR411 VAR133 ( .VAR339(VAR266), .VAR364(VAR379), .VAR403(VAR240) ); VAR164 VAR97 ( .VAR232(VAR358), .VAR230(VAR44) ); VAR396 VAR156 ( .VAR403(VAR85), .VAR232(VAR267) ); VAR225 VAR394 ( .VAR403(VAR9), .VAR339(VAR412), .VAR364(VAR61), .VAR279(VAR241) ); VAR179 VAR177 ( .VAR403(VAR153), .VAR279(VAR58), .VAR339(VAR221), .VAR364(VAR53) ); VAR441 VAR136 ( .VAR403(VAR245), .VAR232(VAR242) ); VAR22 VAR305 ( .VAR232(VAR412), .VAR403(VAR189) ); VAR343 VAR475 ( .VAR403(VAR340), .VAR232(VAR95), .VAR41(VAR368) ); VAR428 VAR71 ( .VAR364(VAR241), .VAR403(VAR444), .VAR339(VAR169), .VAR279(VAR362) ); VAR22 VAR57 ( .VAR403(VAR460), .VAR232(VAR282) ); VAR396 VAR423 ( .VAR403(VAR111), .VAR232(VAR85) ); VAR164 VAR399 ( .VAR232(VAR150), .VAR230(VAR323) ); VAR179 VAR286 ( .VAR403(VAR197), .VAR279(VAR58), .VAR339(VAR181), .VAR364(VAR110) ); VAR411 VAR390 ( .VAR403(VAR465), .VAR339(VAR467), .VAR364(VAR168) ); VAR411 VAR415 ( .VAR364(VAR132), .VAR403(VAR273), .VAR339(VAR371) ); VAR396 VAR445 ( .VAR403(VAR31), .VAR232(VAR264) ); VAR443 VAR303 ( .VAR403(VAR389), .VAR339(VAR256), .VAR364(VAR439) ); VAR396 VAR209 ( .VAR403(VAR255), .VAR232(VAR315) ); VAR80 VAR351 ( .VAR224(VAR245), .VAR392(VAR76), .VAR401(VAR258), .VAR482(VAR419) ); VAR164 VAR36 ( .VAR232(VAR349), .VAR230(VAR337) ); VAR164 VAR319 ( .VAR232(VAR161), .VAR230(VAR442) ); VAR100 VAR187 ( .VAR364(VAR221), .VAR403(VAR110), .VAR339(VAR456) ); VAR164 VAR474 ( .VAR232(VAR3), .VAR230(VAR252) ); VAR164 VAR141 ( .VAR232(VAR3), .VAR230(VAR115) ); VAR396 VAR60 ( .VAR403(VAR61), .VAR232(VAR324) ); VAR396 VAR436 ( .VAR403(VAR439), .VAR232(VAR43) ); VAR396 VAR277 ( .VAR232(VAR481), .VAR403(VAR170) ); VAR343 VAR82 ( .VAR232(VAR285), .VAR403(VAR478), .VAR41(VAR470) ); VAR384 VAR129 ( .VAR364(VAR20), .VAR403(VAR58), .VAR339(VAR170) ); VAR80 VAR202 ( .VAR224(VAR245), .VAR392(VAR330), .VAR401(VAR251), .VAR482(VAR322) ); VAR164 VAR471 ( .VAR232(VAR271), .VAR230(VAR14) ); VAR384 VAR8 ( .VAR403(VAR362), .VAR339(VAR85), .VAR364(VAR324) ); VAR80 VAR331 ( .VAR224(VAR245), .VAR392(VAR380), .VAR472(VAR208), .VAR482(VAR440) ); VAR164 VAR253 ( .VAR232(VAR161), .VAR230(VAR350) ); VAR225 VAR311 ( .VAR279(VAR465), .VAR364(VAR255), .VAR339(VAR285), .VAR403(VAR200) ); VAR443 VAR93 ( .VAR339(VAR34), .VAR403(VAR205), .VAR364(VAR171) ); VAR164 VAR107 ( .VAR232(VAR52), .VAR230(VAR293) ); VAR22 VAR144 ( .VAR403(VAR456), .VAR232(VAR227) ); VAR411 VAR226 ( .VAR339(VAR346), .VAR403(VAR34), .VAR364(VAR324) ); VAR164 VAR476 ( .VAR232(VAR337), .VAR230(VAR24) ); VAR69 VAR54 ( .VAR403(VAR95), .VAR364(VAR434), .VAR339(VAR473) ); VAR164 VAR329 ( .VAR232(VAR165), .VAR230(VAR270) ); VAR164 VAR1 ( .VAR232(VAR375), .VAR230(VAR309) ); VAR343 VAR244 ( .VAR403(VAR39), .VAR232(VAR9), .VAR41(VAR255) ); VAR164 VAR397 ( .VAR232(VAR126), .VAR230(VAR373) ); VAR396 VAR298 ( .VAR403(VAR241), .VAR232(VAR467) ); VAR443 VAR400 ( .VAR364(VAR341), .VAR403(VAR437), .VAR339(VAR256) ); VAR80 VAR103 ( .VAR224(VAR245), .VAR392(VAR152), .VAR401(VAR267), .VAR482(VAR485) ); VAR396 VAR345 ( .VAR403(VAR169), .VAR232(VAR92) ); VAR164 VAR455 ( .VAR232(VAR44), .VAR230(VAR52) ); VAR22 VAR421 ( .VAR232(VAR216), .VAR403(VAR11) ); VAR164 VAR199 ( .VAR232(VAR162), .VAR230(VAR51) ); VAR384 VAR184 ( .VAR403(VAR220), .VAR339(VAR206), .VAR364(VAR2) ); VAR164 VAR292 ( .VAR232(VAR450), .VAR230(VAR29) ); VAR396 VAR280 ( .VAR403(VAR466), .VAR232(VAR258) ); VAR355 VAR449 ( .VAR339(VAR241), .VAR403(VAR338), .VAR21(VAR72), .VAR364(VAR285), .VAR279(VAR417) ); VAR164 VAR16 ( .VAR232(VAR293), .VAR230(VAR262) ); VAR443 VAR274 ( .VAR364(VAR437), .VAR339(VAR389), .VAR403(VAR250) ); VAR164 VAR291 ( .VAR232(VAR252), .VAR230(VAR325) ); VAR164 VAR6 ( .VAR232(VAR40), .VAR230(VAR120) ); VAR164 VAR377 ( .VAR232(VAR121), .VAR230(VAR261) ); VAR352 VAR159 ( .VAR224(VAR245), .VAR392(VAR197), .VAR401(VAR227), .VAR482(VAR395) ); VAR411 VAR94 ( .VAR403(VAR206), .VAR339(VAR92), .VAR364(VAR168) ); VAR164 VAR147 ( .VAR232(VAR215), .VAR230(VAR150) ); VAR22 VAR217 ( .VAR403(VAR480), .VAR232(VAR288) ); VAR384 VAR327 ( .VAR403(VAR81), .VAR364(VAR200), .VAR339(VAR342) ); VAR22 VAR155 ( .VAR232(VAR132), .VAR403(VAR20) ); VAR384 VAR109 ( .VAR403(VAR235), .VAR364(VAR188), .VAR339(VAR169) ); VAR164 VAR158 ( .VAR232(VAR358), .VAR230(VAR378) ); VAR352 VAR142 ( .VAR224(VAR245), .VAR392(VAR263), .VAR401(VAR30), .VAR482(VAR454) ); VAR384 VAR210 ( .VAR403(VAR405), .VAR364(VAR220), .VAR339(VAR338) ); VAR164 VAR307 ( .VAR232(VAR223), .VAR230(VAR68) ); VAR352 VAR163 ( .VAR401(VAR146), .VAR224(VAR245), .VAR392(VAR135), .VAR482(VAR404) ); VAR396 VAR67 ( .VAR232(VAR111), .VAR403(VAR171) ); VAR411 VAR151 ( .VAR364(VAR434), .VAR403(VAR116), .VAR339(VAR473) ); VAR164 VAR134 ( .VAR232(VAR265), .VAR230(VAR420) ); VAR179 VAR48 ( .VAR403(VAR263), .VAR279(VAR379), .VAR339(VAR95), .VAR364(VAR116) ); VAR179 VAR281 ( .VAR403(VAR369), .VAR339(VAR285), .VAR279(VAR362), .VAR364(VAR315) ); VAR193 VAR283 ( .VAR279(VAR23), .VAR403(VAR152), .VAR339(VAR182), .VAR364(VAR264) ); VAR164 VAR408 ( .VAR232(VAR52), .VAR230(VAR265) ); VAR179 VAR214 ( .VAR403(VAR139), .VAR279(VAR130), .VAR339(VAR460), .VAR364(VAR27) ); VAR164 VAR79 ( .VAR232(VAR450), .VAR230(VAR404) ); VAR164 VAR234 ( .VAR232(VAR325), .VAR230(VAR157) ); VAR164 VAR407 ( .VAR232(VAR68), .VAR230(VAR203) ); VAR441 VAR306 ( .VAR403(VAR473), .VAR232(VAR30) ); VAR411 VAR212 ( .VAR403(VAR96), .VAR339(VAR106), .VAR364(VAR478) ); VAR411 VAR65 ( .VAR364(VAR59), .VAR339(VAR146), .VAR403(VAR434) ); VAR352 VAR99 ( .VAR401(VAR59), .VAR224(VAR245), .VAR392(VAR240), .VAR482(VAR24) ); VAR164 VAR112 ( .VAR232(VAR126), .VAR230(VAR348) ); VAR80 VAR388 ( .VAR224(VAR245), .VAR392(VAR64), .VAR401(VAR324), .VAR482(VAR252) ); VAR384 VAR191 ( .VAR364(VAR216), .VAR403(VAR379), .VAR339(VAR170) ); VAR164 VAR294 ( .VAR232(VAR131), .VAR230(VAR98) ); VAR22 VAR302 ( .VAR403(VAR175), .VAR232(VAR34) ); VAR384 VAR301 ( .VAR403(VAR330), .VAR339(VAR374), .VAR364(VAR313) ); VAR384 VAR186 ( .VAR403(VAR341), .VAR364(VAR288), .VAR339(VAR227) ); VAR411 VAR391 ( .VAR339(VAR389), .VAR403(VAR221), .VAR364(VAR288) ); VAR396 VAR376 ( .VAR232(VAR241), .VAR403(VAR458) ); VAR225 VAR413 ( .VAR364(VAR175), .VAR339(VAR33), .VAR403(VAR431), .VAR279(VAR189) ); VAR384 VAR42 ( .VAR403(VAR313), .VAR339(VAR220), .VAR364(VAR359) ); VAR225 VAR90 ( .VAR364(VAR264), .VAR403(VAR380), .VAR339(VAR444), .VAR279(VAR231) ); VAR396 VAR299 ( .VAR403(VAR417), .VAR232(VAR168) ); VAR80 VAR483 ( .VAR224(VAR245), .VAR392(VAR196), .VAR472(VAR237), .VAR482(VAR335) ); VAR411 VAR229 ( .VAR403(VAR430), .VAR339(VAR106), .VAR364(VAR13) ); VAR396 VAR86 ( .VAR403(VAR88), .VAR232(VAR182) ); VAR22 VAR19 ( .VAR403(VAR178), .VAR232(VAR20) ); VAR164 VAR233 ( .VAR232(VAR270), .VAR230(VAR28) ); VAR411 VAR125 ( .VAR403(VAR174), .VAR364(VAR130), .VAR339(VAR481) ); VAR179 VAR75 ( .VAR339(VAR88), .VAR403(VAR414), .VAR364(VAR31), .VAR279(VAR39) ); VAR80 VAR461 ( .VAR224(VAR245), .VAR392(VAR414), .VAR401(VAR138), .VAR482(VAR131) ); VAR411 VAR260 ( .VAR364(VAR256), .VAR403(VAR47), .VAR339(VAR439) ); VAR179 VAR87 ( .VAR364(VAR255), .VAR403(VAR198), .VAR279(VAR105), .VAR339(VAR354) ); VAR164 VAR278 ( .VAR232(VAR37), .VAR230(VAR161) ); VAR443 VAR180 ( .VAR339(VAR431), .VAR403(VAR182), .VAR364(VAR468) ); VAR384 VAR166 ( .VAR403(VAR374), .VAR364(VAR405), .VAR339(VAR456) ); VAR179 VAR479 ( .VAR279(VAR178), .VAR339(VAR386), .VAR364(VAR31), .VAR403(VAR76) ); VAR22 VAR91 ( .VAR232(VAR389), .VAR403(VAR201) ); VAR384 VAR312 ( .VAR339(VAR285), .VAR403(VAR114), .VAR364(VAR468) ); VAR164 VAR46 ( .VAR232(VAR370), .VAR230(VAR429) ); VAR164 VAR366 ( .VAR232(VAR272), .VAR230(VAR383) ); VAR164 VAR402 ( .VAR232(VAR115), .VAR230(VAR272) ); VAR396 VAR425 ( .VAR403(VAR149), .VAR232(VAR171) ); VAR164 VAR83 ( .VAR232(VAR215), .VAR230(VAR3) ); VAR352 VAR382 ( .VAR224(VAR245), .VAR392(VAR96), .VAR401(VAR477), .VAR482(VAR308) ); VAR179 VAR62 ( .VAR339(VAR201), .VAR279(VAR58), .VAR403(VAR296), .VAR364(VAR47) ); VAR179 VAR462 ( .VAR403(VAR135), .VAR279(VAR379), .VAR339(VAR434), .VAR364(VAR464) ); VAR164 VAR318 ( .VAR232(VAR447), .VAR230(VAR349) ); VAR396 VAR104 ( .VAR403(VAR354), .VAR232(VAR417) ); VAR164 VAR418 ( .VAR232(VAR367), .VAR230(VAR308) ); VAR343 VAR190 ( .VAR403(VAR386), .VAR232(VAR181), .VAR41(VAR466) ); VAR164 VAR124 ( .VAR232(VAR14), .VAR230(VAR126) ); VAR459 VAR50 ( .VAR403(VAR130), .VAR339(VAR149), .VAR364(VAR324) ); VAR22 VAR387 ( .VAR403(VAR357), .VAR232(VAR168) ); VAR164 VAR211 ( .VAR232(VAR383), .VAR230(VAR395) ); VAR164 VAR249 ( .VAR232(VAR270), .VAR230(VAR424) ); VAR443 VAR45 ( .VAR339(VAR216), .VAR403(VAR256), .VAR364(VAR344) ); VAR80 VAR63 ( .VAR224(VAR245), .VAR392(VAR32), .VAR472(VAR254), .VAR482(VAR203) ); VAR164 VAR381 ( .VAR232(VAR424), .VAR230(VAR440) ); VAR100 VAR207 ( .VAR403(VAR23), .VAR364(VAR412), .VAR339(VAR273) ); VAR352 VAR422 ( .VAR224(VAR245), .VAR392(VAR405), .VAR401(VAR92), .VAR482(VAR7) ); VAR396 VAR17 ( .VAR232(VAR255), .VAR403(VAR468) ); VAR352 VAR183 ( .VAR224(VAR245), .VAR392(VAR153), .VAR401(VAR288), .VAR482(VAR262) ); VAR80 VAR446 ( .VAR224(VAR245), .VAR392(VAR432), .VAR401(VAR467), .VAR482(VAR373) ); VAR164 VAR276 ( .VAR232(VAR322), .VAR230(VAR40) ); VAR164 VAR317 ( .VAR232(VAR150), .VAR230(VAR322) ); VAR384 VAR154 ( .VAR403(VAR105), .VAR339(VAR111), .VAR364(VAR324) ); VAR411 VAR4 ( .VAR364(VAR167), .VAR403(VAR53), .VAR339(VAR480) ); VAR316 VAR140 ( .VAR403(VAR282), .VAR364(VAR357), .VAR339(VAR467) ); VAR396 VAR328 ( .VAR403(VAR481), .VAR232(VAR417) ); VAR384 VAR347 ( .VAR403(VAR342), .VAR364(VAR282), .VAR339(VAR55) ); VAR164 VAR5 ( .VAR232(VAR223), .VAR230(VAR297) ); VAR225 VAR243 ( .VAR403(VAR231), .VAR279(VAR458), .VAR339(VAR290), .VAR364(VAR70) ); VAR396 VAR238 ( .VAR232(VAR468), .VAR403(VAR290) ); VAR164 VAR137 ( .VAR232(VAR442), .VAR230(VAR162) ); VAR396 VAR438 ( .VAR403(VAR72), .VAR232(VAR105) ); VAR77 VAR194 ( .VAR230(VAR13), .VAR41(VAR344), .VAR232(VAR275) ); VAR164 VAR128 ( .VAR232(VAR131), .VAR230(VAR173) ); VAR236 VAR295 ( .VAR403(VAR196), .VAR339(VAR206), .VAR279(VAR465), .VAR364(VAR174), .VAR21(VAR185) ); VAR343 VAR12 ( .VAR403(VAR74), .VAR232(VAR205), .VAR41(VAR241) ); VAR384 VAR463 ( .VAR403(VAR2), .VAR339(VAR241), .VAR364(VAR369) ); VAR164 VAR148 ( .VAR232(VAR120), .VAR230(VAR26) ); VAR396 VAR239 ( .VAR232(VAR169), .VAR403(VAR70) ); VAR411 VAR222 ( .VAR364(VAR266), .VAR339(VAR84), .VAR403(VAR464) ); VAR22 VAR310 ( .VAR232(VAR146), .VAR403(VAR84) ); VAR384 VAR353 ( .VAR403(VAR359), .VAR339(VAR72), .VAR364(VAR81) ); VAR22 VAR218 ( .VAR232(VAR255), .VAR403(VAR55) ); VAR396 VAR427 ( .VAR232(VAR264), .VAR403(VAR106) ); VAR164 VAR452 ( .VAR232(VAR420), .VAR230(VAR375) ); VAR384 VAR113 ( .VAR364(VAR72), .VAR339(VAR285), .VAR403(VAR246) ); VAR118 VAR453 ( .VAR364(VAR206), .VAR339(VAR465), .VAR403(VAR484), .VAR279(VAR198) ); VAR164 VAR284 ( .VAR232(VAR272), .VAR230(VAR454) ); VAR164 VAR333 ( .VAR232(VAR173), .VAR230(VAR223) ); VAR164 VAR257 ( .VAR232(VAR378), .VAR230(VAR121) ); VAR443 VAR160 ( .VAR403(VAR216), .VAR339(VAR451), .VAR364(VAR368) ); VAR22 VAR336 ( .VAR403(VAR188), .VAR232(VAR369) ); VAR164 VAR195 ( .VAR232(VAR323), .VAR230(VAR271) ); VAR179 VAR269 ( .VAR403(VAR185), .VAR339(VAR255), .VAR364(VAR481), .VAR279(VAR371) ); VAR22 VAR89 ( .VAR403(VAR371), .VAR232(VAR149) ); VAR384 VAR334 ( .VAR403(VAR393), .VAR364(VAR374), .VAR339(VAR484) ); VAR164 VAR192 ( .VAR232(VAR309), .VAR230(VAR7) ); VAR164 VAR172 ( .VAR232(VAR28), .VAR230(VAR419) ); VAR22 VAR73 ( .VAR403(VAR27), .VAR232(VAR70) ); VAR164 VAR320 ( .VAR232(VAR3), .VAR230(VAR165) ); VAR396 VAR385 ( .VAR403(VAR368), .VAR232(VAR119) ); VAR443 VAR363 ( .VAR403(VAR346), .VAR339(VAR250), .VAR364(VAR466) ); VAR396 VAR326 ( .VAR403(VAR315), .VAR232(VAR138) ); VAR22 VAR204 ( .VAR232(VAR59), .VAR403(VAR266) ); VAR22 VAR122 ( .VAR232(VAR346), .VAR403(VAR132) ); VAR164 VAR38 ( .VAR232(VAR426), .VAR230(VAR367) ); VAR164 VAR101 ( .VAR232(VAR98), .VAR230(VAR450) ); VAR164 VAR360 ( .VAR232(VAR40), .VAR230(VAR361) ); VAR69 VAR25 ( .VAR403(VAR181), .VAR364(VAR221), .VAR339(VAR398) ); VAR225 VAR219 ( .VAR364(VAR59), .VAR279(VAR146), .VAR403(VAR451), .VAR339(VAR30) ); VAR411 VAR108 ( .VAR403(VAR432), .VAR364(VAR88), .VAR339(VAR433) ); VAR352 VAR176 ( .VAR224(VAR245), .VAR392(VAR469), .VAR401(VAR119), .VAR482(VAR297) ); VAR384 VAR416 ( .VAR403(VAR33), .VAR339(VAR132), .VAR364(VAR241) ); VAR164 VAR247 ( .VAR232(VAR29), .VAR230(VAR18) ); VAR179 VAR287 ( .VAR403(VAR64), .VAR339(VAR88), .VAR364(VAR31), .VAR279(VAR448) ); VAR164 VAR143 ( .VAR232(VAR383), .VAR230(VAR358) ); VAR396 VAR259 ( .VAR403(VAR285), .VAR232(VAR477) ); VAR396 VAR15 ( .VAR403(VAR264), .VAR232(VAR481) ); endmodule
gpl-3.0
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_receiveActivity.v
1,886
module MODULE1 ( address, clk, VAR4, VAR6, VAR3 ) ; output [ 31: 0] VAR3; input [ 1: 0] address; input clk; input VAR4; input VAR6; wire VAR1; wire VAR2; wire VAR5; reg [ 31: 0] VAR3; assign VAR1 = 1; assign VAR5 = {1 {(address == 0)}} & VAR2; always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR3 <= 0; end else if (VAR1) VAR3 <= {32'b0 | VAR5}; end assign VAR2 = VAR4; endmodule
gpl-3.0
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/micron/ddr_sdram/mobile_ddr.v
100,918
module MODULE1 (VAR53, VAR119, VAR80, VAR109, VAR140, VAR79, VAR63, VAR181, VAR163, VAR92, VAR178, VAR145); parameter VAR160 = 1.0; parameter VAR74 = 2.0; parameter VAR43 = 97.5; parameter VAR73 = 1.0; parameter VAR115 = 2.0; parameter VAR97 = 15.0; parameter VAR175 = 13; parameter VAR116 = 13; parameter VAR7 = 16; parameter VAR131 = 2; parameter VAR22 = 2; parameter VAR191 = 10; parameter VAR90 = 2; else parameter VAR175 = 14; parameter VAR116 = 14; parameter VAR7 = 32; parameter VAR131 = 4; parameter VAR22 = 4; parameter VAR191 = 8; parameter VAR90 = 2; else parameter VAR175 = 13; parameter VAR116 = 13; parameter VAR7 = 32; parameter VAR131 = 4; parameter VAR22 = 4; parameter VAR191 = 9; parameter VAR90 = 2; VAR12 parameter VAR77 = VAR90+VAR175+VAR191; parameter VAR129 = 10; parameter VAR82 = 512; parameter VAR30 = 0.55; parameter VAR67 = 0.45; parameter VAR38 = 0.55; parameter VAR169 = 0.45; parameter VAR78 = 2.0; parameter VAR4 = 3.0; inout [VAR7 - 1 : 0] VAR53; inout [VAR131 - 1 : 0] VAR119; input [VAR175 - 1 : 0] VAR80; input [1 : 0] VAR109; input VAR140; input VAR79; input VAR63; input VAR181; input VAR163; input VAR92; input VAR178; input [VAR22 - 1 : 0] VAR145; VAR137 VAR55 ; reg VAR85 ; reg VAR132 ; reg VAR148 ; wire [31 : 0] VAR96; wire [3 : 0] VAR174; wire [3 : 0] VAR41; assign VAR96 [VAR7 - 1 : 0] = VAR53; assign VAR174 [VAR131 - 1 : 0] = VAR119; assign VAR41 [VAR22 - 1 : 0] = VAR145; reg [31 : 0] VAR117; reg [3 : 0] VAR62; reg [31 : 0] VAR111; reg [3 : 0] VAR61; reg [7 : 0] VAR65; reg [31 : 0] VAR172; reg [03:00] VAR3 ; reg VAR70 ; reg VAR51 ; reg VAR58 ; reg VAR14 ; reg [01:00] VAR156 ; reg VAR176 ; wire VAR47 = ~VAR70 & VAR51 & VAR58 & VAR14 ; wire VAR106 = VAR70 ; wire VAR152 = ~VAR70 & VAR51 & VAR58 & ~VAR14 ; reg VAR31; always @(posedge VAR140) VAR31 <= VAR140; always @(posedge VAR79) VAR31 <= ~VAR79; VAR137 VAR187 ; VAR137 VAR46 ; integer VAR10 ; always @(posedge VAR31) begin VAR187 = - VAR46 ; VAR46 = ; if ((VAR63 == 1'b1) && (VAR10 < 2)) begin VAR10 = VAR10 + 1 ; end else if (VAR63 == 1'b0) begin VAR10 = 2'b00 ; end end VAR137 VAR108 ; always @(negedge VAR31) begin VAR108 = ; end VAR137 VAR147 ; VAR137 VAR98 ; always @(VAR31) begin if (VAR31) begin VAR98 = - VAR108 ; end if (~VAR31) begin VAR147 = - VAR46 ; end if (VAR63) begin if ((VAR147/VAR187)<VAR67) begin end if ((VAR147/VAR187)>VAR30) begin end if ((VAR98/VAR187)<VAR169) begin end if ((VAR98/VAR187)>VAR38) begin end end end reg [VAR175 - 1 : 0] VAR20; reg [VAR175 - 1 : 0] VAR104; reg [2*VAR7 - 1 : 0] VAR110; reg VAR136; reg VAR26, VAR93; reg VAR154; reg [VAR131 - 1 : 0] VAR88; reg [VAR131 - 1 : 0] VAR50; reg [VAR7 - 1 : 0] VAR185; reg VAR8 [0 : 6]; reg [1 : 0] VAR139 [0 : 6]; reg [VAR191 - 1 : 0] VAR48 [0 : 6]; reg VAR164 [0 : 3]; reg [1 : 0] VAR68 [0 : 3]; reg [VAR191 - 1 : 0] VAR124 [0 : 3]; reg VAR101 [0 : 3]; reg VAR138 [0 : 3]; integer VAR81 [0 : 3]; reg VAR114; reg [3:0] VAR180 [3:0] ; reg [3:0] VAR157 [3:0]; reg VAR37 ; reg VAR151 ; reg VAR69 [0 : 6]; reg [1 : 0] VAR24 [0 : 6]; reg VAR83 [0 : 6]; reg VAR155 [0 : 6]; integer VAR125 ; integer VAR99 ; integer VAR89 ; reg [VAR7 - 1 : 0] VAR32 [0 : (1<<VAR77)-1]; reg [VAR7 - 1 : 0] VAR32 [0 : (1<<VAR129)-1]; reg [VAR77 - 1 : 0] VAR1 [0 : (1<<VAR129)-1]; reg [VAR129 : 0] VAR134; reg [VAR129 : 0] VAR143; VAR165 VAR134 = 0; integer VAR113; reg [3:0] VAR86; reg [3:0] VAR18; reg [VAR191 - 1 : 0] VAR189; reg [VAR191 - 1 : 0] VAR59; always@* begin if (VAR20[6:4] == 3'b010) begin VAR59 = #VAR183 VAR189; end else if (VAR20[6:4] == 3'b011) begin VAR59 = #VAR49 VAR189; end end reg VAR118, VAR25, VAR44, VAR94; reg VAR76, VAR103, VAR144, VAR133; reg VAR130; reg VAR64; reg VAR162; always@* begin if (VAR20[6:4] == 3'b010) begin VAR162 = #VAR183 VAR64; end else if (VAR20[6:4] == 3'b011) begin VAR162 = #VAR49 VAR64; end end reg [1 : 0] VAR149; reg [1 : 0] VAR66; reg [VAR191 - 1 : 0] VAR19, VAR166, VAR84; reg [VAR175 - 1 : 0] VAR102; reg [VAR175 - 1 : 0] VAR184; reg [VAR175 - 1 : 0] VAR52; reg [VAR175 - 1 : 0] VAR168; reg [VAR175 - 1 : 0] VAR128; integer VAR6; reg VAR171; reg VAR5; reg VAR40; wire VAR71 = VAR164[1] || VAR164[2] || VAR130; wire VAR105 = ~VAR181 & ~VAR163 & VAR92 & VAR178; wire VAR33 = ~VAR181 & ~VAR163 & ~VAR92 & VAR178 & VAR63; wire VAR126 = ~VAR181 & ~VAR163 & ~VAR92 & VAR178 & ~VAR63; wire VAR179 = ~VAR181 & VAR163 & VAR92 & ~VAR178; wire VAR177 = ~VAR181 & ~VAR163 & ~VAR92 & ~VAR178 & VAR109[1] & ~VAR109[0]; wire VAR122 = ~VAR181 & ~VAR163 & ~VAR92 & ~VAR178 & ~VAR109[1] & ~VAR109[0]; wire VAR135 = ~VAR181 & ~VAR163 & VAR92 & ~VAR178; wire VAR112 = ~VAR181 & VAR163 & ~VAR92 & VAR178; wire VAR95 = ~VAR181 & VAR163 & ~VAR92 & ~VAR178; wire VAR120 = ~VAR181 & VAR163 & VAR92 & ~VAR178 & ~VAR63; wire VAR153 = ((~VAR181 & VAR163 & VAR92 & VAR178) | VAR181) & ~VAR63; wire VAR159 = ~VAR181 & VAR163 & VAR92 & VAR178 ; wire VAR2 = VAR181 ; wire VAR142 = ~VAR181 & ~VAR163 & ~VAR92 & ~VAR178 & ~VAR109[1] & VAR109[0] ; reg [4:0] VAR173 ; reg VAR11; wire [2:0] VAR123 = ((2*VAR20[6:4])-1); reg [VAR131 - 1 : 0] VAR39 ; assign VAR119 = VAR88; reg [VAR7 - 1 : 0] VAR57 ; always@* begin if (VAR20[6:4] == 3'b010) begin VAR57 <= #VAR183 VAR185 ; end else if (VAR20[6:4] == 3'b011) begin VAR57 <= #VAR49 VAR185 ; end else begin VAR57 <= #VAR49 {VAR7{1'VAR23}} ; end end assign VAR53 = VAR57; wire VAR161 = 1'b0; VAR137 VAR121; VAR137 VAR190; VAR137 VAR100, VAR54, VAR186, VAR75; VAR137 VAR167, VAR188, VAR42, VAR107; VAR137 VAR28, VAR29, VAR27, VAR36; VAR137 VAR146, VAR170, VAR182, VAR13; VAR137 VAR34, VAR35, VAR91, VAR9; VAR137 VAR141, VAR127, VAR158, VAR87; VAR137 VAR60; reg [2:0] VAR21 ; parameter [2:0] VAR16 = 3'b000 ; parameter [2:0] VAR17 = 3'b001 ; parameter [2:0] VAR72 = 3'b010 ; parameter [2:0] VAR45 = 3'b011 ; parameter [2:0] VAR15 = 3'b100 ; parameter [2:0] VAR150 = 3'b101 ; parameter [2:0] VAR56 = 3'b110 ; begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o221a/sky130_fd_sc_lp__o221a_4.v
2,444
module MODULE1 ( VAR4 , VAR1 , VAR11 , VAR8 , VAR10 , VAR12 , VAR2, VAR7, VAR3 , VAR5 ); output VAR4 ; input VAR1 ; input VAR11 ; input VAR8 ; input VAR10 ; input VAR12 ; input VAR2; input VAR7; input VAR3 ; input VAR5 ; VAR6 VAR9 ( .VAR4(VAR4), .VAR1(VAR1), .VAR11(VAR11), .VAR8(VAR8), .VAR10(VAR10), .VAR12(VAR12), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR4 , VAR1, VAR11, VAR8, VAR10, VAR12 ); output VAR4 ; input VAR1; input VAR11; input VAR8; input VAR10; input VAR12; supply1 VAR2; supply0 VAR7; supply1 VAR3 ; supply0 VAR5 ; VAR6 VAR9 ( .VAR4(VAR4), .VAR1(VAR1), .VAR11(VAR11), .VAR8(VAR8), .VAR10(VAR10), .VAR12(VAR12) ); endmodule
apache-2.0
GLADICOS/SPACEWIRESYSTEMC
rtl/RTL_VB/spw_ulight_con_top_x.v
4,167
module MODULE1( input VAR8, input VAR14, input VAR36, input VAR27, input VAR24, input VAR16, input VAR51, input VAR49, input VAR1, input [8:0] VAR6, input VAR31, input [7:0] VAR42, input VAR30, output [8:0] VAR17, output VAR3, output [7:0] timeout, output VAR25, output VAR28, output VAR39, output VAR48, output VAR46, output VAR22, output VAR26, output [5:0]VAR44, output [5:0]VAR52, output [5:0]VAR18 ); wire [8:0] VAR50; wire [8:0] VAR54; wire VAR45; wire VAR9,VAR35; wire VAR23,VAR7; wire [8:0] VAR11; wire VAR5; assign VAR5 = (!VAR36 | VAR44 != 6'd16)?1'b0:1'b1; assign VAR17 = VAR50; VAR53 VAR40( .VAR21(VAR8), .VAR14(VAR14), .VAR47(VAR36), .VAR27(VAR27), .VAR24(VAR24), .VAR16(VAR16), .VAR51(VAR51), .VAR49(VAR49), .VAR1(VAR23), .VAR6(VAR11), .VAR31(VAR31), .VAR42(VAR42), .VAR29(VAR9), .VAR37(VAR35), .VAR17(VAR54), .VAR12(VAR45), .timeout(timeout), .VAR3(VAR3), .VAR25(VAR25), .VAR28(VAR28), .VAR2(VAR7), .VAR26(VAR26), .VAR44(VAR44) ); VAR32 VAR19( .VAR20(VAR8), .reset(VAR5), .VAR4(VAR45), .VAR43(VAR30), .VAR15(VAR54), .VAR39(VAR46), .VAR48(VAR22), .VAR34(VAR35), .VAR10(VAR9), .VAR38(VAR50), .counter(VAR18) ); VAR41 VAR13( .VAR20(VAR8), .reset(VAR5), .VAR4(VAR1), .VAR43(VAR7), .VAR15(VAR6), .VAR39(VAR39), .VAR48(VAR48), .VAR33(VAR23), .VAR38(VAR11), .counter(VAR52) ); endmodule
gpl-3.0
slongfield/StereoCensus
verilog/lib/tapped_fifo.v
1,803
module MODULE1#( parameter VAR5=1, parameter VAR2=1 ) ( input wire clk, input wire rst, input wire [VAR5-1:0] VAR9, output wire [VAR5*VAR2-1:0] VAR4, output wire [VAR5-1:0] VAR10 ); reg [VAR5-1:0] VAR11[VAR2]; assign VAR10 = VAR11[VAR2-1]; VAR7#(VAR5) VAR6(clk, rst, VAR9, VAR11[0]); assign VAR4[(VAR5*VAR2-1):(VAR5*(VAR2-1))] = VAR11[0]; genvar VAR3; generate for (VAR3 = 0; VAR3 < VAR2-1; VAR3++) begin : VAR8 VAR7#(VAR5) VAR1(clk, rst, VAR11[VAR3], VAR11[VAR3+1]); assign VAR4[((VAR5*VAR2-1)-(VAR5*(VAR3+1))):(VAR5*(VAR2-(VAR3+2)))] = VAR11[VAR3+1]; end endgenerate endmodule
gpl-3.0
dwaipayanBiswas/ECG-feature-extraction-using-DWT
qrs_refinement1.v
1,557
module MODULE1(VAR2,VAR4,VAR1,VAR5,VAR6,VAR11,VAR15, VAR13,VAR8,clk,VAR14,VAR12,VAR7, VAR3); output [15:0] VAR2,VAR4,VAR1 ,VAR5; reg signed [15:0] VAR2,VAR4,VAR1, VAR5; input [15:0] VAR6,VAR11; input VAR12,VAR7,VAR15,VAR3; input [3:0] VAR13; input [8:0] VAR8; input clk, VAR14; wire clk, VAR14; always @(posedge clk or negedge VAR14) if (!VAR14) begin VAR2 <= 0; VAR4 <= 0; VAR1 <= 0; VAR5 <= 0; end else begin if (VAR13 == 2 && VAR8 == 1) begin if (VAR7 != 0) begin if (VAR3 != 0) VAR1 <= (VAR6-(8*VAR10)); end else VAR1 <= VAR1; end else begin VAR1 <= VAR1; end VAR2 <= VAR1 << VAR9; if (VAR12 != 0) begin if (VAR15 != 0) VAR5 <= (VAR11+(15*VAR10)); end else VAR5 <= VAR5; end else begin VAR5 <= VAR5; end VAR4 <= VAR5 << VAR9; end else begin VAR2 <= VAR2; VAR4 <= VAR4; VAR1 <= VAR1; VAR5 <= VAR5; end end endmodule
gpl-3.0
darrylring/SDRdrum
fpga/rtl/ad7980.v
4,132
module MODULE1 ( input wire clk, input wire VAR20, output wire [15:0] VAR12, output wire valid, input wire ready, input wire VAR14, output wire VAR5, output wire VAR15 ); localparam [1:0] VAR9 = 3'd0, VAR3 = 3'd1, VAR19 = 3'd2, VAR17 = 3'd3; reg [1:0] VAR18, VAR6; reg [6:0] VAR4, VAR10; reg [15:0] VAR1, VAR8; reg VAR16, VAR11; reg VAR13, VAR7; reg VAR2, VAR21; assign valid = VAR16; assign VAR12 = VAR1; assign VAR5 = VAR13; assign VAR15 = VAR2; always @* begin VAR6 = VAR9; VAR7 = VAR13; VAR21 = VAR2; VAR10 = VAR4; VAR8 = VAR1; VAR11 = VAR16; case (VAR18) VAR9: begin if (ready) begin VAR7 = 1'b1; VAR6 = VAR3; end end VAR3: begin VAR6 = VAR3; VAR10 = VAR4 + 1; if (VAR4 == 7'h46) begin VAR7 = 1'b0; VAR21 = 1'b1; VAR10 = 7'b1; VAR6 = VAR19; end end VAR19: begin VAR6 = VAR19; VAR10 = VAR4 + 1; VAR21 = VAR4[0]; if (VAR2 == 1'b0) begin VAR8 = {VAR1[14:0], VAR14}; end if (VAR4 == 7'h21) begin VAR10 = 7'b0; VAR11 = 1'b1; VAR6 = VAR9; end end endcase end always @(posedge clk) begin if (~VAR20) begin VAR18 <= VAR9; VAR1 <= 16'b0; VAR16 <= 1'b0; VAR4 <= 7'b0; VAR13 <= 1'b0; VAR2 <= 1'b1; end else begin VAR18 <= VAR6; VAR1 <= VAR8; VAR16 <= VAR11; VAR4 <= VAR10; VAR13 <= VAR7; VAR2 <= VAR21; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o2111a/sky130_fd_sc_hs__o2111a.pp.blackbox.v
1,374
module MODULE1 ( VAR5 , VAR3 , VAR6 , VAR7 , VAR4 , VAR1 , VAR2, VAR8 ); output VAR5 ; input VAR3 ; input VAR6 ; input VAR7 ; input VAR4 ; input VAR1 ; input VAR2; input VAR8; endmodule
apache-2.0
danthemango/IC7400
sn7402/behaviour.v
1,234
module MODULE1 (VAR1, VAR4, VAR5, VAR14, VAR12, VAR7, VAR10, VAR3, VAR9, VAR13, VAR2, VAR15, VAR8, VAR6); output reg VAR1, VAR14, VAR13, VAR8; input VAR4, VAR5, VAR12, VAR7, VAR3, VAR9, VAR2, VAR15, VAR10, VAR6; always @(VAR4, VAR5, VAR10, VAR6) begin if ((VAR6 == 1'VAR11 1) && (VAR10 == 1'VAR11 0)) begin VAR1 = ~(VAR4 | VAR5); end end always @(VAR12, VAR7, VAR10, VAR6) begin if ((VAR6 == 1'VAR11 1) && (VAR10 == 1'VAR11 0)) begin VAR14 = ~(VAR12 | VAR7); end end always @(VAR3, VAR9, VAR10, VAR6) begin if ((VAR6 == 1'VAR11 1) && (VAR10 == 1'VAR11 0)) begin VAR13 = ~(VAR3 | VAR9); end end always @(VAR2, VAR15, VAR10, VAR6) begin if ((VAR6 == 1'VAR11 1) && (VAR10 == 1'VAR11 0)) begin VAR8 = ~(VAR2 | VAR15); end end endmodule
mit
Fairyland0902/BlockyRoads
src/BlockyRoads/Detector.v
8,175
module MODULE1( input wire [9:0] VAR12, VAR17, input wire [9:0] VAR22, VAR4, VAR21, VAR25, VAR10, input wire [9:0] VAR33, VAR7, VAR6, VAR14, VAR5, output wire VAR31, VAR13, VAR2, VAR20, VAR35 ); parameter VAR23 = 60; parameter VAR24 = 100; parameter VAR32 = 15; parameter VAR8 = 5; parameter VAR18 = 10; parameter VAR19 = 5; parameter VAR11 = 64; parameter VAR1 = 100; parameter VAR26 = 5; parameter VAR30 = 5; wire [9:0] VAR15, VAR29, VAR9, VAR3; wire [9:0] VAR34[0:4], VAR27[0:4], VAR28[0:4], VAR16[0:4]; assign VAR15 = VAR12 + VAR32; assign VAR29 = VAR12 + VAR23 - VAR8; assign VAR9 = VAR17 + VAR18; assign VAR3 = VAR17 + VAR24- VAR19; assign VAR34[0] = VAR22 + VAR26; assign VAR27[0] = VAR22 + VAR11 - VAR30; assign VAR28[0] = {VAR33 > VAR1 ? VAR33 - VAR1 : 0}; assign VAR16[0] = VAR33; assign VAR34[1] = VAR4 + VAR26; assign VAR27[1] = VAR4 + VAR11 - VAR30; assign VAR28[1] = {VAR7 > VAR1 ? VAR7 - VAR1 : 0}; assign VAR16[1] = VAR7; assign VAR34[2] = VAR21 + VAR32; assign VAR27[2] = VAR21 + VAR23 - VAR8; assign VAR28[2] = {VAR6 + VAR18 > VAR24 ? VAR6 + VAR18 - VAR24 : 0}; assign VAR16[2] = VAR6 - VAR19; assign VAR34[3] = VAR25 + VAR32; assign VAR27[3] = VAR25 + VAR23 - VAR8; assign VAR28[3] = {VAR14 + VAR18 > VAR24 ? VAR14 + VAR18 - VAR24 : 0}; assign VAR16[3] = VAR14 - VAR19; assign VAR34[4] = VAR10 + VAR32; assign VAR27[4] = VAR10 + VAR23 - VAR8; assign VAR28[4] = {VAR5 + VAR18 > VAR24 ? VAR5 + VAR18 - VAR24 : 0}; assign VAR16[4] = VAR5 - VAR19; assign VAR31 = (VAR15 <= VAR27[0] && VAR29 >= VAR27[0] && VAR9 <= VAR16 [0] && VAR3 >= VAR16[0]) || (VAR15 <= VAR27[0] && VAR29 >= VAR27[0] && VAR3 >= VAR28[0] && VAR9 <= VAR28[0]) || (VAR29 >= VAR34[0] && VAR15 <= VAR34[0] && VAR9 <= VAR16[0] && VAR3 >= VAR16[0]) || (VAR29 >= VAR34[0] && VAR15 <= VAR34[0] && VAR3 >= VAR28[0] && VAR9 <= VAR28[0]) || (VAR15 >= VAR34[0] && VAR29 <= VAR27[0] && VAR9 <= VAR16[0] && VAR3 >= VAR16[0]); assign VAR13 = (VAR15 <= VAR27[1] && VAR29 >= VAR27[1] && VAR9 <= VAR16 [1] && VAR3 >= VAR16[1]) || (VAR15 <= VAR27[1] && VAR29 >= VAR27[1] && VAR3 >= VAR28[1] && VAR9 <= VAR28[1]) || (VAR29 >= VAR34[1] && VAR15 <= VAR34[1] && VAR9 <= VAR16[1] && VAR3 >= VAR16[1]) || (VAR29 >= VAR34[1] && VAR15 <= VAR34[1] && VAR3 >= VAR28[1] && VAR9 <= VAR28[1]) || (VAR15 >= VAR34[1] && VAR29 <= VAR27[1] && VAR9 <= VAR16[1] && VAR3 >= VAR16[1]); assign VAR2 = (VAR15 <= VAR27[2] && VAR29 >= VAR27[2] && VAR9 <= VAR16 [2] && VAR3 >= VAR16[2]) || (VAR15 <= VAR27[2] && VAR29 >= VAR27[2] && VAR3 >= VAR28[2] && VAR9 <= VAR28[2]) || (VAR29 >= VAR34[2] && VAR15 <= VAR34[2] && VAR9 <= VAR16[2] && VAR3 >= VAR16[2]) || (VAR29 >= VAR34[2] && VAR15 <= VAR34[2] && VAR3 >= VAR28[2] && VAR9 <= VAR28[2]) || (VAR15 >= VAR34[2] && VAR29 <= VAR27[2] && VAR9 <= VAR16[2] && VAR3 >= VAR16[2]); assign VAR20 = (VAR15 <= VAR27[3] && VAR29 >= VAR27[3] && VAR9 <= VAR16 [3] && VAR3 >= VAR16[3]) || (VAR15 <= VAR27[3] && VAR29 >= VAR27[3] && VAR3 >= VAR28[3] && VAR9 <= VAR28[3]) || (VAR29 >= VAR34[3] && VAR15 <= VAR34[3] && VAR9 <= VAR16[3] && VAR3 >= VAR16[3]) || (VAR29 >= VAR34[3] && VAR15 <= VAR34[3] && VAR3 >= VAR28[3] && VAR9 <= VAR28[3]) || (VAR15 >= VAR34[3] && VAR29 <= VAR27[3] && VAR9 <= VAR16[3] && VAR3 >= VAR16[3]); assign VAR35 = (VAR15 <= VAR27[4] && VAR29 >= VAR27[4] && VAR9 <= VAR16 [4] && VAR3 >= VAR16[4]) || (VAR15 <= VAR27[4] && VAR29 >= VAR27[4] && VAR3 >= VAR28[4] && VAR9 <= VAR28[4]) || (VAR29 >= VAR34[4] && VAR15 <= VAR34[4] && VAR9 <= VAR16[4] && VAR3 >= VAR16[4]) || (VAR29 >= VAR34[4] && VAR15 <= VAR34[4] && VAR3 >= VAR28[4] && VAR9 <= VAR28[4]) || (VAR15 >= VAR34[4] && VAR29 <= VAR27[4] && VAR9 <= VAR16[4] && VAR3 >= VAR16[4]); endmodule
mit
osrf/wandrr
firmware/motor_controller/fpga/float32_int16.v
33,407
module MODULE1 ( VAR3, VAR2, VAR18, VAR12, VAR8, VAR10) ; input VAR3; input VAR2; input VAR18; input [37:0] VAR12; input [5:0] VAR8; output [37:0] VAR10; tri0 VAR3; tri1 VAR2; tri0 VAR18; reg [1:0] VAR16; reg [37:0] VAR5; reg [37:0] VAR1; reg VAR15; reg VAR6; reg VAR17; wire [6:0] VAR9; wire VAR4; wire [31:0] VAR7; wire [265:0] VAR13; wire [5:0] VAR11; wire [227:0] VAR14;
apache-2.0
RGD2/swapforth
j1a/verilog/j4.v
7,676
module MODULE1( input wire clk, input wire VAR4, output wire VAR21, output wire VAR35, output wire [15:0] VAR8, output wire VAR48, output wire [VAR12-1:0] dout, input wire [VAR12-1:0] VAR27, output wire [12:0] VAR39, input wire [15:0] VAR46, output wire [1:0] VAR51, output wire [15:0] VAR33, input wire [3:0] VAR34); reg [1:0] VAR45, VAR44; VAR23 VAR24(.VAR20(VAR45), .VAR32(VAR44)); reg [4:0] VAR43, VAR36; reg [14:0] VAR56; reg [VAR12-1:0] VAR42, VAR54; reg [3*VAR12-1:0] VAR47; reg [12:0] VAR1 , VAR15; reg [38:0] VAR49; wire [12:0] VAR10 = VAR1 + 13'd1; reg VAR13 = 1; reg [3:0] VAR28 = 4'h0; assign VAR8 = VAR42[15:0]; assign VAR39 = VAR49[25:13]; reg [15:0] VAR17 = 0; always @(posedge clk) VAR17 <= VAR46; reg [3*VAR12-1:0] VAR30 = 0; always @(posedge clk) VAR30 <= {VAR27, VAR30[3*VAR12-1:VAR12]}; wire [VAR12-1:0] VAR31 = VAR30[VAR12-1:0]; wire [VAR12-1:0] VAR3, VAR9; wire [1:0] VAR19, VAR55; reg VAR11,VAR16; wire [VAR12-1:0] VAR37; VAR50 #(.VAR6(16)) VAR7(.clk(clk), .rd(VAR3), .VAR26(VAR11), .VAR41(VAR42), .VAR53(VAR19)); VAR50 #(.VAR6(19)) VAR5(.clk(clk), .rd(VAR9), .VAR26(VAR16), .VAR41(VAR37), .VAR53(VAR55)); wire [16:0] VAR22 = {1'b1, ~VAR42} + VAR3 + 1; wire VAR38 = VAR42[15] ^ VAR3[15] ? VAR3[15] : VAR22[16]; always @* begin casez ({VAR1[12], VAR17[15:8]}) 9'b1????????: VAR54 = VAR17; 9'b01???????: VAR54 = { {(VAR12 - 15){1'b0}}, VAR17[14:0] }; 9'b0000?????: VAR54 = VAR42; 9'b0010?????: VAR54 = VAR42; 9'b0001?????: VAR54 = VAR3; 9'b0011?0000: VAR54 = VAR42; 9'b0011?0001: VAR54 = VAR3; 9'b0011?0010: VAR54 = VAR42 + VAR3; 9'b0011?0011: VAR54 = VAR42 & VAR3; 9'b0011?0100: VAR54 = VAR42 | VAR3; 9'b0011?0101: VAR54 = VAR42 ^ VAR3; 9'b0011?0110: VAR54 = ~VAR42; 9'b0011?0111: VAR54 = {VAR12{(VAR22 == 0)}}; 9'b0011?1000: VAR54 = {VAR12{(VAR38)}}; 9'b0011?1001: VAR54 = {VAR42[VAR12 - 1], VAR42[VAR12 - 1:1]}; 9'b0011?1010: VAR54 = {VAR42[VAR12 - 2:0], 1'b0}; 9'b0011?1011: VAR54 = VAR9; 9'b0011?1100: VAR54 = VAR22[15:0]; 9'b0011?1101: VAR54 = VAR31; 9'b0011?1110: VAR54 = {{(VAR12 - 5){1'b0}}, VAR43}; 9'b0011?1111: VAR54 = {VAR12{(VAR22[16])}}; default: VAR54 = {VAR12{1'VAR40}}; endcase end wire VAR18 = (VAR17[6:4] == 1); wire VAR25 = (VAR17[6:4] == 2); wire VAR29 = (VAR17[6:4] == 3); wire VAR2 = (VAR17[6:4] == 4); wire VAR52 = (VAR17[6:4] == 5); wire VAR14 = !VAR1[12] & (VAR17[15:13] == 3'b011); assign VAR48 = !VAR13 & VAR14 & VAR29; assign dout = VAR3; assign VAR35 = !VAR13 & VAR14 & VAR2; assign VAR21 = !VAR13 & VAR14 & VAR52; assign VAR51 = VAR45; assign VAR37 = (VAR17[13] == 1'b0) ? {{(VAR12 - 14){1'b0}}, VAR10, 1'b0} : VAR42; always @* begin casez ({VAR1[12], VAR17[15:13]}) 4'b1???, 4'b01??: {VAR11, VAR19} = {1'b1, 2'b01}; 4'b0001: {VAR11, VAR19} = {1'b0, 2'b11}; 4'b0011: {VAR11, VAR19} = {VAR18, {VAR17[1:0]}}; default: {VAR11, VAR19} = {1'b0, 2'b00}; endcase VAR36 = VAR43 + {VAR19[1], VAR19[1], VAR19[1], VAR19}; casez ({VAR1[12], VAR17[15:13]}) 4'b1???: {VAR16, VAR55} = {1'b0, 2'b11}; 4'b0010: {VAR16, VAR55} = {1'b1, 2'b01}; 4'b0011: {VAR16, VAR55} = {VAR25, VAR17[3:2]}; default: {VAR16, VAR55} = {1'b0, 2'b00}; endcase casez ({VAR13, VAR1[12], VAR17[15:13], VAR17[7], |VAR42}) 7'b1??????: VAR15 = 0; 7'b00000??, 7'b00010??, 7'b00001?0: VAR15 = VAR17[12:0]; 7'b01?????, 7'b000111?: VAR15 = VAR9[13:1]; default: VAR15 = VAR10; endcase end assign VAR33 = {2'b0,VAR9[13:0]}; always @(posedge clk) begin VAR49 <= {VAR15, VAR49[38:13]}; VAR56 <= {VAR36, VAR56[14:5]}; VAR47 <= {VAR54, VAR47[47:16]}; end always @(negedge VAR4 or posedge clk) begin if (!VAR4) begin VAR13 <= 1'b1; { VAR1, VAR43, VAR42} <= 0; VAR45 <= 2'b00; VAR28 <= 4'hf; end else begin VAR13 <= VAR28[VAR44] | VAR34[VAR44]; VAR28[3] <= VAR34[3] ? 1'b1 : ( (VAR45 == 2'd3) ? 1'b0 : VAR28[3]) ; VAR28[2] <= VAR34[2] ? 1'b1 : ( (VAR45 == 2'd2) ? 1'b0 : VAR28[2]) ; VAR28[1] <= VAR34[1] ? 1'b1 : ( (VAR45 == 2'd1) ? 1'b0 : VAR28[1]) ; VAR28[0] <= VAR34[0] ? 1'b1 : ( (VAR45 == 2'd0) ? 1'b0 : VAR28[0]) ; VAR1 <= VAR49[12:0]; VAR43 <= VAR56[4:0]; VAR42 <= VAR47[15:0]; VAR45 <= VAR44; end end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21boi/sky130_fd_sc_hd__a21boi.pp.blackbox.v
1,401
module MODULE1 ( VAR8 , VAR2 , VAR5 , VAR4, VAR7, VAR1, VAR3 , VAR6 ); output VAR8 ; input VAR2 ; input VAR5 ; input VAR4; input VAR7; input VAR1; input VAR3 ; input VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a2bb2o/sky130_fd_sc_hdll__a2bb2o.behavioral.pp.v
2,253
module MODULE1 ( VAR11 , VAR7, VAR10, VAR4 , VAR9 , VAR2, VAR16, VAR13 , VAR18 ); output VAR11 ; input VAR7; input VAR10; input VAR4 ; input VAR9 ; input VAR2; input VAR16; input VAR13 ; input VAR18 ; wire VAR12 ; wire VAR8 ; wire VAR19 ; wire VAR5; and VAR15 (VAR12 , VAR4, VAR9 ); nor VAR1 (VAR8 , VAR7, VAR10 ); or VAR3 (VAR19 , VAR8, VAR12 ); VAR14 VAR17 (VAR5, VAR19, VAR2, VAR16); buf VAR6 (VAR11 , VAR5 ); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
smalltst/compdec/ModFbTxt.v
6,275
module MODULE1(VAR6, reset, VAR21, VAR25, VAR26, VAR3, VAR32, VAR28, VAR11, VAR15, VAR33); input VAR6; input reset; input[9:0] VAR21; input[9:0] VAR25; output[7:0] VAR26; output[7:0] VAR3; output[7:0] VAR32; output[13:0] VAR28; input[31:0] VAR11; output[15:0] VAR15; input[63:0] VAR33; reg[9:0] VAR9; reg[9:0] VAR12; reg[13:0] VAR17; reg[13:0] VAR13; reg[13:0] VAR27; reg[3:0] VAR2; reg[13:0] VAR8; reg[3:0] VAR31; reg[5:0] VAR35; reg[31:0] VAR4; reg[15:0] VAR36; reg[63:0] VAR29; reg[5:0] VAR20; reg[5:0] VAR1; reg[8:0] VAR16; reg[8:0] VAR23; reg[11:0] VAR14; reg[11:0] VAR34; reg[11:0] VAR30; reg[11:0] VAR18; reg[11:0] VAR7; reg[7:0] VAR22; reg[7:0] VAR24; reg[7:0] VAR5; reg[11:0] VAR19[63:0]; reg VAR10; assign VAR28 = VAR27; assign VAR15 = VAR36; assign VAR26 = VAR22; assign VAR3 = VAR24; assign VAR32 = VAR5; begin begin begin end begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/buf/sky130_fd_sc_lp__buf_8.v
1,993
module MODULE1 ( VAR6 , VAR4 , VAR2, VAR1, VAR3 , VAR8 ); output VAR6 ; input VAR4 ; input VAR2; input VAR1; input VAR3 ; input VAR8 ; VAR5 VAR7 ( .VAR6(VAR6), .VAR4(VAR4), .VAR2(VAR2), .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR6, VAR4 ); output VAR6; input VAR4; supply1 VAR2; supply0 VAR1; supply1 VAR3 ; supply0 VAR8 ; VAR5 VAR7 ( .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerPeripheralHdlAdi.v
19,100
module MODULE1 ( VAR130, reset, VAR22, VAR51, VAR101, VAR84, VAR36, VAR131, VAR28, VAR97, VAR18, VAR40, VAR74, VAR109, VAR10, VAR122, VAR23, VAR73, VAR6, VAR1, VAR90, VAR108, VAR34, VAR93, VAR83, VAR98, VAR107, VAR16, VAR24, VAR5, VAR105, VAR32 ); input VAR130; input reset; input VAR22; input signed [17:0] VAR51; input signed [17:0] VAR101; input VAR84; input VAR36; input VAR131; input [1:0] VAR28; input signed [17:0] VAR97; input signed [17:0] VAR18; input signed [17:0] VAR40; input signed [17:0] VAR74; input signed [17:0] VAR109; input signed [17:0] VAR10; input signed [17:0] VAR122; input signed [17:0] VAR23; output VAR73; output VAR6; output VAR1; output VAR90; output VAR108; output signed [31:0] VAR34; output signed [31:0] VAR93; output signed [31:0] VAR83; output signed [31:0] VAR98; output signed [31:0] VAR107; output signed [31:0] VAR16; output signed [31:0] VAR24; output signed [31:0] VAR5; output signed [31:0] VAR105; output signed [18:0] VAR32; wire VAR61; wire VAR31; wire VAR58; wire VAR96; reg signed [17:0] VAR91; reg signed [17:0] VAR27; wire VAR4; wire [15:0] VAR80; wire [15:0] VAR125; wire [15:0] VAR30; wire [15:0] VAR63; wire signed [19:0] VAR68; wire signed [19:0] VAR119; wire signed [19:0] VAR120; wire signed [17:0] VAR35; wire signed [17:0] VAR114; wire signed [17:0] VAR110; wire signed [17:0] VAR19; wire signed [17:0] VAR133; wire signed [17:0] VAR64; wire signed [17:0] VAR60; wire signed [18:0] VAR45; reg VAR88; reg VAR15; reg VAR55; wire VAR11; wire [15:0] VAR54; reg VAR99; reg [15:0] VAR13; wire [15:0] VAR2 [0:2]; reg [15:0] VAR67 [0:2]; wire VAR86; wire VAR57; wire VAR53; wire [0:2] VAR137; reg [0:2] VAR127; wire signed [19:0] VAR113 [0:2]; wire signed [31:0] VAR112; wire signed [31:0] VAR78; wire signed [31:0] VAR116; wire signed [31:0] VAR134 [0:2]; wire signed [17:0] VAR85 [0:1]; wire signed [31:0] VAR48; wire signed [31:0] VAR104; wire signed [31:0] VAR126 [0:1]; wire signed [31:0] VAR17; wire signed [31:0] VAR49; wire signed [31:0] VAR69; wire signed [17:0] VAR46 [0:1]; wire signed [31:0] VAR7; wire signed [31:0] VAR43; wire signed [31:0] VAR29 [0:1]; VAR94 VAR52 (.VAR130(VAR130), .reset(reset), .VAR22(VAR22), .VAR58(VAR58), .VAR96(VAR96), .VAR61(VAR61), .VAR31(VAR31) ); always @(posedge VAR130) begin : VAR103 if (reset == 1'b1) begin VAR91 <= 18'VAR129; end else if (VAR61) begin VAR91 <= VAR51; end end always @(posedge VAR130) begin : VAR50 if (reset == 1'b1) begin VAR27 <= 18'VAR129; end else if (VAR61) begin VAR27 <= VAR101; end end always @(posedge VAR130) begin : VAR65 if (reset == 1'b1) begin VAR88 <= 1'b0; end else if (VAR58) begin VAR88 <= VAR84; end end always @(posedge VAR130) begin : VAR87 if (reset == 1'b1) begin VAR15 <= 1'b0; end else if (VAR58) begin VAR15 <= VAR36; end end always @(posedge VAR130) begin : VAR123 if (reset == 1'b1) begin VAR55 <= 1'b0; end else if (VAR58) begin VAR55 <= VAR131; end end VAR75 VAR135 (.VAR130(VAR130), .reset(reset), .VAR58(VAR58), .VAR77(VAR88), .VAR71(VAR15), .VAR89(VAR55), .valid(VAR11), .VAR9(VAR54) ); always @(posedge VAR130) begin : VAR47 if (reset == 1'b1) begin VAR99 <= 1'b0; end else if (VAR31) begin VAR99 <= VAR11; end end assign VAR4 = (VAR31 == 1'b1 ? VAR11 : VAR99); always @(posedge VAR130) begin : VAR115 if (reset == 1'b1) begin VAR13 <= 16'b0000000000000000; end else if (VAR31) begin VAR13 <= VAR54; end end assign VAR80 = (VAR31 == 1'b1 ? VAR54 : VAR13); VAR100 VAR132 (.VAR130(VAR130), .reset(reset), .VAR61(VAR61), .VAR42(VAR91), .VAR51(VAR27), .VAR33(VAR4), .VAR136(VAR80), .VAR44(VAR28), .VAR37(VAR97), .VAR70(VAR18), .VAR76(VAR40), .VAR56(VAR74), .VAR121(VAR109), .VAR95(VAR10), .VAR106(VAR122), .VAR66(VAR23), .VAR81(VAR125), .VAR128(VAR30), .VAR72(VAR63), .VAR12(VAR68), .VAR41(VAR119), .VAR79(VAR120), .VAR59(VAR35), .VAR3(VAR114), .VAR92(VAR110), .VAR25(VAR19), .VAR39(VAR133), .VAR111(VAR64), .VAR102(VAR60), .VAR118(VAR45) ); assign VAR2[0] = VAR125; assign VAR2[1] = VAR30; assign VAR2[2] = VAR63; always @(posedge VAR130) begin : VAR124 if (reset == 1'b1) begin VAR67[0] <= 16'b0000000000000000; VAR67[1] <= 16'b0000000000000000; VAR67[2] <= 16'b0000000000000000; end else if (VAR61) begin VAR67[0] <= VAR2[0]; VAR67[1] <= VAR2[1]; VAR67[2] <= VAR2[2]; end end VAR38 VAR21 (.VAR130(VAR130), .reset(reset), .VAR58(VAR58), .VAR62(VAR67[0]), .VAR14(VAR67[1]), .VAR8(VAR67[2]), .VAR117(VAR86), .VAR20(VAR57), .VAR26(VAR53) ); assign VAR137[0] = VAR86; assign VAR137[1] = VAR57; assign VAR137[2] = VAR53; always @(posedge VAR130) begin : VAR82 if (reset == 1'b1) begin VAR127[0] <= 1'b0; VAR127[1] <= 1'b0; VAR127[2] <= 1'b0; end else if (VAR58) begin VAR127[0] <= VAR137[0]; VAR127[1] <= VAR137[1]; VAR127[2] <= VAR137[2]; end end assign VAR1 = VAR127[0]; assign VAR90 = VAR127[1]; assign VAR108 = VAR127[2]; assign VAR113[0] = VAR68; assign VAR113[1] = VAR119; assign VAR113[2] = VAR120; assign VAR112 = VAR113[0]; assign VAR134[0] = VAR112; assign VAR78 = VAR113[1]; assign VAR134[1] = VAR78; assign VAR116 = VAR113[2]; assign VAR134[2] = VAR116; assign VAR34 = VAR134[0]; assign VAR93 = VAR134[1]; assign VAR85[0] = VAR35; assign VAR85[1] = VAR114; assign VAR48 = VAR85[0]; assign VAR126[0] = VAR48; assign VAR104 = VAR85[1]; assign VAR126[1] = VAR104; assign VAR83 = VAR126[0]; assign VAR98 = VAR126[1]; assign VAR17 = VAR110; assign VAR107 = VAR17; assign VAR49 = VAR19; assign VAR16 = VAR49; assign VAR69 = VAR133; assign VAR24 = VAR69; assign VAR46[0] = VAR64; assign VAR46[1] = VAR60; assign VAR7 = VAR46[0]; assign VAR29[0] = VAR7; assign VAR43 = VAR46[1]; assign VAR29[1] = VAR43; assign VAR5 = VAR29[0]; assign VAR105 = VAR29[1]; assign VAR32 = VAR45; assign VAR73 = VAR96; assign VAR6 = VAR31; endmodule
gpl-3.0
asicguy/gplgpu
hdl/vga/hcrt.v
19,041
module MODULE1 ( input VAR38, input VAR58, input VAR102, input VAR11, input VAR85, input VAR3, input VAR61, input VAR42, input VAR77, input [15:0] VAR67, input [5:0] VAR71, input [7:0] VAR99, input VAR98, input VAR86, input VAR60, input VAR17, input VAR31, input VAR48, input VAR24, input VAR30, input VAR43, input VAR84, input VAR96, input VAR33, input [15:8] VAR34, output reg [7:0] VAR56, output reg [7:0] VAR89, output reg [7:0] VAR4, output reg [7:0] VAR73, output reg [7:0] VAR87, output reg [7:0] VAR95, output VAR46, output VAR22, output VAR45, output VAR66, output VAR5, output VAR81, output hde, output VAR12, output reg VAR29 ); reg [8:0] VAR80; reg VAR2, VAR53; reg VAR101; reg VAR64; reg [1:0] VAR35; reg VAR41; reg VAR13; reg VAR91; reg VAR108; reg VAR23; reg VAR78; reg hdeff; reg [1:0] VAR36; reg VAR8; reg VAR40; reg VAR25; reg VAR27; reg VAR47; reg VAR103; reg VAR79; reg VAR44; reg [1:0] VAR72, VAR49; reg [4:0] VAR82; wire [2:0] VAR90; wire VAR37; wire VAR106; wire VAR69; wire VAR100; wire VAR54; wire VAR6; wire VAR92; wire VAR76; wire VAR68; wire VAR104; wire VAR88; wire VAR105; wire VAR50; wire [8:0] VAR9; wire [8:0] VAR63; wire [8:0] VAR59; wire [7:0] VAR16; wire [8:0] VAR26; wire [4:0] VAR94 ; reg [4:0] VAR32; wire [1:0] VAR18; wire VAR19; wire [1:0] VAR10; wire VAR51; wire VAR28; wire VAR21; wire VAR52; wire VAR97; reg [6:0] VAR93; wire VAR20; wire VAR74; wire VAR75 = VAR31; wire VAR1 = VAR86; reg [2:0] VAR65; wire [1:0] VAR70; wire VAR15; reg [1:0] VAR14; wire VAR7; wire VAR39 = VAR84 ; wire VAR107; wire VAR62; wire VAR83; wire VAR57; wire VAR55 = VAR98; always @(posedge VAR3 or negedge VAR58) if (~VAR58) begin VAR56 <= 8'h0; VAR89 <= 8'h0; VAR4 <= 8'h0; VAR73 <= 8'h0; VAR87 <= 8'h0; VAR95 <= 8'h0; end else if (VAR77) begin case (VAR67) 16'h03b4: begin if (!VAR61) if (VAR42) begin case (VAR71[5:0]) 6'h0: if (~VAR60) VAR56 <= VAR34; 6'h1: if (~VAR60) VAR89 <= VAR34; 6'h2: if (~VAR60) VAR4 <= VAR34; 6'h3: if (~VAR60) VAR73 <= VAR34; 6'h4: if (~VAR60) VAR87 <= VAR34; 6'h5: if (~VAR60) VAR95 <= VAR34; endcase end end 16'h03b5: begin if (!VAR61) begin case (VAR71[5:0]) 6'h0: if (~VAR60) VAR56 <= VAR34; 6'h1: if (~VAR60) VAR89 <= VAR34; 6'h2: if (~VAR60) VAR4 <= VAR34; 6'h3: if (~VAR60) VAR73 <= VAR34; 6'h4: if (~VAR60) VAR87 <= VAR34; 6'h5: if (~VAR60) VAR95 <= VAR34; endcase end end 16'h03d4: begin if (VAR61) if (VAR42) begin case (VAR71[5:0]) 6'h0: if (~VAR60) VAR56 <= VAR34; 6'h1: if (~VAR60) VAR89 <= VAR34; 6'h2: if (~VAR60) VAR4 <= VAR34; 6'h3: if (~VAR60) VAR73 <= VAR34; 6'h4: if (~VAR60) VAR87 <= VAR34; 6'h5: if (~VAR60) VAR95 <= VAR34; endcase end end 16'h03d5: begin if (VAR61) begin case (VAR71[5:0]) 6'h0: if (~VAR60) VAR56 <= VAR34; 6'h1: if (~VAR60) VAR89 <= VAR34; 6'h2: if (~VAR60) VAR4 <= VAR34; 6'h3: if (~VAR60) VAR73 <= VAR34; 6'h4: if (~VAR60) VAR87 <= VAR34; 6'h5: if (~VAR60) VAR95 <= VAR34; endcase end end endcase end assign VAR9 = { 1'b0, VAR56 }; assign VAR63 = { 1'b0, VAR89 }; assign VAR59 = { 1'b0, VAR4 }; assign VAR16 = { 2'b0, VAR95[7], VAR73[4:0] }; assign VAR26 = { 1'b0, VAR87 }; assign VAR94 = VAR95[4:0]; always @(posedge VAR3) begin if( (~VAR58) | (VAR44) ) VAR79 <= 1'b0; end else if(VAR96 == 1'b1) VAR79 <= 1'b1; end always @(posedge VAR3) begin if( (~VAR58) | (VAR79) ) VAR44 <= 1'b0; end else if(VAR33 == 1'b1) VAR44 <= 1'b1; end always @(posedge VAR102 or negedge VAR58) if (!VAR58) begin VAR72 <= 2'b0; VAR49 <= 2'b0; end else if (VAR85) begin VAR72 <= {VAR72[0], VAR79}; VAR49 <= {VAR49[0], VAR44}; end assign VAR54 = VAR72[1]; assign VAR100 = VAR49[1]; always @(posedge VAR102 or negedge VAR58 ) begin if (~VAR58) VAR47 <= 1'b0; end else if (VAR11) begin if (VAR54) VAR47 <= 1'b0; end else if (VAR100) VAR47 <= 1'b1; end end assign VAR6 = (~VAR47); assign VAR69 = (VAR6) & VAR97; always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR80 <= 9'b0; else if (VAR11) if (~VAR69) VAR80 <= 9'b0; else VAR80 <= VAR80 + 1'b1; assign VAR107 = ~(9'b0 == VAR9); assign VAR92 = (VAR80 == VAR9); assign VAR68 = (VAR80 == VAR63); assign VAR88 = (VAR80 == VAR59); assign VAR105 = (VAR80 == VAR26); assign VAR50 = (VAR80[4:0] == VAR94); assign VAR52 = (VAR80[5:0] == VAR16[5:0]); always @(VAR52) VAR101 = VAR52; assign VAR76 = VAR32[0] ? ~VAR93[2] : (VAR92 & VAR107); always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR32 <= 5'b0; else if (VAR11) VAR32 <= {VAR32[3:0], VAR76}; assign VAR97 = ~(VAR32[3] & (~VAR32[4])); assign VAR104 = VAR93[0] ? ~VAR68 : VAR32[3]; always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR93 <= 7'b0; else if (VAR11) VAR93 <= {VAR93[5:0], VAR104}; assign VAR18[1:0] = VAR73[6:5]; always @(VAR18 or VAR93) begin case(VAR18) 2'b00: VAR64 = VAR93[0]; 2'b01: VAR64 = VAR93[1]; 2'b10: VAR64 = VAR93[2]; 2'b11: VAR64 = VAR93[3]; endcase end always @(posedge VAR102 or negedge VAR58) if(~VAR58) hdeff <= 1'b0; else if (VAR11) hdeff <= VAR64; assign hde = hdeff; assign VAR19 = VAR18[0] | VAR18[1]; assign VAR28 = VAR19 ? VAR93[3] : VAR93[1]; always @(posedge VAR102 or negedge VAR58) if(~VAR58) begin VAR35[0] <= 1'b0; VAR35[1] <= 1'b0; end else if (VAR24) begin VAR35[0] <= VAR30; VAR35[1] <= VAR43; end assign VAR10[0] = ( VAR35[0] & (~VAR17) ) | ( VAR35[0] & (~VAR75) ); assign VAR10[1] = ( VAR35[1] & (~VAR17) ) | ( VAR35[1] & (~VAR75) ); always @(VAR10 or VAR32) begin case(VAR10) 2'b00: VAR41 = VAR32[3]; 2'b01: VAR41 = VAR32[2]; 2'b10: VAR41 = VAR32[1]; 2'b11: VAR41 = VAR32[0]; endcase end always @(posedge VAR102 or negedge VAR58) if(~VAR58) begin VAR36[1] <= 1'b0; VAR36[0] <= 1'b0; VAR8 <= 1'b0; end else if (VAR11) begin VAR36[1] <= ( VAR28 | VAR41 ); VAR36[0] <= VAR36[1]; VAR8 <= VAR36[0]; end always @(posedge VAR102 or negedge VAR58) if(~VAR58) VAR40 <= 1'b0; end else if (VAR85) VAR40 <= VAR8; assign VAR45 = VAR40; assign VAR66 = VAR36[0]; assign VAR5 = VAR36[1]; always @(posedge VAR102 or negedge VAR58) if(~VAR58) VAR25 <= 1'b0; end else if (VAR11) VAR25 <= (VAR45 | hde) ; assign VAR51 = (VAR25) &(~(VAR45 | hde)); always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR29 <= 1'b0; else if (VAR11) VAR29 <= VAR51; assign VAR21 = (~VAR93[2]) & VAR93[6]; always @(posedge VAR102 or negedge VAR58) if(~VAR58) begin VAR13 <= 1'b0; VAR91 <= 1'b0; end else if (VAR11) begin VAR13 <= VAR21; if (~VAR13 & VAR21) VAR91 <= ~VAR91; end always @(posedge VAR102 or negedge VAR58) if (!VAR58) begin VAR2 <= 1'b0; VAR53 <= 1'b0; end else begin VAR2 <= VAR11 & ~VAR13 & VAR21; VAR53 <= VAR11 & ~VAR13 & VAR21 & ~VAR91 & VAR91; end assign VAR12 = VAR48 ? VAR53 : VAR2; assign VAR20 = VAR27 ? (~VAR101) : VAR88; always @(posedge VAR102 or negedge VAR58) if(~VAR58) VAR27 <= 1'b0; end else if (VAR11) VAR27 <= VAR20; assign VAR81 = VAR27; assign VAR74 = VAR108 ? (~VAR50) : VAR105; always @(posedge VAR102 or negedge VAR58) if(~VAR58) VAR108 <= 1'b0; end else if (VAR11) VAR108 <= VAR74; always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR65 <= 3'b0; else if (VAR11) VAR65 <= {VAR65[1:0], VAR108}; assign VAR70[1:0] = VAR95[6:5]; always @(VAR70 or VAR65 or VAR108) begin case(VAR70) 2'b00: VAR23 = VAR108; 2'b01: VAR23 = VAR65[0]; 2'b10: VAR23 = VAR65[1]; 2'b11: VAR23 = VAR65[2]; endcase end assign VAR15 = VAR23 & VAR39; always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR14 <= 2'b0; else if (VAR11) VAR14 <= {VAR14[0], VAR15}; assign VAR7 = VAR1 ? (((VAR14[0] | ~VAR38)) & VAR14[1]) : (((VAR15 | ~VAR38)) & VAR14[0]); assign VAR37 = VAR7; always @(posedge VAR102 or negedge VAR58) if (!VAR58) VAR82 <= 5'b0; else if (VAR85) VAR82 <= {VAR82[3:0], VAR37}; assign VAR106 = VAR82[4]; assign VAR62 = (VAR39 & VAR106) ^ VAR55; assign VAR83 = VAR62; always @(posedge VAR102 or negedge VAR58) if(~VAR58) VAR103 <= 1'b0; else VAR103 <= VAR83; assign VAR22 = VAR103; assign VAR46 = VAR73[7]; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/o22ai/sky130_fd_sc_hvl__o22ai.symbol.v
1,375
module MODULE1 ( input VAR6, input VAR8, input VAR1, input VAR3, output VAR2 ); supply1 VAR5; supply0 VAR9; supply1 VAR7 ; supply0 VAR4 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nor2/gf180mcu_fd_sc_mcu7t5v0__nor2_1.behavioral.pp.v
1,254
module MODULE1( VAR2, VAR4, VAR7, VAR1, VAR8 ); input VAR7, VAR2; inout VAR1, VAR8; output VAR4; VAR6 VAR5(.VAR2(VAR2),.VAR4(VAR4),.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8)); VAR6 VAR3(.VAR2(VAR2),.VAR4(VAR4),.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8));
apache-2.0
8l/soc
backends/small1/hw/rtl/stack.v
1,641
module MODULE1 (input clk, input [31:0] VAR4, output [31:0] VAR6, input [31:0] VAR1, input VAR8, input [31:0] VAR2, output [31:0] VAR3 ); parameter VAR5 = VAR5; reg [31:0] VAR7 [0:VAR5-1]; assign VAR6 = VAR8?VAR1:VAR7[VAR4]; assign VAR3 = VAR7[VAR2]; always @(posedge clk) begin if (VAR8) begin VAR7[VAR4] = VAR1; end end endmodule module MODULE1 (input clk, input [31:0] VAR4, output reg [31:0] VAR6, input [31:0] VAR1, input VAR8, input [31:0] VAR2, output reg [31:0] VAR3 ); parameter VAR5 = VAR5; reg [31:0] VAR7 [0:VAR5-1]; always @(posedge clk) begin if (VAR8) begin VAR7[VAR4] = VAR1; VAR6 <= VAR1; end else VAR6 <= VAR7[VAR4]; VAR3 <= VAR7[VAR2]; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or3b/sky130_fd_sc_lp__or3b.behavioral.pp.v
1,951
module MODULE1 ( VAR4 , VAR1 , VAR9 , VAR14 , VAR16, VAR3, VAR12 , VAR15 ); output VAR4 ; input VAR1 ; input VAR9 ; input VAR14 ; input VAR16; input VAR3; input VAR12 ; input VAR15 ; wire VAR13 ; wire VAR8 ; wire VAR6; not VAR11 (VAR13 , VAR14 ); or VAR2 (VAR8 , VAR9, VAR1, VAR13 ); VAR7 VAR5 (VAR6, VAR8, VAR16, VAR3); buf VAR10 (VAR4 , VAR6 ); endmodule
apache-2.0
anderson1008/NOCulator
hring/hw/buffered/src/c_spreader.v
2,657
module MODULE1 (VAR5, VAR6); parameter VAR4 = 32; function integer VAR3(input [0:VAR4-1] VAR2); integer VAR1; begin VAR3 = 0; for(VAR1 = 0; VAR1 < VAR4; VAR1 = VAR1 + 1) VAR3 = VAR3 + VAR2[VAR1]; end endfunction parameter [0:VAR4-1] VAR7 = {VAR4{1'b1}}; localparam VAR10 = VAR3(VAR7); input [0:VAR10-1] VAR5; output [0:VAR4-1] VAR6; reg [0:VAR4-1] VAR6; integer VAR8, VAR9; always @(VAR5) begin VAR9 = 0; for(VAR8 = 0; VAR8 < VAR4; VAR8 = VAR8 + 1) if(VAR7[VAR8] == 1'b1) begin VAR6[VAR8] = VAR5[VAR9]; VAR9 = VAR9 + 1; end else VAR6[VAR8] = 1'b0; end endmodule
mit
lokisz/openzcore
pippo-riscv/rtl/verilog/uic.v
13,964
module MODULE1( clk, rst, VAR30, VAR28, VAR16, VAR20, VAR31, VAR26, VAR10, VAR39, VAR40, VAR6, VAR7, VAR29, VAR13, VAR19, VAR4, VAR5, VAR38, VAR27, VAR34, VAR2 ); parameter VAR11 = 512 ; input clk; input rst; input VAR30; input VAR28; input VAR16; input VAR20; input VAR31; input VAR26; input VAR10; input[31:0] VAR39; input[31:0] VAR40; output VAR6; output VAR7; output[31:0] VAR29; output[31:0] VAR13; output[31:0] VAR19; output[31:0] VAR4; output[31:0] VAR38; output[31:0] VAR27; output[31:0] VAR34; output[31:0] VAR2; output[31:0] VAR5; reg[31:0] VAR29; reg[31:0] VAR19; reg[31:0] VAR4; reg[31:0] VAR38; reg[31:0] VAR27; reg[31:0] VAR2; reg[31:0] VAR15; integer VAR18,VAR36,VAR41; always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR29<=32'd0; else if(VAR30) VAR29<=VAR29&(~VAR39); else if(VAR28) VAR29<=VAR29|VAR39; else VAR29<=VAR29|VAR15; assign VAR13=VAR29; always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR19<=32'd0; else if(VAR16) VAR19<=VAR39; assign VAR5=VAR29&VAR19; always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR4<=32'd0; else if(VAR20) VAR4<=VAR39; always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR38<=32'd0; else if(VAR31) VAR38<=VAR39; always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR27<=32'd0; else if(VAR26) VAR27<=VAR39; wire[31:0] VAR17; wire[31:0] VAR24; assign VAR17=VAR40 ~^ VAR38; assign VAR24=VAR17; reg[31:0] VAR37; reg[31:0] VAR21; reg[31:0] VAR25; reg[31:0] VAR9; reg[31:0] VAR22; wire[31:0] VAR14; always @(posedge VAR24[0] or VAR12 rst) if (rst == VAR35) VAR22[0]<=0; else VAR22[0]<=VAR14[0]; always @(posedge VAR24[1] or VAR12 rst) if (rst == VAR35) VAR22[1]<=0; else VAR22[1]<=VAR14[1]; always @(posedge VAR24[2] or VAR12 rst) if (rst == VAR35) VAR22[2]<=0; else VAR22[2]<=VAR14[2]; always @(posedge VAR24[3] or VAR12 rst) if (rst == VAR35) VAR22[3]<=0; else VAR22[3]<=VAR14[3]; always @(posedge VAR24[4] or VAR12 rst) if (rst == VAR35) VAR22[4]<=0; else VAR22[4]<=VAR14[4]; always @(posedge VAR24[5] or VAR12 rst) if (rst == VAR35) VAR22[5]<=0; else VAR22[5]<=VAR14[5]; always @(posedge VAR24[6] or VAR12 rst) if (rst == VAR35) VAR22[6]<=0; else VAR22[6]<=VAR14[6]; always @(posedge VAR24[7] or VAR12 rst) if (rst == VAR35) VAR22[7]<=0; else VAR22[7]<=VAR14[7]; always @(posedge VAR24[8] or VAR12 rst) if (rst == VAR35) VAR22[8]<=0; else VAR22[8]<=VAR14[8]; always @(posedge VAR24[9] or VAR12 rst) if (rst == VAR35) VAR22[9]<=0; else VAR22[9]<=VAR14[9]; always @(posedge VAR24[10] or VAR12 rst) if (rst == VAR35) VAR22[10]<=0; else VAR22[10]<=VAR14[10]; always @(posedge VAR24[11] or VAR12 rst) if (rst == VAR35) VAR22[11]<=0; else VAR22[11]<=VAR14[11]; always @(posedge VAR24[12] or VAR12 rst) if (rst == VAR35) VAR22[12]<=0; else VAR22[12]<=VAR14[12]; always @(posedge VAR24[13] or VAR12 rst) if (rst == VAR35) VAR22[13]<=0; else VAR22[13]<=VAR14[13]; always @(posedge VAR24[14] or VAR12 rst) if (rst == VAR35) VAR22[14]<=0; else VAR22[14]<=VAR14[14]; always @(posedge VAR24[15] or VAR12 rst) if (rst == VAR35) VAR22[15]<=0; else VAR22[15]<=VAR14[15]; always @(posedge VAR24[16] or VAR12 rst) if (rst == VAR35) VAR22[16]<=0; else VAR22[16]<=VAR14[16]; always @(posedge VAR24[17] or VAR12 rst) if (rst == VAR35) VAR22[17]<=0; else VAR22[17]<=VAR14[17]; always @(posedge VAR24[18] or VAR12 rst) if (rst == VAR35) VAR22[18]<=0; else VAR22[18]<=VAR14[18]; always @(posedge VAR24[19] or VAR12 rst) if (rst == VAR35) VAR22[19]<=0; else VAR22[19]<=VAR14[19]; always @(posedge VAR24[20] or VAR12 rst) if (rst == VAR35) VAR22[20]<=0; else VAR22[20]<=VAR14[20]; always @(posedge VAR24[21] or VAR12 rst) if (rst == VAR35) VAR22[21]<=0; else VAR22[21]<=VAR14[21]; always @(posedge VAR24[22] or VAR12 rst) if (rst == VAR35) VAR22[22]<=0; else VAR22[22]<=VAR14[22]; always @(posedge VAR24[23] or VAR12 rst) if (rst == VAR35) VAR22[23]<=0; else VAR22[23]<=VAR14[23]; always @(posedge VAR24[24] or VAR12 rst) if (rst == VAR35) VAR22[24]<=0; else VAR22[24]<=VAR14[24]; always @(posedge VAR24[25] or VAR12 rst) if (rst == VAR35) VAR22[25]<=0; else VAR22[25]<=VAR14[25]; always @(posedge VAR24[26] or VAR12 rst) if (rst == VAR35) VAR22[26]<=0; else VAR22[26]<=VAR14[26]; always @(posedge VAR24[27] or VAR12 rst) if (rst == VAR35) VAR22[27]<=0; else VAR22[27]<=VAR14[27]; always @(posedge VAR24[28] or VAR12 rst) if (rst == VAR35) VAR22[28]<=0; else VAR22[28]<=VAR14[28]; always @(posedge VAR24[29] or VAR12 rst) if (rst == VAR35) VAR22[29]<=0; else VAR22[29]<=VAR14[29]; always @(posedge VAR24[30] or VAR12 rst) if (rst == VAR35) VAR22[30]<=0; else VAR22[30]<=VAR14[30]; always @(posedge VAR24[31] or VAR12 rst) if (rst == VAR35) VAR22[31]<=0; else VAR22[31]<=VAR14[31]; assign VAR14=~VAR22; always@(VAR27 or VAR22 or VAR17) for (VAR18=0;VAR18<32;VAR18=VAR18+1) VAR37[VAR18]<=VAR27? VAR22[VAR18] : VAR17[VAR18]; always @(posedge clk or VAR12 rst ) if (rst == VAR35) VAR21<=32'd0; else VAR21<=VAR37; always @(posedge clk or VAR12 rst ) if (rst == VAR35) VAR25<=32'd0; else VAR25<=VAR21; always @(posedge clk or VAR12 rst ) if (rst == VAR35) VAR9<=32'd0; else VAR9<=VAR25; always@(VAR17 or VAR9 or VAR25 or VAR27) for (VAR36=0;VAR36<32;VAR36=VAR36+1) case(VAR27[VAR36]) 1'b0: VAR15[VAR36] <= VAR25[VAR36]; 1'b1: VAR15[VAR36] <= VAR25[VAR36]^VAR9[VAR36]; endcase always @(posedge clk or VAR12 rst) if (rst == VAR35) VAR2<=32'd0; else if(VAR10) VAR2<=VAR39; wire[31:0] VAR3; reg[31:0] VAR32; reg[31:0] VAR1; reg[4:0] VAR33; assign VAR3 = VAR29 & VAR19 & VAR4; always @(VAR2 or VAR3) for (VAR41=0; VAR41<32; VAR41=VAR41+1) VAR32[VAR41] <= VAR2[0] ? VAR3[VAR41] : VAR3[31-VAR41]; always@(VAR32) VAR1 <= VAR32; always @(VAR1) begin casez (VAR1) 32'b1???????????????????????????????: VAR33=5'b00000; 32'b01??????????????????????????????: VAR33=5'b00001; 32'b001?????????????????????????????: VAR33=5'b00010; 32'b0001????????????????????????????: VAR33=5'b00011; 32'b00001???????????????????????????: VAR33=5'b00100; 32'b000001??????????????????????????: VAR33=5'b00101; 32'b0000001?????????????????????????: VAR33=5'b00110; 32'b00000001????????????????????????: VAR33=5'b00111; 32'b000000001???????????????????????: VAR33=5'b01000; 32'b0000000001??????????????????????: VAR33=5'b01001; 32'b00000000001?????????????????????: VAR33=5'b01010; 32'b000000000001????????????????????: VAR33=5'b01011; 32'b0000000000001???????????????????: VAR33=5'b01100; 32'b00000000000001??????????????????: VAR33=5'b01101; 32'b000000000000001?????????????????: VAR33=5'b01110; 32'b0000000000000001????????????????: VAR33=5'b01111; 32'b00000000000000001???????????????: VAR33=5'b10000; 32'b000000000000000001??????????????: VAR33=5'b10001; 32'b0000000000000000001?????????????: VAR33=5'b10010; 32'b00000000000000000001????????????: VAR33=5'b10011; 32'b000000000000000000001???????????: VAR33=5'b10100; 32'b0000000000000000000001??????????: VAR33=5'b10101; 32'b00000000000000000000001?????????: VAR33=5'b10110; 32'b000000000000000000000001????????: VAR33=5'b10111; 32'b0000000000000000000000001???????: VAR33=5'b11000; 32'b00000000000000000000000001??????: VAR33=5'b11001; 32'b000000000000000000000000001?????: VAR33=5'b11010; 32'b0000000000000000000000000001????: VAR33=5'b11011; 32'b00000000000000000000000000001???: VAR33=5'b11100; 32'b000000000000000000000000000001??: VAR33=5'b11101; 32'b0000000000000000000000000000001?: VAR33=5'b11110; 32'b00000000000000000000000000000001: VAR33=5'b11111; 32'b00000000000000000000000000000000: VAR33=5'b00000; default: VAR33=5'b00000; endcase end wire[31:0] VAR8; wire[31:0] VAR23; wire[31:0] VAR34; assign VAR8 = (VAR1==32'h00000000) ? 32'h00000000 : {VAR2[31:2], 2'b00}; assign VAR23 = VAR11 * ({27'h0,VAR33[4:0]}); assign VAR34 = VAR8 + VAR23; assign VAR7 = | (VAR29 & VAR19 & VAR4); assign VAR6 = | (VAR29 & VAR19 & ~VAR4); endmodule
gpl-2.0
yard2010/Arducar
Car/Modules/Video-and-Image-Processing-Design-Using-FPGAs-master/de1_ov7670/SRC/YCbCr2RGB.v
3,343
module MODULE1 ( VAR20, VAR5, VAR17, VAR2, VAR3, VAR21, VAR11, VAR8, VAR18, VAR6 ); input [7:0] VAR20,VAR5,VAR17; input VAR8,VAR18,VAR6; output [9:0] VAR2,VAR3,VAR21; output reg VAR11; reg [9:0] VAR1,VAR7,VAR14; reg [3:0] VAR23; reg [19:0] VAR4,VAR10,VAR22; wire [26:0] VAR9,VAR15,VAR12; assign VAR2 = VAR1; assign VAR3= VAR7; assign VAR21 = VAR14; always@(posedge VAR6) begin if(VAR18) begin VAR11<=0; VAR23<=0; VAR1<=0; VAR7<=0; VAR14<=0; end else begin if(VAR4[19]) VAR1<=0; end else if(VAR4[18:0]>1023) VAR1<=1023; end else VAR1<=VAR4[9:0]; if(VAR10[19]) VAR7<=0; else if(VAR10[18:0]>1023) VAR7<=1023; else VAR7<=VAR10[9:0]; if(VAR22[19]) VAR14<=0; else if(VAR22[18:0]>1023) VAR14<=1023; else VAR14<=VAR22[9:0]; {VAR11,VAR23}<={VAR23,VAR8}; end end always@(posedge VAR6) begin if(VAR18) begin VAR4<=0; VAR10<=0; VAR22<=0; end else begin VAR4<=( VAR9 - 114131 ) >>7; VAR10<=( VAR15 + 69370 ) >>7; VAR22<=( VAR12 - 141787 ) >>7; end end VAR19 VAR24( VAR20, VAR5, VAR17, 17'h00254, 17'h00000, 17'h00331, VAR9, VAR18, VAR6); VAR19 VAR16( VAR20, VAR5, VAR17, 17'h00254, 17'h3FF38, 17'h3FE60, VAR15, VAR18, VAR6); VAR19 VAR13( VAR20, VAR5, VAR17, 17'h00254, 17'h00409, 17'h00000, VAR12, VAR18, VAR6); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfxbp/sky130_fd_sc_lp__sdfxbp.functional.pp.v
2,082
module MODULE1 ( VAR5 , VAR2 , VAR11 , VAR17 , VAR9 , VAR10 , VAR19, VAR18, VAR7 , VAR6 ); output VAR5 ; output VAR2 ; input VAR11 ; input VAR17 ; input VAR9 ; input VAR10 ; input VAR19; input VAR18; input VAR7 ; input VAR6 ; wire VAR4 ; wire VAR1; VAR8 VAR14 (VAR1, VAR17, VAR9, VAR10 ); VAR3 VAR15 VAR13 (VAR4 , VAR1, VAR11, , VAR19, VAR18); buf VAR12 (VAR5 , VAR4 ); not VAR16 (VAR2 , VAR4 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffq/gf180mcu_fd_sc_mcu7t5v0__dffq_1.behavioral.pp.v
2,179
module MODULE1( VAR13, VAR1, VAR12, VAR4, VAR3 ); input VAR13, VAR1; inout VAR4, VAR3; output VAR12; reg VAR7; VAR5 VAR6(.VAR13(VAR13),.VAR1(VAR1),.VAR12(VAR12),.VAR4(VAR4),.VAR3(VAR3),.VAR7(VAR7)); VAR5 VAR9(.VAR13(VAR13),.VAR1(VAR1),.VAR12(VAR12),.VAR4(VAR4),.VAR3(VAR3),.VAR7(VAR7)); not VAR10(VAR2,VAR1); buf VAR8(VAR11,VAR1);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/mux2i/sky130_fd_sc_hdll__mux2i_1.v
2,230
module MODULE1 ( VAR2 , VAR3 , VAR5 , VAR10 , VAR4, VAR1, VAR7 , VAR9 ); output VAR2 ; input VAR3 ; input VAR5 ; input VAR10 ; input VAR4; input VAR1; input VAR7 ; input VAR9 ; VAR6 VAR8 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR10(VAR10), .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR2 , VAR3, VAR5, VAR10 ); output VAR2 ; input VAR3; input VAR5; input VAR10 ; supply1 VAR4; supply0 VAR1; supply1 VAR7 ; supply0 VAR9 ; VAR6 VAR8 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR10(VAR10) ); endmodule
apache-2.0
sergev/vak-opensource
hardware/s3esk-openrisc/or1200/or1200_spram_2048x32_bw.v
15,337
module MODULE1( VAR8, VAR70, VAR40, clk, rst, VAR6, VAR57, VAR4, addr, VAR85, VAR39 ); input VAR8; input [VAR74 - 1:0] VAR40; output VAR70; input clk; input rst; input VAR6; input [3:0] VAR57; input VAR4; input [10:0] addr; input [31:0] VAR85; output [31:0] VAR39; assign VAR70 = VAR8; VAR1 VAR30( VAR58 VAR30( VAR1 VAR30( .VAR8(VAR8), .VAR70(VAR70), .VAR40(VAR40), .VAR32(clk), .VAR33(~VAR6), .VAR31(~VAR57), .VAR52(addr), .VAR67(VAR85), .VAR47(~VAR4), .VAR59(VAR39) ); VAR77 VAR77( .VAR50(~VAR57), .VAR53(), .VAR46(~VAR4), .VAR54(), .VAR37(), .VAR7(addr), .VAR81(addr), .VAR85(VAR85), .VAR39(VAR39) ); VAR51 VAR51( .clk(clk), .VAR61(addr), .VAR12(VAR85), .VAR57(VAR57), .VAR4(VAR4), .VAR22(VAR6), .VAR62(VAR39) ); wire VAR20; wire VAR34; wire VAR29; wire VAR68; wire VAR25; wire VAR80; wire VAR78; wire VAR76; assign VAR20 = VAR8; assign VAR34 = VAR25; assign VAR29 = VAR80; assign VAR68 = VAR78; assign VAR70 = VAR76; VAR23 VAR71( VAR41 VAR71( VAR23 VAR71( .VAR8(VAR20), .VAR70(VAR25), .VAR40(VAR40), .VAR10(clk), .VAR79(addr), .VAR3(VAR85[7:0]), .VAR31(~VAR57[0]), .VAR33(~VAR6), .VAR47(~VAR4), .VAR17(VAR39[7:0]) ); VAR23 VAR75( VAR41 VAR75( VAR23 VAR75( .VAR8(VAR34), .VAR70(VAR80), .VAR40(VAR40), .VAR10(clk), .VAR79(addr), .VAR3(VAR85[15:8]), .VAR31(~VAR57[1]), .VAR33(~VAR6), .VAR47(~VAR4), .VAR17(VAR39[15:8]) ); VAR23 VAR45( VAR41 VAR45( VAR23 VAR45( .VAR8(VAR29), .VAR70(VAR78), .VAR40(VAR40), .VAR10(clk), .VAR79(addr), .VAR3(VAR85[23:16]), .VAR31(~VAR57[2]), .VAR33(~VAR6), .VAR47(~VAR4), .VAR17(VAR39[23:16]) ); VAR23 VAR48( VAR41 VAR48( VAR23 VAR48( .VAR8(VAR68), .VAR70(VAR76), .VAR40(VAR40), .VAR10(clk), .VAR79(addr), .VAR3(VAR85[31:24]), .VAR31(~VAR57[3]), .VAR33(~VAR6), .VAR47(~VAR4), .VAR17(VAR39[31:24]) ); VAR60 VAR63( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[1:0]), .VAR64(VAR6), .VAR15(VAR57[0]), .VAR73(VAR39[1:0]) ); VAR60 VAR43( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[3:2]), .VAR64(VAR6), .VAR15(VAR57[0]), .VAR73(VAR39[3:2]) ); VAR60 VAR24( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[5:4]), .VAR64(VAR6), .VAR15(VAR57[0]), .VAR73(VAR39[5:4]) ); VAR60 VAR5( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[7:6]), .VAR64(VAR6), .VAR15(VAR57[0]), .VAR73(VAR39[7:6]) ); VAR60 VAR83( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[9:8]), .VAR64(VAR6), .VAR15(VAR57[1]), .VAR73(VAR39[9:8]) ); VAR60 VAR65( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[11:10]), .VAR64(VAR6), .VAR15(VAR57[1]), .VAR73(VAR39[11:10]) ); VAR60 VAR44( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[13:12]), .VAR64(VAR6), .VAR15(VAR57[1]), .VAR73(VAR39[13:12]) ); VAR60 VAR2( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[15:14]), .VAR64(VAR6), .VAR15(VAR57[1]), .VAR73(VAR39[15:14]) ); VAR60 VAR69( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[17:16]), .VAR64(VAR6), .VAR15(VAR57[2]), .VAR73(VAR39[17:16]) ); VAR60 VAR11( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[19:18]), .VAR64(VAR6), .VAR15(VAR57[2]), .VAR73(VAR39[19:18]) ); VAR60 VAR13( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[21:20]), .VAR64(VAR6), .VAR15(VAR57[2]), .VAR73(VAR39[21:20]) ); VAR60 VAR49( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[23:22]), .VAR64(VAR6), .VAR15(VAR57[2]), .VAR73(VAR39[23:22]) ); VAR60 VAR18( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[25:24]), .VAR64(VAR6), .VAR15(VAR57[3]), .VAR73(VAR39[25:24]) ); VAR60 VAR26( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[27:26]), .VAR64(VAR6), .VAR15(VAR57[3]), .VAR73(VAR39[27:26]) ); VAR60 VAR14( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[29:28]), .VAR64(VAR6), .VAR15(VAR57[3]), .VAR73(VAR39[29:28]) ); VAR60 VAR9( .VAR32(clk), .VAR28(rst), .VAR55(addr), .VAR3(VAR85[31:30]), .VAR64(VAR6), .VAR15(VAR57[3]), .VAR73(VAR39[31:30]) ); VAR21 VAR27( .VAR32(clk), .VAR36(rst), .VAR55(addr), .VAR3(VAR85[7:0]), .VAR84(1'b0), .VAR64(VAR6), .VAR15(VAR57[0]), .VAR73(VAR39[7:0]), .VAR56() ); VAR21 VAR66( .VAR32(clk), .VAR36(rst), .VAR55(addr), .VAR3(VAR85[15:8]), .VAR84(1'b0), .VAR64(VAR6), .VAR15(VAR57[1]), .VAR73(VAR39[15:8]), .VAR56() ); VAR21 VAR72( .VAR32(clk), .VAR36(rst), .VAR55(addr), .VAR3(VAR85[23:16]), .VAR84(1'b0), .VAR64(VAR6), .VAR15(VAR57[2]), .VAR73(VAR39[23:16]), .VAR56() ); VAR21 VAR16( .VAR32(clk), .VAR36(rst), .VAR55(addr), .VAR3(VAR85[31:24]), .VAR84(1'b0), .VAR64(VAR6), .VAR15(VAR57[3]), .VAR73(VAR39[31:24]), .VAR56() ); reg [7:0] VAR82 [2047:0]; reg [7:0] VAR19 [2047:0]; reg [7:0] VAR35 [2047:0]; reg [7:0] VAR42 [2047:0]; reg [10:0] VAR38; assign VAR39 = (VAR4) ? {VAR42[VAR38], VAR35[VAR38], VAR19[VAR38], VAR82[VAR38]} : {32{1'b0}}; always @(posedge clk or posedge rst) if (rst) VAR38 <= 11'h000; else if (VAR6) VAR38 <= addr; always @(posedge clk) if (VAR6 && VAR57[0]) VAR82[addr] <= VAR85[7:0]; always @(posedge clk) if (VAR6 && VAR57[1]) VAR19[addr] <= VAR85[15:8]; always @(posedge clk) if (VAR6 && VAR57[2]) VAR35[addr] <= VAR85[23:16]; always @(posedge clk) if (VAR6 && VAR57[3]) VAR42[addr] <= VAR85[31:24]; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/phy/phy_dq_iob.v
23,027
module MODULE1 # ( parameter VAR190 = 100, parameter VAR25 = 5, parameter VAR162 = "VAR106", parameter VAR69 = "VAR22", parameter VAR32 = 300.0, parameter VAR157 = "VAR167", parameter VAR139 = "VAR22", parameter VAR151 = "VAR169" ) ( input VAR103, input clk, input rst, input VAR83, input VAR187, input VAR86, input [4:0] VAR181, input VAR148, input [1:0] VAR129, input [3:0] VAR65, input VAR128, input VAR102, input VAR196, input VAR191, input [1:0] VAR94, input [1:0] VAR40, input VAR140, output VAR49, output VAR121, output VAR98, output VAR41, inout VAR93, output [4:0] VAR126 ); localparam VAR16 = (VAR139 == "VAR167") ? "VAR173" : ((VAR139 == "VAR22") ? "VAR153" : "VAR141"); localparam VAR124 = (VAR157 == "VAR167") ? "VAR173" : ((VAR157 == "VAR22") ? "VAR153" : "VAR141"); wire VAR186; wire VAR137; wire VAR125; wire VAR43; wire VAR107; wire VAR164; wire VAR101; wire [5:0] VAR36; wire [5:0] VAR48; reg [5:0] VAR34; reg [5:0] VAR45; reg VAR28; reg VAR174; reg VAR76; reg VAR96; wire VAR134; wire [3:0] VAR184; reg VAR130; reg VAR112; reg VAR104; reg VAR5; reg VAR111; reg VAR144; reg VAR116; reg VAR81; reg VAR109; reg VAR159; reg VAR182; reg VAR150; reg VAR113; reg VAR3; reg VAR7; reg VAR110; reg VAR24; reg VAR117; reg VAR91; reg VAR12; VAR2 # ( .VAR124 (VAR124) ) VAR46 ( .VAR39 (VAR137), .VAR82 (VAR125), .VAR133 (VAR93), .VAR21 (VAR186) ); VAR29 # ( .VAR75 ("VAR173"), .VAR149 ("VAR133"), .VAR16 (VAR16), .VAR50 ("VAR88"), .VAR68 (0), .VAR142 ("VAR88"), .VAR52 (0), .VAR62 (VAR32), .VAR9 ("VAR143") ) VAR95 ( .VAR60 (VAR137), .VAR168 (VAR187), .VAR42 (1'b0), .VAR66 (1'b0), .VAR73 (VAR186), .VAR80 (1'b0), .VAR74 (VAR43), .VAR194 (1'b1), .VAR82 (VAR134), .VAR59 (VAR181), .VAR79 (VAR126), .VAR35 (), .VAR8 (1'b0) ); always @(posedge clk) begin end generate if (VAR162 == "VAR106")begin: VAR127 if ((VAR25 == 5) | (VAR25 == 7) | (VAR25 == 9)) begin: VAR183 always @(posedge clk) begin if (VAR69 == "VAR167") begin end else begin case ({VAR129[1:0], VAR148}) 3'b000: begin end 3'b001: begin end 3'b010: begin end 3'b011: begin end 3'b100: begin end 3'b101: begin end 3'b110: begin end 3'b111: begin end default: begin end endcase end end end else if ((VAR25 == 6) | (VAR25 == 8)) begin: VAR92 always @(posedge clk) begin if (VAR69 == "VAR167") begin end else begin case ({VAR129[1:0], VAR148}) 3'b000: begin end 3'b001: begin end 3'b010: begin end 3'b011: begin end 3'b100: begin end 3'b101: begin end 3'b110: begin end 3'b111: begin end default: begin end endcase end end end end else begin: VAR1 if (VAR25 == 2) begin: VAR172 always @(VAR24 or VAR109 or VAR128 or VAR102) begin VAR28 = VAR24; VAR174 = VAR109; VAR76 = VAR128; VAR96 = VAR102; end end else if (VAR25 == 3) begin: VAR31 always @(posedge clk) begin end end else if (VAR25 == 4) begin: VAR152 always @(posedge clk) begin VAR28 <= VAR24; VAR174 <= VAR109; VAR76 <= VAR128; VAR96 <= VAR102; end end else if (VAR25 == 5) begin: VAR23 always @(posedge clk) begin end end else if (VAR25 == 6) begin: VAR19 always @(posedge clk) begin VAR28 <= VAR117; VAR174 <= VAR159; VAR76 <= VAR113; VAR96 <= VAR111; end end end endgenerate VAR118 # ( .VAR15 ("VAR47"), .VAR165 ("VAR47"), .VAR20 (4), .VAR54 (0), .VAR17 (1'b0), .VAR85 (1'b1), .VAR138 ("VAR188"), .VAR55 (0), .VAR171 ("VAR175"), .VAR100 (1'b0), .VAR67 (1'b0), .VAR160 (4) ) VAR27 ( .VAR38 (), .VAR120 (), .VAR136 (VAR43), .VAR53 (), .VAR56 (), .VAR192 (VAR125), .VAR58 (VAR103), .VAR115 (clk), .VAR87 (), .VAR97 (), .VAR114 (VAR28), .VAR123 (VAR174), .VAR180 (VAR76), .VAR63 (VAR96), .VAR131 (), .VAR18 (), .VAR177 (1'b1), .VAR147 (1'b0), .VAR170 (), .VAR189 (), .VAR194 (rst), .VAR26 (VAR65[0]), .VAR90 (VAR65[1]), .VAR176 (VAR65[2]), .VAR193 (VAR65[3]), .VAR166 (VAR134), .VAR105 (1'b1), .VAR51 (1'b0) ); assign VAR164 = VAR83; assign VAR101 = ~VAR83; VAR195 # ( .VAR99 ("VAR47"), .VAR20 (4), .VAR119 ("VAR153"), .VAR135 ("VAR173"), .VAR77 (1'b0), .VAR64 (1'b0), .VAR6 (1'b0), .VAR10 (1'b0), .VAR138 ("VAR4"), .VAR13 (2), .VAR78 ("VAR163"), .VAR14 ("VAR173"), .VAR171 ("VAR175"), .VAR145 (1'b0), .VAR179 (1'b0), .VAR132 (1'b0), .VAR155 (1'b0) ) VAR30 ( .VAR21 (), .VAR37 (VAR36[0]), .VAR89 (VAR36[1]), .VAR61 (VAR36[2]), .VAR108 (VAR36[3]), .VAR72 (VAR36[4]), .VAR33 (VAR36[5]), .VAR53 (), .VAR56 (), .VAR156 (1'b0), .VAR161 (1'b1), .VAR11 (1'b1), .VAR58 (VAR164), .VAR44 (VAR101), .VAR115 (VAR187), .VAR122 (), .VAR146 (VAR137), .VAR154 (VAR140), .VAR158 (1'b0), .VAR70 (VAR103), .VAR120 (1'b0), .VAR194 (VAR86), .VAR170 (1'b0), .VAR189 (1'b0) ); always @(negedge VAR187) always @(posedge VAR187) assign VAR48 = (VAR140) ? VAR45 : VAR36; VAR84 # ( .VAR190(VAR190) ) VAR57 ( .clk (VAR187), .VAR178 (VAR94), .VAR185 (VAR40), .din (VAR48), .VAR71 (VAR184) ); assign VAR49 = VAR184[3]; assign VAR121 = VAR184[2]; assign VAR98 = VAR184[1]; assign VAR41 = VAR184[0]; endmodule
lgpl-3.0
alexforencich/verilog-ethernet
example/VCU118/fpga_1g/rtl/fpga.v
16,625
module MODULE1 ( input wire VAR208, input wire VAR96, input wire reset, input wire VAR229, input wire VAR146, input wire VAR15, input wire VAR137, input wire VAR163, input wire [3:0] VAR67, output wire [7:0] VAR243, input wire VAR154, input wire VAR144, output wire VAR72, output wire VAR142, input wire VAR167, input wire VAR60, output wire VAR159, input wire VAR169, inout wire VAR23, output wire VAR184, input wire VAR186, output wire VAR206, output wire VAR7, input wire VAR61 ); wire VAR22; wire VAR86; wire VAR182; wire VAR58; wire VAR113 = reset; wire VAR193; wire VAR48; VAR52 #( .VAR35("VAR102"), .VAR49("VAR102") ) VAR151 ( .VAR214 (VAR22), .VAR124 (VAR208), .VAR185 (VAR96) ); VAR166 #( .VAR20("VAR45"), .VAR205(8), .VAR138(0.5), .VAR207(0), .VAR1(1), .VAR190(0.5), .VAR34(0), .VAR134(1), .VAR204(0.5), .VAR29(0), .VAR160(1), .VAR79(0.5), .VAR230(0), .VAR217(1), .VAR201(0.5), .VAR180(0), .VAR62(1), .VAR13(0.5), .VAR116(0), .VAR3(1), .VAR136(0.5), .VAR87(0), .VAR131(8), .VAR71(0), .VAR10(1), .VAR119(0.010), .VAR40(8.0), .VAR33("VAR102"), .VAR36("VAR102") ) VAR213 ( .VAR176(VAR22), .VAR30(VAR48), .VAR194(VAR113), .VAR57(1'b0), .VAR6(VAR86), .VAR177(), .VAR222(), .VAR105(), .VAR210(), .VAR150(), .VAR100(), .VAR84(), .VAR140(), .VAR73(), .VAR90(), .VAR50(VAR48), .VAR192(), .VAR147(VAR193) ); VAR227 VAR78 ( .VAR124(VAR86), .VAR214(VAR182) ); VAR14 #( .VAR74(4) ) VAR195 ( .clk(VAR182), .rst(~VAR193), .out(VAR58) ); wire VAR107; wire VAR188; wire VAR12; wire VAR239; wire VAR106; wire [3:0] VAR155; VAR24 #( .VAR83(9), .VAR74(4), .VAR70(125000) ) VAR241 ( .clk(VAR182), .rst(VAR58), .in({VAR229, VAR146, VAR15, VAR137, VAR163, VAR67}), .out({VAR107, VAR188, VAR12, VAR239, VAR106, VAR155}) ); wire VAR198; wire VAR145; VAR18 #( .VAR83(2), .VAR74(2) ) VAR152 ( .clk(VAR182), .in({VAR186, VAR61}), .out({VAR198, VAR145}) ); wire VAR77; wire VAR59; wire VAR225; wire [7:0] VAR161; wire VAR234; wire VAR104; wire [7:0] VAR88; wire VAR31; wire VAR76; wire [15:0] VAR173; wire VAR162 = VAR173[0]; wire VAR135 = VAR173[1]; wire VAR171 = VAR173[2]; wire VAR196 = VAR173[3]; wire VAR178 = VAR173[4]; wire VAR123 = VAR173[5]; wire VAR209 = VAR173[6]; wire VAR66 = VAR173[7]; wire [1:0] VAR175 = VAR173[9:8]; wire [1:0] VAR170 = VAR173[11:10]; wire VAR2 = VAR173[12]; wire VAR112 = VAR173[13]; wire [1:0] VAR117 = VAR173[15:14]; wire [4:0] VAR236; assign VAR236[4] = 1'b1; assign VAR236[3] = 1'b0; assign VAR236[2] = 1'b0; assign VAR236[1] = 1'b0; assign VAR236[0] = 1'b0; wire [15:0] VAR125; assign VAR125[15] = 1'b1; assign VAR125[14] = 1'b1; assign VAR125[13:12] = 2'b01; assign VAR125[11:10] = 2'b10; assign VAR125[9] = 1'b0; assign VAR125[8:7] = 2'b00; assign VAR125[6] = 1'b0; assign VAR125[5] = 1'b0; assign VAR125[4:1] = 4'b0000; assign VAR125[0] = 1'b1; VAR54 VAR75 ( .VAR202 (VAR72), .VAR197 (VAR142), .VAR109 (VAR154), .VAR38 (VAR144), .VAR203 (VAR167), .VAR98 (VAR60), .reset (VAR58), .VAR216 (VAR77), .VAR226 (), .VAR9 (VAR59), .VAR47 (), .VAR26 (), .VAR21 (), .VAR41 (), .VAR53 (), .VAR89 (), .VAR133 (), .VAR242 (), .VAR157 (VAR225), .VAR220 (VAR170 != 2'b10), .VAR237 (VAR170 == 2'b01), .VAR56 (VAR161), .VAR130 (VAR234), .VAR32 (VAR104), .VAR245 (VAR88), .VAR141 (VAR31), .VAR179 (VAR76), .VAR246 (), .VAR16 (VAR236), .VAR101 (), .VAR120 (VAR125), .VAR95 (1'b0), .VAR143 (VAR173), .VAR82 (1'b1), .VAR44 (), .VAR212 (), .VAR69 (), .VAR80 (), .VAR158 (), .VAR64 (), .VAR181 (), .VAR11 (), .VAR172 (), .VAR200 (), .VAR55 (), .VAR118 (), .VAR5 (), .VAR238 (), .VAR68 (), .VAR19 (16'b0), .VAR25 (1'b0), .VAR97 (1'b0), .VAR218 (16'b0), .VAR115 (1'b0), .VAR231 (1'b0), .VAR149 (16'b0), .VAR189 (1'b0), .VAR126 (1'b0), .VAR187 (), .VAR8 (), .VAR183 (), .VAR219 (1'b1), .VAR174 (1'b1), .VAR110 (1'b1), .VAR199 (1'b1), .VAR108 (1'b1), .VAR165 (1'b1), .VAR42 (1'b1), .VAR224 (1'b1), .VAR93 (1'b1), .VAR164 (1'b1), .VAR122 (1'b1), .VAR121 (1'b1), .VAR168 () ); reg [19:0] VAR27 = 20'hfffff; reg [4:0] VAR223 = 5'h03; reg [4:0] VAR128 = 5'h00; reg [15:0] VAR63 = 16'd0; reg [1:0] VAR232 = 2'b01; reg VAR148 = 1'b0; wire VAR244; reg [3:0] VAR28 = 0; always @(posedge VAR182) begin if (VAR58) begin VAR28 <= 0; VAR27 <= 20'hfffff; VAR128 <= 5'h00; VAR63 <= 16'd0; VAR148 <= 1'b0; end else begin VAR148 <= VAR148 & !VAR244; if (VAR27 > 0) begin VAR27 <= VAR27 - 1; end else if (!VAR244) begin VAR28 <= VAR28; end else begin VAR148 <= 1'b0; case (VAR28) 4'd0: begin VAR128 <= 5'h0D; VAR63 <= 16'h001F; VAR148 <= 1'b1; VAR28 <= 4'd1; end 4'd1: begin VAR128 <= 5'h0E; VAR63 <= 16'h0031; VAR148 <= 1'b1; VAR28 <= 4'd2; end 4'd2: begin VAR128 <= 5'h0D; VAR63 <= 16'h401F; VAR148 <= 1'b1; VAR28 <= 4'd3; end 4'd3: begin VAR128 <= 5'h0E; VAR63 <= 16'h0070; VAR148 <= 1'b1; VAR28 <= 4'd4; end 4'd4: begin VAR128 <= 5'h0D; VAR63 <= 16'h001F; VAR148 <= 1'b1; VAR28 <= 4'd5; end 4'd5: begin VAR128 <= 5'h0E; VAR63 <= 16'h00D3; VAR148 <= 1'b1; VAR28 <= 4'd6; end 4'd6: begin VAR128 <= 5'h0D; VAR63 <= 16'h401F; VAR148 <= 1'b1; VAR28 <= 4'd7; end 4'd7: begin VAR128 <= 5'h0E; VAR63 <= 16'h4000; VAR148 <= 1'b1; VAR28 <= 4'd8; end 4'd8: begin VAR128 <= 5'h0D; VAR63 <= 16'h001F; VAR148 <= 1'b1; VAR28 <= 4'd9; end 4'd9: begin VAR128 <= 5'h0E; VAR63 <= 16'h016F; VAR148 <= 1'b1; VAR28 <= 4'd10; end 4'd10: begin VAR128 <= 5'h0D; VAR63 <= 16'h401F; VAR148 <= 1'b1; VAR28 <= 4'd11; end 4'd11: begin VAR128 <= 5'h0E; VAR63 <= 16'h0015; VAR148 <= 1'b1; VAR28 <= 4'd12; end 4'd12: begin VAR28 <= 4'd12; end endcase end end end wire VAR4; wire VAR65; wire VAR233; wire VAR221; VAR215 VAR92 ( .clk(VAR182), .rst(VAR58), .VAR156(VAR223), .VAR81(VAR128), .VAR43(VAR63), .VAR240(VAR232), .VAR103(VAR148), .VAR211(VAR244), .VAR191(), .VAR91(), .VAR39(1'b1), .VAR85(VAR4), .VAR65(VAR65), .VAR233(VAR233), .VAR221(VAR221), .VAR129(), .VAR139(8'd3) ); assign VAR184 = VAR4; assign VAR65 = VAR23; assign VAR23 = VAR221 ? 1'VAR17 : VAR233; wire [7:0] VAR46; assign VAR243 = VAR67[0] ? (VAR67[1] ? VAR173[15:8] : VAR173[7:0]) : VAR46; VAR51 VAR111 ( .clk(VAR182), .rst(VAR58), .VAR229(VAR107), .VAR146(VAR188), .VAR15(VAR12), .VAR137(VAR239), .VAR163(VAR106), .VAR67(VAR155), .VAR243(VAR46), .VAR228(VAR77), .VAR94(VAR59), .VAR37(VAR225), .VAR235(VAR88), .VAR132(VAR31), .VAR127(VAR76), .VAR114(VAR161), .VAR99(VAR234), .VAR153(VAR104), .VAR159(VAR159), .VAR169(VAR169), .VAR186(VAR198), .VAR206(VAR206), .VAR7(VAR7), .VAR61(VAR145) ); endmodule
mit
SeanZarzycki/openSPARC-FPU
project/src/fpu_mul_ctl.v
52,492
module MODULE1 ( VAR220, VAR324, VAR248, VAR55, VAR46, VAR260, VAR214, VAR316, VAR61, VAR247, VAR252, VAR14, VAR282, VAR293, VAR230, VAR337, VAR30, VAR199, VAR88, VAR37, VAR299, VAR343, VAR213, VAR126, VAR87, VAR265, VAR162, VAR60, VAR141, VAR160, VAR53, VAR10, VAR121, VAR340, VAR138, VAR267, VAR132, VAR145, VAR142, VAR125, VAR275, VAR89, VAR62, VAR227, VAR191, VAR266, VAR102, VAR74, VAR342, VAR172, VAR69, VAR7, VAR71, VAR286, VAR313, VAR8, VAR223, VAR329, VAR120, VAR246, VAR243, VAR255, VAR131, VAR148, VAR107, VAR276, VAR290, VAR242, VAR176, VAR105, VAR289, VAR103, VAR76, VAR257, VAR205, VAR92, VAR194, VAR49, VAR24, VAR11, VAR85, VAR281, VAR249, VAR221, VAR91, VAR151, VAR101, VAR18, VAR28, VAR302, VAR17, VAR163, VAR86, VAR225, VAR169, VAR320, VAR327, VAR251 ); parameter VAR253= 8'h49, VAR56= 8'h4a, VAR143= 8'h69; input VAR220; input VAR324; input VAR248; input VAR55; input VAR46; input VAR260; input VAR214; input VAR316; input VAR61; input VAR247; input VAR252; input VAR14; input VAR282; input VAR293; input [7:0] VAR230; input VAR337; input [1:0] VAR30; input [4:0] VAR199; input VAR88; input VAR37; input VAR299; input VAR343; input [12:0] VAR213; input VAR126; input VAR87; input VAR265; input VAR162; input [5:0] VAR60; input [5:0] VAR141; input [12:0] VAR160; input VAR53; input VAR10; input [5:0] VAR121; input [12:0] VAR340; input VAR138; input [32:0] VAR267; input VAR132; input VAR145; input VAR142; output VAR125; output VAR275; output VAR89; output VAR62; output VAR227; output VAR191; output VAR266; output VAR102; output VAR74; output VAR342; output VAR172; output VAR69; output VAR7; output VAR71; output VAR286; output VAR313; output VAR8; output VAR223; output [9:0] VAR329; output VAR120; output VAR246; output VAR243; output VAR255; output VAR131; output [4:0] VAR148; output VAR107; output VAR276; output VAR290; output VAR242; output VAR176; output VAR105; output VAR289; output VAR103; output VAR76; output VAR257; output VAR205; output VAR92; output VAR194; output VAR49; output VAR24; output VAR11; output VAR85; output [6:0] VAR281; output [5:0] VAR249; output VAR221; output VAR91; output VAR151; output VAR101; output VAR18; output VAR28; output VAR302; output VAR17; output VAR163; output VAR86; output VAR225; output VAR169; input VAR320; input VAR327; output VAR251; wire reset; wire VAR12; wire VAR256; wire VAR332; wire VAR177; wire VAR79; wire VAR279; wire VAR338; wire VAR322; wire VAR330; wire VAR325; wire VAR157; wire VAR202; wire VAR166; wire VAR5; wire VAR100; wire VAR134; wire VAR348; wire VAR200; wire VAR349; wire VAR117; wire VAR96; wire VAR140; wire VAR25; wire VAR180; wire VAR275; wire VAR89; wire VAR62; wire VAR227; wire VAR150; wire VAR204; wire VAR167; wire VAR153; wire VAR29; wire VAR124; wire VAR345; wire VAR113; wire VAR283; wire VAR333; wire VAR186; wire VAR263; wire VAR45; wire VAR9; wire VAR95; wire VAR174; wire VAR354; wire VAR310; wire VAR188; wire VAR4; wire VAR271; wire VAR59; wire VAR208; wire VAR285; wire VAR226; wire VAR224; wire VAR31; wire VAR344; wire VAR232; wire VAR294; wire VAR64; wire VAR81; wire VAR68; wire VAR228; wire VAR270; wire VAR234; wire VAR161; wire VAR207; wire VAR152; wire VAR2; wire VAR164; wire VAR331; wire VAR191; wire [7:0] VAR347; wire [7:0] VAR182; wire VAR154; wire VAR278; wire VAR266; wire [3:0] VAR104; wire VAR102; wire [3:0] VAR23; wire VAR346; wire VAR74; wire [1:0] VAR50; wire [4:0] VAR305; wire VAR342; wire VAR36; wire VAR146; wire VAR261; wire VAR172; wire [4:0] VAR280; wire [4:0] VAR21; wire [1:0] VAR129; wire [4:0] VAR311; wire VAR128; wire VAR69; wire VAR7; wire VAR71; wire [4:1] VAR40; wire [1:0] VAR159; wire [4:0] VAR183; wire [4:1] VAR291; wire [1:0] VAR3; wire [4:0] VAR334; wire [4:1] VAR136; wire [1:0] VAR165; wire [4:0] VAR44; wire VAR168; wire [4:1] VAR109; wire [1:0] VAR112; wire [4:0] VAR222; wire VAR58; wire VAR16; wire [4:1] VAR259; wire [1:0] VAR78; wire [4:0] VAR269; wire VAR303; wire VAR286; wire VAR313; wire VAR8; wire VAR223; wire [4:2] VAR39; wire [9:0] VAR329; wire [9:0] VAR63; wire VAR77; wire VAR120; wire VAR246; wire VAR233; wire VAR116; wire VAR243; wire VAR178; wire VAR108; wire VAR82; wire VAR203; wire VAR240; wire VAR47; wire VAR288; wire VAR304; wire VAR110; wire VAR352; wire VAR292; wire VAR254; wire VAR297; wire VAR6; wire VAR171; wire VAR98; wire VAR201; wire VAR147; wire VAR118; wire VAR237; wire VAR273; wire VAR94; wire VAR301; wire VAR255; wire VAR170; wire VAR131; wire VAR315; wire VAR312; wire VAR353; wire VAR287; wire VAR192; wire VAR123; wire VAR277; wire VAR42; wire VAR75; wire [4:0] VAR148; wire VAR107; wire VAR276; wire VAR290; wire VAR242; wire VAR176; wire VAR105; wire VAR289; wire VAR103; wire VAR76; wire VAR257; wire VAR205; wire VAR92; wire [5:0] VAR127; wire [5:0] VAR155; wire [5:0] VAR93; wire [5:0] VAR215; wire VAR194; wire VAR49; wire VAR24; wire VAR11; wire VAR85; wire [6:0] VAR264; wire [6:0] VAR284; wire [6:0] VAR218; wire [6:0] VAR281; wire VAR210; wire VAR241; wire VAR274; wire VAR184; wire [5:0] VAR341; wire [5:0] VAR70; wire VAR51; wire [5:0] VAR249; wire VAR101; wire VAR18; wire VAR221; wire VAR91; wire VAR151; wire VAR272; wire VAR28; wire VAR302; wire VAR17; wire VAR163; wire VAR86; wire VAR225; wire VAR1; wire VAR125; wire VAR169; VAR326 #(1) VAR34 ( .din (VAR145), .clk (VAR142), .VAR268(VAR132), .VAR317 (VAR328), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign reset= (!VAR328); assign VAR169 = VAR328; VAR119 #(1) VAR196 ( .din (VAR220), .en (VAR243), .clk (VAR142), .VAR317 (VAR12), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR137 ( .din (VAR324), .en (VAR243), .clk (VAR142), .VAR317 (VAR256), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR351 ( .din (VAR248), .en (VAR243), .clk (VAR142), .VAR317 (VAR332), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR229 ( .din (VAR55), .en (VAR243), .clk (VAR142), .VAR317 (VAR177), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR211 ( .din (VAR46), .en (VAR243), .clk (VAR142), .VAR317 (VAR79), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR149 ( .din (VAR260), .en (VAR243), .clk (VAR142), .VAR317 (VAR279), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR52 ( .din (VAR214), .en (VAR243), .clk (VAR142), .VAR317 (VAR338), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR135 ( .din (VAR316), .en (VAR243), .clk (VAR142), .VAR317 (VAR322), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR97 ( .din (VAR61), .en (VAR243), .clk (VAR142), .VAR317 (VAR330), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR300 ( .din (VAR247), .en (VAR243), .clk (VAR142), .VAR317 (VAR325), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR111 ( .din (VAR252), .en (VAR243), .clk (VAR142), .VAR317 (VAR157), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR295 ( .din (VAR14), .en (VAR243), .clk (VAR142), .VAR317 (VAR202), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR239 ( .din (VAR282), .en (VAR243), .clk (VAR142), .VAR317 (VAR166), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR296 ( .din (VAR293), .en (VAR243), .clk (VAR142), .VAR317 (VAR5), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR100= VAR279 && VAR104[0]; assign VAR134= VAR279 && VAR23[0]; assign VAR348= VAR166 && VAR104[0]; assign VAR200= VAR166 && VAR23[0]; assign VAR349= VAR100 || VAR134; assign VAR117= VAR348 || VAR200; assign VAR96= (!VAR279) && VAR104[0]; assign VAR140= (!VAR279) && VAR23[0]; assign VAR25= (!VAR166) && VAR104[0]; assign VAR180= (!VAR166) && VAR23[0]; assign VAR275= (!VAR338) && (!VAR256) && (VAR79) && VAR104[1]; assign VAR89= (!VAR338) && (!VAR12) && VAR177 && VAR23[1]; assign VAR62= (!VAR5) && (!VAR330) && (VAR202) && VAR104[1]; assign VAR227= (!VAR5) && (!VAR322) && VAR157 && VAR23[1]; assign VAR150= (!VAR338) && VAR256 && VAR104[1]; assign VAR204= (!VAR338) && VAR12 && VAR23[1]; assign VAR167= (!VAR5) && VAR330 && VAR104[1]; assign VAR153= (!VAR5) && VAR322 && VAR23[1]; assign VAR29= VAR275 || VAR89; assign VAR124= VAR62 || VAR227; assign VAR345= VAR150 || VAR204; assign VAR113= VAR167 || VAR153; VAR119 #(1) VAR114 ( .din (VAR29), .en (VAR243), .clk (VAR142), .VAR317 (VAR283), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR27 ( .din (VAR124), .en (VAR243), .clk (VAR142), .VAR317 (VAR333), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR90 ( .din (VAR345), .en (VAR243), .clk (VAR142), .VAR317 (VAR186), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR22 ( .din (VAR113), .en (VAR243), .clk (VAR142), .VAR317 (VAR263), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR45= (!VAR338) && (VAR256 || VAR79) && VAR104[2]; assign VAR9= (!VAR338) && (VAR12 || VAR177) && VAR23[2]; assign VAR95= (!VAR5) && (VAR330 || VAR202) && VAR104[2]; assign VAR174= (!VAR5) && (VAR322 || VAR157) && VAR23[2]; assign VAR354= VAR45 || VAR9; assign VAR310= VAR95 || VAR174; VAR119 #(1) VAR238 ( .din (VAR310), .en (VAR243), .clk (VAR142), .VAR317 (VAR188), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR4= (!VAR338) && (!VAR256) && (!VAR79) && VAR104[2]; assign VAR271= (!VAR338) && (!VAR12) && (!VAR177) && VAR23[2]; assign VAR59= (!VAR5) && (!VAR330) && (!VAR202) && VAR104[2]; assign VAR208= (!VAR5) && (!VAR322) && (!VAR157) && VAR23[2]; assign VAR285= VAR4 || VAR271; assign VAR226= VAR59 || VAR208; assign VAR224= VAR285 || VAR226; VAR119 #(1) VAR216 ( .din (VAR285), .en (VAR243), .clk (VAR142), .VAR317 (VAR31), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR190 ( .din (VAR226), .en (VAR243), .clk (VAR142), .VAR317 (VAR344), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR319 ( .din (VAR224), .en (VAR243), .clk (VAR142), .VAR317 (VAR232), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR294= (!VAR338) && VAR104[3]; assign VAR64= (!VAR338) && VAR23[3]; assign VAR81= (!VAR5) && VAR104[3]; assign VAR68= (!VAR5) && VAR23[3]; assign VAR228= VAR294 || VAR64; assign VAR270= VAR81 || VAR68; assign VAR234= VAR228 || VAR270; assign VAR161= VAR279 && (!VAR332) && (!VAR256); assign VAR207= VAR166 && (!VAR325) && (!VAR330); assign VAR152= VAR161 || VAR207; VAR119 #(1) VAR35 ( .din (VAR161), .en (VAR243), .clk (VAR142), .VAR317 (VAR2), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR307 ( .din (VAR207), .en (VAR243), .clk (VAR142), .VAR317 (VAR164), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR250 ( .din (VAR152), .en (VAR243), .clk (VAR142), .VAR317 (VAR331), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR191= VAR178 && (!VAR278); assign VAR347[7:0]= ({8{(VAR191 && (!reset))}} & (VAR230[7:0] & {8{VAR337}})) | ({8{((!VAR243) && (!reset))}} & VAR182[7:0]); VAR106 #(8) VAR185 ( .din (VAR347[7:0]), .clk (VAR142), .VAR317 (VAR182[7:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR154= (VAR191 && (!reset) && VAR337) || ((!VAR243) && (!reset) && VAR278); VAR106 #(1) VAR236 ( .din (VAR154), .clk (VAR142), .VAR317 (VAR278), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR197 ( .din (VAR230[0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR266), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(4) VAR175 ( .din ({4{VAR230[0]}}), .en (VAR243), .clk (VAR142), .VAR317 (VAR104[3:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR80 ( .din (VAR230[1]), .en (VAR243), .clk (VAR142), .VAR317 (VAR102), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(4) VAR309 ( .din ({4{VAR230[1]}}), .en (VAR243), .clk (VAR142), .VAR317 (VAR23[3:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR346= (!VAR230[1]); VAR119 #(1) VAR33 ( .din (VAR346), .en (VAR243), .clk (VAR142), .VAR317 (VAR74), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR72 ( .din (VAR30[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR50[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR130 ( .din (VAR199[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR305[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR342= (VAR182[7:0]==VAR253) || (VAR182[7:0]==VAR56) || (VAR182[7:0]==VAR143); assign VAR36= (VAR182[7:0]==VAR56) || (VAR182[7:0]==VAR143); assign VAR146= (VAR182[7:0]==VAR253); assign VAR261= (VAR182[7:0]==VAR56); assign VAR172= (VAR182[7:0]==VAR143); assign VAR280[4:0]= {VAR342, VAR36, VAR146, VAR261, VAR172}; VAR133 #(5) VAR336 ( .din (VAR280[4:0]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR21[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR83 ( .din (VAR50[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR129[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR65 ( .din (VAR305[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR311[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR128= VAR21[4]; assign VAR69= VAR21[2]; assign VAR7= VAR21[1]; assign VAR71= VAR21[0]; VAR133 #(4) VAR43 ( .din (VAR21[4:1]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR40[4:1]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR15 ( .din (VAR129[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR159[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR321 ( .din (VAR311[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR183[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR133 #(4) VAR350 ( .din (VAR40[4:1]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR291[4:1]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR195 ( .din (VAR159[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR3[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR217 ( .din (VAR183[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR334[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR133 #(4) VAR73 ( .din (VAR291[4:1]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR136[4:1]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR144 ( .din (VAR3[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR165[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR179 ( .din (VAR334[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR44[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR168= VAR136[4]; VAR133 #(4) VAR323 ( .din (VAR136[4:1]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR109[4:1]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR219 ( .din (VAR165[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR112[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR54 ( .din (VAR44[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR222[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR58= VAR109[4]; assign VAR16= VAR109[1]; VAR133 #(4) VAR314 ( .din (VAR109[4:1]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR259[4:1]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(2) VAR306 ( .din (VAR112[1:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR78[1:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(5) VAR308 ( .din (VAR222[4:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR269[4:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR133 #(1) VAR139 ( .din (VAR16), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR8), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR303= VAR259[4]; assign VAR286= VAR259[2]; assign VAR313= VAR259[1]; assign VAR223= (VAR178 && (!reset) && VAR303) || ((!VAR178) && (!reset) && VAR77); VAR133 #(3) VAR231 ( .din (VAR259[4:2]), .en (VAR243), .rst (reset), .clk (VAR142), .VAR317 (VAR39[4:2]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR329[9:0]= ({10{VAR178}} & {(VAR269[4:2]==3'o7), (VAR269[4:2]==3'o6), (VAR269[4:2]==3'o5), (VAR269[4:2]==3'o4), (VAR269[4:2]==3'o3), (VAR269[4:2]==3'o2), (VAR269[4:2]==3'o1), (VAR269[4:2]==3'o0), VAR269[1:0]}) | ({10{(!VAR178)}} & VAR63[9:0]); VAR119 #(10) VAR244 ( .din (VAR329[9:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR63[9:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR77= VAR39[4]; assign VAR120= VAR39[3]; assign VAR246= VAR39[2]; assign VAR233= VAR77 && (!VAR299); assign VAR116= VAR77 && (!VAR343); assign VAR243= (!VAR233); assign VAR178= (!VAR116); assign VAR1 = VAR342 || VAR128 || VAR40[4] || VAR291[4] || VAR168 || VAR58 || VAR303 || VAR77; VAR133 #(1) VAR209 ( .din (VAR1), .en (1'b1), .rst (reset), .clk (VAR142), .VAR317 (VAR125), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR84 ( .din (VAR88), .en (VAR243), .clk (VAR142), .VAR317 (VAR108), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR38 ( .din (VAR37), .en (VAR243), .clk (VAR142), .VAR317 (VAR82), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR206 ( .din (VAR108), .en (VAR243), .clk (VAR142), .VAR317 (VAR203), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR198 ( .din (VAR82), .en (VAR243), .clk (VAR142), .VAR317 (VAR240), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR47= (!VAR234); VAR119 #(1) VAR19 ( .din (VAR47), .en (VAR243), .clk (VAR142), .VAR317 (VAR288), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR304= ((VAR203 && (!VAR333) && (!(VAR263 && (!VAR283)))) ^ (VAR240 && (!(VAR283 && (!VAR333))) && (!(VAR186 && (!VAR188))))) && (!(VAR232 && VAR331)); VAR119 #(1) VAR32 ( .din (VAR304), .en (VAR243), .clk (VAR142), .VAR317 (VAR110), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR352= VAR283 || VAR333 || (VAR2 && VAR344) || (VAR31 && VAR164); VAR119 #(1) VAR355 ( .din (VAR352), .en (VAR243), .clk (VAR142), .VAR317 (VAR292), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR173 ( .din (VAR288), .en (VAR243), .clk (VAR142), .VAR317 (VAR254), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR67 ( .din (VAR110), .en (VAR243), .clk (VAR142), .VAR317 (VAR297), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR158 ( .din (VAR292), .en (VAR243), .clk (VAR142), .VAR317 (VAR6), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR26 ( .din (VAR254), .en (VAR243), .clk (VAR142), .VAR317 (VAR335), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR212 ( .din (VAR297), .en (VAR243), .clk (VAR142), .VAR317 (VAR171), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR193 ( .din (VAR6), .en (VAR243), .clk (VAR142), .VAR317 (VAR98), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR48 ( .din (VAR335), .en (VAR243), .clk (VAR142), .VAR317 (VAR201), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR99 ( .din (VAR171), .en (VAR243), .clk (VAR142), .VAR317 (VAR147), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR318 ( .din (VAR98), .en (VAR243), .clk (VAR142), .VAR317 (VAR118), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR262 ( .din (VAR201), .en (VAR243), .clk (VAR142), .VAR317 (VAR237), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR66 ( .din (VAR147), .en (VAR243), .clk (VAR142), .VAR317 (VAR273), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR13 ( .din (VAR118), .en (VAR243), .clk (VAR142), .VAR317 (VAR94), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR181 ( .din (VAR237), .en (VAR243), .clk (VAR142), .VAR317 (VAR301), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR41 ( .din (VAR273), .en (VAR243), .clk (VAR142), .VAR317 (VAR255), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR122 ( .din (VAR94), .en (VAR243), .clk (VAR142), .VAR317 (VAR170), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR131= ((!VAR213[12]) && VAR313 && (VAR213[11] || (&VAR213[10:0])) && VAR301) || ((!VAR213[12]) && VAR286 && ((|VAR213[11:8]) || (&VAR213[7:0])) && VAR301); assign VAR315= ((!VAR213[12]) && VAR313 && (&VAR213[10:1]) && VAR272 && VAR301) || ((!VAR213[12]) && VAR286 && (&VAR213[7:1]) && VAR272 && VAR301); VAR119 #(1) VAR189 ( .din (VAR315), .en (VAR243), .clk (VAR142), .VAR317 (VAR312), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR156 ( .din (VAR131), .en (VAR243), .clk (VAR142), .VAR317 (VAR353), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR57 ( .din (VAR126), .en (VAR243), .clk (VAR142), .VAR317 (VAR287), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR192= VAR353 || (VAR312 && VAR287); assign VAR123= (VAR213[12] || (!(|VAR213[11:0]))) && VAR87; VAR119 #(1) VAR339 ( .din (VAR123), .en (VAR243), .clk (VAR142), .VAR317 (VAR277), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR42= (VAR313 && VAR265) || (VAR286 && VAR162); VAR119 #(1) VAR245 ( .din (VAR42), .en (VAR243), .clk (VAR142), .VAR317 (VAR75), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR148[4:0] = {VAR170, VAR192, VAR277, 1'b0, (VAR75 || VAR192)}; assign VAR107= VAR140 && ((!(VAR64 || VAR68)) || (VAR89 && (!VAR227)) || (VAR204 && (!VAR174))); assign VAR276= VAR134 && (!(VAR64 || VAR68)); assign VAR290= VAR96 && ((!(VAR294 || VAR81)) || (VAR275 && (!VAR62)) || (VAR150 && (!VAR95))); assign VAR242= VAR100 && (!(VAR294 || VAR81)); assign VAR176= (VAR224 && (!VAR354) && (!VAR310)) || VAR124 || (VAR113 && (!VAR29)); assign VAR105= VAR180 && ((!(VAR64 || VAR68)) || VAR227 || (VAR153 && (!VAR89))); assign VAR289= VAR200 && (!(VAR64 || VAR68)); assign VAR103= VAR25 && ((!(VAR294 || VAR81)) || VAR62 || (VAR167 && (!VAR275))); assign VAR76= VAR348 && (!(VAR294 || VAR81)); assign VAR257= (VAR224 && (!VAR354) && (!VAR310)) || (VAR29 && (!VAR124)) || (VAR345 && (!VAR310)); assign VAR205= (VAR285 && VAR207) || (VAR161 && VAR226); assign VAR92= ((VAR285 && VAR207) || (VAR161 && VAR226)) && VAR36; assign VAR127[5:0]= ({6{(VAR349 && (!VAR234))}} & VAR60[5:0]); VAR119 #(6) VAR115 ( .din (VAR127[5:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR155[5:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR93[5:0]= ({6{(VAR117 && (!VAR234))}} & VAR141[5:0]); VAR119 #(6) VAR187 ( .din (VAR93[5:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR215[5:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR194= (!VAR234) && (!VAR152); assign VAR49= VAR261 && VAR234; assign VAR24= VAR146 && VAR234; assign VAR11= VAR172 && VAR234; assign VAR85= VAR152 && (!VAR234); assign VAR264[6:0]= {1'b0, VAR155[5:0]} + {1'b0, VAR215[5:0]}; assign VAR284[6:0]= (~VAR264[6:0]); VAR119 #(7) VAR20 ( .din (VAR284[6:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR218[6:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(7) VAR258 ( .din (VAR218[6:0]), .en (VAR243), .clk (VAR142), .VAR317 (VAR281[6:0]), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); VAR119 #(1) VAR298 ( .din (VAR53), .en (VAR243), .clk (VAR142), .VAR317 (VAR210), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR241= (!(|VAR160[11:0])) || VAR160[12]; assign VAR274= (!VAR10) && VAR241; VAR119 #(1) VAR235 ( .din (VAR274), .en (VAR243), .clk (VAR142), .VAR317 (VAR184), .VAR320 (VAR320), .VAR327 (), .VAR251 () ); assign VAR341[5:0]= VAR160[5:0] + 6'h3f; assign VAR70[5:0]= (~VAR160[5:0]) + 6'h02; assign VAR51= ((!(&VAR160[11:6])) || (!(|VAR160[5:3]))) && VAR160[12]; assign VAR249[5:0]= ({6{((!VAR10) && (!VAR241))}} & VAR341[5:0]) | ({6{((!VAR10) && VAR241 && VAR51)}} & 6'h39) | ({6{((!VAR10) && VAR241 && (!VAR51))}} & VAR70[5:0]) | ({6{VAR10}} & (~VAR121[5:0])); assign VAR101= (!VAR184) && VAR243; assign VAR18= VAR184 && VAR243; assign VAR221 = (!(|VAR340[12:0])) && (!VAR184); assign VAR91 = !VAR184; assign VAR151 = VAR210 && VAR184 && VAR138; assign VAR272= ((((VAR78[1:0]==2'b10) && (!VAR273) && (VAR267[2:0]!=3'b0)) || ((VAR78[1:0]==2'b11) && VAR273 && (VAR267[2:0]!=3'b0)) || ((VAR78[1:0]==2'b00) && VAR267[2] && ((VAR267[1:0]!=2'b0) || VAR267[3]))) && VAR313) || ((((VAR78[1:0]==2'b10) && (!VAR273) && (VAR267[31:0]!=32'b0)) || ((VAR78[1:0]==2'b11) && VAR273 && (VAR267[31:0]!=32'b0)) || ((VAR78[1:0]==2'b00) && VAR267[31] && ((VAR267[30:0]!=31'b0) || VAR267[32]))) && VAR286); assign VAR28= (VAR78[1:0]==2'b01) || ((VAR78[1:0]==2'b10) && VAR273) || ((VAR78[1:0]==2'b11) && (!VAR273)); assign VAR302= (!VAR28); assign VAR17= VAR272 && (!VAR131); assign VAR163= (!VAR272) && (!VAR131); assign VAR86= VAR272 && (!VAR131); assign VAR225= (!VAR272) && (!VAR131); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp_1.v
2,599
module MODULE1 ( VAR12 , VAR3 , VAR9 , VAR1 , VAR7 , VAR11, VAR6 , VAR10 , VAR4 , VAR5 ); output VAR12 ; input VAR3 ; input VAR9 ; input VAR1 ; input VAR7 ; input VAR11; input VAR6 ; input VAR10 ; input VAR4 ; input VAR5 ; VAR2 VAR8 ( .VAR12(VAR12), .VAR3(VAR3), .VAR9(VAR9), .VAR1(VAR1), .VAR7(VAR7), .VAR11(VAR11), .VAR6(VAR6), .VAR10(VAR10), .VAR4(VAR4), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR12 , VAR3 , VAR9 , VAR1 , VAR7 , VAR11 ); output VAR12 ; input VAR3 ; input VAR9 ; input VAR1 ; input VAR7 ; input VAR11; supply1 VAR6; supply0 VAR10; supply1 VAR4 ; supply0 VAR5 ; VAR2 VAR8 ( .VAR12(VAR12), .VAR3(VAR3), .VAR9(VAR9), .VAR1(VAR1), .VAR7(VAR7), .VAR11(VAR11) ); endmodule
apache-2.0
alonso193/proyecto1
modules/DMA.v
6,471
module MODULE1(input reset, input clk, input VAR7, input VAR20, input VAR22, input VAR21, input VAR11, input VAR13, input VAR6, input VAR19, input [63:0] VAR24, input [15:0] VAR4, input [5:0] VAR12, input VAR5, input VAR10, input VAR27, input valid, input VAR25, output VAR15, output [63:0] VAR9, output VAR26, output VAR17, output VAR8, output VAR23, output [5:0] VAR18); parameter VAR3 = 2'b00, VAR16 = 2'b01, VAR1 = 2'b10, VAR14 = 2'b11; reg [1:0] state; reg VAR8; reg VAR23; reg VAR17; reg VAR26; reg VAR15; reg [1:0] VAR2; reg [63:0] VAR9; reg [5:0] VAR18; always @ (posedge clk) begin state <= VAR2; end always @ begin case(state) VAR3: begin VAR15 = 0; VAR9 = VAR24; VAR26 = 0; VAR17 = 0; VAR8 = 0; VAR23 = 0; end VAR16: begin VAR15 = 0; VAR26 = 0 ; VAR17 = 0; VAR8 = 0; VAR23 = 0; if(!valid) VAR18 = VAR12 + 1; end VAR1: begin VAR15 = 0; VAR9 = VAR24 + 1; VAR26 = 0; VAR17 = 0; VAR8 = 0; VAR23 = 0; end VAR14: begin VAR15 = 1; VAR9 = VAR9; VAR26 = !VAR25; VAR17 = VAR25; VAR8 = !VAR25; VAR23 = VAR25; end default: begin VAR15 = 0; VAR9 = VAR24; VAR26 = 0; VAR17 = 0; VAR8 = 0; VAR23 = 0; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fahcon/sky130_fd_sc_lp__fahcon.functional.pp.v
2,730
module MODULE1 ( VAR24, VAR13 , VAR8 , VAR17 , VAR19 , VAR26 , VAR9 , VAR7 , VAR5 ); output VAR24; output VAR13 ; input VAR8 ; input VAR17 ; input VAR19 ; input VAR26 ; input VAR9 ; input VAR7 ; input VAR5 ; wire VAR4 ; wire VAR10 ; wire VAR2 ; wire VAR22 ; wire VAR20 ; wire VAR11 ; wire VAR6; xor VAR3 (VAR4 , VAR8, VAR17, VAR19 ); VAR12 VAR18 (VAR10 , VAR4, VAR26, VAR9 ); buf VAR14 (VAR13 , VAR10 ); nor VAR23 (VAR2 , VAR8, VAR17 ); nor VAR1 (VAR22 , VAR8, VAR19 ); nor VAR16 (VAR20 , VAR17, VAR19 ); or VAR21 (VAR11 , VAR2, VAR22, VAR20 ); VAR12 VAR15 (VAR6, VAR11, VAR26, VAR9); buf VAR25 (VAR24 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o311ai/sky130_fd_sc_lp__o311ai_2.v
2,435
module MODULE2 ( VAR2 , VAR5 , VAR9 , VAR3 , VAR4 , VAR8 , VAR7, VAR11, VAR1 , VAR6 ); output VAR2 ; input VAR5 ; input VAR9 ; input VAR3 ; input VAR4 ; input VAR8 ; input VAR7; input VAR11; input VAR1 ; input VAR6 ; VAR10 VAR12 ( .VAR2(VAR2), .VAR5(VAR5), .VAR9(VAR9), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8), .VAR7(VAR7), .VAR11(VAR11), .VAR1(VAR1), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR2 , VAR5, VAR9, VAR3, VAR4, VAR8 ); output VAR2 ; input VAR5; input VAR9; input VAR3; input VAR4; input VAR8; supply1 VAR7; supply0 VAR11; supply1 VAR1 ; supply0 VAR6 ; VAR10 VAR12 ( .VAR2(VAR2), .VAR5(VAR5), .VAR9(VAR9), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8) ); endmodule
apache-2.0
alan4186/Hardware-CNN
Hardware/v/feature_map_ram_1024w.v
9,567
module MODULE1 ( VAR55, VAR2, VAR42, VAR47, VAR19, VAR59); parameter VAR58 = ""; input VAR55; input [23:0] VAR2; input [9:0] VAR42; input [9:0] VAR47; input VAR19; output [23:0] VAR59; tri1 VAR55; tri0 VAR19; wire [23:0] VAR1; wire [23:0] VAR59 = VAR1[23:0]; VAR22 VAR30 ( .VAR62 (VAR47), .VAR33 (VAR42), .VAR27 (VAR55), .VAR6 (VAR2), .VAR34 (VAR19), .VAR60 (VAR1), .VAR25 (1'b0), .VAR39 (1'b0), .VAR52 (1'b0), .VAR10 (1'b0), .VAR29 (1'b1), .VAR17 (1'b1), .VAR49 (1'b1), .VAR9 (1'b1), .VAR12 (1'b1), .VAR14 (1'b1), .VAR32 (1'b1), .VAR5 ({24{1'b1}}), .VAR61 (), .VAR43 (), .VAR37 (1'b1), .VAR26 (1'b1), .VAR51 (1'b0)); VAR30.VAR15 = "VAR16", VAR30.VAR57 = "VAR28", VAR30.VAR35 = "VAR31", VAR30.VAR4 = "VAR31", VAR30.VAR3 = "VAR31", VAR30.VAR58 = VAR58, VAR30.VAR11 = "VAR41 VAR40 VAR7", VAR30.VAR20 = "VAR22", VAR30.VAR56 = 1024, VAR30.VAR24 = 1024, VAR30.VAR45 = "VAR44", VAR30.VAR53 = "VAR16", VAR30.VAR50 = "VAR38", VAR30.VAR13 = "VAR54", VAR30.VAR46 = "VAR8", VAR30.VAR48 = 10, VAR30.VAR21 = 10, VAR30.VAR36 = 24, VAR30.VAR18 = 24, VAR30.VAR23 = 1; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o211ai/sky130_fd_sc_ls__o211ai.blackbox.v
1,368
module MODULE1 ( VAR8 , VAR5, VAR7, VAR4, VAR3 ); output VAR8 ; input VAR5; input VAR7; input VAR4; input VAR3; supply1 VAR6; supply0 VAR2; supply1 VAR9 ; supply0 VAR1 ; endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/fmcomms2/common/prcfg.v
5,037
module MODULE1 ( clk, VAR5, VAR6, VAR9, VAR2, VAR14, VAR27, VAR28, VAR24, VAR17, VAR10, VAR21, VAR16, VAR7, VAR26, VAR4, VAR11, VAR23, VAR15, VAR8, VAR25); input clk; input [31:0] VAR9; output [31:0] VAR2; input [31:0] VAR5; output [31:0] VAR6; output VAR14; input VAR27; input [63:0] VAR28; input VAR24; input VAR17; output VAR10; output [63:0] VAR21; output VAR16; input VAR7; input VAR26; input [63:0] VAR4; output VAR11; output VAR23; output VAR15; output [63:0] VAR8; input VAR25; localparam VAR20 = 2; localparam VAR3 = 1; localparam VAR19 = 1; VAR18 # ( .VAR12 (VAR20), .VAR13 (VAR3), .VAR1 (VAR19)) VAR22 ( .clk (clk), .VAR9 (VAR9), .VAR2 (VAR2), .VAR5 (VAR5), .VAR6 (VAR6), .VAR14 (VAR14), .VAR24 (VAR24), .VAR28 (VAR28), .VAR27 (VAR27), .VAR17 (VAR17), .VAR16 (VAR16), .VAR21 (VAR21), .VAR10 (VAR10), .VAR7 (VAR7), .VAR26 (VAR26), .VAR4 (VAR4), .VAR11 (VAR11), .VAR23 (VAR23), .VAR15 (VAR15), .VAR8 (VAR8), .VAR25 (VAR25)); endmodule
gpl-3.0
nikhilghanathe/HLS-for-EMTF
verilog/sp_deltas_m0.v
48,948
module MODULE1 ( VAR312, VAR208, VAR207, VAR103, VAR203, VAR47, VAR11, VAR96, VAR199, VAR321, VAR345, VAR62, VAR148, VAR338, VAR25, VAR46, VAR340, VAR202, VAR151, VAR250, VAR226, VAR265, VAR42, VAR206, VAR239, VAR322, VAR225, VAR115, VAR297, VAR6, VAR82, VAR369, VAR258, VAR91, VAR257, VAR33, VAR195 ); parameter VAR366 = 4'b0000; parameter VAR234 = 7'b101; parameter VAR189 = 3'b11; parameter VAR272 = 3'b000; parameter VAR262 = 7'b100; parameter VAR214 = 3'b111; parameter VAR241 = 3'b101; parameter VAR347 = 3'b1; parameter VAR330 = 2'b00; parameter VAR255 = 2'b10; parameter VAR7 = 3'b10; parameter VAR240 = 3'b100; parameter VAR169 = 32'b1; parameter VAR305 = 32'b10; parameter VAR136 = 32'b11; parameter VAR293 = 2'b11; parameter VAR49 = 12'b000000000000; parameter VAR286 = 7'b0000000; parameter VAR133 = 4'b1000; parameter VAR171 = 4'b100; parameter VAR110 = 4'b10; parameter VAR3 = 4'b1; input [11:0] VAR312; input [11:0] VAR208; input [11:0] VAR207; input [11:0] VAR103; input [6:0] VAR203; input [6:0] VAR47; input [6:0] VAR11; input [6:0] VAR96; input [6:0] VAR199; input [6:0] VAR321; input [6:0] VAR345; input [6:0] VAR62; input [3:0] VAR148; input [3:0] VAR338; input [3:0] VAR25; input [3:0] VAR46; output [11:0] VAR340; output [6:0] VAR202; output [5:0] VAR151; output [5:0] VAR250; output [6:0] VAR226; output [3:0] VAR265; output [3:0] VAR42; output [3:0] VAR206; output [3:0] VAR239; output [11:0] VAR322; output [11:0] VAR225; output [11:0] VAR115; output [11:0] VAR297; output [11:0] VAR6; output [11:0] VAR82; output [6:0] VAR369; output [6:0] VAR258; output [6:0] VAR91; output [6:0] VAR257; output [6:0] VAR33; output [6:0] VAR195; wire [6:0] VAR220; wire [6:0] VAR237; wire [6:0] VAR298; wire [6:0] VAR2; wire [3:0] VAR231; wire [6:0] VAR141; wire [0:0] VAR99; wire [0:0] VAR284; wire [1:0] VAR261; wire [6:0] VAR145; wire [6:0] VAR310; wire [6:0] VAR256; wire [6:0] VAR68; wire [3:0] VAR201; wire [6:0] VAR129; wire [0:0] VAR353; wire [0:0] VAR342; wire [1:0] VAR334; wire [6:0] VAR323; wire [6:0] VAR35; wire [6:0] VAR32; wire [6:0] VAR28; wire [3:0] VAR299; wire [6:0] VAR248; wire [0:0] VAR154; wire [0:0] VAR60; wire [1:0] VAR168; wire [6:0] VAR16; wire [6:0] VAR273; wire [6:0] VAR204; wire [6:0] VAR187; wire [3:0] VAR363; wire [6:0] VAR254; wire [0:0] VAR163; wire [0:0] VAR276; wire [1:0] VAR52; wire [6:0] VAR140; wire [6:0] VAR93; wire [6:0] VAR191; wire [6:0] VAR238; wire [3:0] VAR360; wire [6:0] VAR319; wire [0:0] VAR121; wire [0:0] VAR289; wire [1:0] VAR224; wire [6:0] VAR53; wire [6:0] VAR364; wire [6:0] VAR143; wire [6:0] VAR219; wire [3:0] VAR132; wire [6:0] VAR351; wire [0:0] VAR39; wire [0:0] VAR247; wire [1:0] VAR23; wire [0:0] VAR242; wire [6:0] VAR277; wire [6:0] VAR307; wire [0:0] VAR232; wire [6:0] VAR114; wire [6:0] VAR184; wire [0:0] VAR152; wire [6:0] VAR349; wire [6:0] VAR357; wire [0:0] VAR180; wire [6:0] VAR142; wire [6:0] VAR271; wire [0:0] VAR368; wire [11:0] VAR292; wire [11:0] VAR43; wire [0:0] VAR98; wire [6:0] VAR359; wire [6:0] VAR178; wire [0:0] VAR177; wire [6:0] VAR288; wire [6:0] VAR183; wire [0:0] VAR339; wire [6:0] VAR21; wire [6:0] VAR166; wire [0:0] VAR350; wire [6:0] VAR217; wire [6:0] VAR213; wire [0:0] VAR112; wire [11:0] VAR222; wire [11:0] VAR71; wire [0:0] VAR37; wire [6:0] VAR63; wire [6:0] VAR139; wire [0:0] VAR83; wire [6:0] VAR218; wire [6:0] VAR358; wire [0:0] VAR244; wire [6:0] VAR274; wire [6:0] VAR85; wire [0:0] VAR56; wire [6:0] VAR356; wire [6:0] VAR283; wire [0:0] VAR1; wire [11:0] VAR29; wire [11:0] VAR341; wire [0:0] VAR147; wire [6:0] VAR4; wire [6:0] VAR15; wire [0:0] VAR55; wire [6:0] VAR65; wire [6:0] VAR77; wire [0:0] VAR19; wire [6:0] VAR10; wire [6:0] VAR84; wire [0:0] VAR104; wire [6:0] VAR80; wire [6:0] VAR211; wire [0:0] VAR352; wire [11:0] VAR346; wire [11:0] VAR335; wire [0:0] VAR111; wire [6:0] VAR246; wire [6:0] VAR74; wire [0:0] VAR117; wire [6:0] VAR318; wire [6:0] VAR108; wire [0:0] VAR362; wire [6:0] VAR50; wire [6:0] VAR24; wire [0:0] VAR200; wire [6:0] VAR149; wire [6:0] VAR223; wire [0:0] VAR270; wire [11:0] VAR267; wire [11:0] VAR331; wire [0:0] VAR67; wire [6:0] VAR173; wire [6:0] VAR135; wire [0:0] VAR282; wire [6:0] VAR233; wire [6:0] VAR17; wire [0:0] VAR72; wire [6:0] VAR306; wire [6:0] VAR196; wire [0:0] VAR105; wire [6:0] VAR228; wire [6:0] VAR260; wire [0:0] VAR176; wire [11:0] VAR36; wire [11:0] VAR92; wire [0:0] VAR179; wire [0:0] VAR294; wire [2:0] VAR18; wire [2:0] VAR336; wire [0:0] VAR159; wire [2:0] VAR8; wire [2:0] VAR337; wire [2:0] VAR100; wire [3:0] VAR235; wire [3:0] VAR197; wire [0:0] VAR22; wire [3:0] VAR221; wire [0:0] VAR119; wire [0:0] VAR215; wire [1:0] VAR245; wire [1:0] VAR371; wire signed [2:0] VAR268; wire [2:0] VAR314; wire [3:0] VAR370; wire [3:0] VAR327; wire [0:0] VAR317; wire [3:0] VAR344; wire [0:0] VAR86; wire [0:0] VAR328; wire [2:0] VAR120; wire [2:0] VAR81; wire [3:0] VAR329; wire [3:0] VAR367; wire [3:0] VAR174; wire [0:0] VAR64; wire [0:0] VAR106; wire [0:0] VAR216; wire [3:0] VAR134; wire signed [3:0] VAR78; wire [3:0] VAR20; wire [3:0] VAR249; wire [0:0] VAR127; wire [0:0] VAR12; wire [0:0] VAR102; wire [2:0] VAR79; wire signed [3:0] VAR76; wire [3:0] VAR48; wire [0:0] VAR182; wire [1:0] VAR295; wire [3:0] VAR73; wire [0:0] VAR164; wire [1:0] VAR185; wire [3:0] VAR137; wire [0:0] VAR170; wire [2:0] VAR69; wire [3:0] VAR38; wire [0:0] VAR162; wire [1:0] VAR212; wire [3:0] VAR304; wire [0:0] VAR301; wire [2:0] VAR251; wire [3:0] VAR14; wire [0:0] VAR186; wire [2:0] VAR279; wire [3:0] VAR54; wire [0:0] VAR194; wire [0:0] VAR158; wire [0:0] VAR263; wire [0:0] VAR156; wire [0:0] VAR113; wire [0:0] VAR107; wire [0:0] VAR128; wire [0:0] VAR281; wire [11:0] VAR172; wire [0:0] VAR355; wire [0:0] VAR138; wire [0:0] VAR167; wire [0:0] VAR44; wire [0:0] VAR175; wire [11:0] VAR309; wire [0:0] VAR343; wire [0:0] VAR40; wire [11:0] VAR97; wire [0:0] VAR326; wire [11:0] VAR146; wire [0:0] VAR58; wire [0:0] VAR311; wire [11:0] VAR348; wire [0:0] VAR89; wire [0:0] VAR109; wire [0:0] VAR51; wire [6:0] VAR236; wire [0:0] VAR209; wire [0:0] VAR90; wire [0:0] VAR325; wire [6:0] VAR165; wire [6:0] VAR296; wire [0:0] VAR372; wire [6:0] VAR9; wire [6:0] VAR27; wire [6:0] VAR210; wire [6:0] VAR88; wire [6:0] VAR313; wire [6:0] VAR31; wire [6:0] VAR150; wire [6:0] VAR192; wire [6:0] VAR124; wire [6:0] VAR302; wire [6:0] VAR70; wire [0:0] VAR30; reg [1:0] VAR266; wire [0:0] VAR253; wire [0:0] VAR354; wire [0:0] VAR75; wire [0:0] VAR57; wire [0:0] VAR66; wire [0:0] VAR332; wire [0:0] VAR153; wire [0:0] VAR243; wire [0:0] VAR131; wire [6:0] VAR275; wire [11:0] VAR291; wire [6:0] VAR290; wire [5:0] VAR285; wire [5:0] VAR269; wire [6:0] VAR230; wire [11:0] VAR190; wire [11:0] VAR324; wire [11:0] VAR101; wire [11:0] VAR198; wire [11:0] VAR308; wire [11:0] VAR316; VAR252 VAR95( .VAR144(VAR220), .VAR34(VAR237), .VAR315(VAR298), .VAR87(VAR2), .VAR155(VAR231), .VAR264(VAR366), .VAR340(VAR141), .VAR202(VAR99), .VAR151(VAR284), .VAR250(VAR261) ); VAR252 VAR188( .VAR144(VAR145), .VAR34(VAR310), .VAR315(VAR256), .VAR87(VAR68), .VAR155(VAR201), .VAR264(VAR366), .VAR340(VAR129), .VAR202(VAR353), .VAR151(VAR342), .VAR250(VAR334) ); VAR252 VAR126( .VAR144(VAR323), .VAR34(VAR35), .VAR315(VAR32), .VAR87(VAR28), .VAR155(VAR299), .VAR264(VAR366), .VAR340(VAR248), .VAR202(VAR154), .VAR151(VAR60), .VAR250(VAR168) ); VAR252 VAR361( .VAR144(VAR16), .VAR34(VAR273), .VAR315(VAR204), .VAR87(VAR187), .VAR155(VAR363), .VAR264(VAR366), .VAR340(VAR254), .VAR202(VAR163), .VAR151(VAR276), .VAR250(VAR52) ); VAR252 VAR205( .VAR144(VAR140), .VAR34(VAR93), .VAR315(VAR191), .VAR87(VAR238), .VAR155(VAR360), .VAR264(VAR366), .VAR340(VAR319), .VAR202(VAR121), .VAR151(VAR289), .VAR250(VAR224) ); VAR252 VAR5( .VAR144(VAR53), .VAR34(VAR364), .VAR315(VAR143), .VAR87(VAR219), .VAR155(VAR132), .VAR264(VAR366), .VAR340(VAR351), .VAR202(VAR39), .VAR151(VAR247), .VAR250(VAR23) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR59( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR73), .dout(VAR9) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR130( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR137), .dout(VAR236) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR26( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR38), .dout(VAR313) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR123( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR304), .dout(VAR165) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR94( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR14), .dout(VAR210) ); VAR333 #( .VAR227( 1 ), .VAR13( 1 ), .VAR287( 7 ), .VAR61( 7 ), .VAR122( 7 ), .VAR157( 7 ), .VAR280( 7 ), .VAR160( 7 ), .VAR303( 7 ), .VAR300( 7 ), .VAR259( 4 ), .VAR365( 7 )) VAR45( .VAR125(VAR203), .VAR118(VAR47), .VAR193(VAR11), .VAR229(VAR96), .VAR41(VAR199), .VAR320(VAR321), .VAR181(VAR345), .VAR161(VAR62), .VAR278(VAR54), .dout(VAR150) ); assign VAR291 = ((VAR311[0:0] === 1'b1) ? VAR103 : VAR348); assign VAR309 = ((VAR281[0:0] === 1'b1) ? VAR207 : VAR172); assign VAR97 = ((VAR175[0:0] === 1'b1) ? VAR103 : VAR309); assign VAR146 = ((VAR40[0:0] === 1'b1) ? VAR207 : VAR97); assign VAR348 = ((VAR326[0:0] === 1'b1) ? VAR146 : VAR49); assign VAR172 = ((VAR128[0:0] === 1'b1) ? VAR207 : VAR208); assign VAR137 = VAR185; assign VAR38 = VAR69; assign VAR304 = VAR212; assign VAR14 = VAR251; assign VAR54 = VAR279; assign VAR296 = ((VAR51[0:0] === 1'b1) ? VAR236 : VAR286); assign VAR27 = ((VAR325[0:0] === 1'b1) ? VAR165 : VAR296); assign VAR88 = ((VAR372[0:0] === 1'b1) ? VAR9 : VAR27); assign VAR31 = ((VAR128[0:0] === 1'b1) ? VAR210 : VAR88); assign VAR192 = ((VAR281[0:0] === 1'b1) ? VAR313 : VAR31); assign VAR124 = ((VAR175[0:0] === 1'b1) ? VAR150 : VAR192); assign VAR302 = ((VAR40[0:0] === 1'b1) ? VAR286 : VAR124); assign VAR70 = ((VAR326[0:0] === 1'b1) ? VAR302 : VAR286); assign VAR290 = ((VAR311[0:0] === 1'b1) ? VAR286 : VAR70); assign VAR73 = VAR295; assign VAR340 = VAR291; assign VAR202 = VAR290; assign VAR225 = VAR324; assign VAR115 = VAR101; assign VAR297 = VAR198; assign VAR6 = VAR308; assign VAR82 = VAR316; assign VAR369 = VAR141; assign VAR258 = VAR129; assign VAR91 = VAR248; assign VAR257 = VAR254; assign VAR33 = VAR319; assign VAR151 = VAR285; assign VAR195 = VAR351; assign VAR250 = VAR269; assign VAR226 = VAR230; assign VAR265 = VAR148; assign VAR42 = VAR338; assign VAR206 = VAR25; assign VAR239 = VAR46; assign VAR322 = VAR190; assign VAR140 = ((VAR111[0:0] === 1'b1) ? VAR246 : VAR74); assign VAR93 = ((VAR117[0:0] === 1'b1) ? VAR318 : VAR108); assign VAR191 = ((VAR362[0:0] === 1'b1) ? VAR50 : VAR24); assign VAR238 = ((VAR200[0:0] === 1'b1) ? VAR149 : VAR223); assign VAR360 = {{{{VAR200}, {VAR362}}, {VAR117}}, {VAR111}}; assign VAR16 = ((VAR147[0:0] === 1'b1) ? VAR4 : VAR15); assign VAR273 = ((VAR55[0:0] === 1'b1) ? VAR65 : VAR77); assign VAR204 = ((VAR19[0:0] === 1'b1) ? VAR10 : VAR84); assign VAR187 = ((VAR104[0:0] === 1'b1) ? VAR80 : VAR211); assign VAR363 = {{{{VAR104}, {VAR19}}, {VAR55}}, {VAR147}}; assign VAR323 = ((VAR37[0:0] === 1'b1) ? VAR63 : VAR139); assign VAR35 = ((VAR83[0:0] === 1'b1) ? VAR218 : VAR358); assign VAR32 = ((VAR244[0:0] === 1'b1) ? VAR274 : VAR85); assign VAR28 = ((VAR56[0:0] === 1'b1) ? VAR356 : VAR283); assign VAR299 = {{{{VAR56}, {VAR244}}, {VAR83}}, {VAR37}}; assign VAR145 = ((VAR98[0:0] === 1'b1) ? VAR359 : VAR178); assign VAR310 = ((VAR177[0:0] === 1'b1) ? VAR288 : VAR183); assign VAR256 = ((VAR339[0:0] === 1'b1) ? VAR21 : VAR166); assign VAR68 = ((VAR350[0:0] === 1'b1) ? VAR217 : VAR213); assign VAR201 = {{{{VAR350}, {VAR339}}, {VAR177}}, {VAR98}}; assign VAR220 = ((VAR242[0:0] === 1'b1) ? VAR277 : VAR307); assign VAR237 = ((VAR232[0:0] === 1'b1) ? VAR114 : VAR184); assign VAR298 = ((VAR152[0:0] === 1'b1) ? VAR349 : VAR357); assign VAR2 = ((VAR180[0:0] === 1'b1) ? VAR142 : VAR271); assign VAR231 = {{{{VAR180}, {VAR152}}, {VAR232}}, {VAR242}}; assign VAR53 = ((VAR67[0:0] === 1'b1) ? VAR173 : VAR135); assign VAR364 = ((VAR282[0:0] === 1'b1) ? VAR233 : VAR17); assign VAR143 = ((VAR72[0:0] === 1'b1) ? VAR306 : VAR196); assign VAR219 = ((VAR105[0:0] === 1'b1) ? VAR228 : VAR260); assign VAR132 = {{{{VAR105}, {VAR72}}, {VAR282}}, {VAR67}}; assign VAR190 = ((VAR368[0:0] === 1'b1) ? VAR292 : VAR43); assign VAR324 = ((VAR112[0:0] === 1'b1) ? VAR222 : VAR71); assign VAR101 = ((VAR1[0:0] === 1'b1) ? VAR29 : VAR341); assign VAR198 = ((VAR352[0:0] === 1'b1) ? VAR346 : VAR335); assign VAR308 = ((VAR270[0:0] === 1'b1) ? VAR267 : VAR331); assign VAR316 = ((VAR176[0:0] === 1'b1) ? VAR36 : VAR92); assign VAR179 = ((VAR141 < VAR234) ? 1'b1 : 1'b0); assign VAR102 = (VAR127 | VAR12); assign VAR216 = (VAR64 | VAR106); assign VAR197 = VAR337; assign VAR337 = ((VAR159[0:0] === 1'b1) ? VAR336 : VAR8); assign VAR344 = ((VAR289[0:0] === 1'b1) ? VAR370 : VAR327); assign VAR221 = ((VAR60[0:0] === 1'b1) ? VAR235 : VAR197); assign VAR8 = ((VAR342[0:0] === 1'b1) ? VAR18 : VAR336); assign VAR336 = ((VAR294[0:0] === 1'b1) ? VAR189 : VAR272); assign VAR268 = (VAR371); assign VAR327 = (VAR268); assign VAR371 = ((VAR215[0:0] === 1'b1) ? VAR245 : VAR330); assign VAR245 = ((VAR119[0:0] === 1'b1) ? VAR330 : VAR255); assign VAR120 = ((VAR86[0:0] === 1'b1) ? VAR272 : VAR240); assign VAR269 = {{{{{{VAR39}, {VAR121}}, {VAR163}}, {VAR154}}, {VAR353}}, {VAR99}}; assign VAR275 = {{{{{{{{{{1'b0}, {VAR30}}}, {1'b0}}}, {VAR194}}}, {1'b0}}}, {VAR266}}; assign VAR285 = {{{{{{VAR176}, {VAR270}}, {VAR352}}, {VAR1}}, {VAR112}}, {VAR368}}; assign VAR294 = (VAR284 & VAR179); assign VAR249 = (VAR78 & VAR20); assign VAR174 = (VAR329 & VAR367); assign VAR78 = (VAR81); assign VAR81 = ((VAR328[0:0] === 1'b1) ? VAR120 : VAR272); assign VAR329 = ((VAR317[0:0] === 1'b1) ? VAR327 : VAR344); assign VAR57 = ((VAR48 == VAR366) ? 1'b1 : 1'b0); assign VAR131 = (VAR153 | VAR243); assign VAR263 = (VAR194 ^ 1'b1); assign VAR156 = (VAR158 & VAR263); assign VAR113 = (VAR342 ^ 1'b1); assign VAR107 = (VAR156 & VAR113); assign VAR128 = (VAR107 & VAR247); assign VAR281 = (VAR156 & VAR342); assign VAR355 = (VAR194 | VAR158); assign VAR167 = (VAR355 ^ 1'b1); assign VAR44 = (VAR138 & VAR167); assign VAR175 = (VAR44 & VAR60); assign VAR343 = (VAR247 ^ 1'b1); assign VAR40 = (VAR107 & VAR343); assign VAR326 = (VAR355 | VAR138); assign VAR58 = (VAR60 ^ 1'b1); assign VAR311 = (VAR44 & VAR58); assign VAR89 = (VAR284 ^ 1'b1); assign VAR109 = (VAR194 & VAR89); assign VAR51 = (VAR109 & VAR276); assign VAR209 = (VAR276 ^ 1'b1); assign VAR325 = (VAR90 & VAR109); assign VAR372 = (VAR194 & VAR284); assign VAR253 = ((VAR48 == VAR133) ? 1'b1 : 1'b0); assign VAR354 = ((VAR48 == VAR171) ? 1'b1 : 1'b0); assign VAR332 = ((VAR48 == VAR110) ? 1'b1 : 1'b0); assign VAR75 = ((VAR48 == VAR3) ? 1'b1 : 1'b0); assign VAR112 = ((VAR312 > VAR207) ? 1'b1 : 1'b0); assign VAR1 = ((VAR312 > VAR103) ? 1'b1 : 1'b0); assign VAR270 = ((VAR208 > VAR103) ? 1'b1 : 1'b0); assign VAR352 = ((VAR208 > VAR207) ? 1'b1 : 1'b0); assign VAR176 = ((VAR207 > VAR103) ? 1'b1 : 1'b0); assign VAR368 = ((VAR312 > VAR208) ? 1'b1 : 1'b0); assign VAR230 = ((VAR131[0:0] === 1'b1) ? VAR286 : VAR275); assign VAR90 = (VAR289 & VAR209); assign VAR243 = (VAR253 | VAR354); assign VAR153 = (VAR66 | VAR332); assign VAR66 = (VAR75 | VAR57); assign VAR106 = ((VAR367 == VAR366) ? 1'b1 : 1'b0); assign VAR134 = ((VAR216[0:0] === 1'b1) ? VAR329 : VAR366); assign VAR127 = ((VAR249 != VAR366) ? 1'b1 : 1'b0); assign VAR12 = ((VAR20 == VAR366) ? 1'b1 : 1'b0); assign VAR76 = (VAR79); assign VAR79 = ((VAR102[0:0] === 1'b1) ? VAR81 : VAR272); assign VAR222 = (VAR312 - VAR207); assign VAR29 = (VAR312 - VAR103); assign VAR267 = (VAR208 - VAR103); assign VAR346 = (VAR208 - VAR207); assign VAR36 = (VAR207 - VAR103); assign VAR71 = (VAR207 - VAR312); assign VAR341 = (VAR103 - VAR312); assign VAR331 = (VAR103 - VAR208); assign VAR335 = (VAR207 - VAR208); assign VAR92 = (VAR103 - VAR207); assign VAR114 = (VAR203 - VAR96); assign VAR142 = (VAR47 - VAR96); assign VAR349 = (VAR47 - VAR11); assign VAR288 = (VAR203 - VAR321); assign VAR217 = (VAR47 - VAR321); assign VAR21 = (VAR47 - VAR199); assign VAR359 = (VAR203 - VAR199); assign VAR218 = (VAR203 - VAR62); assign VAR356 = (VAR47 - VAR62); assign VAR274 = (VAR47 - VAR345); assign VAR63 = (VAR203 - VAR345); assign VAR65 = (VAR11 - VAR321); assign VAR80 = (VAR96 - VAR321); assign VAR10 = (VAR96 - VAR199); assign VAR318 = (VAR11 - VAR62); assign VAR149 = (VAR96 - VAR62); assign VAR50 = (VAR96 - VAR345); assign VAR246 = (VAR11 - VAR345); assign VAR4 = (VAR11 - VAR199); assign VAR233 = (VAR199 - VAR62); assign VAR228 = (VAR321 - VAR62); assign VAR306 = (VAR321 - VAR345); assign VAR173 = (VAR199 - VAR345); assign VAR184 = (VAR96 - VAR203); assign VAR271 = (VAR96 - VAR47); assign VAR357 = (VAR11 - VAR47); assign VAR183 = (VAR321 - VAR203); assign VAR213 = (VAR321 - VAR47); assign VAR166 = (VAR199 - VAR47); assign VAR178 = (VAR199 - VAR203); assign VAR358 = (VAR62 - VAR203); assign VAR283 = (VAR62 - VAR47); assign VAR85 = (VAR345 - VAR47); assign VAR139 = (VAR345 - VAR203); assign VAR77 = (VAR321 - VAR11); assign VAR211 = (VAR321 - VAR96); assign VAR84 = (VAR199 - VAR96); assign VAR108 = (VAR62 - VAR11); assign VAR223 = (VAR62 - VAR96); assign VAR24 = (VAR345 - VAR96); assign VAR74 = (VAR345 - VAR11); assign VAR15 = (VAR199 - VAR11); assign VAR17 = (VAR62 - VAR199); assign VAR260 = (VAR62 - VAR321); assign VAR196 = (VAR345 - VAR321); assign VAR135 = (VAR345 - VAR199); assign VAR194 = VAR48[VAR169]; assign VAR158 = VAR48[VAR305]; assign VAR182 = VAR261[0:0]; assign VAR138 = VAR48[VAR136]; assign VAR164 = VAR52[VAR169]; assign VAR170 = VAR334[0:0]; assign VAR162 = VAR224[VAR169]; assign VAR301 = VAR23[VAR169]; assign VAR186 = VAR168[0:0]; assign VAR30 = VAR20[0:0]; assign VAR328 = (VAR86 | VAR247); assign VAR277 = (VAR203 - VAR11); assign VAR307 = (VAR11 - VAR203); assign VAR292 = (VAR312 - VAR208); assign VAR43 = (VAR208 - VAR312); assign VAR295 = {{1'b1}, {VAR182}}; assign VAR185 = {{1'b1}, {VAR164}}; assign VAR69 = {{VAR255}, {VAR170}}; assign VAR212 = {{1'b1}, {VAR162}}; assign VAR251 = {{VAR255}, {VAR301}}; assign VAR279 = {{VAR293}, {VAR186}}; integer VAR116; always @ (VAR48) begin for (VAR116 = 2 - 1; VAR116 >= 0; VAR116 = VAR116 - 1) begin if (VAR116 > VAR136 - VAR305) begin VAR266[VAR116] = 1'b0; end else begin VAR266[VAR116] = VAR48[VAR136 - VAR116]; end end end assign VAR100 = (VAR337 | VAR347); assign VAR314 = (VAR268 | VAR7); assign VAR22 = ((VAR248 > VAR262) ? 1'b1 : 1'b0); assign VAR119 = ((VAR254 > VAR262) ? 1'b1 : 1'b0); assign VAR317 = ((VAR319 > VAR262) ? 1'b1 : 1'b0); assign VAR86 = ((VAR351 > VAR262) ? 1'b1 : 1'b0); assign VAR64 = ((VAR174 != VAR366) ? 1'b1 : 1'b0); assign VAR215 = (VAR119 | VAR276); assign VAR159 = ((VAR129 > VAR262) ? 1'b1 : 1'b0); assign VAR232 = ((VAR203 > VAR96) ? 1'b1 : 1'b0); assign VAR180 = ((VAR47 > VAR96) ? 1'b1 : 1'b0); assign VAR152 = ((VAR47 > VAR11) ? 1'b1 : 1'b0); assign VAR177 = ((VAR203 > VAR321) ? 1'b1 : 1'b0); assign VAR350 = ((VAR47 > VAR321) ? 1'b1 : 1'b0); assign VAR339 = ((VAR47 > VAR199) ? 1'b1 : 1'b0); assign VAR98 = ((VAR203 > VAR199) ? 1'b1 : 1'b0); assign VAR83 = ((VAR203 > VAR62) ? 1'b1 : 1'b0); assign VAR56 = ((VAR47 > VAR62) ? 1'b1 : 1'b0); assign VAR244 = ((VAR47 > VAR345) ? 1'b1 : 1'b0); assign VAR37 = ((VAR203 > VAR345) ? 1'b1 : 1'b0); assign VAR55 = ((VAR11 > VAR321) ? 1'b1 : 1'b0); assign VAR104 = ((VAR96 > VAR321) ? 1'b1 : 1'b0); assign VAR19 = ((VAR96 > VAR199) ? 1'b1 : 1'b0); assign VAR117 = ((VAR11 > VAR62) ? 1'b1 : 1'b0); assign VAR200 = ((VAR96 > VAR62) ? 1'b1 : 1'b0); assign VAR362 = ((VAR96 > VAR345) ? 1'b1 : 1'b0); assign VAR111 = ((VAR11 > VAR345) ? 1'b1 : 1'b0); assign VAR147 = ((VAR11 > VAR199) ? 1'b1 : 1'b0); assign VAR282 = ((VAR199 > VAR62) ? 1'b1 : 1'b0); assign VAR105 = ((VAR321 > VAR62) ? 1'b1 : 1'b0); assign VAR72 = ((VAR321 > VAR345) ? 1'b1 : 1'b0); assign VAR67 = ((VAR199 > VAR345) ? 1'b1 : 1'b0); assign VAR242 = ((VAR203 > VAR11) ? 1'b1 : 1'b0); assign VAR235 = {{1'b1}, {VAR100}}; assign VAR18 = ((VAR294[0:0] === 1'b1) ? VAR214 : VAR241); assign VAR370 = {{1'b1}, {VAR314}}; assign VAR20 = (VAR134 | VAR367); assign VAR48 = (VAR76 | VAR20); assign VAR367 = ((VAR22[0:0] === 1'b1) ? VAR197 : VAR221); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a21oi/sky130_fd_sc_hvl__a21oi.behavioral.v
1,520
module MODULE1 ( VAR10 , VAR12, VAR8, VAR2 ); output VAR10 ; input VAR12; input VAR8; input VAR2; supply1 VAR9; supply0 VAR6; supply1 VAR1 ; supply0 VAR5 ; wire VAR13 ; wire VAR4; and VAR7 (VAR13 , VAR12, VAR8 ); nor VAR11 (VAR4, VAR2, VAR13 ); buf VAR3 (VAR10 , VAR4 ); endmodule
apache-2.0
skyfex/svo-raycaster
orlink/hw/ngc/orlink_wtl_fifo.v
13,392
module MODULE1( rst, VAR306, VAR339, din, VAR191, VAR86, dout, VAR148, VAR109 ); input rst; input VAR306; input VAR339; input [31 : 0] din; input VAR191; input VAR86; output [7 : 0] dout; output VAR148; output VAR109; VAR151 #( .VAR33(0), .VAR335(0), .VAR400(0), .VAR126(0), .VAR257(0), .VAR73(0), .VAR311(0), .VAR55(32), .VAR190(1), .VAR237(1), .VAR361(1), .VAR216(64), .VAR239(4), .VAR370(1), .VAR362(0), .VAR139(1), .VAR317(64), .VAR288(4), .VAR287(8), .VAR102(4), .VAR327(4), .VAR293(4), .VAR192(0), .VAR347(0), .VAR360(0), .VAR353(8), .VAR345("VAR152"), .VAR382(32), .VAR57(1), .VAR322(32), .VAR308(64), .VAR183(32), .VAR396(64), .VAR249(2), .VAR29("0"), .VAR321(8), .VAR270(0), .VAR392(1), .VAR159(0), .VAR149(0), .VAR412(0), .VAR25(0), .VAR318(0), .VAR364(0), .VAR319(0), .VAR9("VAR272"), .VAR289(1), .VAR242(0), .VAR97(0), .VAR204(0), .VAR395(0), .VAR411(0), .VAR96(0), .VAR356(0), .VAR58(0), .VAR226(0), .VAR65(0), .VAR85(0), .VAR1(0), .VAR274(0), .VAR27(0), .VAR23(1), .VAR44(0), .VAR176(0), .VAR178(0), .VAR48(0), .VAR164(0), .VAR172(0), .VAR222(0), .VAR146(0), .VAR376(0), .VAR181(0), .VAR98(0), .VAR301(0), .VAR128(0), .VAR343(0), .VAR32(0), .VAR43(0), .VAR389(0), .VAR207(0), .VAR200(0), .VAR8(0), .VAR123(0), .VAR184(0), .VAR203(1), .VAR179(0), .VAR405(0), .VAR279(0), .VAR187(0), .VAR61(0), .VAR220(0), .VAR154(0), .VAR158(2), .VAR312(1), .VAR227(1), .VAR40(1), .VAR401(1), .VAR35(1), .VAR20(1), .VAR260(0), .VAR267(0), .VAR234(1), .VAR341("VAR152"), .VAR17(1), .VAR5(0), .VAR13(0), .VAR296(1), .VAR99(0), .VAR83("512x36"), .VAR67(2), .VAR168(1022), .VAR363(1022), .VAR320(1022), .VAR379(1022), .VAR250(1022), .VAR342(1022), .VAR394(3), .VAR196(0), .VAR276(5), .VAR330(5), .VAR116(5), .VAR307(5), .VAR131(5), .VAR217(5), .VAR47(253), .VAR202(1023), .VAR316(1023), .VAR107(1023), .VAR406(1023), .VAR165(1023), .VAR112(1023), .VAR366(252), .VAR28(0), .VAR171(5), .VAR245(5), .VAR295(5), .VAR236(5), .VAR410(5), .VAR314(5), .VAR132(0), .VAR134(10), .VAR390(1024), .VAR232(1), .VAR177(10), .VAR251(0), .VAR75(0), .VAR352(0), .VAR7(0), .VAR240(0), .VAR303(0), .VAR129(0), .VAR15(0), .VAR53(0), .VAR169(0), .VAR313(0), .VAR413(1), .VAR386(0), .VAR66(0), .VAR290(0), .VAR81(0), .VAR127(0), .VAR331(0), .VAR160(0), .VAR46(0), .VAR358(0), .VAR147(0), .VAR163(0), .VAR391(0), .VAR69(0), .VAR323(0), .VAR368(8), .VAR105(256), .VAR130(1024), .VAR56(16), .VAR351(1024), .VAR214(16), .VAR269(1024), .VAR110(16), .VAR6(1), .VAR31(8), .VAR95(10), .VAR11(4), .VAR326(10), .VAR76(4), .VAR350(10), .VAR82(4), .VAR92(1), .VAR349(0) ) VAR333 ( .VAR78(rst), .VAR273(VAR306), .VAR310(VAR339), .VAR254(din), .VAR36(VAR191), .VAR231(VAR86), .VAR42(dout), .VAR277(VAR148), .VAR22(VAR109), .VAR238(), .VAR271(), .VAR211(), .VAR215(), .VAR157(), .VAR309(), .VAR253(), .VAR264(), .VAR2(), .VAR280(), .VAR404(), .VAR180(), .VAR388(), .VAR143(), .VAR297(), .VAR155(), .VAR399(), .VAR87(), .VAR348(), .VAR60(), .VAR3(), .VAR305(), .VAR359(), .VAR162(), .VAR367(), .VAR199(), .VAR384(), .VAR189(), .VAR93(), .VAR39(), .VAR4(), .VAR205(), .VAR14(), .VAR106(), .VAR195(), .VAR64(), .VAR402(), .VAR30(), .VAR268(), .VAR100(), .VAR241(), .VAR138(), .VAR141(), .VAR286(), .VAR256(), .VAR38(), .VAR387(), .VAR135(), .VAR263(), .VAR89(), .VAR21(), .VAR261(), .VAR275(), .VAR71(), .VAR324(), .VAR229(), .VAR34(), .VAR94(), .VAR68(), .VAR120(), .VAR328(), .VAR118(), .VAR338(), .VAR74(), .VAR201(), .VAR380(), .VAR213(), .VAR219(), .VAR140(), .VAR166(), .VAR259(), .VAR228(), .VAR12(), .VAR209(), .VAR225(), .VAR182(), .VAR173(), .VAR79(), .VAR383(), .VAR24(), .VAR378(), .VAR300(), .VAR393(), .VAR344(), .VAR84(), .VAR62(), .VAR299(), .VAR315(), .VAR175(), .VAR186(), .VAR284(), .VAR397(), .VAR255(), .VAR145(), .VAR354(), .VAR233(), .VAR337(), .VAR113(), .VAR375(), .VAR223(), .VAR80(), .VAR357(), .VAR266(), .VAR185(), .VAR170(), .VAR156(), .VAR346(), .VAR224(), .VAR41(), .VAR381(), .VAR403(), .VAR355(), .VAR70(), .VAR77(), .VAR373(), .VAR119(), .VAR221(), .VAR283(), .VAR244(), .VAR122(), .VAR91(), .VAR144(), .VAR247(), .VAR374(), .VAR409(), .VAR88(), .VAR246(), .VAR54(), .VAR265(), .VAR194(), .VAR104(), .VAR167(), .VAR19(), .VAR248(), .VAR398(), .VAR408(), .VAR365(), .VAR133(), .VAR59(), .VAR125(), .VAR37(), .VAR90(), .VAR371(), .VAR72(), .VAR52(), .VAR136(), .VAR258(), .VAR50(), .VAR16(), .VAR153(), .VAR230(), .VAR124(), .VAR10(), .VAR336(), .VAR26(), .VAR197(), .VAR142(), .VAR103(), .VAR407(), .VAR282(), .VAR385(), .VAR49(), .VAR150(), .VAR193(), .VAR252(), .VAR63(), .VAR174(), .VAR18(), .VAR121(), .VAR243(), .VAR208(), .VAR278(), .VAR111(), .VAR101(), .VAR292(), .VAR198(), .VAR45(), .VAR304(), .VAR372(), .VAR340(), .VAR262(), .VAR298(), .VAR115(), .VAR369(), .VAR377(), .VAR161(), .VAR325(), .VAR302(), .VAR117(), .VAR137(), .VAR329(), .VAR285(), .VAR414(), .VAR51(), .VAR210(), .VAR108(), .VAR206(), .VAR218(), .VAR291(), .VAR114(), .VAR294(), .VAR332(), .VAR334(), .VAR212(), .VAR281(), .VAR235(), .VAR188() ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/conb/sky130_fd_sc_hdll__conb.pp.symbol.v
1,276
module MODULE1 ( output VAR3 , output VAR6 , input VAR5 , input VAR2, input VAR1, input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o2bb2a/sky130_fd_sc_hdll__o2bb2a.behavioral.pp.v
2,193
module MODULE1 ( VAR18 , VAR17, VAR7, VAR15 , VAR11 , VAR5, VAR10, VAR3 , VAR16 ); output VAR18 ; input VAR17; input VAR7; input VAR15 ; input VAR11 ; input VAR5; input VAR10; input VAR3 ; input VAR16 ; wire VAR14 ; wire VAR19 ; wire VAR12 ; wire VAR2; nand VAR13 (VAR14 , VAR7, VAR17 ); or VAR9 (VAR19 , VAR11, VAR15 ); and VAR1 (VAR12 , VAR14, VAR19 ); VAR6 VAR4 (VAR2, VAR12, VAR5, VAR10); buf VAR8 (VAR18 , VAR2 ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_sigma_delta_spi/util_sigma_delta_spi.v
1,803
module MODULE1 ( input clk, input VAR5, input VAR13, input VAR14, input VAR6, input VAR4, output VAR18, input [VAR9-1:0] VAR17, output VAR8, output VAR12, output VAR7, input VAR11, output [VAR9-1:0] VAR10, output reg VAR15 ); parameter VAR9 = 1; parameter VAR2 = 0; parameter VAR1 = 63; assign VAR8 = VAR14; assign VAR12 = VAR6; assign VAR7 = VAR4; assign VAR18 = VAR11; assign VAR10 = VAR17; reg [VAR3(VAR1)-1:0] counter = VAR1; reg [2:0] VAR16 = 'h00; always @(posedge clk) begin if (VAR5 == 1'b0) begin counter <= VAR1; end else begin if (VAR17[VAR2] == 1'b0 && VAR13 == 1'b0) begin if (counter != 'h00) counter <= counter - 1'b1; end else begin counter <= VAR1; end end end always @(posedge clk) begin VAR16 <= {VAR16[1:0], VAR11}; end always @(posedge clk) begin if (counter == 'h00 && VAR16[2] == 1'b0) begin VAR15 <= 1'b1; end else begin VAR15 <= 1'b0; end end endmodule
gpl-3.0
AttackerJin/8bit_datapath_AES
AES128/key_expansion.v
2,026
module MODULE1(VAR37, VAR19, VAR35, VAR8, clk, VAR24, VAR41, VAR32, VAR21, VAR14); input [7:0] VAR37; output [7:0] VAR19; output [7:0] VAR8; input [3:0] VAR35; input clk; input VAR24, VAR41, VAR32, VAR21; input [7:0] VAR14; reg [7:0] VAR28, VAR5, VAR38, VAR4, VAR26, VAR9, VAR18, VAR42, VAR31, VAR11, VAR13, VAR27, VAR23, VAR15, VAR33, VAR25, VAR20; wire [7:0] VAR6, VAR34, VAR36, VAR40, VAR22, VAR17, VAR39; function [7:0] VAR30; input [3:0] VAR10; casex (VAR10) 4'b0000: VAR30 = 8'h01; 4'b0001: VAR30 = 8'h02; 4'b0010: VAR30 = 8'h04; 4'b0011: VAR30 = 8'h08; 4'b0100: VAR30 = 8'h10; 4'b0101: VAR30 = 8'h20; 4'b0110: VAR30 = 8'h40; 4'b0111: VAR30 = 8'h80; 4'b1000: VAR30 = 8'h1b; 4'b1001: VAR30 = 8'h36; default: VAR30 = 8'h01; endcase endfunction assign VAR39 = VAR30(VAR35); assign VAR6 = VAR34 ^ VAR36; assign VAR36 = VAR14 & VAR39; assign VAR19 = VAR4; VAR3 VAR7 (VAR8, VAR37, VAR22, VAR24); VAR3 VAR2 (VAR38, VAR20, VAR40, VAR41); VAR3 VAR16 ((VAR27 ^ VAR8), VAR27, VAR17, VAR21); VAR3 VAR29 (VAR25, ( VAR25 ^ VAR6), VAR8, VAR32); VAR12 VAR1 (VAR40, VAR34); always @ (posedge clk) begin VAR28 <= VAR22; VAR5 <= VAR28; VAR38 <= VAR5; VAR4 <= VAR38; VAR26 <= VAR4; VAR9 <= VAR26; VAR18 <= VAR9; VAR42 <= VAR18; VAR31 <= VAR42; VAR11 <= VAR31; VAR13 <= VAR11; VAR27 <= VAR13; VAR23 <= VAR17; VAR15 <= VAR23; VAR33 <= VAR15; VAR25 <= VAR33; end always @ (posedge clk) begin if (VAR14 == 8'hff) begin VAR20 <= VAR4; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a22o/sky130_fd_sc_ls__a22o_2.v
2,339
module MODULE2 ( VAR10 , VAR2 , VAR6 , VAR8 , VAR3 , VAR11, VAR7, VAR4 , VAR1 ); output VAR10 ; input VAR2 ; input VAR6 ; input VAR8 ; input VAR3 ; input VAR11; input VAR7; input VAR4 ; input VAR1 ; VAR5 VAR9 ( .VAR10(VAR10), .VAR2(VAR2), .VAR6(VAR6), .VAR8(VAR8), .VAR3(VAR3), .VAR11(VAR11), .VAR7(VAR7), .VAR4(VAR4), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR10 , VAR2, VAR6, VAR8, VAR3 ); output VAR10 ; input VAR2; input VAR6; input VAR8; input VAR3; supply1 VAR11; supply0 VAR7; supply1 VAR4 ; supply0 VAR1 ; VAR5 VAR9 ( .VAR10(VAR10), .VAR2(VAR2), .VAR6(VAR6), .VAR8(VAR8), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o21ai/sky130_fd_sc_ms__o21ai.functional.pp.v
2,010
module MODULE1 ( VAR9 , VAR11 , VAR4 , VAR12 , VAR2, VAR14, VAR6 , VAR7 ); output VAR9 ; input VAR11 ; input VAR4 ; input VAR12 ; input VAR2; input VAR14; input VAR6 ; input VAR7 ; wire VAR13 ; wire VAR8 ; wire VAR3; or VAR5 (VAR13 , VAR4, VAR11 ); nand VAR15 (VAR8 , VAR12, VAR13 ); VAR16 VAR10 (VAR3, VAR8, VAR2, VAR14); buf VAR1 (VAR9 , VAR3 ); endmodule
apache-2.0