repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_041.v
1,572
module MODULE1 ( VAR12, VAR14 ); input [31:0] VAR12; output [31:0] VAR14; wire [31:0] VAR7, VAR9, VAR8, VAR11, VAR5, VAR6, VAR13, VAR1, VAR15, VAR2; assign VAR7 = VAR12; assign VAR13 = VAR5 + VAR6; assign VAR9 = VAR7 << 7; assign VAR8 = VAR7 + VAR9; assign VAR2 = VAR15 << 1; assign VAR5 = VAR11 - VAR7; assign VAR11 = VAR7 << 11; assign VAR1 = VAR8 << 2; assign VAR6 = VAR8 << 6; assign VAR15 = VAR13 - VAR1; assign VAR14 = VAR2; endmodule module MODULE2( VAR12, VAR14, clk ); input [31:0] VAR12; output [31:0] VAR14; reg [31:0] VAR14; input clk; reg [31:0] VAR10; wire [30:0] VAR4; always @(posedge clk) begin VAR10 <= VAR12; VAR14 <= VAR4; end MODULE1 MODULE1( .VAR12(VAR10), .VAR14(VAR4) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/tapvgnd/sky130_fd_sc_lp__tapvgnd.pp.blackbox.v
1,256
module MODULE1 ( VAR3, VAR2, VAR4 , VAR1 ); input VAR3; input VAR2; input VAR4 ; input VAR1 ; endmodule
apache-2.0
mrehkopf/sd2snes
verilog/sd2snes_dsp/dma.v
4,882
module MODULE1( input VAR1, input reset, input enable, input [3:0] VAR8, input [7:0] VAR7, output [7:0] VAR18, input VAR11, input VAR6, output VAR17, input VAR21, output VAR20, output VAR9, output [23:0] VAR16, output [15:0] VAR2, output VAR12, input [15:0] VAR3 ); parameter VAR10 = 0; parameter VAR4 = 1; parameter VAR24 = 2; parameter VAR14 = 3; parameter VAR15 = 0; parameter VAR5 = 1; parameter VAR13 = 2; parameter VAR19 = 3; reg [7:0] VAR22[15:0]; reg [2:0] state; VAR23 state = VAR10;
gpl-2.0
mbus/mbus
releases/mbus_example-v1.1/verilog/rf.v
5,071
module MODULE1 ( VAR14, VAR9, VAR15, VAR5, VAR13, VAR2, VAR17, VAR4, VAR12, VAR10, VAR1, VAR6, VAR11, VAR18, VAR16 ); input VAR14; input VAR9; input [18:0] VAR15; input [3:0] VAR5; output reg [3:0] VAR13; output reg VAR2; output reg VAR17; output reg VAR4; output reg [7:0] VAR12; output reg [7:0] VAR10; output reg VAR1; output reg VAR6; output reg VAR11; output reg [3:0] VAR18; output reg [3:0] VAR16; wire [3:0] VAR3; wire [18:0] VAR7; assign VAR3 = ~VAR9 & VAR5; assign VAR7 = ~VAR9 & VAR15; always @(posedge VAR3[0] or negedge VAR14) begin if (~VAR14) begin VAR13 <= VAR8 4'hA; end else begin VAR13 <= VAR8 VAR7[3:0]; end end always @(posedge VAR3[1] or negedge VAR14) begin if (~VAR14) begin VAR2 <= VAR8 1'h0; VAR17 <= VAR8 1'h0; VAR4 <= VAR8 1'h0; VAR12 <= VAR8 8'hFF; VAR10 <= VAR8 8'h14; end else begin VAR2 <= VAR8 VAR7[0]; VAR17 <= VAR8 VAR7[1]; VAR4 <= VAR8 VAR7[2]; VAR12 <= VAR8 VAR7[10:3]; VAR10 <= VAR8 VAR7[18:11]; end end always @(posedge VAR3[3] or negedge VAR14) begin if (~VAR14) begin VAR1 <= VAR8 1'h1; VAR6 <= VAR8 1'h0; VAR11 <= VAR8 1'h0; VAR18 <= VAR8 4'h7; VAR16 <= VAR8 4'hD; end else begin VAR1 <= VAR8 VAR7[0]; VAR6 <= VAR8 VAR7[1]; VAR11 <= VAR8 VAR7[2]; VAR18 <= VAR8 VAR7[6:3]; VAR16 <= VAR8 VAR7[10:7]; end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a32o/sky130_fd_sc_ls__a32o.blackbox.v
1,425
module MODULE1 ( VAR3 , VAR2, VAR7, VAR5, VAR8, VAR1 ); output VAR3 ; input VAR2; input VAR7; input VAR5; input VAR8; input VAR1; supply1 VAR4; supply0 VAR6; supply1 VAR9 ; supply0 VAR10 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or3b/sky130_fd_sc_hs__or3b.functional.pp.v
1,880
module MODULE1 ( VAR13, VAR5, VAR4 , VAR3 , VAR7 , VAR14 ); input VAR13; input VAR5; output VAR4 ; input VAR3 ; input VAR7 ; input VAR14 ; wire VAR9 ; wire VAR6 ; wire VAR12; not VAR1 (VAR9 , VAR14 ); or VAR8 (VAR6 , VAR7, VAR3, VAR9 ); VAR11 VAR10 (VAR12, VAR6, VAR13, VAR5); buf VAR2 (VAR4 , VAR12 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_nr_pp_pkg_sn/sky130_fd_sc_hs__udp_dff_nr_pp_pkg_sn.symbol.v
1,532
module MODULE1 ( input VAR3 , output VAR5 , input VAR7 , input VAR1 , input VAR8 , input VAR4 , input VAR9, input VAR2 , input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32a/sky130_fd_sc_lp__o32a.behavioral.pp.v
2,188
module MODULE1 ( VAR1 , VAR20 , VAR5 , VAR11 , VAR15 , VAR8 , VAR16, VAR13, VAR9 , VAR10 ); output VAR1 ; input VAR20 ; input VAR5 ; input VAR11 ; input VAR15 ; input VAR8 ; input VAR16; input VAR13; input VAR9 ; input VAR10 ; wire VAR7 ; wire VAR14 ; wire VAR6 ; wire VAR17; or VAR19 (VAR7 , VAR5, VAR20, VAR11 ); or VAR4 (VAR14 , VAR8, VAR15 ); and VAR18 (VAR6 , VAR7, VAR14 ); VAR2 VAR3 (VAR17, VAR6, VAR16, VAR13); buf VAR12 (VAR1 , VAR17 ); endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/rtl/sram_ctl.v
6,066
module MODULE1 ( input wire VAR30, input wire VAR39, input wire [3:0] VAR38, input wire [7:0] VAR37, output wire [7:0] VAR8, input wire VAR19, input wire VAR4, output reg VAR36, output reg VAR13, input VAR26, input wire [23:0] VAR20, input wire [7:0] VAR25, output wire [7:0] VAR12, input wire VAR11, input wire VAR15, input wire VAR35, output wire [63:0] VAR23, output wire [23:0] VAR6, input wire [15:0] VAR1, output wire [15:0] VAR33, output wire VAR14, output wire [2:0] VAR34, input wire VAR21, input wire VAR22 ); wire VAR29, VAR16, VAR10, VAR17, VAR40; wire [7:0] VAR28; reg [7:0] VAR3[0:3], VAR2 = 8'd0; reg [1:0] VAR9 = 2'd0, VAR7 = 2'd0, VAR27 = 2'd0, VAR32 = 2'd0; reg VAR18 = 1'd0; reg VAR31 = 1'b0, VAR24 = 1'b0; reg [63:0] VAR5 = 64'd0; assign VAR6 = (VAR13 & VAR26) ? {1'b0, VAR3[2], VAR3[1], VAR3[0][7:1]} : {1'b0,VAR20[23:1]}; assign VAR33 = (VAR13 & VAR26) ? {VAR37,VAR37} : {VAR25,VAR25}; assign VAR14 = (VAR13 & VAR26) ? ((VAR29 | VAR16) & (VAR38==4'd0)) : ((VAR10 | VAR17) & VAR11); assign VAR34 = (VAR13 & VAR26) ? {VAR18, VAR19, VAR18 ? 1'b1 : VAR3[0][0]} : {1'b0, VAR15, VAR20[0]}; assign VAR12 = VAR20[0] ? VAR1[15:8] : VAR1[7:0]; assign VAR28 = (VAR31 ? VAR1[15:8] : VAR1[7:0]); assign VAR8 = (VAR38 == 4'd0) ? VAR28 : VAR2; assign VAR23 = VAR5; assign VAR29 = (VAR9 == 2'b01); assign VAR16 = (VAR7 == 2'b01); assign VAR10 = (VAR27 == 2'b01); assign VAR17 = (VAR32 == 2'b01); always @(posedge VAR30) VAR9 <= {VAR9[0],VAR19}; always @(posedge VAR30) VAR7 <= {VAR7[0],VAR4}; always @(posedge VAR30) VAR27 <= {VAR9[0],VAR15}; always @(posedge VAR30) VAR32 <= {VAR7[0],VAR35}; always @(posedge VAR30 or posedge VAR39) if( VAR39 ) begin {VAR3[3],VAR3[2],VAR3[1],VAR3[0]} <= 32'd0; VAR2 <= 8'd0; VAR13 <= 1'b0; VAR24 <= 1'b0; VAR5 <= 64'd0; end else begin if( (VAR22 | VAR21) & VAR24 ) begin VAR31 <= VAR3[0][0]; {VAR3[3],VAR3[2],VAR3[1],VAR3[0]} <= {VAR3[3],VAR3[2],VAR3[1],VAR3[0]} + 1'b1; VAR24 <= 1'b0; end else case( VAR38 ) 4'b0000 : begin if( VAR29 | VAR16 ) VAR24 <= 1'b1; end 4'b1000, 4'b1001, 4'b1010, 4'b1011 : begin if( VAR19 ) VAR2 <= VAR3[VAR38[1:0]]; end else if( VAR4 ) VAR3[VAR38[1:0]] <= VAR37; end 4'b1100 : begin if( VAR4 ) case( VAR37[7:6] ) 2'b01 : VAR5[VAR37[5:0]] <= 1'b0; 2'b10 : VAR5[VAR37[5:0]] <= 1'b1; 2'b11 : VAR5 <= 64'd0; endcase end 4'b1111 : begin if( VAR4 ) begin VAR13 <= VAR37[7]; VAR18 <= VAR37[6]; end else if( VAR19 ) VAR2 <= {VAR26,VAR18,6'd0}; end default: ; endcase end always @(posedge VAR30 or posedge VAR39) if( VAR39 ) VAR36 <= 1'b0; else begin if( ( VAR38 == 4'd0 ) && (VAR29) ) VAR36 <= 1'b1; end else if( VAR22 | ~VAR21 ) VAR36 <= 1'b0; end endmodule
gpl-3.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_prime_fifo_plain.v
13,772
module MODULE1( rst, VAR386, VAR89, din, VAR218, VAR11, dout, VAR55, VAR101, VAR210 ); input rst; input VAR386; input VAR89; input [71 : 0] din; input VAR218; input VAR11; output [71 : 0] dout; output VAR55; output VAR101; output VAR210; VAR388 #( .VAR23(0), .VAR206(0), .VAR268(0), .VAR197(0), .VAR357(0), .VAR195(0), .VAR412(0), .VAR15(32), .VAR88(1), .VAR417(1), .VAR209(1), .VAR200(64), .VAR377(4), .VAR334(1), .VAR49(0), .VAR414(1), .VAR295(64), .VAR269(4), .VAR131(8), .VAR228(4), .VAR177(4), .VAR169(4), .VAR128(0), .VAR376(0), .VAR193(0), .VAR120(9), .VAR188("VAR365"), .VAR204(72), .VAR28(1), .VAR91(32), .VAR301(64), .VAR264(32), .VAR378(64), .VAR275(2), .VAR308("0"), .VAR274(72), .VAR176(0), .VAR95(1), .VAR40(0), .VAR425(0), .VAR203(0), .VAR102(0), .VAR320(0), .VAR132(0), .VAR244(0), .VAR27("VAR139"), .VAR20(0), .VAR422(0), .VAR349(0), .VAR317(0), .VAR383(0), .VAR8(0), .VAR280(0), .VAR225(0), .VAR401(0), .VAR241(0), .VAR254(0), .VAR339(0), .VAR2(0), .VAR148(0), .VAR391(0), .VAR47(1), .VAR56(0), .VAR134(0), .VAR212(0), .VAR215(0), .VAR392(0), .VAR418(0), .VAR1(0), .VAR322(0), .VAR190(0), .VAR294(0), .VAR191(0), .VAR330(0), .VAR410(0), .VAR83(0), .VAR409(0), .VAR189(0), .VAR342(0), .VAR64(0), .VAR426(0), .VAR185(0), .VAR78(0), .VAR137(0), .VAR379(1), .VAR205(0), .VAR116(0), .VAR43(0), .VAR36(0), .VAR315(0), .VAR45(0), .VAR380(0), .VAR34(6), .VAR331(1), .VAR79(1), .VAR58(1), .VAR281(1), .VAR213(1), .VAR284(1), .VAR50(0), .VAR307(0), .VAR245(4), .VAR194("VAR365"), .VAR202(1), .VAR370(0), .VAR276(0), .VAR182(1), .VAR303(0), .VAR255("512x72"), .VAR211(5), .VAR207(1022), .VAR46(1022), .VAR348(1022), .VAR421(1022), .VAR336(1022), .VAR404(1022), .VAR309(6), .VAR360(0), .VAR130(0), .VAR30(0), .VAR424(0), .VAR99(0), .VAR97(0), .VAR103(0), .VAR356(496), .VAR31(1023), .VAR143(1023), .VAR343(1023), .VAR39(1023), .VAR133(1023), .VAR293(1023), .VAR179(495), .VAR355(1), .VAR395(0), .VAR227(0), .VAR332(0), .VAR164(0), .VAR408(0), .VAR350(0), .VAR156(0), .VAR110(9), .VAR98(512), .VAR181(125), .VAR413(9), .VAR77(0), .VAR250(0), .VAR253(0), .VAR117(0), .VAR127(0), .VAR224(0), .VAR292(0), .VAR125(2), .VAR384(0), .VAR126(0), .VAR53(0), .VAR312(0), .VAR238(0), .VAR135(0), .VAR70(0), .VAR214(0), .VAR243(0), .VAR196(0), .VAR54(0), .VAR266(0), .VAR4(0), .VAR187(0), .VAR138(0), .VAR352(0), .VAR172(0), .VAR222(0), .VAR351(0), .VAR306(9), .VAR235(512), .VAR44(1024), .VAR114(16), .VAR405(1024), .VAR399(16), .VAR186(1024), .VAR311(16), .VAR265(125), .VAR67(9), .VAR427(10), .VAR411(4), .VAR94(10), .VAR144(4), .VAR51(10), .VAR234(4), .VAR61(1), .VAR285(0) ) VAR59 ( .VAR66(rst), .VAR153(VAR386), .VAR32(VAR89), .VAR327(din), .VAR112(VAR218), .VAR184(VAR11), .VAR141(dout), .VAR152(VAR55), .VAR396(VAR101), .VAR22(VAR210), .VAR48(), .VAR403(), .VAR359(), .VAR341(), .VAR14(), .VAR154(), .VAR16(), .VAR229(), .VAR362(), .VAR84(), .VAR157(), .VAR221(), .VAR394(), .VAR85(), .VAR267(), .VAR145(), .VAR71(), .VAR272(), .VAR10(), .VAR106(), .VAR76(), .VAR338(), .VAR118(), .VAR163(), .VAR367(), .VAR73(), .VAR402(), .VAR63(), .VAR260(), .VAR262(), .VAR400(), .VAR291(), .VAR173(), .VAR326(), .VAR251(), .VAR231(), .VAR149(), .VAR305(), .VAR136(), .VAR324(), .VAR178(), .VAR397(), .VAR263(), .VAR151(), .VAR297(), .VAR41(), .VAR257(), .VAR304(), .VAR17(), .VAR271(), .VAR171(), .VAR37(), .VAR42(), .VAR80(), .VAR382(), .VAR374(), .VAR69(), .VAR333(), .VAR258(), .VAR147(), .VAR270(), .VAR313(), .VAR286(), .VAR358(), .VAR140(), .VAR287(), .VAR160(), .VAR256(), .VAR321(), .VAR3(), .VAR371(), .VAR299(), .VAR259(), .VAR369(), .VAR344(), .VAR373(), .VAR406(), .VAR223(), .VAR240(), .VAR217(), .VAR75(), .VAR74(), .VAR428(), .VAR220(), .VAR300(), .VAR113(), .VAR347(), .VAR248(), .VAR232(), .VAR159(), .VAR423(), .VAR242(), .VAR142(), .VAR170(), .VAR81(), .VAR314(), .VAR105(), .VAR155(), .VAR124(), .VAR123(), .VAR296(), .VAR93(), .VAR325(), .VAR346(), .VAR167(), .VAR282(), .VAR198(), .VAR279(), .VAR129(), .VAR87(), .VAR72(), .VAR277(), .VAR345(), .VAR175(), .VAR96(), .VAR398(), .VAR298(), .VAR246(), .VAR180(), .VAR7(), .VAR33(), .VAR375(), .VAR310(), .VAR283(), .VAR226(), .VAR329(), .VAR13(), .VAR393(), .VAR38(), .VAR289(), .VAR100(), .VAR6(), .VAR340(), .VAR90(), .VAR407(), .VAR24(), .VAR192(), .VAR249(), .VAR389(), .VAR108(), .VAR316(), .VAR119(), .VAR363(), .VAR62(), .VAR162(), .VAR25(), .VAR168(), .VAR236(), .VAR174(), .VAR57(), .VAR278(), .VAR109(), .VAR372(), .VAR323(), .VAR26(), .VAR328(), .VAR161(), .VAR19(), .VAR216(), .VAR368(), .VAR18(), .VAR247(), .VAR302(), .VAR107(), .VAR230(), .VAR199(), .VAR288(), .VAR387(), .VAR290(), .VAR111(), .VAR86(), .VAR5(), .VAR60(), .VAR82(), .VAR115(), .VAR415(), .VAR52(), .VAR361(), .VAR208(), .VAR166(), .VAR233(), .VAR219(), .VAR29(), .VAR318(), .VAR65(), .VAR366(), .VAR419(), .VAR104(), .VAR354(), .VAR381(), .VAR150(), .VAR121(), .VAR353(), .VAR261(), .VAR420(), .VAR68(), .VAR335(), .VAR35(), .VAR9(), .VAR385(), .VAR416(), .VAR239(), .VAR21(), .VAR201(), .VAR252(), .VAR364(), .VAR165(), .VAR146(), .VAR273(), .VAR183(), .VAR158(), .VAR12(), .VAR337(), .VAR390(), .VAR237(), .VAR92(), .VAR122(), .VAR319() ); endmodule
gpl-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/ASIC_fpaddsub_arch2/integracion_fisica/front_end/db/DOUBLE/Barrel_Shifter_syn.v
34,958
module MODULE3 ( VAR24, VAR151, VAR80, VAR2 ); input [25:0] VAR24; output [25:0] VAR2; input VAR151, VAR80; wire VAR11, VAR77, VAR121, VAR81, VAR6, VAR74; VAR61 VAR63 ( .VAR145(VAR11), .VAR7(VAR6) ); VAR120 VAR57 ( .VAR145(VAR6), .VAR7(VAR77) ); VAR120 VAR89 ( .VAR145(VAR6), .VAR7(VAR121) ); VAR120 VAR23 ( .VAR145(VAR6), .VAR7(VAR81) ); VAR61 VAR122 ( .VAR145(VAR11), .VAR7(VAR74) ); VAR12 VAR39 ( .VAR25(VAR74), .VAR71(VAR24[5]), .VAR111(VAR81), .VAR101(VAR24[4]), .VAR7( VAR2[4]) ); VAR12 VAR86 ( .VAR25(VAR74), .VAR71(VAR24[6]), .VAR111(VAR81), .VAR101(VAR24[5]), .VAR7( VAR2[5]) ); VAR12 VAR45 ( .VAR25(VAR74), .VAR71(VAR24[3]), .VAR111(VAR81), .VAR101(VAR24[2]), .VAR7( VAR2[2]) ); VAR12 VAR115 ( .VAR25(VAR74), .VAR71(VAR24[4]), .VAR111(VAR121), .VAR101(VAR24[3]), .VAR7( VAR2[3]) ); VAR61 VAR15 ( .VAR145(VAR151), .VAR7(VAR11) ); VAR12 VAR56 ( .VAR25(VAR6), .VAR71(VAR24[1]), .VAR111(VAR24[0]), .VAR101(VAR121), .VAR7( VAR2[0]) ); VAR12 VAR85 ( .VAR25(VAR74), .VAR71(VAR24[2]), .VAR111(VAR121), .VAR101(VAR24[1]), .VAR7( VAR2[1]) ); VAR12 VAR142 ( .VAR25(VAR74), .VAR71(VAR24[9]), .VAR111(VAR81), .VAR101(VAR24[8]), .VAR7( VAR2[8]) ); VAR12 VAR58 ( .VAR25(VAR121), .VAR71(VAR24[9]), .VAR111(VAR24[10]), .VAR101(VAR74), .VAR7( VAR2[9]) ); VAR12 VAR19 ( .VAR25(VAR121), .VAR71(VAR24[11]), .VAR111(VAR151), .VAR101(VAR24[12]), .VAR7( VAR2[11]) ); VAR12 VAR113 ( .VAR25(VAR77), .VAR71(VAR24[22]), .VAR111(VAR151), .VAR101(VAR24[23]), .VAR7( VAR2[22]) ); VAR12 VAR69 ( .VAR25(VAR77), .VAR71(VAR24[23]), .VAR111(VAR11), .VAR101(VAR24[24]), .VAR7( VAR2[23]) ); VAR12 VAR90 ( .VAR25(VAR77), .VAR71(VAR24[24]), .VAR111(VAR151), .VAR101(VAR24[25]), .VAR7( VAR2[24]) ); VAR12 VAR55 ( .VAR25(VAR74), .VAR71(VAR24[7]), .VAR111(VAR81), .VAR101(VAR24[6]), .VAR7( VAR2[6]) ); VAR12 VAR79 ( .VAR25(VAR74), .VAR71(VAR24[8]), .VAR111(VAR81), .VAR101(VAR24[7]), .VAR7( VAR2[7]) ); VAR12 VAR49 ( .VAR25(VAR121), .VAR71(VAR24[12]), .VAR111(VAR11), .VAR101(VAR24[13]), .VAR7( VAR2[12]) ); VAR12 VAR70 ( .VAR25(VAR121), .VAR71(VAR24[13]), .VAR111(VAR11), .VAR101(VAR24[14]), .VAR7( VAR2[13]) ); VAR12 VAR108 ( .VAR25(VAR77), .VAR71(VAR24[18]), .VAR111(VAR151), .VAR101(VAR24[19]), .VAR7( VAR2[18]) ); VAR12 VAR129 ( .VAR25(VAR77), .VAR71(VAR24[20]), .VAR111(VAR11), .VAR101(VAR24[21]), .VAR7( VAR2[20]) ); VAR12 VAR42 ( .VAR25(VAR121), .VAR71(VAR24[14]), .VAR111(VAR151), .VAR101(VAR24[15]), .VAR7( VAR2[14]) ); VAR12 VAR33 ( .VAR25(VAR121), .VAR71(VAR24[16]), .VAR111(VAR151), .VAR101(VAR24[17]), .VAR7( VAR2[16]) ); VAR12 VAR83 ( .VAR25(VAR77), .VAR71(VAR24[19]), .VAR111(VAR151), .VAR101(VAR24[20]), .VAR7( VAR2[19]) ); VAR12 VAR150 ( .VAR25(VAR77), .VAR71(VAR24[21]), .VAR111(VAR11), .VAR101(VAR24[22]), .VAR7( VAR2[21]) ); VAR12 VAR156 ( .VAR25(VAR77), .VAR71(VAR24[15]), .VAR111(VAR11), .VAR101(VAR24[16]), .VAR7( VAR2[15]) ); VAR12 VAR143 ( .VAR25(VAR77), .VAR71(VAR24[17]), .VAR111(VAR151), .VAR101(VAR24[18]), .VAR7( VAR2[17]) ); VAR12 VAR125 ( .VAR25(VAR77), .VAR71(VAR24[25]), .VAR111(VAR80), .VAR101(VAR74), .VAR7( VAR2[25]) ); VAR12 VAR154 ( .VAR25(VAR121), .VAR71(VAR24[10]), .VAR111(VAR11), .VAR101(VAR24[11]), .VAR7( VAR2[10]) ); endmodule module MODULE8 ( VAR24, VAR151, VAR80, VAR2 ); input [25:0] VAR24; output [25:0] VAR2; input VAR151, VAR80; wire VAR133, VAR94, VAR37, VAR43, VAR135, VAR73, VAR11, VAR77, VAR121, VAR81, VAR6, VAR74, VAR168, VAR18, VAR59, VAR126, VAR50, VAR13, VAR117, VAR116, VAR93, VAR155, VAR1, VAR16, VAR118, VAR51, VAR127, VAR103, VAR138; VAR61 VAR63 ( .VAR145(VAR16), .VAR7(VAR103) ); VAR120 VAR57 ( .VAR145(VAR151), .VAR7(VAR118) ); VAR120 VAR89 ( .VAR145(VAR16), .VAR7(VAR51) ); VAR120 VAR23 ( .VAR145(VAR151), .VAR7(VAR127) ); VAR160 VAR122 ( .VAR25(VAR51), .VAR71(VAR77), .VAR111(VAR103), .VAR101(VAR81), .VAR7(VAR2[16]) ); VAR160 VAR39 ( .VAR25(VAR51), .VAR71(VAR11), .VAR111(VAR103), .VAR101(VAR121), .VAR7(VAR2[17]) ); VAR160 VAR86 ( .VAR25(VAR51), .VAR71(VAR73), .VAR111(VAR103), .VAR101(VAR77), .VAR7(VAR2[18]) ); VAR160 VAR45 ( .VAR25(VAR51), .VAR71(VAR135), .VAR111(VAR103), .VAR101(VAR11), .VAR7(VAR2[19]) ); VAR160 VAR115 ( .VAR25(VAR51), .VAR71(VAR6), .VAR111(VAR103), .VAR101(VAR168), .VAR7(VAR2[13]) ); VAR160 VAR15 ( .VAR25(VAR118), .VAR71(VAR116), .VAR111(VAR138), .VAR101(VAR155), .VAR7(VAR2[4]) ); VAR120 VAR56 ( .VAR145(VAR24[4]), .VAR7(VAR155) ); VAR160 VAR85 ( .VAR25(VAR118), .VAR71(VAR117), .VAR111(VAR151), .VAR101(VAR93), .VAR7(VAR2[5]) ); VAR120 VAR142 ( .VAR145(VAR24[5]), .VAR7(VAR93) ); VAR160 VAR58 ( .VAR25(VAR118), .VAR71(VAR13), .VAR111(VAR103), .VAR101(VAR116), .VAR7(VAR2[6]) ); VAR160 VAR19 ( .VAR25(VAR118), .VAR71(VAR50), .VAR111(VAR16), .VAR101(VAR117), .VAR7(VAR2[7]) ); VAR160 VAR113 ( .VAR25(VAR51), .VAR71(VAR168), .VAR111(VAR103), .VAR101(VAR59), .VAR7(VAR2[11]) ); VAR160 VAR69 ( .VAR25(VAR51), .VAR71(VAR74), .VAR111(VAR103), .VAR101(VAR18), .VAR7(VAR2[12]) ); VAR160 VAR90 ( .VAR25(VAR51), .VAR71(VAR81), .VAR111(VAR103), .VAR101(VAR74), .VAR7(VAR2[14]) ); VAR160 VAR55 ( .VAR25(VAR51), .VAR71(VAR121), .VAR111(VAR103), .VAR101(VAR6), .VAR7(VAR2[15]) ); VAR160 VAR79 ( .VAR25(VAR16), .VAR71(VAR13), .VAR111(VAR127), .VAR101(VAR126), .VAR7(VAR2[8]) ); VAR160 VAR49 ( .VAR25(VAR138), .VAR71(VAR50), .VAR111(VAR59), .VAR101(VAR127), .VAR7(VAR2[9]) ); VAR160 VAR70 ( .VAR25(VAR51), .VAR71(VAR18), .VAR111(VAR138), .VAR101(VAR126), .VAR7(VAR2[10]) ); VAR160 VAR108 ( .VAR25(VAR118), .VAR71(VAR43), .VAR111(VAR138), .VAR101(VAR73), .VAR7(VAR2[20]) ); VAR160 VAR129 ( .VAR25(VAR118), .VAR71(VAR37), .VAR111(VAR151), .VAR101(VAR135), .VAR7(VAR2[21]) ); VAR160 VAR42 ( .VAR25(VAR118), .VAR71(VAR94), .VAR111(VAR16), .VAR101(VAR43), .VAR7(VAR2[22]) ); VAR160 VAR33 ( .VAR25(VAR118), .VAR71(VAR133), .VAR111(VAR138), .VAR101(VAR37), .VAR7(VAR2[23]) ); VAR160 VAR83 ( .VAR25(VAR118), .VAR71(VAR1), .VAR111(VAR151), .VAR101(VAR94), .VAR7(VAR2[24]) ); VAR160 VAR150 ( .VAR25(VAR118), .VAR71(VAR1), .VAR111(VAR16), .VAR101(VAR133), .VAR7(VAR2[25]) ); VAR12 VAR156 ( .VAR25(VAR138), .VAR71(VAR24[4]), .VAR111(VAR127), .VAR101(VAR24[2]), .VAR7( VAR2[2]) ); VAR12 VAR143 ( .VAR25(VAR138), .VAR71(VAR24[5]), .VAR111(VAR127), .VAR101(VAR24[3]), .VAR7( VAR2[3]) ); VAR61 VAR125 ( .VAR145(VAR16), .VAR7(VAR138) ); VAR61 VAR154 ( .VAR145(VAR151), .VAR7(VAR16) ); VAR12 VAR149 ( .VAR25(VAR138), .VAR71(VAR24[2]), .VAR111(VAR24[0]), .VAR101(VAR127), .VAR7( VAR2[0]) ); VAR12 VAR40 ( .VAR25(VAR138), .VAR71(VAR24[3]), .VAR111(VAR24[1]), .VAR101(VAR127), .VAR7( VAR2[1]) ); VAR120 VAR28 ( .VAR145(VAR24[8]), .VAR7(VAR13) ); VAR120 VAR21 ( .VAR145(VAR24[9]), .VAR7(VAR50) ); VAR120 VAR44 ( .VAR145(VAR24[11]), .VAR7(VAR59) ); VAR120 VAR8 ( .VAR145(VAR24[22]), .VAR7(VAR43) ); VAR120 VAR152 ( .VAR145(VAR24[23]), .VAR7(VAR37) ); VAR120 VAR163 ( .VAR145(VAR24[24]), .VAR7(VAR94) ); VAR120 VAR162 ( .VAR145(VAR24[6]), .VAR7(VAR116) ); VAR120 VAR107 ( .VAR145(VAR24[7]), .VAR7(VAR117) ); VAR120 VAR3 ( .VAR145(VAR24[12]), .VAR7(VAR18) ); VAR120 VAR9 ( .VAR145(VAR24[13]), .VAR7(VAR168) ); VAR120 VAR38 ( .VAR145(VAR24[18]), .VAR7(VAR77) ); VAR120 VAR97 ( .VAR145(VAR24[20]), .VAR7(VAR73) ); VAR120 VAR22 ( .VAR145(VAR24[14]), .VAR7(VAR74) ); VAR120 VAR5 ( .VAR145(VAR24[16]), .VAR7(VAR81) ); VAR120 VAR132 ( .VAR145(VAR24[19]), .VAR7(VAR11) ); VAR120 VAR67 ( .VAR145(VAR24[21]), .VAR7(VAR135) ); VAR120 VAR148 ( .VAR145(VAR24[15]), .VAR7(VAR6) ); VAR120 VAR128 ( .VAR145(VAR24[17]), .VAR7(VAR121) ); VAR120 VAR167 ( .VAR145(VAR24[25]), .VAR7(VAR133) ); VAR120 VAR46 ( .VAR145(VAR24[10]), .VAR7(VAR126) ); VAR120 VAR36 ( .VAR145(VAR80), .VAR7(VAR1) ); endmodule module MODULE1 ( VAR24, VAR151, VAR80, VAR2 ); input [25:0] VAR24; output [25:0] VAR2; input VAR151, VAR80; wire VAR133, VAR94, VAR37, VAR43, VAR135, VAR73, VAR11, VAR77, VAR121, VAR81, VAR74, VAR50, VAR13, VAR117, VAR116, VAR93 ; VAR160 VAR63 ( .VAR25(VAR93), .VAR71(VAR73), .VAR111(VAR50), .VAR101(VAR81), .VAR7(VAR2[16]) ); VAR120 VAR57 ( .VAR145(VAR24[16]), .VAR7(VAR81) ); VAR160 VAR89 ( .VAR25(VAR117), .VAR71(VAR135), .VAR111(VAR50), .VAR101(VAR121), .VAR7(VAR2[17]) ); VAR120 VAR23 ( .VAR145(VAR24[17]), .VAR7(VAR121) ); VAR160 VAR122 ( .VAR25(VAR117), .VAR71(VAR43), .VAR111(VAR50), .VAR101(VAR77), .VAR7(VAR2[18]) ); VAR120 VAR39 ( .VAR145(VAR24[18]), .VAR7(VAR77) ); VAR160 VAR86 ( .VAR25(VAR117), .VAR71(VAR37), .VAR111(VAR50), .VAR101(VAR11), .VAR7(VAR2[19]) ); VAR120 VAR45 ( .VAR145(VAR24[19]), .VAR7(VAR11) ); VAR160 VAR115 ( .VAR25(VAR117), .VAR71(VAR94), .VAR111(VAR50), .VAR101(VAR73), .VAR7(VAR2[20]) ); VAR160 VAR15 ( .VAR25(VAR116), .VAR71(VAR133), .VAR111(VAR50), .VAR101(VAR135), .VAR7(VAR2[21]) ); VAR120 VAR56 ( .VAR145(VAR117), .VAR7(VAR50) ); VAR120 VAR85 ( .VAR145(VAR93), .VAR7(VAR13) ); VAR12 VAR142 ( .VAR25(VAR13), .VAR71(VAR24[8]), .VAR111(VAR116), .VAR101(VAR24[4]), .VAR7( VAR2[4]) ); VAR12 VAR58 ( .VAR25(VAR13), .VAR71(VAR24[9]), .VAR111(VAR116), .VAR101(VAR24[5]), .VAR7( VAR2[5]) ); VAR12 VAR19 ( .VAR25(VAR13), .VAR71(VAR24[10]), .VAR111(VAR116), .VAR101(VAR24[6]), .VAR7( VAR2[6]) ); VAR12 VAR113 ( .VAR25(VAR13), .VAR71(VAR24[11]), .VAR111(VAR116), .VAR101(VAR24[7]), .VAR7( VAR2[7]) ); VAR12 VAR69 ( .VAR25(VAR13), .VAR71(VAR24[12]), .VAR111(VAR116), .VAR101(VAR24[8]), .VAR7( VAR2[8]) ); VAR12 VAR90 ( .VAR25(VAR151), .VAR71(VAR24[14]), .VAR111(VAR93), .VAR101(VAR24[10]), .VAR7(VAR2[10]) ); VAR12 VAR55 ( .VAR25(VAR151), .VAR71(VAR24[15]), .VAR111(VAR116), .VAR101(VAR24[11]), .VAR7(VAR2[11]) ); VAR12 VAR79 ( .VAR25(VAR151), .VAR71(VAR24[16]), .VAR111(VAR93), .VAR101(VAR24[12]), .VAR7(VAR2[12]) ); VAR12 VAR49 ( .VAR25(VAR151), .VAR71(VAR24[17]), .VAR111(VAR116), .VAR101(VAR24[13]), .VAR7(VAR2[13]) ); VAR12 VAR70 ( .VAR25(VAR151), .VAR71(VAR24[18]), .VAR111(VAR93), .VAR101(VAR24[14]), .VAR7(VAR2[14]) ); VAR12 VAR108 ( .VAR25(VAR151), .VAR71(VAR24[19]), .VAR111(VAR116), .VAR101(VAR24[15]), .VAR7(VAR2[15]) ); VAR12 VAR129 ( .VAR25(VAR24[13]), .VAR71(VAR151), .VAR111(VAR116), .VAR101(VAR24[9]), .VAR7( VAR2[9]) ); VAR61 VAR42 ( .VAR145(VAR93), .VAR7(VAR117) ); VAR66 VAR33 ( .VAR25(VAR13), .VAR71(VAR37), .VAR111(VAR74), .VAR7(VAR2[23]) ); VAR66 VAR83 ( .VAR25(VAR50), .VAR71(VAR43), .VAR111(VAR74), .VAR7(VAR2[22]) ); VAR66 VAR150 ( .VAR25(VAR50), .VAR71(VAR94), .VAR111(VAR74), .VAR7(VAR2[24]) ); VAR66 VAR156 ( .VAR25(VAR50), .VAR71(VAR133), .VAR111(VAR74), .VAR7(VAR2[25]) ); VAR61 VAR143 ( .VAR145(VAR93), .VAR7(VAR116) ); VAR120 VAR125 ( .VAR145(VAR24[20]), .VAR7(VAR73) ); VAR120 VAR154 ( .VAR145(VAR24[21]), .VAR7(VAR135) ); VAR120 VAR149 ( .VAR145(VAR24[22]), .VAR7(VAR43) ); VAR120 VAR40 ( .VAR145(VAR24[23]), .VAR7(VAR37) ); VAR120 VAR28 ( .VAR145(VAR24[24]), .VAR7(VAR94) ); VAR120 VAR21 ( .VAR145(VAR24[25]), .VAR7(VAR133) ); VAR12 VAR44 ( .VAR25(VAR13), .VAR71(VAR24[6]), .VAR111(VAR24[2]), .VAR101(VAR117), .VAR7( VAR2[2]) ); VAR12 VAR8 ( .VAR25(VAR13), .VAR71(VAR24[7]), .VAR111(VAR24[3]), .VAR101(VAR93), .VAR7( VAR2[3]) ); VAR140 VAR152 ( .VAR145(VAR80), .VAR78(VAR50), .VAR7(VAR74) ); VAR12 VAR163 ( .VAR25(VAR13), .VAR71(VAR24[4]), .VAR111(VAR24[0]), .VAR101(VAR93), .VAR7( VAR2[0]) ); VAR12 VAR162 ( .VAR25(VAR13), .VAR71(VAR24[5]), .VAR111(VAR24[1]), .VAR101(VAR117), .VAR7( VAR2[1]) ); VAR120 VAR107 ( .VAR145(VAR151), .VAR7(VAR93) ); endmodule module MODULE5 ( VAR24, VAR151, VAR80, VAR2 ); input [25:0] VAR24; output [25:0] VAR2; input VAR151, VAR80; wire VAR133, VAR94, VAR11, VAR77, VAR121, VAR81; VAR61 VAR63 ( .VAR145(VAR151), .VAR7(VAR11) ); VAR61 VAR57 ( .VAR145(VAR151), .VAR7(VAR77) ); VAR61 VAR89 ( .VAR145(VAR133), .VAR7(VAR121) ); VAR61 VAR23 ( .VAR145(VAR133), .VAR7(VAR81) ); VAR140 VAR122 ( .VAR145(VAR80), .VAR78(VAR11), .VAR7(VAR94) ); VAR120 VAR39 ( .VAR145(VAR11), .VAR7(VAR133) ); VAR169 VAR86 ( .VAR144(VAR133), .VAR10(VAR24[25]), .VAR111(VAR94), .VAR7(VAR2[25]) ); VAR169 VAR45 ( .VAR144(VAR133), .VAR10(VAR24[18]), .VAR111(VAR94), .VAR7(VAR2[18]) ); VAR169 VAR115 ( .VAR144(VAR133), .VAR10(VAR24[19]), .VAR111(VAR94), .VAR7(VAR2[19]) ); VAR169 VAR15 ( .VAR144(VAR81), .VAR10(VAR24[20]), .VAR111(VAR94), .VAR7(VAR2[20]) ); VAR169 VAR56 ( .VAR144(VAR133), .VAR10(VAR24[21]), .VAR111(VAR94), .VAR7(VAR2[21]) ); VAR169 VAR85 ( .VAR144(VAR81), .VAR10(VAR24[22]), .VAR111(VAR94), .VAR7(VAR2[22]) ); VAR169 VAR142 ( .VAR144(VAR133), .VAR10(VAR24[23]), .VAR111(VAR94), .VAR7(VAR2[23]) ); VAR169 VAR58 ( .VAR144(VAR133), .VAR10(VAR24[24]), .VAR111(VAR94), .VAR7(VAR2[24]) ); VAR12 VAR19 ( .VAR25(VAR11), .VAR71(VAR24[24]), .VAR111(VAR81), .VAR101(VAR24[16]), .VAR7( VAR2[16]) ); VAR12 VAR113 ( .VAR25(VAR11), .VAR71(VAR24[25]), .VAR111(VAR81), .VAR101(VAR24[17]), .VAR7( VAR2[17]) ); VAR12 VAR69 ( .VAR25(VAR11), .VAR71(VAR24[18]), .VAR111(VAR121), .VAR101(VAR24[10]), .VAR7( VAR2[10]) ); VAR12 VAR90 ( .VAR25(VAR11), .VAR71(VAR24[19]), .VAR111(VAR81), .VAR101(VAR24[11]), .VAR7( VAR2[11]) ); VAR12 VAR55 ( .VAR25(VAR11), .VAR71(VAR24[20]), .VAR111(VAR81), .VAR101(VAR24[12]), .VAR7( VAR2[12]) ); VAR12 VAR79 ( .VAR25(VAR11), .VAR71(VAR24[21]), .VAR111(VAR81), .VAR101(VAR24[13]), .VAR7( VAR2[13]) ); VAR12 VAR49 ( .VAR25(VAR11), .VAR71(VAR24[22]), .VAR111(VAR81), .VAR101(VAR24[14]), .VAR7( VAR2[14]) ); VAR12 VAR70 ( .VAR25(VAR11), .VAR71(VAR24[23]), .VAR111(VAR81), .VAR101(VAR24[15]), .VAR7( VAR2[15]) ); VAR12 VAR108 ( .VAR25(VAR24[17]), .VAR71(VAR77), .VAR111(VAR81), .VAR101(VAR24[9]), .VAR7( VAR2[9]) ); VAR12 VAR129 ( .VAR25(VAR77), .VAR71(VAR24[8]), .VAR111(VAR24[0]), .VAR101(VAR121), .VAR7( VAR2[0]) ); VAR12 VAR42 ( .VAR25(VAR77), .VAR71(VAR24[9]), .VAR111(VAR24[1]), .VAR101(VAR121), .VAR7( VAR2[1]) ); VAR12 VAR33 ( .VAR25(VAR77), .VAR71(VAR24[10]), .VAR111(VAR24[2]), .VAR101(VAR121), .VAR7( VAR2[2]) ); VAR12 VAR83 ( .VAR25(VAR77), .VAR71(VAR24[11]), .VAR111(VAR24[3]), .VAR101(VAR121), .VAR7( VAR2[3]) ); VAR12 VAR150 ( .VAR25(VAR77), .VAR71(VAR24[12]), .VAR111(VAR24[4]), .VAR101(VAR121), .VAR7( VAR2[4]) ); VAR12 VAR156 ( .VAR25(VAR77), .VAR71(VAR24[13]), .VAR111(VAR24[5]), .VAR101(VAR121), .VAR7( VAR2[5]) ); VAR12 VAR143 ( .VAR25(VAR77), .VAR71(VAR24[14]), .VAR111(VAR24[6]), .VAR101(VAR121), .VAR7( VAR2[6]) ); VAR12 VAR125 ( .VAR25(VAR77), .VAR71(VAR24[15]), .VAR111(VAR24[7]), .VAR101(VAR121), .VAR7( VAR2[7]) ); VAR12 VAR154 ( .VAR25(VAR77), .VAR71(VAR24[16]), .VAR111(VAR121), .VAR101(VAR24[8]), .VAR7( VAR2[8]) ); endmodule module MODULE2 ( VAR24, VAR151, VAR80, VAR2 ); input [25:0] VAR24; output [25:0] VAR2; input VAR151, VAR80; wire VAR133, VAR94, VAR11, VAR77, VAR121, VAR81; VAR120 VAR63 ( .VAR145(VAR133), .VAR7(VAR11) ); VAR61 VAR57 ( .VAR145(VAR94), .VAR7(VAR77) ); VAR140 VAR89 ( .VAR145(VAR80), .VAR78(VAR151), .VAR7(VAR94) ); VAR169 VAR23 ( .VAR144(VAR81), .VAR10(VAR24[16]), .VAR111(VAR94), .VAR7(VAR2[16]) ); VAR169 VAR122 ( .VAR144(VAR81), .VAR10(VAR24[17]), .VAR111(VAR94), .VAR7(VAR2[17]) ); VAR169 VAR39 ( .VAR144(VAR81), .VAR10(VAR24[18]), .VAR111(VAR94), .VAR7(VAR2[18]) ); VAR169 VAR86 ( .VAR144(VAR81), .VAR10(VAR24[19]), .VAR111(VAR94), .VAR7(VAR2[19]) ); VAR169 VAR45 ( .VAR144(VAR81), .VAR10(VAR24[20]), .VAR111(VAR94), .VAR7(VAR2[20]) ); VAR169 VAR115 ( .VAR144(VAR81), .VAR10(VAR24[21]), .VAR111(VAR94), .VAR7(VAR2[21]) ); VAR169 VAR15 ( .VAR144(VAR81), .VAR10(VAR24[22]), .VAR111(VAR94), .VAR7(VAR2[22]) ); VAR169 VAR56 ( .VAR144(VAR81), .VAR10(VAR24[23]), .VAR111(VAR94), .VAR7(VAR2[23]) ); VAR169 VAR85 ( .VAR144(VAR81), .VAR10(VAR24[24]), .VAR111(VAR94), .VAR7(VAR2[24]) ); VAR169 VAR142 ( .VAR144(VAR81), .VAR10(VAR24[25]), .VAR111(VAR77), .VAR7(VAR2[25]) ); VAR61 VAR58 ( .VAR145(VAR133), .VAR7(VAR121) ); VAR61 VAR19 ( .VAR145(VAR133), .VAR7(VAR81) ); VAR120 VAR113 ( .VAR145(VAR151), .VAR7(VAR133) ); VAR169 VAR69 ( .VAR144(VAR24[10]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[10]) ); VAR169 VAR90 ( .VAR144(VAR24[11]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[11]) ); VAR169 VAR55 ( .VAR144(VAR24[12]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[12]) ); VAR169 VAR79 ( .VAR144(VAR24[13]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[13]) ); VAR169 VAR49 ( .VAR144(VAR24[14]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[14]) ); VAR169 VAR70 ( .VAR144(VAR24[15]), .VAR10(VAR133), .VAR111(VAR77), .VAR7(VAR2[15]) ); VAR12 VAR108 ( .VAR25(VAR24[25]), .VAR71(VAR11), .VAR111(VAR24[9]), .VAR101(VAR121), .VAR7( VAR2[9]) ); VAR12 VAR129 ( .VAR25(VAR151), .VAR71(VAR24[16]), .VAR111(VAR24[0]), .VAR101(VAR121), .VAR7( VAR2[0]) ); VAR12 VAR42 ( .VAR25(VAR151), .VAR71(VAR24[17]), .VAR111(VAR24[1]), .VAR101(VAR121), .VAR7( VAR2[1]) ); VAR12 VAR33 ( .VAR25(VAR151), .VAR71(VAR24[18]), .VAR111(VAR24[2]), .VAR101(VAR121), .VAR7( VAR2[2]) ); VAR12 VAR83 ( .VAR25(VAR151), .VAR71(VAR24[19]), .VAR111(VAR24[3]), .VAR101(VAR121), .VAR7( VAR2[3]) ); VAR12 VAR150 ( .VAR25(VAR151), .VAR71(VAR24[20]), .VAR111(VAR24[4]), .VAR101(VAR121), .VAR7( VAR2[4]) ); VAR12 VAR156 ( .VAR25(VAR151), .VAR71(VAR24[21]), .VAR111(VAR24[5]), .VAR101(VAR121), .VAR7( VAR2[5]) ); VAR12 VAR143 ( .VAR25(VAR151), .VAR71(VAR24[22]), .VAR111(VAR24[6]), .VAR101(VAR121), .VAR7( VAR2[6]) ); VAR12 VAR125 ( .VAR25(VAR151), .VAR71(VAR24[23]), .VAR111(VAR24[7]), .VAR101(VAR121), .VAR7( VAR2[7]) ); VAR12 VAR154 ( .VAR25(VAR11), .VAR71(VAR24[24]), .VAR111(VAR24[8]), .VAR101(VAR121), .VAR7( VAR2[8]) ); endmodule module MODULE4 ( clk, rst, VAR20, VAR24, VAR82, VAR68, VAR80, VAR2 ); input [25:0] VAR24; input [4:0] VAR68; output [25:0] VAR2; input clk, rst, VAR20, VAR82, VAR80; wire \VAR104[6][25] , \VAR104[6][24] , \VAR104[6][23] , \VAR104[6][22] , \VAR104[6][21] , \VAR104[6][20] , \VAR104[6][19] , \VAR104[6][18] , \VAR104[6][17] , \VAR104[6][16] , \VAR104[6][15] , \VAR104[6][14] , \VAR104[6][13] , \VAR104[6][12] , \VAR104[6][11] , \VAR104[6][10] , \VAR104[6][9] , \VAR104[6][8] , \VAR104[6][7] , \VAR104[6][6] , \VAR104[6][5] , \VAR104[6][4] , \VAR104[6][3] , \VAR104[6][2] , \VAR104[6][1] , \VAR104[6][0] , \VAR104[5][25] , \VAR104[5][24] , \VAR104[5][23] , \VAR104[5][22] , \VAR104[5][21] , \VAR104[5][20] , \VAR104[5][19] , \VAR104[5][18] , \VAR104[5][17] , \VAR104[5][16] , \VAR104[5][15] , \VAR104[5][14] , \VAR104[5][13] , \VAR104[5][12] , \VAR104[5][11] , \VAR104[5][10] , \VAR104[5][9] , \VAR104[5][8] , \VAR104[5][7] , \VAR104[5][6] , \VAR104[5][5] , \VAR104[5][4] , \VAR104[5][3] , \VAR104[5][2] , \VAR104[5][1] , \VAR104[5][0] , \VAR104[4][25] , \VAR104[4][24] , \VAR104[4][23] , \VAR104[4][22] , \VAR104[4][21] , \VAR104[4][20] , \VAR104[4][19] , \VAR104[4][18] , \VAR104[4][17] , \VAR104[4][16] , \VAR104[4][15] , \VAR104[4][14] , \VAR104[4][13] , \VAR104[4][12] , \VAR104[4][11] , \VAR104[4][10] , \VAR104[4][9] , \VAR104[4][8] , \VAR104[4][7] , \VAR104[4][6] , \VAR104[4][5] , \VAR104[4][4] , \VAR104[4][3] , \VAR104[4][2] , \VAR104[4][1] , \VAR104[4][0] , \VAR104[3][25] , \VAR104[3][24] , \VAR104[3][23] , \VAR104[3][22] , \VAR104[3][21] , \VAR104[3][20] , \VAR104[3][19] , \VAR104[3][18] , \VAR104[3][17] , \VAR104[3][16] , \VAR104[3][15] , \VAR104[3][14] , \VAR104[3][13] , \VAR104[3][12] , \VAR104[3][11] , \VAR104[3][10] , \VAR104[3][9] , \VAR104[3][8] , \VAR104[3][7] , \VAR104[3][6] , \VAR104[3][5] , \VAR104[3][4] , \VAR104[3][3] , \VAR104[3][2] , \VAR104[3][1] , \VAR104[3][0] , \VAR104[2][25] , \VAR104[2][24] , \VAR104[2][23] , \VAR104[2][22] , \VAR104[2][21] , \VAR104[2][20] , \VAR104[2][19] , \VAR104[2][18] , \VAR104[2][17] , \VAR104[2][16] , \VAR104[2][15] , \VAR104[2][14] , \VAR104[2][13] , \VAR104[2][12] , \VAR104[2][11] , \VAR104[2][10] , \VAR104[2][9] , \VAR104[2][8] , \VAR104[2][7] , \VAR104[2][6] , \VAR104[2][5] , \VAR104[2][4] , \VAR104[2][3] , \VAR104[2][2] , \VAR104[2][1] , \VAR104[2][0] , \VAR104[1][25] , \VAR104[1][24] , \VAR104[1][23] , \VAR104[1][22] , \VAR104[1][21] , \VAR104[1][20] , \VAR104[1][19] , \VAR104[1][18] , \VAR104[1][17] , \VAR104[1][16] , \VAR104[1][15] , \VAR104[1][14] , \VAR104[1][13] , \VAR104[1][12] , \VAR104[1][11] , \VAR104[1][10] , \VAR104[1][9] , \VAR104[1][8] , \VAR104[1][7] , \VAR104[1][6] , \VAR104[1][5] , \VAR104[1][4] , \VAR104[1][3] , \VAR104[1][2] , \VAR104[1][1] , \VAR104[1][0] , \VAR104[0][25] , \VAR104[0][24] , \VAR104[0][23] , \VAR104[0][22] , \VAR104[0][21] , \VAR104[0][20] , \VAR104[0][19] , \VAR104[0][18] , \VAR104[0][17] , \VAR104[0][16] , \VAR104[0][15] , \VAR104[0][14] , \VAR104[0][13] , \VAR104[0][12] , \VAR104[0][11] , \VAR104[0][10] , \VAR104[0][9] , \VAR104[0][8] , \VAR104[0][7] , \VAR104[0][6] , \VAR104[0][5] , \VAR104[0][4] , \VAR104[0][3] , \VAR104[0][2] , \VAR104[0][1] , \VAR104[0][0] ; VAR96 VAR31 ( .VAR24(VAR24), .VAR151( VAR82), .VAR2({\VAR104[0][25] , \VAR104[0][24] , \VAR104[0][23] , \VAR104[0][22] , \VAR104[0][21] , \VAR104[0][20] , \VAR104[0][19] , \VAR104[0][18] , \VAR104[0][17] , \VAR104[0][16] , \VAR104[0][15] , \VAR104[0][14] , \VAR104[0][13] , \VAR104[0][12] , \VAR104[0][11] , \VAR104[0][10] , \VAR104[0][9] , \VAR104[0][8] , \VAR104[0][7] , \VAR104[0][6] , \VAR104[0][5] , \VAR104[0][4] , \VAR104[0][3] , \VAR104[0][2] , \VAR104[0][1] , \VAR104[0][0] }) ); MODULE3 \VAR131[0].VAR164 ( .VAR24({ \VAR104[0][25] , \VAR104[0][24] , \VAR104[0][23] , \VAR104[0][22] , \VAR104[0][21] , \VAR104[0][20] , \VAR104[0][19] , \VAR104[0][18] , \VAR104[0][17] , \VAR104[0][16] , \VAR104[0][15] , \VAR104[0][14] , \VAR104[0][13] , \VAR104[0][12] , \VAR104[0][11] , \VAR104[0][10] , \VAR104[0][9] , \VAR104[0][8] , \VAR104[0][7] , \VAR104[0][6] , \VAR104[0][5] , \VAR104[0][4] , \VAR104[0][3] , \VAR104[0][2] , \VAR104[0][1] , \VAR104[0][0] }), .VAR151(VAR68[0]), .VAR80(VAR80), .VAR2({\VAR104[1][25] , \VAR104[1][24] , \VAR104[1][23] , \VAR104[1][22] , \VAR104[1][21] , \VAR104[1][20] , \VAR104[1][19] , \VAR104[1][18] , \VAR104[1][17] , \VAR104[1][16] , \VAR104[1][15] , \VAR104[1][14] , \VAR104[1][13] , \VAR104[1][12] , \VAR104[1][11] , \VAR104[1][10] , \VAR104[1][9] , \VAR104[1][8] , \VAR104[1][7] , \VAR104[1][6] , \VAR104[1][5] , \VAR104[1][4] , \VAR104[1][3] , \VAR104[1][2] , \VAR104[1][1] , \VAR104[1][0] }) ); MODULE8 \VAR131[1].VAR164 ( .VAR24({ \VAR104[1][25] , \VAR104[1][24] , \VAR104[1][23] , \VAR104[1][22] , \VAR104[1][21] , \VAR104[1][20] , \VAR104[1][19] , \VAR104[1][18] , \VAR104[1][17] , \VAR104[1][16] , \VAR104[1][15] , \VAR104[1][14] , \VAR104[1][13] , \VAR104[1][12] , \VAR104[1][11] , \VAR104[1][10] , \VAR104[1][9] , \VAR104[1][8] , \VAR104[1][7] , \VAR104[1][6] , \VAR104[1][5] , \VAR104[1][4] , \VAR104[1][3] , \VAR104[1][2] , \VAR104[1][1] , \VAR104[1][0] }), .VAR151(VAR68[1]), .VAR80(VAR80), .VAR2({\VAR104[2][25] , \VAR104[2][24] , \VAR104[2][23] , \VAR104[2][22] , \VAR104[2][21] , \VAR104[2][20] , \VAR104[2][19] , \VAR104[2][18] , \VAR104[2][17] , \VAR104[2][16] , \VAR104[2][15] , \VAR104[2][14] , \VAR104[2][13] , \VAR104[2][12] , \VAR104[2][11] , \VAR104[2][10] , \VAR104[2][9] , \VAR104[2][8] , \VAR104[2][7] , \VAR104[2][6] , \VAR104[2][5] , \VAR104[2][4] , \VAR104[2][3] , \VAR104[2][2] , \VAR104[2][1] , \VAR104[2][0] }) ); MODULE1 \VAR131[2].VAR164 ( .VAR24({ \VAR104[2][25] , \VAR104[2][24] , \VAR104[2][23] , \VAR104[2][22] , \VAR104[2][21] , \VAR104[2][20] , \VAR104[2][19] , \VAR104[2][18] , \VAR104[2][17] , \VAR104[2][16] , \VAR104[2][15] , \VAR104[2][14] , \VAR104[2][13] , \VAR104[2][12] , \VAR104[2][11] , \VAR104[2][10] , \VAR104[2][9] , \VAR104[2][8] , \VAR104[2][7] , \VAR104[2][6] , \VAR104[2][5] , \VAR104[2][4] , \VAR104[2][3] , \VAR104[2][2] , \VAR104[2][1] , \VAR104[2][0] }), .VAR151(VAR68[2]), .VAR80(VAR80), .VAR2({\VAR104[3][25] , \VAR104[3][24] , \VAR104[3][23] , \VAR104[3][22] , \VAR104[3][21] , \VAR104[3][20] , \VAR104[3][19] , \VAR104[3][18] , \VAR104[3][17] , \VAR104[3][16] , \VAR104[3][15] , \VAR104[3][14] , \VAR104[3][13] , \VAR104[3][12] , \VAR104[3][11] , \VAR104[3][10] , \VAR104[3][9] , \VAR104[3][8] , \VAR104[3][7] , \VAR104[3][6] , \VAR104[3][5] , \VAR104[3][4] , \VAR104[3][3] , \VAR104[3][2] , \VAR104[3][1] , \VAR104[3][0] }) ); VAR91 VAR76 ( .clk(clk), .rst(rst), .VAR106(1'b1), .VAR14({ \VAR104[3][25] , \VAR104[3][24] , \VAR104[3][23] , \VAR104[3][22] , \VAR104[3][21] , \VAR104[3][20] , \VAR104[3][19] , \VAR104[3][18] , \VAR104[3][17] , \VAR104[3][16] , \VAR104[3][15] , \VAR104[3][14] , \VAR104[3][13] , \VAR104[3][12] , \VAR104[3][11] , \VAR104[3][10] , \VAR104[3][9] , \VAR104[3][8] , \VAR104[3][7] , \VAR104[3][6] , \VAR104[3][5] , \VAR104[3][4] , \VAR104[3][3] , \VAR104[3][2] , \VAR104[3][1] , \VAR104[3][0] }), .VAR110({\VAR104[4][25] , \VAR104[4][24] , \VAR104[4][23] , \VAR104[4][22] , \VAR104[4][21] , \VAR104[4][20] , \VAR104[4][19] , \VAR104[4][18] , \VAR104[4][17] , \VAR104[4][16] , \VAR104[4][15] , \VAR104[4][14] , \VAR104[4][13] , \VAR104[4][12] , \VAR104[4][11] , \VAR104[4][10] , \VAR104[4][9] , \VAR104[4][8] , \VAR104[4][7] , \VAR104[4][6] , \VAR104[4][5] , \VAR104[4][4] , \VAR104[4][3] , \VAR104[4][2] , \VAR104[4][1] , \VAR104[4][0] }) ); MODULE5 \VAR161[3].VAR164 ( .VAR24({ \VAR104[4][25] , \VAR104[4][24] , \VAR104[4][23] , \VAR104[4][22] , \VAR104[4][21] , \VAR104[4][20] , \VAR104[4][19] , \VAR104[4][18] , \VAR104[4][17] , \VAR104[4][16] , \VAR104[4][15] , \VAR104[4][14] , \VAR104[4][13] , \VAR104[4][12] , \VAR104[4][11] , \VAR104[4][10] , \VAR104[4][9] , \VAR104[4][8] , \VAR104[4][7] , \VAR104[4][6] , \VAR104[4][5] , \VAR104[4][4] , \VAR104[4][3] , \VAR104[4][2] , \VAR104[4][1] , \VAR104[4][0] }), .VAR151(VAR68[3]), .VAR80(VAR80), .VAR2({\VAR104[5][25] , \VAR104[5][24] , \VAR104[5][23] , \VAR104[5][22] , \VAR104[5][21] , \VAR104[5][20] , \VAR104[5][19] , \VAR104[5][18] , \VAR104[5][17] , \VAR104[5][16] , \VAR104[5][15] , \VAR104[5][14] , \VAR104[5][13] , \VAR104[5][12] , \VAR104[5][11] , \VAR104[5][10] , \VAR104[5][9] , \VAR104[5][8] , \VAR104[5][7] , \VAR104[5][6] , \VAR104[5][5] , \VAR104[5][4] , \VAR104[5][3] , \VAR104[5][2] , \VAR104[5][1] , \VAR104[5][0] }) ); MODULE2 \VAR161[4].VAR164 ( .VAR24({ \VAR104[5][25] , \VAR104[5][24] , \VAR104[5][23] , \VAR104[5][22] , \VAR104[5][21] , \VAR104[5][20] , \VAR104[5][19] , \VAR104[5][18] , \VAR104[5][17] , \VAR104[5][16] , \VAR104[5][15] , \VAR104[5][14] , \VAR104[5][13] , \VAR104[5][12] , \VAR104[5][11] , \VAR104[5][10] , \VAR104[5][9] , \VAR104[5][8] , \VAR104[5][7] , \VAR104[5][6] , \VAR104[5][5] , \VAR104[5][4] , \VAR104[5][3] , \VAR104[5][2] , \VAR104[5][1] , \VAR104[5][0] }), .VAR151(VAR68[4]), .VAR80(VAR80), .VAR2({\VAR104[6][25] , \VAR104[6][24] , \VAR104[6][23] , \VAR104[6][22] , \VAR104[6][21] , \VAR104[6][20] , \VAR104[6][19] , \VAR104[6][18] , \VAR104[6][17] , \VAR104[6][16] , \VAR104[6][15] , \VAR104[6][14] , \VAR104[6][13] , \VAR104[6][12] , \VAR104[6][11] , \VAR104[6][10] , \VAR104[6][9] , \VAR104[6][8] , \VAR104[6][7] , \VAR104[6][6] , \VAR104[6][5] , \VAR104[6][4] , \VAR104[6][3] , \VAR104[6][2] , \VAR104[6][1] , \VAR104[6][0] }) ); VAR165 VAR109 ( .VAR24({\VAR104[6][25] , \VAR104[6][24] , \VAR104[6][23] , \VAR104[6][22] , \VAR104[6][21] , \VAR104[6][20] , \VAR104[6][19] , \VAR104[6][18] , \VAR104[6][17] , \VAR104[6][16] , \VAR104[6][15] , \VAR104[6][14] , \VAR104[6][13] , \VAR104[6][12] , \VAR104[6][11] , \VAR104[6][10] , \VAR104[6][9] , \VAR104[6][8] , \VAR104[6][7] , \VAR104[6][6] , \VAR104[6][5] , \VAR104[6][4] , \VAR104[6][3] , \VAR104[6][2] , \VAR104[6][1] , \VAR104[6][0] }), .VAR151(VAR82), .VAR2(VAR2) ); endmodule module MODULE7 ( clk, rst, VAR106, VAR14, VAR110 ); input [25:0] VAR14; output [25:0] VAR110; input clk, rst, VAR106; wire VAR133, VAR94, VAR37, VAR43, VAR135, VAR73, VAR11, VAR77, VAR121, VAR81, VAR6, VAR74, VAR168, VAR18, VAR59, VAR126, VAR50, VAR13, VAR29, VAR60, VAR130, VAR16, VAR118, VAR51, VAR127, VAR103, VAR138, VAR32, VAR27, VAR134, VAR136, VAR139, VAR98, VAR92, VAR41, VAR64, VAR54, VAR102, VAR153, VAR4, VAR119, VAR35, VAR52, VAR62, VAR158, VAR112, VAR146, VAR157, VAR17, VAR26, VAR141, VAR34, VAR48, VAR147, VAR88, VAR47, VAR159, VAR65, VAR124, VAR100; VAR123 \VAR166[25] ( .VAR14(VAR62), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[25]), .VAR84(VAR146) ); VAR123 \VAR166[24] ( .VAR14(VAR52), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[24]), .VAR84(VAR112) ); VAR123 \VAR166[23] ( .VAR14(VAR35), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[23]), .VAR84(VAR158) ); VAR123 \VAR166[22] ( .VAR14(VAR119), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[22]), .VAR84(VAR34) ); VAR123 \VAR166[21] ( .VAR14(VAR4), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[21]), .VAR84(VAR141) ); VAR123 \VAR166[20] ( .VAR14(VAR153), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[20]), .VAR84(VAR26) ); VAR123 \VAR166[19] ( .VAR14(VAR102), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[19]), .VAR84(VAR17) ); VAR123 \VAR166[18] ( .VAR14(VAR54), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[18]), .VAR84(VAR157) ); VAR123 \VAR166[17] ( .VAR14(VAR64), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[17]), .VAR84(VAR13) ); VAR123 \VAR166[16] ( .VAR14(VAR41), .VAR95(clk), .VAR75(VAR147), .VAR110(VAR110[16]), .VAR84(VAR50) ); VAR123 \VAR166[15] ( .VAR14(VAR92), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[15]), .VAR84(VAR126) ); VAR123 \VAR166[14] ( .VAR14(VAR98), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[14]), .VAR84(VAR59) ); VAR123 \VAR166[13] ( .VAR14(VAR139), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[13]), .VAR84(VAR18) ); VAR123 \VAR166[12] ( .VAR14(VAR136), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[12]), .VAR84(VAR168) ); VAR123 \VAR166[11] ( .VAR14(VAR134), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[11]), .VAR84(VAR74) ); VAR123 \VAR166[10] ( .VAR14(VAR27), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[10]), .VAR84(VAR6) ); VAR123 \VAR166[9] ( .VAR14(VAR32), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[9]), .VAR84(VAR81) ); VAR123 \VAR166[8] ( .VAR14(VAR138), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[8]), .VAR84(VAR121) ); VAR123 \VAR166[7] ( .VAR14(VAR103), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[7]), .VAR84(VAR77) ); VAR123 \VAR166[6] ( .VAR14(VAR127), .VAR95(clk), .VAR75(VAR88), .VAR110(VAR110[6]), .VAR84(VAR11) ); VAR123 \VAR166[5] ( .VAR14(VAR51), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[5]), .VAR84(VAR73) ); VAR123 \VAR166[4] ( .VAR14(VAR118), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[4]), .VAR84(VAR135) ); VAR123 \VAR166[3] ( .VAR14(VAR16), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[3]), .VAR84(VAR43) ); VAR123 \VAR166[2] ( .VAR14(VAR130), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[2]), .VAR84(VAR37) ); VAR123 \VAR166[1] ( .VAR14(VAR60), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[1]), .VAR84(VAR94) ); VAR123 \VAR166[0] ( .VAR14(VAR29), .VAR95(clk), .VAR75(VAR48), .VAR110(VAR110[0]), .VAR84(VAR133) ); VAR61 VAR57 ( .VAR145(VAR106), .VAR7(VAR47) ); VAR61 VAR89 ( .VAR145(VAR100), .VAR7(VAR159) ); VAR61 VAR23 ( .VAR145(VAR100), .VAR7(VAR65) ); VAR61 VAR122 ( .VAR145(VAR100), .VAR7(VAR124) ); VAR61 VAR39 ( .VAR145(VAR48), .VAR7(VAR88) ); VAR61 VAR86 ( .VAR145(VAR48), .VAR7(VAR147) ); VAR105 VAR45 ( .VAR111(VAR133), .VAR101(VAR47), .VAR144(VAR14[0]), .VAR10(VAR124), .VAR7(VAR29) ); VAR105 VAR115 ( .VAR111(VAR94), .VAR101(VAR47), .VAR144(VAR14[1]), .VAR10(VAR65), .VAR7(VAR60) ); VAR105 VAR15 ( .VAR111(VAR37), .VAR101(VAR47), .VAR144(VAR14[2]), .VAR10(VAR65), .VAR7(VAR130) ); VAR105 VAR56 ( .VAR111(VAR43), .VAR101(VAR47), .VAR144(VAR14[3]), .VAR10(VAR65), .VAR7(VAR16) ); VAR105 VAR85 ( .VAR111(VAR135), .VAR101(VAR47), .VAR144(VAR14[4]), .VAR10(VAR65), .VAR7(VAR118) ); VAR105 VAR142 ( .VAR111(VAR73), .VAR101(VAR47), .VAR144(VAR14[5]), .VAR10(VAR159), .VAR7(VAR51) ); VAR105 VAR58 ( .VAR111(VAR11), .VAR101(VAR106), .VAR144(VAR14[6]), .VAR10(VAR65), .VAR7(VAR127) ); VAR105 VAR19 ( .VAR111(VAR77), .VAR101(VAR100), .VAR144(VAR14[7]), .VAR10(VAR159), .VAR7(VAR103) ); VAR105 VAR113 ( .VAR111(VAR121), .VAR101(VAR106), .VAR144(VAR14[8]), .VAR10(VAR159), .VAR7(VAR138) ); VAR105 VAR69 ( .VAR111(VAR81), .VAR101(VAR124), .VAR144(VAR14[9]), .VAR10(VAR47), .VAR7(VAR32) ); VAR105 VAR90 ( .VAR111(VAR6), .VAR101(VAR124), .VAR144(VAR14[10]), .VAR10(VAR47), .VAR7(VAR27) ); VAR105 VAR55 ( .VAR111(VAR74), .VAR101(VAR124), .VAR144(VAR14[11]), .VAR10(VAR47), .VAR7(VAR134) ); VAR105 VAR79 ( .VAR111(VAR168), .VAR101(VAR100), .VAR144(VAR14[12]), .VAR10(VAR47), .VAR7(VAR136) ); VAR105 VAR49 ( .VAR111(VAR18), .VAR101(VAR106), .VAR144(VAR14[13]), .VAR10(VAR159), .VAR7(VAR139) ); VAR105 VAR70 ( .VAR111(VAR59), .VAR101(VAR106), .VAR144(VAR14[14]), .VAR10(VAR159), .VAR7(VAR98) ); VAR105 VAR108 ( .VAR111(VAR126), .VAR101(VAR106), .VAR144(VAR14[15]), .VAR10(VAR159), .VAR7(VAR92) ); VAR105 VAR129 ( .VAR111(VAR50), .VAR101(VAR106), .VAR144(VAR14[16]), .VAR10(VAR159), .VAR7(VAR41) ); VAR105 VAR42 ( .VAR111(VAR13), .VAR101(VAR106), .VAR144(VAR14[17]), .VAR10(VAR159), .VAR7(VAR64) ); VAR105 VAR33 ( .VAR111(VAR157), .VAR101(VAR100), .VAR144(VAR14[18]), .VAR10(VAR159), .VAR7(VAR54) ); VAR105 VAR83 ( .VAR111(VAR17), .VAR101(VAR100), .VAR144(VAR14[19]), .VAR10(VAR159), .VAR7(VAR102) ); VAR105 VAR150 ( .VAR111(VAR26), .VAR101(VAR100), .VAR144(VAR14[20]), .VAR10(VAR65), .VAR7(VAR153) ); VAR105 VAR156 ( .VAR111(VAR141), .VAR101(VAR100), .VAR144(VAR14[21]), .VAR10(VAR65), .VAR7(VAR4) ); VAR105 VAR143 ( .VAR111(VAR34), .VAR101(VAR100), .VAR144(VAR14[22]), .VAR10(VAR65), .VAR7(VAR119) ); VAR105 VAR125 ( .VAR111(VAR158), .VAR101(VAR124), .VAR144(VAR14[23]), .VAR10(VAR65), .VAR7(VAR35) ); VAR105 VAR154 ( .VAR111(VAR112), .VAR101(VAR124), .VAR144(VAR14[24]), .VAR10(VAR65), .VAR7(VAR52) ); VAR105 VAR149 ( .VAR111(VAR146), .VAR101(VAR106), .VAR144(VAR124), .VAR10(VAR14[25]), .VAR7(VAR62) ); VAR61 VAR40 ( .VAR145(VAR106), .VAR7(VAR100) ); VAR120 VAR28 ( .VAR145(rst), .VAR7(VAR48) ); endmodule module MODULE6 ( clk, rst, VAR20, VAR68, VAR30, VAR114, VAR87, VAR99 ); input [4:0] VAR68; input [25:0] VAR30; output [25:0] VAR99; input clk, rst, VAR20, VAR114, VAR87; wire [25:0] VAR72; MODULE4 VAR137 ( .clk(clk), .rst(rst), .VAR20(VAR20), .VAR24(VAR30), .VAR82(VAR114), .VAR68(VAR68), .VAR80(VAR87), .VAR2( VAR72) ); MODULE7 VAR53 ( .clk(clk), .rst(rst), .VAR106(VAR20), .VAR14( VAR72), .VAR110(VAR99) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlxtn/sky130_fd_sc_hdll__dlxtn.pp.symbol.v
1,349
module MODULE1 ( input VAR4 , output VAR7 , input VAR1, input VAR3 , input VAR2 , input VAR5 , input VAR6 ); endmodule
apache-2.0
vad-rulezz/megabot
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_tt.v
7,448
module MODULE1( clk, rst, VAR2, VAR4, VAR1, VAR20, VAR7, VAR13, VAR17 ); input clk; input rst; input VAR2; input VAR4; input VAR1; input [31:0] VAR20; input [31:0] VAR7; output [31:0] VAR13; output VAR17; reg [31:0] VAR22; else wire [31:0] VAR22; VAR24 reg [31:0] VAR5; else wire [31:0] VAR5; VAR24 wire VAR9; wire VAR16; wire VAR19; wire VAR15; wire VAR11; reg [31:0] VAR13; assign VAR9 = (VAR4 && (VAR20[VAR12] == VAR8)) ? 1'b1 : 1'b0; assign VAR16 = (VAR4 && (VAR20[VAR12] == VAR23)) ? 1'b1 : 1'b0; always @(posedge clk or posedge rst) if (rst) VAR22 <= 32'b0; else if (VAR9 && VAR1) VAR22 <= VAR7; else if (VAR22[VAR10]) VAR22[VAR3] <= VAR22[VAR3] | (VAR19 & VAR22[VAR10]); assign VAR22 = {2'b11, 30'b0}; VAR24 always @(posedge clk or posedge rst) if (rst) VAR5 <= 32'b0; else if (VAR15) VAR5 <= 32'b0; else if (VAR16 && VAR1) VAR5 <= VAR7; else if (!VAR11) VAR5 <= VAR5 + 32'd1; assign VAR5 = 32'b0; always @(VAR20 or VAR22 or VAR5) case (VAR20[VAR12]) VAR6 VAR18 default: VAR13 = VAR5; endcase assign VAR19 = (VAR22[VAR14] == VAR5[27:0]) ? 1'b1 : 1'b0; assign VAR15 = VAR19 && (VAR22[VAR21] == 2'b01); assign VAR11 = VAR19 & (VAR22[VAR21] == 2'b10) | (VAR22[VAR21] == 2'b00) | VAR2; assign VAR17 = VAR22[VAR3]; assign VAR17 = 1'b0; assign VAR13 = 32'b0; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/decap/sky130_fd_sc_hvl__decap.pp.symbol.v
1,204
module MODULE1 ( input VAR3 , input VAR2, input VAR1, input VAR4 ); endmodule
apache-2.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/image_filter_Dilate_0_0_1080_1920_s.v
73,198
module MODULE1 ( VAR115, VAR306, VAR130, VAR123, VAR40, VAR248, VAR199, VAR47, VAR160, VAR219, VAR144, VAR1, VAR4, VAR54, VAR245 ); parameter VAR233 = 1'b1; parameter VAR74 = 1'b0; parameter VAR289 = 5'b1; parameter VAR167 = 5'b10; parameter VAR316 = 5'b100; parameter VAR129 = 5'b1000; parameter VAR16 = 5'b10000; parameter VAR9 = 32'b00000000000000000000000000000000; parameter VAR281 = 1'b1; parameter VAR269 = 32'b1; parameter VAR265 = 1'b0; parameter VAR293 = 32'b10; parameter VAR209 = 32'b11; parameter VAR67 = 11'b00000000000; parameter VAR298 = 32'b100; parameter VAR168 = 2'b1; parameter VAR278 = 2'b00; parameter VAR203 = 11'b101; parameter VAR198 = 11'b10; parameter VAR185 = 11'b11111111101; parameter VAR73 = 2'b11; parameter VAR177 = 11'b11111111111; parameter VAR178 = 11'b1; parameter VAR25 = 11'b100; parameter VAR149 = 12'b111111111100; parameter VAR137 = 12'b111111111111; parameter VAR61 = 32'b1011; parameter VAR166 = 12'b111111111011; parameter VAR3 = 12'b111111111010; parameter VAR153 = 32'b1010; parameter VAR145 = 10'b0000000000; parameter VAR300 = 1'b1; input VAR115; input VAR306; input VAR130; output VAR123; input VAR40; output VAR248; output VAR199; input [11:0] VAR47; input [11:0] VAR160; input [7:0] VAR219; input VAR144; output VAR1; output [7:0] VAR4; input VAR54; output VAR245; reg VAR123; reg VAR248; reg VAR199; reg VAR1; reg VAR245; reg VAR29 = 1'b0; reg [4:0] VAR143 = 5'b1; reg VAR285; reg VAR169; reg [10:0] VAR156; reg VAR157; wire [10:0] VAR307; reg [10:0] VAR161; wire [10:0] VAR89; reg [10:0] VAR155; wire [11:0] VAR139; reg [11:0] VAR23; wire [1:0] VAR201; reg [1:0] VAR82; wire [10:0] VAR189; reg [10:0] VAR227; wire [11:0] VAR49; reg [11:0] VAR136; wire [10:0] VAR230; reg [10:0] VAR241; wire [1:0] VAR317; reg [1:0] VAR24; wire [10:0] VAR134; reg [10:0] VAR240; reg VAR131; reg VAR225; wire [0:0] VAR238; reg [0:0] VAR171; wire [0:0] VAR110; wire [0:0] VAR170; reg [0:0] VAR221; wire [0:0] VAR296; reg [0:0] VAR264; reg [0:0] VAR41; wire [1:0] VAR182; reg [1:0] VAR229; wire [1:0] VAR125; reg [1:0] VAR283; wire [0:0] VAR142; reg [0:0] VAR276; reg [0:0] VAR197; wire [1:0] VAR290; reg [1:0] VAR102; wire [1:0] VAR284; reg [1:0] VAR35; wire [0:0] VAR239; reg [0:0] VAR66; reg [0:0] VAR211; wire [1:0] VAR138; reg [1:0] VAR32; wire [0:0] VAR172; reg [0:0] VAR213; reg VAR91; reg VAR165; wire [0:0] VAR322; reg [0:0] VAR190; wire [0:0] VAR36; reg [0:0] VAR236; wire [0:0] VAR31; reg [0:0] VAR302; wire [1:0] VAR26; reg [1:0] VAR268; wire [0:0] VAR318; reg [0:0] VAR184; wire [0:0] VAR59; reg [0:0] VAR208; reg VAR101; reg VAR114; reg VAR22 = 1'b0; reg VAR187 = 1'b0; reg [0:0] VAR79; reg [0:0] VAR158; reg [0:0] VAR45; reg VAR192; reg VAR48 = 1'b0; reg VAR12 = 1'b0; reg VAR205 = 1'b0; reg VAR15 = 1'b0; reg VAR75 = 1'b0; reg [0:0] VAR196; reg [0:0] VAR21; reg VAR5; reg VAR228 = 1'b0; reg [0:0] VAR152; reg [0:0] VAR194; reg [0:0] VAR64; wire [10:0] VAR262; wire [0:0] VAR259; reg [0:0] VAR80; reg [0:0] VAR60; reg [0:0] VAR261; reg [0:0] VAR202; reg [0:0] VAR43; wire [10:0] VAR274; reg [10:0] VAR159; wire [0:0] VAR112; reg [0:0] VAR52; reg [0:0] VAR315; reg [0:0] VAR255; wire [0:0] VAR280; reg [0:0] VAR314; reg [0:0] VAR176; reg [0:0] VAR299; reg [0:0] VAR179; wire [0:0] VAR100; reg [0:0] VAR212; wire [0:0] VAR55; reg [0:0] VAR27; reg [0:0] VAR258; wire [1:0] VAR173; reg [1:0] VAR135; reg [1:0] VAR226; reg [10:0] VAR98; reg [10:0] VAR119; reg [10:0] VAR254; wire [1:0] VAR287; reg [1:0] VAR180; reg [1:0] VAR311; wire [7:0] VAR46; reg [7:0] VAR28; wire [7:0] VAR50; reg [7:0] VAR303; wire [7:0] VAR37; reg [7:0] VAR193; wire [7:0] VAR39; reg [7:0] VAR295; reg [7:0] VAR92; reg [7:0] VAR257; reg [7:0] VAR273; reg [7:0] VAR275; reg [7:0] VAR20; wire [7:0] VAR243; reg [7:0] VAR7; wire [0:0] VAR186; reg [0:0] VAR94; reg [7:0] VAR270; reg [7:0] VAR292; reg [7:0] VAR88; reg [7:0] VAR151; wire [7:0] VAR53; reg [7:0] VAR218; reg [7:0] VAR105; wire [7:0] VAR277; reg [7:0] VAR140; wire [0:0] VAR72; reg [0:0] VAR246; wire [7:0] VAR141; reg [7:0] VAR249; wire [10:0] VAR260; reg VAR95; wire [10:0] VAR214; reg VAR319; reg VAR312; wire [7:0] VAR310; wire [10:0] VAR13; reg VAR147; wire [10:0] VAR51; reg VAR56; reg VAR17; wire [7:0] VAR118; wire [10:0] VAR121; reg VAR220; wire [10:0] VAR146; reg VAR282; reg VAR301; wire [7:0] VAR163; reg [10:0] VAR104; reg VAR84; reg VAR133; wire [63:0] VAR253; reg [7:0] VAR175; wire [7:0] VAR288; wire [7:0] VAR164; reg [7:0] VAR11; reg [7:0] VAR132; reg [7:0] VAR200; reg [7:0] VAR77; wire [7:0] VAR272; wire [7:0] VAR108; reg [7:0] VAR251; reg [7:0] VAR237; reg [7:0] VAR279; reg [7:0] VAR224; reg [7:0] VAR305; wire [7:0] VAR120; reg [7:0] VAR38; wire [7:0] VAR30; reg [7:0] VAR87; wire [7:0] VAR122; reg [7:0] VAR148; reg [7:0] VAR76; reg [7:0] VAR244; wire [10:0] VAR68; wire [10:0] VAR113; wire [10:0] VAR313; wire [1:0] VAR106; wire [1:0] VAR124; wire [11:0] VAR162; wire [11:0] VAR62; wire [10:0] VAR2; wire [0:0] VAR19; wire [0:0] VAR247; wire [10:0] VAR34; wire [0:0] VAR210; wire [1:0] VAR321; wire [1:0] VAR266; wire [11:0] VAR93; wire [0:0] VAR127; wire [0:0] VAR57; wire [0:0] VAR250; wire [11:0] VAR263; wire [0:0] VAR216; wire [0:0] VAR86; wire [0:0] VAR231; wire [1:0] VAR181; wire [1:0] VAR126; wire [1:0] VAR297; wire [1:0] VAR215; wire [1:0] VAR65; wire [1:0] VAR44; wire [9:0] VAR223; wire [0:0] VAR116; wire [11:0] VAR256; wire [11:0] VAR111; wire [0:0] VAR6; wire [0:0] VAR291; wire [0:0] VAR304; wire [1:0] VAR174; wire [10:0] VAR252; wire [10:0] VAR78; wire [1:0] VAR109; wire [0:0] VAR10; wire [0:0] VAR191; wire [7:0] VAR267; wire [7:0] VAR90; wire [0:0] VAR42; wire [7:0] VAR69; wire [7:0] VAR183; wire [0:0] VAR117; wire [0:0] VAR154; wire [7:0] VAR188; wire [7:0] VAR232; wire [0:0] VAR320; wire [7:0] VAR14; wire [0:0] VAR309; wire [0:0] VAR58; wire [7:0] VAR8; wire [0:0] VAR308; wire [0:0] VAR97; reg [4:0] VAR242; VAR96 #( .VAR217( 8 ), .VAR103( 1920 ), .VAR294( 11 )) VAR63( .clk( VAR115 ), .reset( VAR306 ), .VAR206( VAR260 ), .VAR204( VAR95 ), .VAR271( VAR46 ), .VAR128( VAR214 ), .VAR85( VAR319 ), .VAR195( VAR312 ), .VAR286( VAR310 ) ); VAR96 #( .VAR217( 8 ), .VAR103( 1920 ), .VAR294( 11 )) VAR18( .clk( VAR115 ), .reset( VAR306 ), .VAR206( VAR13 ), .VAR204( VAR147 ), .VAR271( VAR50 ), .VAR128( VAR51 ), .VAR85( VAR56 ), .VAR195( VAR17 ), .VAR286( VAR118 ) ); VAR96 #( .VAR217( 8 ), .VAR103( 1920 ), .VAR294( 11 )) VAR207( .clk( VAR115 ), .reset( VAR306 ), .VAR206( VAR121 ), .VAR204( VAR220 ), .VAR271( VAR37 ), .VAR128( VAR146 ), .VAR85( VAR282 ), .VAR195( VAR301 ), .VAR286( VAR163 ) ); always @ (posedge VAR115) begin : VAR222 if (VAR306 == 1'b1) begin VAR143 <= VAR289; end else begin VAR143 <= VAR242; end end always @ (posedge VAR115) begin : VAR107 if (VAR306 == 1'b1) begin VAR29 <= VAR74; end else begin if ((VAR233 == VAR40)) begin VAR29 <= VAR74; end else if (((VAR233 == VAR131) & (VAR110 == VAR265))) begin VAR29 <= VAR233; end end end always @ (posedge VAR115) begin : VAR99 if (VAR306 == 1'b1) begin VAR22 <= VAR74; end else begin if (((VAR233 == VAR101) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR59))) begin VAR22 <= VAR74; end else if ((VAR233 == VAR91)) begin VAR22 <= VAR233; end end end always @ (posedge VAR115) begin : VAR81 if (VAR306 == 1'b1) begin VAR187 <= VAR74; end else begin if (((VAR233 == VAR101) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR187 <= VAR22; end else if ((VAR233 == VAR91)) begin VAR187 <= VAR74; end end end always @ (posedge VAR115) begin : VAR235 if (VAR306 == 1'b1) begin VAR48 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR48 <= VAR187; end end end always @ (posedge VAR115) begin : VAR71 if (VAR306 == 1'b1) begin VAR12 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR12 <= VAR48; end end end always @ (posedge VAR115) begin : VAR33 if (VAR306 == 1'b1) begin VAR205 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin if (~(VAR233 == VAR48)) begin VAR205 <= VAR74; end else if ((VAR233 == VAR48)) begin VAR205 <= VAR12; end end end end always @ (posedge VAR115) begin : VAR150 if (VAR306 == 1'b1) begin VAR15 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR15 <= VAR205; end end end always @ (posedge VAR115) begin : VAR70 if (VAR306 == 1'b1) begin VAR75 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR75 <= VAR15; end end end always @ (posedge VAR115) begin : VAR234 if (VAR306 == 1'b1) begin VAR228 <= VAR74; end else begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR228 <= VAR75; end else if ((VAR233 == VAR91)) begin VAR228 <= VAR74; end end end always @(posedge VAR115) begin if ((VAR233 == VAR84)) begin VAR104 <= VAR240; end else if (((VAR233 == VAR285) & ~VAR157)) begin VAR104 <= VAR67; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & (VAR233 == VAR22) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR59))) begin VAR156 <= VAR262; end else if ((VAR233 == VAR91)) begin VAR156 <= VAR67; end end always @(posedge VAR115) begin if (((~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & ~(VAR265 == VAR179)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & ~(VAR265 == VAR212)))) begin VAR175 <= VAR28; end else if (((~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & (VAR311 == VAR168)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & (VAR311 == VAR278)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & ~(VAR311 == VAR168) & ~(VAR311 == VAR278)))) begin VAR175 <= VAR164; end else if ((((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & (VAR268 == VAR168)) | ((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & (VAR268 == VAR278)) | ((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & ~(VAR268 == VAR168) & ~(VAR268 == VAR278)))) begin VAR175 <= VAR288; end end always @(posedge VAR115) begin if (((~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & ~(VAR265 == VAR179)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & ~(VAR265 == VAR212)))) begin VAR77 <= VAR303; end else if (((~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & (VAR311 == VAR168)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & (VAR311 == VAR278)) | (~(VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR212) & (VAR265 == VAR179) & (VAR265 == VAR255) & ~(VAR311 == VAR168) & ~(VAR311 == VAR278)))) begin VAR77 <= VAR108; end else if ((((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & (VAR268 == VAR168)) | ((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & (VAR268 == VAR278)) | ((VAR265 == VAR184) & (VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & (VAR265 == VAR41) & ~(VAR268 == VAR168) & ~(VAR268 == VAR278)))) begin VAR77 <= VAR272; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & (VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR299) & (VAR265 == VAR315) & ~(VAR180 == VAR168) & ~(VAR180 == VAR278))) begin VAR200 <= VAR244; end else if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & (VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR299) & (VAR265 == VAR315) & (VAR180 == VAR278))) begin VAR200 <= VAR148; end else if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & (VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR299) & (VAR265 == VAR315) & (VAR180 == VAR168))) begin VAR200 <= VAR76; end else if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) | (~(VAR265 == VAR79) & (VAR265 == VAR184) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR41) & ~(VAR268 == VAR168) & ~(VAR268 == VAR278)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & (VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR299)))) begin VAR200 <= VAR37; end else if ((~(VAR265 == VAR79) & (VAR265 == VAR184) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR41) & (VAR268 == VAR278))) begin VAR200 <= VAR46; end else if ((~(VAR265 == VAR79) & (VAR265 == VAR184) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR41) & (VAR268 == VAR168))) begin VAR200 <= VAR50; end end always @(posedge VAR115) begin if (~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228)))) begin VAR311 <= VAR180; VAR60 <= VAR80; VAR261 <= VAR60; VAR202 <= VAR261; VAR43 <= VAR202; VAR21 <= VAR43; VAR212 <= VAR45; VAR257 <= VAR92; VAR273 <= VAR257; VAR292 <= VAR270; VAR88 <= VAR292; VAR179 <= VAR299; VAR152 <= VAR79; VAR194 <= VAR152; VAR64 <= VAR194; VAR255 <= VAR315; VAR92 <= VAR175; VAR275 <= VAR77; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR226 <= VAR135; VAR80 <= VAR196; VAR45 <= VAR158; VAR299 <= VAR176; VAR79 <= VAR208; VAR258 <= VAR27; VAR315 <= VAR52; VAR208 <= VAR59; end end always @(posedge VAR115) begin if ((VAR233 == VAR91)) begin VAR184 <= VAR318; VAR268 <= VAR26; VAR190 <= VAR322; VAR236 <= VAR36; VAR302 <= VAR31; VAR213 <= VAR172; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~(VAR265 == VAR184) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR208) & (VAR265 == VAR158) & (VAR265 == VAR176) & (VAR265 == VAR52))) begin VAR180 <= VAR287; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~(VAR265 == VAR184) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR59) & ~(VAR265 == VAR100) & (VAR265 == VAR55))) begin VAR135 <= VAR173; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & ~(VAR226 == VAR168) & ~(VAR226 == VAR278))) begin VAR132 <= VAR46; VAR244 <= VAR37; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & (VAR226 == VAR168))) begin VAR237 <= VAR46; VAR76 <= VAR37; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & (VAR226 == VAR278))) begin VAR224 <= VAR46; VAR148 <= VAR37; end end always @(posedge VAR115) begin if (((VAR233 == VAR285) & ~VAR157)) begin VAR161 <= VAR307; VAR82 <= VAR201; VAR136[0] <= VAR49[0]; VAR136[1] <= VAR49[1]; VAR136[2] <= VAR49[2]; VAR136[3] <= VAR49[3]; VAR136[4] <= VAR49[4]; VAR136[5] <= VAR49[5]; VAR136[6] <= VAR49[6]; VAR136[7] <= VAR49[7]; VAR136[8] <= VAR49[8]; VAR136[9] <= VAR49[9]; VAR136[10] <= VAR49[10]; VAR227 <= VAR189; VAR23[0] <= VAR139[0]; VAR23[1] <= VAR139[1]; VAR23[2] <= VAR139[2]; VAR23[3] <= VAR139[3]; VAR23[4] <= VAR139[4]; VAR23[5] <= VAR139[5]; VAR23[6] <= VAR139[6]; VAR23[7] <= VAR139[7]; VAR23[8] <= VAR139[8]; VAR23[9] <= VAR139[9]; VAR23[10] <= VAR139[10]; VAR24 <= VAR317; VAR241 <= VAR230; VAR155 <= VAR89; end end always @(posedge VAR115) begin if ((VAR233 == VAR131)) begin VAR240 <= VAR134; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR208))) begin VAR98 <= VAR253; VAR119 <= VAR253; VAR254 <= VAR253; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR59))) begin VAR196 <= VAR259; VAR314 <= VAR280; VAR159 <= VAR274; VAR176 <= VAR111[VAR61]; VAR52 <= VAR112; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~(VAR265 == VAR184) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR59))) begin VAR158 <= VAR100; end end always @(posedge VAR115) begin if (((VAR233 == VAR131) & ~(VAR110 == VAR265))) begin VAR276 <= VAR142; VAR66 <= VAR239; VAR264 <= VAR296; VAR41 <= VAR62[VAR61]; VAR229 <= VAR182; VAR283 <= VAR125; VAR197 <= VAR93[VAR61]; VAR102 <= VAR290; VAR35 <= VAR284; VAR211 <= VAR263[VAR61]; VAR32 <= VAR138; VAR171 <= VAR238; VAR221 <= VAR170; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR303 <= VAR50; VAR28 <= VAR46; VAR193 <= VAR37; end end always @(posedge VAR115) begin if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & ~(VAR226 == VAR168) & ~(VAR226 == VAR278)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & (VAR226 == VAR168)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258) & (VAR226 == VAR278)))) begin VAR305 <= VAR120; VAR38 <= VAR30; VAR87 <= VAR122; end end always @(posedge VAR115) begin if ((~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR261))) begin VAR270 <= VAR175; VAR151 <= VAR77; VAR218 <= VAR53; end end always @(posedge VAR115) begin if (((VAR233 == VAR15) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR64))) begin VAR11 <= VAR257; end end always @(posedge VAR115) begin if ((~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR202))) begin VAR105 <= VAR11; VAR140 <= VAR277; VAR246 <= VAR72; end end always @(posedge VAR115) begin if (((VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152))) begin VAR251 <= VAR77; end end always @(posedge VAR115) begin if ((~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR152) & ~(VAR265 == VAR60))) begin VAR20 <= VAR251; VAR7 <= VAR243; VAR94 <= VAR186; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR279 <= VAR200; end end always @(posedge VAR115) begin if ((~(VAR265 == VAR79) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR80))) begin VAR295 <= VAR39; end end always @(posedge VAR115) begin if ((~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR43))) begin VAR249 <= VAR141; end end always @(posedge VAR115) begin if (((VAR233 == VAR101) & ~(VAR265 == VAR184) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR59) & ~(VAR265 == VAR100))) begin VAR27 <= VAR55; end end always @ (VAR29 or VAR131 or VAR110) begin if (((VAR233 == VAR29) | ((VAR233 == VAR131) & (VAR110 == VAR265)))) begin VAR123 = VAR233; end else begin VAR123 = VAR74; end end always @ (VAR130 or VAR285) begin if ((~(VAR233 == VAR130) & (VAR233 == VAR285))) begin VAR248 = VAR233; end else begin VAR248 = VAR74; end end always @ (VAR131 or VAR110) begin if (((VAR233 == VAR131) & (VAR110 == VAR265))) begin VAR199 = VAR233; end else begin VAR199 = VAR74; end end always @ (VAR114) begin if (VAR114) begin VAR101 = VAR233; end else begin VAR101 = VAR74; end end always @ (VAR133) begin if (VAR133) begin VAR84 = VAR233; end else begin VAR84 = VAR74; end end always @ (VAR169) begin if (VAR169) begin VAR285 = VAR233; end else begin VAR285 = VAR74; end end always @ (VAR225) begin if (VAR225) begin VAR131 = VAR233; end else begin VAR131 = VAR74; end end always @ (VAR165) begin if (VAR165) begin VAR91 = VAR233; end else begin VAR91 = VAR74; end end always @ (VAR101 or VAR187 or VAR192 or VAR48 or VAR5 or VAR228) begin if (((VAR233 == VAR101) & (VAR233 == VAR187) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR95 = VAR233; end else begin VAR95 = VAR74; end end always @ (VAR192 or VAR48 or VAR5 or VAR228) begin if (((VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR319 = VAR233; end else begin VAR319 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR312 = VAR233; end else begin VAR312 = VAR74; end end always @ (VAR101 or VAR187 or VAR192 or VAR48 or VAR5 or VAR228) begin if (((VAR233 == VAR101) & (VAR233 == VAR187) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR147 = VAR233; end else begin VAR147 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228 or VAR258) begin if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR258)))) begin VAR56 = VAR233; end else begin VAR56 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228 or VAR258) begin if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR258)))) begin VAR17 = VAR233; end else begin VAR17 = VAR74; end end always @ (VAR101 or VAR187 or VAR192 or VAR48 or VAR5 or VAR228) begin if (((VAR233 == VAR101) & (VAR233 == VAR187) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR220 = VAR233; end else begin VAR220 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228 or VAR258) begin if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR258)))) begin VAR282 = VAR233; end else begin VAR282 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228 or VAR258) begin if (((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & (VAR265 == VAR258)) | (~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR265 == VAR258)))) begin VAR301 = VAR233; end else begin VAR301 = VAR74; end end always @ (VAR192 or VAR48 or VAR21 or VAR5 or VAR228) begin if ((~(VAR265 == VAR21) & (VAR233 == VAR228) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR245 = VAR233; end else begin VAR245 = VAR74; end end always @ (VAR184 or VAR79 or VAR45 or VAR192 or VAR48 or VAR5 or VAR228) begin if ((~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45) & (VAR233 == VAR48) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))))) begin VAR1 = VAR233; end else begin VAR1 = VAR74; end end always @ (VAR143 or VAR157 or VAR110 or VAR192 or VAR48 or VAR12 or VAR205 or VAR75 or VAR5 or VAR228) begin case (VAR143) VAR289 : begin if (~VAR157) begin VAR242 = VAR167; end else begin VAR242 = VAR289; end end VAR167 : begin if ((VAR110 == VAR265)) begin VAR242 = VAR289; end else begin VAR242 = VAR316; end end VAR316 : begin VAR242 = VAR129; end VAR129 : begin if ((~((VAR233 == VAR228) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR233 == VAR75)) & ~((VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR233 == VAR48) & ~(VAR233 == VAR205)))) begin VAR242 = VAR129; end else if ((((VAR233 == VAR228) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR233 == VAR75)) | ((VAR233 == VAR12) & ~((VAR192 & (VAR233 == VAR48)) | (VAR5 & (VAR233 == VAR228))) & ~(VAR233 == VAR48) & ~(VAR233 == VAR205)))) begin VAR242 = VAR16; end else begin VAR242 = VAR129; end end VAR16 : begin VAR242 = VAR167; end default : begin VAR242 = 'VAR83; end endcase end assign VAR111 = ((VAR256) + (VAR137)); assign VAR62 = ((VAR162) + (VAR149)); always @ (VAR143) begin VAR165 = (VAR281 == VAR143[VAR293]); end always @ (VAR143) begin VAR114 = (VAR281 == VAR143[VAR209]); end always @ (VAR144 or VAR184 or VAR79 or VAR45) begin VAR192 = ((VAR144 == VAR74) & ~(VAR265 == VAR79) & ~(VAR265 == VAR184) & ~(VAR265 == VAR45)); end always @ (VAR54 or VAR21) begin VAR5 = ((VAR54 == VAR74) & ~(VAR265 == VAR21)); end always @ (VAR143) begin VAR169 = (VAR143[VAR9] == VAR281); end always @ (VAR143) begin VAR133 = (VAR281 == VAR143[VAR298]); end always @ (VAR130 or VAR29) begin VAR157 = ((VAR130 == VAR74) | (VAR29 == VAR233)); end always @ (VAR143) begin VAR225 = (VAR281 == VAR143[VAR269]); end assign VAR318 = (VAR221 | VAR264); assign VAR287 = (VAR109 + VAR82); assign VAR173 = (VAR174 + VAR82); assign VAR188 = ((VAR117)? VAR237: VAR132); assign VAR164 = ((VAR154)? VAR224: VAR188); assign VAR307 = (VAR68 + VAR203); assign VAR134 = (VAR104 + VAR178); assign VAR116 = (VAR223 != VAR145? 1'b1: 1'b0); assign VAR19 = ((VAR2) > (11'b00000000000)? 1'b1: 1'b0); assign VAR262 = (VAR156 + VAR178); assign VAR260 = VAR253; assign VAR214 = VAR98; assign VAR310 = VAR219; assign VAR13 = VAR253; assign VAR51 = VAR119; assign VAR118 = VAR46; assign VAR121 = VAR253; assign VAR146 = VAR254; assign VAR163 = VAR50; assign VAR215 = (VAR35 - VAR297); assign VAR26 = (VAR35 - VAR44); assign VAR181 = (VAR229 - VAR283); assign VAR259 = (VAR171 & VAR116); assign VAR100 = (VAR304 & VAR112); assign VAR296 = (VAR19 & VAR247); assign VAR142 = (VAR57 & VAR250); assign VAR239 = (VAR86 & VAR231); assign VAR280 = (VAR112 & VAR291); assign VAR78 = ((VAR314)? VAR159: VAR252); assign VAR252 = ((VAR176)? VAR67: VAR241); assign VAR4 = ((VAR97)? VAR88: VAR249); assign VAR34 = ((VAR247)? VAR198: VAR227); assign VAR201 = (VAR106 ^ VAR73); assign VAR49 = VAR189; assign VAR189 = ((VAR68) + (VAR177)); assign VAR231 = (VAR216 ^ VAR281); assign VAR291 = (VAR6 ^ VAR281); assign VAR250 = (VAR127 ^ VAR281); assign VAR108 = ((VAR154)? VAR305: VAR232); assign VAR267 = ((VAR10)? VAR87: VAR50); assign VAR122 = ((VAR191)? VAR87: VAR267); assign VAR90 = ((VAR10)? VAR50: VAR38); assign VAR30 = ((VAR191)? VAR38: VAR90); assign VAR120 = ((VAR191)? VAR50: VAR305); assign VAR232 = ((VAR117)? VAR38: VAR87); assign VAR10 = (VAR226 == VAR168? 1'b1: 1'b0); assign VAR154 = (VAR311 == VAR278? 1'b1: 1'b0); assign VAR322 = (VAR181 == VAR168? 1'b1: 1'b0); assign VAR36 = (VAR35 == VAR297? 1'b1: 1'b0); assign VAR191 = (VAR226 == VAR278? 1'b1: 1'b0); assign VAR183 = ((VAR236)? VAR28: VAR193); assign VAR31 = (VAR215 == VAR168? 1'b1: 1'b0); assign VAR172 = (VAR229 == VAR283? 1'b1: 1'b0); assign VAR69 = ((VAR213)? VAR28: VAR193); assign VAR117 = (VAR311 == VAR168? 1'b1: 1'b0); assign VAR288 = ((VAR190)? VAR303: VAR69); assign VAR272 = ((VAR302)? VAR303: VAR183); assign VAR39 = ((VAR42)? VAR200: VAR279); assign VAR243 = ((VAR320)? VAR200: VAR295); assign VAR53 = ((VAR309)? VAR275: VAR14); assign VAR277 = ((VAR58)? VAR151: VAR218); assign VAR14 = ((VAR94)? VAR20: VAR7); assign VAR141 = ((VAR308)? VAR273: VAR8); assign VAR8 = ((VAR246)? VAR105: VAR140); assign VAR113 = VAR160[10:0]; assign VAR106 = VAR160[1:0]; assign VAR124 = VAR47[1:0]; assign VAR2 = {{VAR62[VAR61 : VAR269]}}; assign VAR182 = VAR34[1:0]; assign VAR321 = VAR62[1:0]; assign VAR266 = VAR227[1:0]; assign VAR125 = ((VAR210)? VAR321: VAR266); assign VAR127 = VAR93[VAR61]; assign VAR290 = VAR93[1:0]; assign VAR284 = VAR34[1:0]; assign VAR216 = VAR263[VAR61]; assign VAR138 = VAR263[1:0]; assign VAR223 = {{VAR156[VAR153 : VAR269]}}; assign VAR274 = VAR111[10:0]; assign VAR174 = VAR111[1:0]; assign VAR6 = VAR111[VAR61]; assign VAR109 = VAR78[1:0]; assign VAR42 = (VAR200 > VAR279? 1'b1: 1'b0); assign VAR320 = (VAR200 > VAR295? 1'b1: 1'b0); assign VAR309 = (VAR275 > VAR14? 1'b1: 1'b0); assign VAR58 = (VAR151 > VAR218? 1'b1: 1'b0); assign VAR186 = (VAR251 > VAR243? 1'b1: 1'b0); assign VAR308 = (VAR273 > VAR8? 1'b1: 1'b0); assign VAR97 = (VAR88 > VAR249? 1'b1: 1'b0); assign VAR72 = (VAR11 > VAR277? 1'b1: 1'b0); assign VAR139 = VAR313; assign VAR313 = ((VAR113) + (VAR185)); assign VAR162 = VAR104; assign VAR110 = (VAR104 < VAR161? 1'b1: 1'b0); assign VAR238 = (VAR104 > VAR25? 1'b1: 1'b0); assign VAR256 = VAR156; assign VAR59 = (VAR156 < VAR155? 1'b1: 1'b0); assign VAR170 = ((VAR62) < (12'b111111111111)? 1'b1: 1'b0); assign VAR247 = ((VAR62) < (VAR136)? 1'b1: 1'b0); assign VAR304 = (VAR156 != VAR67? 1'b1: 1'b0); assign VAR55 = ((VAR111) < (VAR23)? 1'b1: 1'b0); assign VAR317 = ((VAR124) + (VAR73)); assign VAR230 = ((VAR113) + (VAR177)); assign VAR253 = VAR78; assign VAR297 = ((VAR276)? VAR102: VAR126); assign VAR65 = ((VAR211)? VAR278: VAR24); assign VAR44 = ((VAR66)? VAR32: VAR65); assign VAR68 = VAR47[10:0]; assign VAR57 = ((VAR93) < (VAR47)? 1'b1: 1'b0); assign VAR86 = ((VAR263) < (VAR47)? 1'b1: 1'b0); assign VAR210 = ((VAR62) < (VAR47)? 1'b1: 1'b0); assign VAR112 = ((VAR111) < (VAR160)? 1'b1: 1'b0); assign VAR126 = ((VAR197)? VAR278: VAR24); assign VAR89 = (VAR113 + VAR198); assign VAR263 = ((VAR162) + (VAR3)); assign VAR93 = ((VAR162) + (VAR166)); always @ (posedge VAR115) begin VAR23[11] <= 1'b0; VAR136[11] <= 1'b0; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_lsbuf_lh_isowell/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4.v
2,484
module MODULE1 ( VAR6 , VAR8 , VAR4, VAR9 , VAR5 , VAR2 , VAR7 ); output VAR6 ; input VAR8 ; input VAR4; input VAR9 ; input VAR5 ; input VAR2 ; input VAR7 ; VAR1 VAR3 ( .VAR6(VAR6), .VAR8(VAR8), .VAR4(VAR4), .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR6, VAR8 ); output VAR6; input VAR8; wire VAR4; supply1 VAR9 ; supply0 VAR5 ; supply1 VAR2 ; supply0 VAR7 ; VAR1 VAR3 ( .VAR6(VAR6), .VAR8(VAR8) ); endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/acl_fp_fptosi_double.v
36,189
module MODULE1 ( VAR4, VAR1, VAR15, VAR14, VAR18, VAR13) ; input VAR4; input VAR1; input VAR15; input [83:0] VAR14; input [6:0] VAR18; output [83:0] VAR13; tri0 VAR4; tri1 VAR1; tri0 VAR15; reg [1:0] VAR11; reg [83:0] VAR3; reg [83:0] VAR8; reg VAR7; reg VAR2; reg VAR5; wire [7:0] VAR6; wire VAR16; wire [63:0] VAR9; wire [671:0] VAR10; wire [6:0] VAR12; wire [587:0] VAR17;
mit
UCLONG/NetEmulation
BEE3_top/C3D_original_code/b2b/src/aur1_tx_stream.v
7,196
module MODULE1 ( VAR16, VAR19, VAR7, VAR5, VAR4, VAR13, VAR14, VAR9, VAR20, VAR18, VAR11, VAR6, VAR2 ); input [0:63] VAR16; input VAR19; output VAR7; input VAR5; input VAR4; input VAR13; output VAR14; output VAR9; output [0:3] VAR20; output [0:3] VAR18; output [0:63] VAR11; output VAR6; input VAR2; reg VAR6; reg VAR3; reg VAR15; reg VAR10; reg VAR12; wire VAR17; wire VAR1; always @(posedge VAR2) VAR12 <= VAR8 !VAR5 || !VAR10 || VAR4; assign VAR7 = VAR12; always @(posedge VAR2) VAR6 <= VAR4; assign VAR14 = VAR15; assign VAR9 = 1'b0; assign VAR18 = 4'd0; assign VAR11 = VAR16; assign VAR20[0] = !VAR12 && !VAR19; assign VAR20[1] = !VAR12 && !VAR19; assign VAR20[2] = !VAR12 && !VAR19; assign VAR20[3] = !VAR12 && !VAR19; always @(posedge VAR2) if(!VAR5) begin VAR3 <= VAR8 1'b1; VAR15 <= VAR8 1'b0; VAR10 <= VAR8 1'b0; end else if(!VAR4) begin VAR3 <= VAR8 1'b0; VAR15 <= VAR8 VAR17; VAR10 <= VAR8 VAR1; end assign VAR17 = VAR3; assign VAR1 = VAR15 || VAR10; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfstp/sky130_fd_sc_lp__dfstp.symbol.v
1,387
module MODULE1 ( input VAR7 , output VAR4 , input VAR6, input VAR5 ); supply1 VAR2; supply0 VAR8; supply1 VAR3 ; supply0 VAR1 ; endmodule
apache-2.0
rkrajnc/minimig-de1
rtl/or1200/or1200_spram_256x21.v
8,650
module MODULE1( VAR43, VAR11, VAR22, clk, rst, VAR29, VAR4, VAR15, addr, VAR21, VAR30 ); parameter VAR1 = 8; parameter VAR12 = 21; input VAR43; input [VAR13 - 1:0] VAR22; output VAR11; input clk; input rst; input VAR29; input VAR4; input VAR15; input [VAR1-1:0] addr; input [VAR12-1:0] VAR21; output [VAR12-1:0] VAR30; wire [10:0] VAR35; assign VAR11 = VAR43; VAR37 #(VAR12, 1<<VAR1, VAR1) VAR48( VAR24 VAR48( VAR37 VAR48( .VAR43(VAR43), .VAR11(VAR11), .VAR22(VAR22), .VAR8(clk), .VAR14(~VAR29), .VAR16(~VAR4), .VAR3(addr), .VAR49(VAR21), .VAR20(~VAR15), .VAR27(VAR30) ); VAR18 VAR18( .VAR10(~VAR4), .VAR42(), .VAR38(~VAR15), .VAR36(), .VAR2(), .VAR39(addr), .VAR50(addr), .VAR21(VAR21), .VAR30(VAR30) ); VAR17 VAR17( .clk(clk), .VAR25(addr), .VAR46(VAR21), .VAR4(VAR4), .VAR15(VAR15), .VAR19(VAR29), .VAR28(VAR30) ); VAR26 #(1<<VAR1, VAR1-1, VAR12-1) VAR5( VAR33 VAR5( VAR26 VAR5( .VAR43(VAR43), .VAR11(VAR11), .VAR22(VAR22), .VAR47(clk), .VAR40(addr), .VAR7(VAR21), .VAR16(~VAR4), .VAR14(~VAR29), .VAR20(~VAR15), .VAR32(VAR30) ); VAR23 VAR41( .VAR8(clk), .VAR44(rst), .VAR45(addr), .VAR7(VAR21[15:0]), .VAR9(VAR29), .VAR34(VAR4), .VAR6(VAR30[15:0]) ); VAR23 VAR31( .VAR8(clk), .VAR44(rst), .VAR45(addr), .VAR7({11'b00000000000, VAR21[20:16]}), .VAR9(VAR29), .VAR34(VAR4), .VAR6({VAR35, VAR30[20:16]}) ); wire wr; assign wr = VAR29 & VAR4;
gpl-3.0
ServerTech/neptune
code/alu_core.v
4,991
module MODULE1(clk, rst, en, VAR7, VAR2, VAR1, VAR8, VAR5, VAR6, VAR10, dout); parameter VAR9 = 'd16; input wire clk , rst , en ; input wire [4:0] VAR7 ; input wire signed [VAR9-1:0] VAR2 , VAR1 ; output reg VAR8 ; output wire VAR5 , VAR6 ; output reg VAR10 ; output wire [VAR9-1:0] dout ; reg [1:0] VAR4 ; reg signed [VAR9+VAR9:0] VAR3 ; assign VAR5 = ~|VAR3; assign VAR6 = VAR3[VAR9-1]; assign dout [VAR9-1:0] = VAR3 [VAR9-1:0]; always@(VAR4, VAR3) begin case(VAR4) 2'b00: VAR8 = 1'b0; 2'b01: begin end if(VAR3 [VAR9:VAR9-1] == (2'b01 || 2'b10)) VAR8 = 1'b1; else VAR8 <= 1'b0; end 2'b10: begin end if((VAR3[VAR9+VAR9]) && (~VAR3 [VAR9+VAR9-1:VAR9-1] != 0)) VAR8 = 1'b1; else if ((~VAR3[VAR9+VAR9]) && (VAR3 [VAR9+VAR9-1:VAR9-1] != 0)) VAR8 = 1'b1; else VAR8 = 1'b0; end 2'b11: VAR8 = 1'b0; default: VAR8 = 1'b0; endcase end always@(posedge clk) begin if(rst) begin VAR10 <= 1'b0; VAR4 <= 2'b0; VAR3 [VAR9+VAR9:0] <= {VAR9+VAR9{1'b0}}; end else if(en) begin case(VAR7) 5'b00000: VAR3 [VAR9-1:0] <= 0; 5'b00001: VAR3 [VAR9-1:0] <= VAR2 [VAR9-1:0]; 5'b00010: VAR3 [VAR9-1:0] <= VAR1 [VAR9-1:0]; 5'b00011: begin VAR4 <= 2'b01; VAR3 [VAR9:0] <= VAR2 [VAR9-1:0] + 1'b1; end 5'b00100: begin VAR4 <= 2'b01; VAR3 [VAR9:0] <= VAR2 [VAR9-1:0] - 1'b1; end 5'b00101: begin VAR4 <= 2'b01; VAR3 [VAR9:0] <= {VAR2[VAR9-1], VAR2 [VAR9-1:0]} + {VAR1[VAR9-1], VAR1 [VAR9-1:0]}; end 5'b00110: begin VAR4 <= 2'b01; VAR3 [VAR9:0] <= {VAR2[VAR9-1], VAR2 [VAR9-1:0]} - {VAR1[VAR9-1], VAR1 [VAR9-1:0]}; end 5'b00111: begin VAR4 <= 2'b10; VAR3 [VAR9+VAR9:0] <= VAR2 [VAR9-1:0] * VAR1 [VAR9-1:0]; end 5'b01000: begin end if(VAR2 [VAR9-1:0] == VAR1 [VAR9-1:0]) VAR10 <= 1'b1; else VAR10 <= 1'b0; end 5'b01001: begin end if(VAR2 [VAR9-1:0] < VAR1 [VAR9-1:0]) VAR10 <= 1'b1; else VAR10 <= 1'b0; end 5'b01010: begin end if(VAR2 [VAR9-1:0] > VAR1 [VAR9-1:0]) VAR10 <= 1'b1; else VAR10 <= 1'b0; end 5'b01011: VAR3 [VAR9-1:0] <= ~VAR2 [VAR9-1:0]; 5'b01100: VAR3 [VAR9-1:0] <= ~VAR2 [VAR9-1:0] + 1'b1; 5'b01101: VAR3 [VAR9-1:0] <= VAR2 [VAR9-1:0] & VAR1 [VAR9-1:0]; 5'b01110: VAR3 [VAR9-1:0] <= VAR2 [VAR9-1:0] | VAR1 [VAR9-1:0]; 5'b01111: VAR3 [VAR9-1:0] <= VAR2 [VAR9-1:0] ^ VAR1 [VAR9-1:0]; 5'b10000: VAR3 [VAR9-1:0] <= {VAR2 [VAR9-2:0], 1'b0}; 5'b10001: VAR3 [VAR9-1:0] <= {1'b0, VAR2 [VAR9-1:1]}; 5'b10010: VAR3 [VAR9-1:0] <= {VAR2 [VAR9-1], VAR2 [VAR9-1:1]}; 5'b10011: VAR3 [VAR9-1:0] <= {VAR2 [VAR9-2:0], VAR2 [VAR9-1]}; 5'b10100: VAR3 [VAR9-1:0] <= {VAR2 [0], VAR2 [VAR9-1:1]}; default: begin VAR10 <= 1'b0; VAR3 [VAR9-1:0] <= 0; end endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a2bb2o/sky130_fd_sc_lp__a2bb2o.blackbox.v
1,454
module MODULE1 ( VAR9 , VAR5, VAR8, VAR2 , VAR7 ); output VAR9 ; input VAR5; input VAR8; input VAR2 ; input VAR7 ; supply1 VAR6; supply0 VAR4; supply1 VAR1 ; supply0 VAR3 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nand3/gf180mcu_fd_sc_mcu7t5v0__nand3_4.functional.v
1,218
module MODULE1( VAR4, VAR6, VAR1, VAR3 ); input VAR3, VAR4, VAR1; output VAR6; wire VAR5; not VAR2( VAR5, VAR3 ); wire VAR9; not VAR8( VAR9, VAR4 ); wire VAR11; not VAR7( VAR11, VAR1 ); or VAR10( VAR6, VAR5, VAR9, VAR11 ); endmodule
apache-2.0
vipinkmenon/scas
hw/fpga/source/pcie_if/pcie_7x_v1_8_gtp_pipe_reset.v
14,950
module MODULE1 # ( parameter VAR26 = "VAR44", parameter VAR23 = 1, parameter VAR37 = 6'd63, parameter VAR42 = 1 ) ( input VAR21, input VAR1, input VAR49, input VAR5, input VAR17, input [VAR23-1:0] VAR54, input [VAR23-1:0] VAR27, input VAR32, input [VAR23-1:0] VAR46, input [VAR23-1:0] VAR15, input [VAR23-1:0] VAR31, output VAR18, output VAR48, output VAR52, output VAR38, output VAR34, output VAR4, output VAR45, output VAR51, output [10:0] VAR56 ); reg VAR11; reg [VAR23-1:0] VAR2; reg [VAR23-1:0] VAR9; reg VAR8; reg [VAR23-1:0] VAR50; reg [VAR23-1:0] VAR20; reg [VAR23-1:0] VAR24; reg VAR12; reg [VAR23-1:0] VAR35; reg [VAR23-1:0] VAR43; reg VAR53; reg [VAR23-1:0] VAR41; reg [VAR23-1:0] VAR16; reg [VAR23-1:0] VAR39; reg [ 5:0] VAR28 = 6'd0; reg VAR36 = 1'd0; reg VAR30 = 1'd0; reg VAR22 = 1'd0; reg VAR25 = 1'd0; reg VAR40 = 1'd0; reg VAR57 = 1'd0; reg VAR47 = 1'd0; reg VAR55 = 1'd0; reg [10:0] fsm = 11'd2; localparam VAR14 = 9'b000000001; localparam VAR3 = 9'b000000010; localparam VAR29 = 9'b000000100; localparam VAR10 = 9'b000001000; localparam VAR13 = 9'b000010000; localparam VAR6 = 9'b000100000; localparam VAR33 = 9'b001000000; localparam VAR7 = 9'b010000000; localparam VAR19 = 9'b100000000; always @ (posedge VAR21) begin if (!VAR5) begin VAR11 <= 1'd0; VAR2 <= {VAR23{1'd0}}; VAR9 <= {VAR23{1'd0}}; VAR8 <= 1'd0; VAR50 <= {VAR23{1'd0}}; VAR20 <= {VAR23{1'd0}}; VAR24 <= {VAR23{1'd0}}; VAR12 <= 1'd0; VAR35 <= {VAR23{1'd0}}; VAR43 <= {VAR23{1'd0}}; VAR53 <= 1'd0; VAR41 <= {VAR23{1'd0}}; VAR16 <= {VAR23{1'd0}}; VAR39 <= {VAR23{1'd0}}; end else begin VAR11 <= VAR17; VAR2 <= VAR54; VAR9 <= VAR27; VAR8 <= VAR32; VAR50 <= VAR46; VAR20 <= VAR15; VAR24 <= VAR31; VAR12 <= VAR11; VAR35 <= VAR2; VAR43 <= VAR9; VAR53 <= VAR8; VAR41 <= VAR50; VAR16 <= VAR20; VAR39 <= VAR24; end end always @ (posedge VAR21) begin if (!VAR5) VAR28 <= 6'd0; end else if ((fsm == VAR3) && (VAR28 < VAR37)) VAR28 <= VAR28 + 6'd1; else if ((fsm == VAR3) && (VAR28 == VAR37)) VAR28 <= VAR28; else VAR28 <= 6'd0; end always @ (posedge VAR21) begin if (!VAR5) begin fsm <= VAR3; VAR36 <= 1'd0; VAR30 <= 1'd0; VAR47 <= 1'd0; VAR55 <= 1'd0; end else begin case (fsm) VAR14 : begin if (!VAR5) begin fsm <= VAR3; VAR36 <= 1'd0; VAR30 <= 1'd0; VAR47 <= 1'd0; VAR55 <= 1'd0; end else begin fsm <= VAR14; VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end end VAR3 : begin fsm <= ((VAR28 == VAR37) ? VAR29 : VAR3); VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end VAR29 : begin fsm <= (((~VAR12) && (&(~VAR41))) ? VAR10 : VAR29); VAR36 <= 1'd1; VAR30 <= VAR30; VAR47 <= 1'd1; VAR55 <= VAR55; end VAR10 : begin fsm <= (VAR12 ? VAR13 : VAR10); VAR36 <= 1'd0; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end VAR13 : begin fsm <= VAR6; VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= 1'b0; VAR55 <= VAR55; end VAR6 : begin if (VAR53 && (&VAR43 || (VAR42 == 1))) begin fsm <= VAR33; VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= 1'd1; end else begin fsm <= VAR6; VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= 1'd0; end end VAR33 : begin fsm <= (&VAR41 && (&(~VAR16)) ? VAR7 : VAR33); VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end VAR7 : begin fsm <= (&(~VAR39) ? VAR19 : VAR7); VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end VAR19 : begin fsm <= (&VAR39 ? VAR14 : VAR19); VAR36 <= VAR36; VAR30 <= VAR30; VAR47 <= VAR47; VAR55 <= VAR55; end default : begin fsm <= VAR3; VAR36 <= 1'd0; VAR30 <= 1'd0; VAR47 <= 1'd0; VAR55 <= 1'd0; end endcase end end always @ (posedge VAR1) begin if (VAR36) begin VAR22 <= 1'd1; VAR25 <= 1'd1; end else begin VAR22 <= 1'd0; VAR25 <= VAR22; end end always @ (posedge VAR49) begin if (VAR36) begin VAR40 <= 1'd1; VAR57 <= 1'd1; end else begin VAR40 <= 1'd0; VAR57 <= VAR40; end end assign VAR18 = VAR36; assign VAR48 = VAR30; assign VAR52 = VAR25; assign VAR38 = VAR57; assign VAR34 = VAR47; assign VAR4 = VAR55; assign VAR45 = (fsm == VAR7); assign VAR51 = (fsm == VAR14); assign VAR56 = fsm; endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor4/gf180mcu_fd_sc_mcu9t5v0__nor4_1.behavioral.v
1,336
module MODULE1( VAR4, VAR1, VAR7, VAR5, VAR8 ); input VAR8, VAR5, VAR7, VAR4; output VAR1; VAR3 VAR6(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR5(VAR5),.VAR8(VAR8)); VAR3 VAR2(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR5(VAR5),.VAR8(VAR8));
apache-2.0
drichmond/riffa
fpga/riffa_hdl/counter.v
3,284
module MODULE1 parameter VAR7 = 10, parameter VAR8 = 0) ( input VAR10, input VAR2, input VAR5, output [VAR6(VAR11+1)-1:0] VAR9 ); wire VAR1; reg [VAR6(VAR11+1)-1:0] VAR3; reg [VAR6(VAR11+1)-1:0] VAR4; assign VAR1 = VAR5 & (VAR7 > VAR4); assign VAR9 = VAR4; always @(posedge VAR10) begin if(VAR2) begin VAR4 <= VAR8[VAR6(VAR11+1)-1:0]; end else if(VAR1) begin VAR4 <= VAR4 + 1; end end endmodule
bsd-3-clause
jaechoon2/FPGA-Imaging-Library
Connector/Mux8/srcs/Mux8.v
1,173
module MODULE1(sel, VAR3, VAR4, VAR7, VAR6, VAR5, VAR2, VAR10, VAR8, VAR1); parameter VAR11 = 8; input[2 : 0] sel; input[VAR11 - 1 : 0] VAR3; input[VAR11 - 1 : 0] VAR4; input[VAR11 - 1 : 0] VAR7; input[VAR11 - 1 : 0] VAR6; input[VAR11 - 1 : 0] VAR5; input[VAR11 - 1 : 0] VAR2; input[VAR11 - 1 : 0] VAR10; input[VAR11 - 1 : 0] VAR8; output[VAR11 - 1 : 0] VAR1; reg[VAR11 - 1 : 0] VAR9; always @(*) begin case (sel) 0 : VAR9 <= VAR3; 1 : VAR9 <= VAR4; 2 : VAR9 <= VAR7; 3 : VAR9 <= VAR6; 4 : VAR9 <= VAR5; 5 : VAR9 <= VAR2; 6 : VAR9 <= VAR10; 7 : VAR9 <= VAR8; default : ; endcase end assign VAR1 = VAR9; endmodule
lgpl-2.1
kernelpanics/Grad
CORDIC-Natural-Logarithm/Verilog/Natural-Logarithm/Mux_Array.v
1,790
module MODULE1#(parameter VAR13=26, parameter VAR4=5)( input wire clk, input wire rst, input wire VAR14, input wire [VAR13-1:0] VAR11, input wire VAR16, input wire [VAR4-1:0] VAR21, input wire VAR22, output wire [VAR13-1:0] VAR20 ); wire [VAR13-1:0] VAR1[VAR4+1:0]; genvar VAR18; VAR10 #(.VAR13(VAR13)) VAR7( .VAR11(VAR11), .VAR9(VAR16), .VAR20(VAR1 [0][VAR13-1:0]) ); generate for (VAR18=0; VAR18 < 3; VAR18=VAR18+1) begin VAR17 #(.VAR13(VAR13), .VAR15(VAR18)) VAR17( .VAR11(VAR1[VAR18]), .VAR9(VAR21[VAR18]), .VAR22(VAR22), .VAR20(VAR1[VAR18+1]) ); end endgenerate VAR19 #(.VAR6(VAR13)) VAR12( .clk(clk), .rst(rst), .VAR3(1'b1), .VAR8(VAR1[3]), .VAR5(VAR1[4]) ); generate for (VAR18=3; VAR18 < VAR4; VAR18=VAR18+1) begin VAR17 #(.VAR13(VAR13), .VAR15(VAR18)) VAR17( .VAR11(VAR1[VAR18+1]), .VAR9(VAR21[VAR18]), .VAR22(VAR22), .VAR20(VAR1[VAR18+2]) ); end endgenerate VAR10 #(.VAR13(VAR13)) VAR2( .VAR11(VAR1[VAR4+1]), .VAR9(VAR16), .VAR20(VAR20) ); endmodule
gpl-3.0
Triple-Z/COExperiment_Repo
Project_Assignment_OnBoard/CoProcessor0RF.v
1,414
module MODULE1(clk, din, VAR16, VAR2, sel, dout, VAR4, VAR1, VAR9, VAR14, VAR17); input clk; input [1:0] VAR16; input [4:0] VAR2; input [2:0] sel; input [31:0] din; input [31:0] VAR9; input [31:0] VAR4; output [31:0] dout; output reg [31:0] VAR1; input [4:0] VAR14; output [31:0] VAR17; reg [31:0] VAR10 [0:31]; wire [5:0] VAR13; wire [5:0] VAR8; wire [4:0] VAR11; assign VAR13 = VAR9[31:26]; assign VAR11 = VAR9[25:21]; assign VAR8 = VAR9[5:0]; parameter VAR3 = 6'b000000, VAR7 = 6'b010000; parameter VAR5 = 6'b001100; parameter VAR15 = 5'b00100, VAR12 = 5'b00000, VAR6 = 5'b10000;
mit
orbancedric/DeepGate
src/interface/mojo/deepgate_top.v
4,930
module MODULE1( input VAR51, input VAR37, input VAR60, output wire [7:0] VAR71, output VAR9, input VAR67, input VAR59, input VAR38, output [3:0] VAR74, input VAR77, output VAR32, input VAR12, output VAR81, output VAR22, output VAR44, output VAR66, output VAR64, output VAR82, output VAR40, output [1:0] VAR80, output [12:0] VAR61, inout [7:0] VAR25 ); wire rst = ~VAR37; wire clk; wire VAR31; assign clk = VAR51; wire [7:0] VAR14; wire VAR5; wire [7:0] VAR62; wire VAR11; wire VAR23; VAR7 VAR46 ( .clk (clk), .rst (rst), .VAR60 (VAR60), .VAR9 (VAR9), .VAR59 (VAR59), .VAR38 (VAR38), .VAR67 (VAR67), .VAR74 (VAR74), .VAR65 (VAR32), .VAR36 (VAR77), .VAR56 (4'd15), .VAR14 (VAR14), .VAR27 (VAR23), .VAR5 (VAR5), .VAR73 (VAR12), .VAR62 (VAR62), .VAR11 (VAR11) ); wire [31:0] VAR13; wire [31:0] VAR52; wire [22:0] VAR1; wire VAR15; wire VAR34; wire VAR48; wire VAR45; VAR75 VAR55 ( .clk (clk), .rst (rst), .VAR81 (VAR81), .VAR22 (VAR22), .VAR66 (VAR66), .VAR82 (VAR82), .VAR40 (VAR40), .VAR64 (VAR64), .VAR44 (VAR44), .VAR80 (VAR80), .VAR61 (VAR61), .VAR25 (VAR25), .addr (VAR1), .VAR79 (VAR45), .VAR24 (VAR13), .VAR72 (VAR52), .VAR47 (VAR15), .VAR54 (VAR34), .VAR43 (VAR48) ); wire VAR16; wire VAR76; wire [7:0] VAR21; wire [7:0] VAR30; wire VAR42; wire VAR4; wire VAR26; wire [7:0] VAR19; wire [VAR18 - 1'b1 : 0] VAR50; wire [VAR18 - 1'b1 : 0] VAR68; VAR57 VAR20( .VAR33 (clk), .VAR3 (VAR16), .VAR17 (VAR26), .VAR29 (VAR4), .VAR58 (VAR21), .VAR8 (VAR42), .VAR35 (VAR30), .VAR49 (VAR76), .VAR63 (VAR68), .VAR70 (VAR19), .VAR28 (VAR50) ); VAR6 VAR41( .clk (clk), .rst (rst), .VAR2 (VAR5), .VAR53 (VAR11), .VAR10 (VAR62), .VAR69 (VAR14), .VAR23 (VAR23), .VAR26 (VAR26), .VAR42 (VAR42), .VAR76 (VAR76), .VAR30 (VAR30), .VAR21 (VAR21), .VAR16 (VAR16), .VAR4 (VAR4), .VAR68 (VAR68), .VAR39 (VAR19), .VAR50 (VAR50), .VAR15 (VAR15), .VAR48 (VAR48), .VAR52 (VAR52), .VAR13 (VAR13), .VAR1 (VAR1), .VAR34 (VAR34), .VAR45 (VAR45), .VAR78 (VAR71) ); endmodule
gpl-3.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/example_design/PIO_EP.v
8,391
module MODULE1 #( parameter VAR43 = 64, parameter VAR26 = VAR43 / 8, parameter VAR8 = 1 ) ( input clk, input VAR29, input VAR3, output [VAR43-1:0] VAR19, output [VAR26-1:0] VAR12, output VAR36, output VAR23, output VAR46, input [VAR43-1:0] VAR20, input [VAR26-1:0] VAR37, input VAR11, input VAR1, output VAR33, input [21:0] VAR41, output VAR16, output VAR30, input [15:0] VAR21 ); wire [10:0] VAR22; wire [3:0] VAR15; wire [31:0] VAR44; wire [10:0] VAR6; wire [7:0] VAR45; wire [31:0] VAR2; wire VAR40; wire VAR17; wire VAR25; wire VAR4; wire VAR18; wire [2:0] VAR42; wire VAR5; wire VAR14; wire [1:0] VAR35; wire [9:0] VAR9; wire [15:0] VAR34; wire [7:0] VAR7; wire [7:0] VAR38; wire [12:0] VAR31; VAR32 #( .VAR8( VAR8 ) ) VAR10 ( .clk(clk), .VAR29(VAR29), .VAR22(VAR22), .VAR15(VAR15), .VAR44(VAR44), .VAR6(VAR6), .VAR45(VAR45), .VAR2(VAR2), .VAR40(VAR40), .VAR17(VAR17) ); VAR27 #( .VAR43( VAR43 ), .VAR26( VAR26 ), .VAR8( VAR8 ) ) VAR24 ( .clk(clk), .VAR29(VAR29), .VAR20( VAR20 ), .VAR37( VAR37 ), .VAR11( VAR11 ), .VAR1( VAR1 ), .VAR33( VAR33 ), .VAR41 ( VAR41 ), .VAR16(VAR25), .VAR4(VAR4), .VAR30(VAR18), .VAR42(VAR42), .VAR5(VAR5), .VAR14(VAR14), .VAR35(VAR35), .VAR9(VAR9), .VAR34(VAR34), .VAR7(VAR7), .VAR38(VAR38), .VAR31(VAR31), .VAR6(VAR6), .VAR45(VAR45), .VAR2(VAR2), .VAR40(VAR40), .VAR17(VAR17) ); VAR28 #( .VAR43( VAR43 ), .VAR26( VAR26 ), .VAR8( VAR8 ) )VAR13( .clk(clk), .VAR29(VAR29), .VAR3( VAR3 ), .VAR19( VAR19 ), .VAR12( VAR12 ), .VAR36( VAR36 ), .VAR23( VAR23 ), .VAR46( VAR46 ), .VAR16(VAR25), .VAR4(VAR4), .VAR30(VAR18), .VAR42(VAR42), .VAR5(VAR5), .VAR14(VAR14), .VAR35(VAR35), .VAR9(VAR9), .VAR34(VAR34), .VAR7(VAR7), .VAR38(VAR38), .VAR31(VAR31), .VAR22(VAR22), .VAR15(VAR15), .VAR44(VAR44), .VAR39(VAR21) ); assign VAR16 = VAR25; assign VAR30 = VAR18; endmodule
gpl-2.0
manili/Pipelined_6502
ALU.v
3,921
module MODULE1( VAR7, VAR23, VAR17, VAR6, VAR25, VAR1 ); input wire [4:0] VAR7; input wire [7:0] VAR23; input wire [15:0] VAR17; input wire [15:0] VAR6; output wire [7:0] VAR25; output wire [15:0] VAR1; reg [15:0] VAR20; reg VAR16; reg VAR12; assign VAR1 = VAR20; assign VAR25[VAR14] = (VAR7 == VAR18) ? VAR17[VAR14] : (VAR7 == VAR22) ? VAR23[VAR14] : VAR20[7]; assign VAR25[VAR5] = (VAR7 == VAR18) ? VAR17[VAR5] : (VAR7 == VAR10) ? 1'h0 : VAR12; assign VAR25[VAR8] = (VAR7 == VAR18) ? VAR17[VAR8] : VAR23[VAR8]; assign VAR25[VAR19] = (VAR7 == VAR18) ? VAR17[VAR19] : (VAR7 == VAR22) ? 1'h1 : VAR23[VAR19]; assign VAR25[VAR13] = (VAR7 == VAR18) ? VAR17[VAR13] : (VAR7 == VAR15) ? 1'h0 : (VAR7 == VAR9) ? 1'h1 : VAR23[VAR13]; assign VAR25[VAR2] = (VAR7 == VAR18) ? VAR17[VAR2] : (VAR7 == VAR4) ? 1'h0 : (VAR7 == VAR26) ? 1'h1 : (VAR7 == VAR22) ? 1'h1 : VAR23[VAR2]; assign VAR25[VAR11] = (VAR7 == VAR18) ? VAR17[VAR11] : (VAR7 == VAR22) ? VAR23[VAR11] : (VAR20[7:0] == 8'h0) ? 1'h1 : 1'h0; assign VAR25[VAR3] = (VAR7 == VAR18) ? VAR17[VAR3] : (VAR7 == VAR24) ? 1'h0 : (VAR7 == VAR21) ? 1'h1 : VAR16; always @(VAR17 or VAR6 or VAR7 or VAR23[VAR3]) begin case(VAR7) VAR20 = VAR17 + VAR6 + VAR23[VAR3]; VAR16 = VAR20[8]; VAR12 = ( VAR17[7] && VAR6[7] && ~VAR20[7])|| (~VAR17[7] && ~VAR6[7] && VAR20[7]); end VAR20 = VAR17 & VAR6; end VAR20 = VAR17; end VAR20 = VAR6 - VAR17; VAR16 = VAR6 < VAR17 ? 1'h1 : 1'h0; end VAR20 = VAR17 - 16'h1; end VAR20 = VAR17 + 16'h1; end VAR20 = VAR17; end VAR20 = VAR17 | VAR6; end VAR20 = VAR17 << 1; VAR20[0] = VAR16; VAR16 = VAR20[8]; end VAR20 = VAR17 >> 1; VAR20[7] = VAR16; VAR16 = VAR17[0]; end VAR20 = VAR17 << 1; VAR16 = VAR17[7]; end VAR20 = VAR17 >> 1; VAR16 = VAR17[0]; end VAR20 = VAR6 - VAR17 - VAR23[VAR3]; VAR16 = VAR6 < (VAR17 + VAR23[VAR3]) ? 1'h1 : 1'h0; VAR12 = ( VAR17[7] && VAR6[7] && ~VAR20[7]) || (~VAR17[7] && ~VAR6[7] && VAR20[7]); end VAR20 = VAR17 ^ VAR6; end default : begin VAR20 = VAR20; VAR16 = (VAR7 == VAR24) ? 1'h0 : (VAR7 == VAR21) ? 1'h1 : VAR23[VAR3]; VAR12 = (VAR7 == VAR10) ? 1'h0 : VAR23[VAR5]; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or4b/sky130_fd_sc_hs__or4b.symbol.v
1,281
module MODULE1 ( input VAR1 , input VAR5 , input VAR2 , input VAR4, output VAR3 ); supply1 VAR7; supply0 VAR6; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or4bb/sky130_fd_sc_hdll__or4bb_1.v
2,330
module MODULE1 ( VAR4 , VAR6 , VAR2 , VAR9 , VAR11 , VAR7, VAR10, VAR1 , VAR8 ); output VAR4 ; input VAR6 ; input VAR2 ; input VAR9 ; input VAR11 ; input VAR7; input VAR10; input VAR1 ; input VAR8 ; VAR5 VAR3 ( .VAR4(VAR4), .VAR6(VAR6), .VAR2(VAR2), .VAR9(VAR9), .VAR11(VAR11), .VAR7(VAR7), .VAR10(VAR10), .VAR1(VAR1), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR4 , VAR6 , VAR2 , VAR9, VAR11 ); output VAR4 ; input VAR6 ; input VAR2 ; input VAR9; input VAR11; supply1 VAR7; supply0 VAR10; supply1 VAR1 ; supply0 VAR8 ; VAR5 VAR3 ( .VAR4(VAR4), .VAR6(VAR6), .VAR2(VAR2), .VAR9(VAR9), .VAR11(VAR11) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21oi/sky130_fd_sc_hdll__a21oi.symbol.v
1,357
module MODULE1 ( input VAR5, input VAR1, input VAR6, output VAR7 ); supply1 VAR2; supply0 VAR8; supply1 VAR4 ; supply0 VAR3 ; endmodule
apache-2.0
SI-RISCV/e200_opensource
rtl/e203/core/e203_exu_branchslv.v
6,096
module MODULE1( input VAR23, output VAR11, input VAR6, input VAR25, input VAR17, input VAR19, input VAR18, input VAR20, input VAR13, input [VAR27-1:0] VAR7, input [VAR2-1:0] VAR15, input [VAR27-1:0] VAR21, input [VAR27-1:0] VAR5, input VAR10, input VAR22, output VAR16, output [VAR27-1:0] VAR26, output [VAR27-1:0] VAR14, output VAR3, output VAR30, output VAR1, input clk, input VAR12 ); wire VAR29; wire VAR24; assign VAR16 = VAR24 & (~VAR10); assign VAR29 = VAR22 & (~VAR10); wire VAR28 = ( (VAR18 & (VAR20 ^ VAR13)) | VAR19 | VAR17 | VAR25 ); wire VAR9 = ( VAR18 | VAR19 | VAR17 | VAR25 ); assign VAR24 = VAR23 & VAR28; assign VAR26 = VAR25 ? VAR5 : VAR17 ? VAR21 : VAR7; assign VAR14 = VAR25 ? VAR27'b0 : VAR17 ? VAR27'b0 : (VAR19 | VAR20) ? (VAR6 ? VAR27'd4 : VAR27'd2) : VAR15[VAR27-1:0]; (VAR19 | (VAR18 & VAR20)) ? (VAR7 + (VAR6 ? VAR27'd4 : VAR27'd2)) : (VAR18 & (~VAR20)) ? (VAR7 + VAR15[VAR27-1:0]) : VAR25 ? VAR5 : VAR21 ; VAR8 wire VAR4 = VAR16 & VAR22; assign VAR3 = VAR17 & VAR4; assign VAR30 = VAR25 & VAR4; assign VAR1 = VAR19 & VAR4; assign VAR11 = (~VAR9) | ( (VAR28 ? VAR29 : 1'b1) & (~VAR10) ); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bjx1core32/FpuFp64_Mul.v
1,399
module MODULE1( clk, enable, VAR7, VAR4, VAR14 ); input clk; input enable; input[63:0] VAR7; input[63:0] VAR4; output[63:0] VAR14; reg VAR11; reg VAR9; reg VAR1; reg[12:0] VAR2; reg[12:0] VAR13; reg[12:0] VAR3; reg[105:0] VAR5; reg[105:0] VAR6; reg[105:0] VAR15; reg[63:0] VAR8; reg[63:0] VAR5; reg[63:0] VAR6; reg[63:0] VAR8; reg[51:0] VAR10; reg[63:0] VAR12; assign VAR14 = VAR12; always @ (clk && enable) begin VAR11=VAR7[63]; VAR9=VAR4[63]; VAR2[10:0]=VAR7[62:52]; VAR13[10:0]=VAR4[62:52]; VAR2[12:11]=0; VAR13[12:11]=0; VAR1=VAR11^VAR9; VAR5[105:52]=1; VAR6[105:52]=1; VAR5[63:52]=1; VAR6[63:52]=1; VAR5[51:0]=VAR7[51:0]; VAR6[51:0]=VAR4[51:0]; VAR15=VAR5*VAR6; VAR8=VAR15[105:42]+64'h3FF; VAR8=(VAR5>>21)*(VAR6>>21); if(VAR8[63]) begin VAR10[51:0]=VAR8[62:11]; VAR3=VAR2+VAR13-1022; end else begin VAR10[51:0]=VAR8[61:10]; VAR3=VAR2+VAR13-1023; end if(VAR3[12]) begin VAR12=0; end else if(VAR3[11]) begin VAR12[63]=VAR1; VAR12[62:0]=63'h7FF0000000000000; end else begin VAR12[63]=VAR1; VAR12[62:52]=VAR3[10:0]; VAR12[51: 0]=VAR10[51:0]; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkbuf/sky130_fd_sc_hdll__clkbuf_4.v
2,050
module MODULE1 ( VAR1 , VAR2 , VAR6, VAR4, VAR8 , VAR3 ); output VAR1 ; input VAR2 ; input VAR6; input VAR4; input VAR8 ; input VAR3 ; VAR7 VAR5 ( .VAR1(VAR1), .VAR2(VAR2), .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR1, VAR2 ); output VAR1; input VAR2; supply1 VAR6; supply0 VAR4; supply1 VAR8 ; supply0 VAR3 ; VAR7 VAR5 ( .VAR1(VAR1), .VAR2(VAR2) ); endmodule
apache-2.0
zYeoman/32BIT-MIPS-CPU
pipeline/MEM2WB.v
1,228
module MODULE1( input clk, rst, input VAR6, input [31:0] VAR2, VAR1, VAR10, input [4:0] VAR7, input [1:0] VAR11, output reg VAR12, output reg [31:0] VAR9, VAR4, VAR5, output reg [4:0] VAR8, output reg [1:0] VAR3 ); always @(posedge clk or posedge rst) begin if (rst) begin VAR12 <= 0; VAR9 <= 0; VAR4 <= 0; VAR8 <= 0; VAR3 <= 0; VAR5 <= 0; end else begin VAR12 <= VAR6; VAR9 <= VAR2; VAR4 <= VAR1; VAR8 <= VAR7; VAR3 <= VAR11; VAR5 <= VAR10; end end endmodule
gpl-2.0
rkrajnc/minimig-mist
rtl/or1200/or1200_immu_tlb.v
7,202
module MODULE1( clk, rst, VAR44, VAR32, VAR3, VAR45, VAR24, VAR23, VAR19, VAR28, VAR39, VAR27, VAR47, VAR49, VAR17, VAR43, VAR33 ); parameter VAR37 = VAR5; parameter VAR13 = VAR5; input clk; input rst; input VAR44; input [VAR13-1:0] VAR32; output VAR3; output [31:VAR54] VAR45; output VAR24; output VAR23; output VAR19; input VAR28; input [VAR21 - 1:0] VAR27; output VAR39; input VAR47; input VAR49; input [31:0] VAR17; input [31:0] VAR43; output [31:0] VAR33; wire [VAR11] VAR20; wire VAR6; wire [VAR36-1:0] VAR48; wire VAR30; wire VAR2; wire [VAR35-1:0] VAR38; wire [VAR35-1:0] VAR42; wire VAR1; wire VAR15; wire [VAR46-1:0] VAR53; wire [VAR46-1:0] VAR16; wire VAR41; wire VAR18; wire VAR34; wire VAR12; assign VAR30 = VAR44 | (VAR47 & !VAR17[VAR26]); assign VAR2 = VAR47 & VAR49 & !VAR17[VAR26]; assign VAR1 = VAR44 | (VAR47 & VAR17[VAR26]); assign VAR15 = VAR47 & VAR49 & VAR17[VAR26]; assign VAR33 = (!VAR49 & !VAR17[VAR26]) ? {VAR20, VAR48 & {VAR36{VAR6}}, {VAR31-7{1'b0}}, 1'b0, 5'b00000, VAR6} : (!VAR49 & VAR17[VAR26]) ? {VAR45, {VAR54-8{1'b0}}, VAR24, VAR23, {4{1'b0}}, VAR19, 1'b0} : 32'h00000000; assign {VAR20, VAR6} = VAR42; assign VAR38 = {VAR43[VAR11], VAR43[VAR4]}; assign {VAR45, VAR24, VAR23, VAR19} = VAR16; assign VAR53 = {VAR43[31:VAR54], VAR43[VAR50], VAR43[VAR55], VAR43[VAR8]}; assign VAR3 = (VAR20 == VAR32[VAR11]) & VAR6; assign VAR48 = VAR47 ? VAR17[VAR36-1:0] : VAR32[VAR7]; assign VAR41 = VAR28; assign VAR34 = VAR18; assign VAR39 = VAR12; VAR14 VAR22( .clk(clk), .rst(rst), .VAR28(VAR41), .VAR39(VAR18), .VAR27(VAR27), .VAR29(VAR30), .VAR52(VAR2), .VAR51(1'b1), .addr(VAR48), .VAR10(VAR38), .VAR25(VAR42) ); VAR40 VAR9( .clk(clk), .rst(rst), .VAR28(VAR34), .VAR39(VAR12), .VAR27(VAR27), .VAR29(VAR1), .VAR52(VAR15), .VAR51(1'b1), .addr(VAR48), .VAR10(VAR53), .VAR25(VAR16) ); endmodule
gpl-3.0
karshan/fpga-rgbmatrix
src/message_printer.v
1,210
module MODULE1 ( input clk, input rst, output [7:0] VAR4, output reg VAR11, input VAR16, input [7:0] VAR2, input VAR5 ); localparam VAR3 = 1; localparam VAR7 = 0, VAR15 = 1; localparam VAR8 = 14; reg [VAR3-1:0] VAR10, VAR14; reg [3:0] VAR12, VAR1; VAR13 VAR13 ( .clk(clk), .addr(VAR1), .VAR9(VAR4) ); always @(*) begin VAR10 = VAR14; VAR12 = VAR1; VAR11 = 1'b0; case (VAR14) VAR7: begin VAR12 = 4'd0; if (VAR5 && VAR2 == "VAR6") VAR10 = VAR15; end VAR15: begin if (!VAR16) begin VAR11 = 1'b1; VAR12 = VAR1 + 1'b1; if (VAR1 == VAR8-1) VAR10 = VAR7; end end default: VAR10 = VAR7; endcase end always @(posedge clk) begin if (rst) begin VAR14 <= VAR7; end else begin VAR14 <= VAR10; end VAR1 <= VAR12; end endmodule
gpl-3.0
impedimentToProgress/ProbableCause
ddr2/cores/or1200/or1200_sb_fifo.v
5,310
module MODULE1( VAR6, VAR4, VAR10, VAR12, VAR9, VAR1, VAR17, VAR8 ); parameter VAR3 = 68; parameter VAR16 = VAR2; parameter VAR15 = VAR14; input VAR6; input VAR4; input [VAR3-1:0] VAR10; input VAR12; input VAR9; output [VAR3-1:0] VAR1; output VAR17; output VAR8; reg [VAR3-1:0] VAR18 [VAR15-1:0]; reg [VAR3-1:0] VAR1; reg [VAR16+1:0] VAR13; reg [VAR16-1:0] VAR7; reg [VAR16-1:0] VAR19; reg VAR8; reg VAR17; always @(posedge VAR6 or VAR5 VAR4) if (VAR4 == VAR11) begin VAR17 <= 1'b0; VAR8 <= 1'b1; VAR7 <= {VAR16{1'b0}}; VAR19 <= {VAR16{1'b0}}; VAR13 <= {VAR16+2{1'b0}}; VAR1 <= {VAR3{1'b0}}; end else if (VAR12 && VAR9) begin VAR18[VAR7] <= VAR10; if (VAR7 >= VAR15-1) VAR7 <= {VAR16{1'b0}}; end else VAR7 <= VAR7 + 1'b1; if (VAR8) begin VAR1 <= VAR10; end else begin VAR1 <= VAR18[VAR19]; end if (VAR19 >= VAR15-1) VAR19 <= {VAR16{1'b0}}; else VAR19 <= VAR19 + 1'b1; end else if (VAR12 && !VAR17) begin VAR18[VAR7] <= VAR10; VAR13 <= VAR13 + 1'b1; VAR8 <= 1'b0; if (VAR13 >= (VAR15-1)) begin VAR17 <= 1'b1; VAR13 <= VAR15; end if (VAR7 >= VAR15-1) VAR7 <= {VAR16{1'b0}}; end else VAR7 <= VAR7 + 1'b1; end else if (VAR9 && !VAR8) begin VAR1 <= VAR18[VAR19]; VAR13 <= VAR13 - 1'b1; VAR17 <= 1'b0; if (VAR13 <= 1) begin VAR8 <= 1'b1; VAR13 <= {VAR16+2{1'b0}}; end if (VAR19 >= VAR15-1) VAR19 <= {VAR16{1'b0}}; end else VAR19 <= VAR19 + 1'b1; end endmodule
mit
aj-michael/Digital-Systems
Lab6-Part2/ControllerReadTempI2C.v
1,891
module MODULE1(VAR7,VAR25,VAR5,VAR26,VAR27,VAR22,VAR24,VAR14,VAR3,VAR4,VAR23,VAR15); input VAR7; input VAR25; input VAR5; input VAR26; input VAR27; output VAR22; output VAR24; output VAR14; output VAR3; output VAR4; output VAR23; output VAR15; reg [3:0] VAR19; reg [2:0] VAR12; reg [2:0] VAR1; parameter VAR9 = 3'd0; parameter VAR8 = 3'd1; parameter VAR10 = 3'd2; parameter VAR2 = 3'd3; wire VAR21, VAR6; VAR17 VAR16(VAR25, VAR21, VAR5, VAR11); VAR20 VAR13(VAR25, VAR6, VAR5, VAR11); reg VAR18; always@(posedge VAR11) if(VAR5==1) begin VAR12<=VAR9; VAR18<=1; end else begin VAR12<=VAR1; end if(VAR6==1) VAR18<=VAR26; else VAR18<=VAR18; end always@(posedge VAR11) if(VAR5==1) begin VAR19<=4'd9; end else case (VAR12) VAR8: if(VAR21==0) VAR19<=VAR19-1'b1; end else VAR19<=VAR19; VAR10: if(VAR21==0) VAR19<=VAR19-1'b1; else VAR19<=VAR19; VAR2: if(VAR6==1) VAR19<=VAR19-1'b1; else VAR19<=VAR19; default: VAR19<=4'd9; endcase endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_20.behavioral.pp.v
1,172
module MODULE1( VAR4, VAR5, VAR7, VAR6 ); input VAR4; inout VAR7, VAR6; output VAR5; VAR1 VAR2(.VAR4(VAR4),.VAR5(VAR5),.VAR7(VAR7),.VAR6(VAR6)); VAR1 VAR3(.VAR4(VAR4),.VAR5(VAR5),.VAR7(VAR7),.VAR6(VAR6));
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_amphy/ddr3_s4_amphy_example_driver.v
30,890
module MODULE1 ( clk, VAR36, VAR52, VAR47, VAR6, VAR85, VAR23, VAR41, VAR44, VAR12, VAR64, VAR42, VAR54, VAR56, VAR1, VAR15, VAR45, VAR91, VAR81 ) ; output [ 2: 0] VAR85; output [ 3: 0] VAR23; output VAR41; output [ 9: 0] VAR44; output VAR12; output VAR64; output [ 12: 0] VAR42; output [ 2: 0] VAR54; output [ 31: 0] VAR56; output VAR1; output [ 3: 0] VAR15; output VAR45; output VAR91; output [ 7: 0] VAR81; input clk; input [ 31: 0] VAR36; input VAR52; input VAR47; input VAR6; wire [ 19: 0] VAR63; wire [ 2: 0] VAR68; wire [ 2: 0] VAR90; wire VAR86; wire [ 9: 0] VAR93; wire [ 12: 0] VAR50; wire [ 12: 0] VAR70; wire VAR60; wire [ 4: 0] VAR49; wire VAR53; reg [ 2: 0] VAR39; reg [ 3: 0] VAR79; reg [ 2: 0] VAR65; reg VAR5; reg [ 9: 0] VAR40; wire [ 3: 0] VAR3; reg [ 3: 0] VAR32; reg [ 3: 0] VAR67; reg [ 3: 0] VAR92; reg VAR25; wire [ 31: 0] VAR77; reg VAR21; reg [ 31: 0] VAR51; reg VAR76; wire VAR62; wire VAR9; reg VAR83; reg VAR89; reg VAR57; wire [ 2: 0] VAR85; wire [ 3: 0] VAR23; wire VAR41; wire [ 9: 0] VAR44; wire VAR12; wire VAR64; wire [ 12: 0] VAR42; wire [ 2: 0] VAR54; wire [ 31: 0] VAR56; wire VAR1; wire [ 9: 0] VAR20; wire VAR95; wire VAR8; reg VAR35; wire VAR37; wire [ 3: 0] VAR15; reg VAR45; reg VAR10; wire VAR30; wire VAR84; reg VAR4; reg VAR13; reg VAR34; wire VAR2; reg VAR78; reg [ 7: 0] VAR29; reg VAR69; reg VAR22; reg VAR31; wire VAR66; reg [ 12: 0] VAR75; wire VAR43; wire [ 2: 0] VAR58; reg [ 4: 0] state; reg VAR11; reg VAR94; wire VAR48; reg VAR91; reg VAR46; reg VAR73; wire VAR72; reg VAR28; reg VAR16; wire VAR38; reg VAR24; reg VAR18; wire VAR59; wire [ 7: 0] VAR81; reg VAR55; wire [ 31: 0] VAR61; wire VAR74; reg VAR87; reg [ 7: 0] VAR26; assign VAR59 = 1'b1; assign VAR48 = 1'b1; assign VAR72 = 1'b1; assign VAR38 = 1'b0; assign VAR66 = 1'b1; assign VAR63 = 150; assign VAR50 = 3; assign VAR93 = 16; assign VAR90 = 7; assign VAR86 = 0; assign VAR60 = 0; assign VAR70 = {13{1'b1}}; assign VAR20 = ((VAR49 == 4) == 0)? VAR93 : (VAR93 + 4); assign VAR84 = 1'b0; assign VAR43 = 1'b0; assign VAR41 = VAR5 | VAR95; assign VAR53 = 1; assign VAR81[0] = VAR18; assign VAR81[1] = VAR16; assign VAR81[2] = VAR73; assign VAR81[3] = VAR94; assign VAR81[4] = 0; assign VAR81[5] = 0; assign VAR81[6] = 0; assign VAR81[7] = VAR91; assign VAR8 = 0; assign VAR95 = 0; assign VAR12 = VAR25; assign VAR42 = VAR75; assign VAR85 = VAR39; assign VAR44 = VAR40; assign VAR1 = VAR87; assign VAR56 = VAR61; assign VAR64 = VAR78 | VAR8; assign VAR61 = (VAR31 == 0)? VAR77 : 32'd0; assign VAR68 = 2; assign VAR74 = VAR87 & VAR47; assign VAR62 = ~ ((VAR74 & ~VAR31) | (VAR52)); assign VAR9 = (VAR74 & VAR73 & ~VAR31) | (VAR73 & VAR52); assign VAR15 = VAR92; assign VAR37 = (VAR31 & VAR73) | ~VAR73; assign VAR23 = VAR79; assign VAR54 = VAR58; assign VAR58 = (VAR83 == 0)? 1'd1 : VAR68[2 : 0]; assign VAR2 = ((VAR73 | VAR94 | state == 5'd9) & (VAR75 == VAR70)) || ((VAR18 | VAR16) & (VAR40 == (VAR20)) & (VAR75 == VAR50) & (VAR39 == VAR90) & (VAR25 == VAR86)); assign VAR49 = ((VAR16 & VAR87 & ~VAR83) == 0)? 8 : 4; assign VAR30 = (VAR34 == 0)? 1'd1 : VAR10; VAR19 VAR14 ( .clk (clk), .VAR17 (VAR77[7 : 0]), .enable (VAR21), .VAR82 (VAR51[7 : 0]), .VAR27 (VAR76), .VAR88 (VAR62), .VAR6 (VAR6) ); assign VAR3[0] = (VAR77[7 : 0] & {8 {VAR79[0]}}) === VAR36[7 : 0]; VAR19 VAR80 ( .clk (clk), .VAR17 (VAR77[15 : 8]), .enable (VAR21), .VAR82 (VAR51[15 : 8]), .VAR27 (VAR76), .VAR88 (VAR62), .VAR6 (VAR6) ); assign VAR3[1] = (VAR77[15 : 8] & {8 {VAR79[1]}}) === VAR36[15 : 8]; VAR19 VAR7 ( .clk (clk), .VAR17 (VAR77[23 : 16]), .enable (VAR21), .VAR82 (VAR51[23 : 16]), .VAR27 (VAR76), .VAR88 (VAR62), .VAR6 (VAR6) ); assign VAR3[2] = (VAR77[23 : 16] & {8 {VAR79[2]}}) === VAR36[23 : 16]; VAR19 VAR33 ( .clk (clk), .VAR17 (VAR77[31 : 24]), .enable (VAR21), .VAR82 (VAR51[31 : 24]), .VAR27 (VAR76), .VAR88 (VAR62), .VAR6 (VAR6) ); assign VAR3[3] = (VAR77[31 : 24] & {8 {VAR79[3]}}) === VAR36[31 : 24]; always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) begin state <= 5'd0; VAR87 <= 1'b0; VAR78 <= 1'b0; VAR5 <= 1'b0; VAR65 <= 0; VAR76 <= 1'b0; VAR55 <= 1'b0; VAR91 <= 1'b0; VAR31 <= 1'b0; VAR22 <= 1'b0; VAR26 <= 0; VAR29 <= 0; VAR11 <= 1'b0; VAR46 <= 1'b0; VAR24 <= 1'b0; VAR28 <= 1'b0; VAR94 <= 1'b0; VAR73 <= 1'b0; VAR18 <= 1'b0; VAR16 <= 1'b0; VAR83 <= 1'b1; VAR35 <= 1'b0; VAR21 <= 1'b1; end else begin if (VAR87 & VAR47) begin if (VAR74) VAR26 <= VAR26 + (VAR58 - 1); end else VAR26 <= VAR26 + VAR58; end else if ((VAR74) & (VAR26 > 0)) VAR26 <= VAR26 - 1'b1; end else VAR26 <= VAR26; if ((VAR78 | VAR8) & VAR47) begin if (VAR52) VAR29 <= VAR29 + (VAR58 - 1); end else VAR29 <= VAR29 + VAR58; end else if ((VAR52) & (VAR29 > 0)) VAR29 <= VAR29 - 1'b1; else VAR29 <= VAR29; case (state) 5'd0: begin VAR11 <= VAR48; VAR46 <= VAR72; VAR24 <= VAR59; VAR28 <= VAR38; VAR91 <= 1'b0; state <= 5'd1; end 5'd1: begin VAR69 <= 1'b0; VAR22 <= 1'b0; VAR87 <= 1'b1; VAR26 <= 1'b0; VAR29 <= 1'b0; VAR55 <= 1'b1; VAR21 <= 1'b1; if (VAR24 == 1'b1) begin VAR18 <= 1; if (VAR53 == 0) begin state <= 5'd5; VAR5 <= 1'b1; end else if (VAR53 == 1) begin state <= 5'd13; VAR5 <= 1'b1; end end else if (VAR28 == 1'b1) begin VAR83 <= 1'b0; VAR16 <= 1; state <= 5'd5; if (VAR53 == 1) VAR5 <= 1'b1; end else if (VAR46 == 1'b1) begin VAR31 <= 1'b1; VAR73 <= 1; if (VAR53 == 0) begin VAR5 <= 1'b1; state <= 5'd2; end else begin VAR5 <= 1'b1; state <= 5'd10; end end else if (VAR11 == 1'b1) begin VAR94 <= 1; if (VAR53 == 0) begin VAR5 <= 1'b1; state <= 5'd5; end else if (VAR53 == 1) begin state <= 5'd13; VAR5 <= 1'b1; end end else begin VAR87 <= 1'b0; VAR55 <= 1'b0; state <= 5'd9; end end 5'd10: begin VAR55 <= 1'b0; VAR5 <= 1'b0; if (VAR87 & VAR47) begin VAR65 <= VAR65 + 1'b1; state <= 5'd11; end end 5'd11: begin if (VAR87 & VAR47) if (VAR65 == VAR58 - 1'b1) begin VAR65 <= 0; VAR5 <= 1'b1; if (VAR2) state <= 5'd12; end else state <= 5'd10; end else VAR65 <= VAR65 + 1'b1; end 5'd12: begin VAR5 <= 1'b0; if (VAR87 & VAR47) state <= 5'd3; end 5'd13: begin VAR55 <= 1'b0; VAR5 <= 1'b0; VAR22 <= 1'b0; if (VAR87 & VAR47) begin VAR65 <= VAR65 + 1'b1; state <= 5'd14; end end 5'd14: begin if (VAR87 & VAR47) if (VAR65 == VAR58 - 1'b1) begin VAR65 <= 0; VAR5 <= 1'b1; if (VAR2) state <= 5'd15; end else state <= 5'd13; end else VAR65 <= VAR65 + 1'b1; end 5'd15: begin if (VAR87 & VAR47) begin VAR69 <= 1'b1; VAR5 <= 1'b0; state <= 5'd6; end end 5'd16: begin VAR76 <= 1'b0; VAR22 <= 1'b0; if (VAR47 == 1'b0) begin VAR78 <= 1'b1; VAR5 <= 1'b0; end else if (VAR47 & VAR78) if (VAR2) begin VAR78 <= 1'b0; VAR5 <= 1'b0; state <= 5'd8; end else begin VAR78 <= 1'b1; VAR5 <= 1'b1; end end 5'd2: begin VAR55 <= 1'b0; if (VAR87 & VAR47) if (VAR2) begin VAR87 <= 1'b0; VAR5 <= 1'b0; state <= 5'd3; end end 5'd3: begin if (VAR53 == 0) begin if (!VAR74) if (VAR26 == 0) begin VAR22 <= 1'b1; VAR69 <= 1'b1; VAR76 <= 1'b1; state <= 5'd4; end end else if (VAR87 & VAR47) begin VAR22 <= 1'b1; VAR87 <= 1'b0; VAR69 <= 1'b1; VAR76 <= 1'b1; state <= 5'd4; end end 5'd4: begin VAR69 <= 1'b0; VAR76 <= 1'b0; VAR22 <= 1'b0; VAR31 <= 1'b0; VAR87 <= 1'b1; if (VAR53 == 0) begin VAR5 <= 1'b1; state <= 5'd5; end else begin VAR5 <= 1'b1; state <= 5'd13; end end 5'd5: begin VAR55 <= 1'b0; if (VAR47 == 1'b0) begin VAR87 <= 1'b1; VAR5 <= 1'b0; end else if (VAR87 & VAR47) if (VAR2) begin VAR69 <= 1'b1; VAR87 <= 1'b0; VAR5 <= 1'b0; state <= 5'd6; if (VAR16) VAR83 <= 1'b1; end else begin VAR87 <= 1'b1; VAR5 <= 1'b1; end end 5'd6: begin VAR69 <= 1'b0; if (VAR53 == 0) begin if (VAR26 == 0) begin VAR76 <= 1'b1; VAR22 <= 1'b1; VAR78 <= 1'b1; VAR5 <= 1'b1; state <= 5'd7; end end else if (VAR16) begin VAR76 <= 1'b1; VAR78 <= 1'b1; VAR5 <= 1'b1; state <= 5'd16; end else if (VAR87 & VAR47) begin VAR87 <= 1'b0; VAR76 <= 1'b1; VAR22 <= 1'b1; VAR78 <= 1'b1; VAR5 <= 1'b1; state <= 5'd16; end end 5'd7: begin VAR76 <= 1'b0; VAR22 <= 1'b0; if (VAR47 & VAR78) if (VAR2) begin VAR78 <= 1'b0; VAR5 <= 1'b0; state <= 5'd8; end end 5'd8: begin if (VAR29 == 1'b0) begin VAR69 <= 1'b1; if (VAR24) begin VAR24 <= 1'b0; VAR18 <= 1'b0; state <= 5'd1; end else if (VAR28) begin VAR28 <= 1'b0; VAR16 <= 1'b0; state <= 5'd1; end else if (VAR46) begin VAR46 <= 1'b0; VAR73 <= 1'b0; state <= 5'd1; end else if (VAR11) begin VAR94 <= 1'b0; VAR76 <= 1'b1; state <= 5'd9; end else state <= 5'd9; end end 5'd9: begin VAR69 <= 1'b0; VAR22 <= 1'b0; VAR76 <= 1'b0; if (VAR84 == 1'b0 & VAR43 == 1'b0) begin VAR91 <= 1'b1; VAR35 <= 1'b0; VAR21 <= VAR66; state <= 5'd0; end else if (VAR2 & VAR29 == 0) begin VAR35 <= 1'b1; VAR69 <= 1'b1; VAR22 <= 1'b1; VAR76 <= 1'b1; end end endcase end end always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR4 <= 1'b0; end else if (VAR52) VAR4 <= 1'b1; end always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) begin VAR25 <= 0; VAR39 <= 0; VAR75 <= 0; VAR40 <= 0; end else if (VAR69) begin VAR25 <= VAR60; VAR75 <= 0; VAR39 <= 0; VAR40 <= 0; end else if (((VAR47 & VAR87 & (VAR73 | VAR94)) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((VAR47 & VAR78 & (VAR73 | VAR94)) & (state == 5'd7 | state == 5'd16)) | ((VAR47 & VAR8) & (state == 5'd9))) begin VAR40[9 : 3] <= {VAR40[8 : 3],VAR40[9]}; VAR75[12 : 0] <= {VAR75[11 : 0],VAR75[12]}; if (VAR75 == 13'd0) begin VAR40 <= 10'd8; VAR75 <= 13'd1; end else if (VAR75 == {1'b1,{12{1'b0}}}) begin VAR40 <= {{6{1'b1}},{4{1'b0}}}; VAR75 <= {{12{1'b1}},1'b0}; end else if (VAR75 == {1'b0,{12{1'b1}}}) begin VAR40 <= {{7{1'b1}},{3{1'b0}}}; VAR75 <= {13{1'b1}}; end if (VAR39 == VAR90) VAR39 <= 0; end else VAR39 <= VAR39 + 1'b1; if (VAR25 == VAR86) VAR25 <= VAR60; end else VAR25 <= VAR25 + 1'b1; end else if ((VAR47 & VAR87 & (VAR18 | VAR16) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((VAR47 & VAR78 & (VAR18 | VAR16)) & (state == 5'd7 | state == 5'd16))) if (VAR40 >= VAR20) begin VAR40 <= 0; if (VAR75 == VAR50) begin VAR75 <= 0; if (VAR39 == VAR90) begin VAR39 <= 0; if (VAR25 == VAR86) VAR25 <= VAR60; end else VAR25 <= VAR25 + 1'b1; end else VAR39 <= VAR39 + 1'b1; end else VAR75 <= VAR75 + 1'b1; end else VAR40 <= VAR40 + VAR49; end always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR79 <= {4{1'b1}}; end else if (VAR22) VAR79 <= 4'd1; else if (VAR9) VAR79[3 : 0] <= {VAR79[2 : 0],VAR79[3]}; else if (VAR37) VAR79 <= {4{1'b1}}; else VAR79 <= VAR79; end always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) begin VAR51 <= 0; VAR57 <= 1'b0; VAR67 <= {4 {1'b1}}; VAR92 <= {4 {1'b1}}; VAR45 <= 1'b0; VAR10 <= 1'b0; VAR32 <= {4 {1'b1}}; VAR89 <= 1'b0; VAR13 <= 1'b0; VAR34 <= 1'b0; end else begin VAR57 <= VAR74; VAR89 <= VAR52; VAR13 <= VAR4; VAR34 <= VAR13; VAR32 <= VAR3; if (VAR55) VAR51 <= VAR77; if (VAR89) VAR67 <= VAR32; if (&VAR67 & VAR13 & VAR30) VAR10 <= 1'b1; end else VAR10 <= 1'b0; VAR92 <= VAR67; VAR45 <= VAR10; end end endmodule
lgpl-3.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/daq2/common/daq2_spi.v
3,816
module MODULE1 ( VAR5, VAR8, VAR7, VAR11, VAR2, VAR1); input [ 2:0] VAR5; input VAR8; input VAR7; output VAR11; inout VAR2; output VAR1; reg [ 5:0] VAR4 = 'd0; reg VAR9 = 'd0; reg VAR10 = 'd0; wire VAR3; wire VAR6; assign VAR3 = & VAR5; assign VAR1 = ~VAR6; assign VAR6 = VAR10 & ~VAR3; always @(posedge VAR8 or posedge VAR3) begin if (VAR3 == 1'b1) begin VAR4 <= 6'd0; VAR9 <= 1'd0; end else begin VAR4 <= VAR4 + 1'b1; if (VAR4 == 6'd0) begin VAR9 <= VAR7; end end end always @(negedge VAR8 or posedge VAR3) begin if (VAR3 == 1'b1) begin VAR10 <= 1'b0; end else begin if (VAR4 == 6'd16) begin VAR10 <= VAR9; end end end assign VAR11 = VAR2; assign VAR2 = (VAR6 == 1'b1) ? 1'VAR12 : VAR7; endmodule
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/V2NFC100DDR_v1_0_0/81152d2e/src/NPM_Toggle_PHY_B_Reset.v
7,493
module MODULE1 ( VAR7 , VAR22 , VAR20 , VAR2 , VAR21 , VAR19 , VAR6 , VAR4 , VAR9 , VAR8 ); input VAR7 ; input VAR22 ; output VAR20 ; output VAR2 ; input VAR21 ; output VAR19 ; output VAR6 ; output VAR4 ; output [7:0] VAR9 ; output VAR8 ; parameter VAR16 = 4; parameter VAR17 = 4'b0001; parameter VAR13 = 4'b0010; parameter VAR24 = 4'b0100; parameter VAR12 = 4'b1000; reg [VAR16-1:0] VAR11 ; reg [VAR16-1:0] VAR3 ; reg VAR18 ; reg [3:0] VAR1 ; wire VAR25 ; reg VAR15 ; reg VAR23 ; reg VAR14 ; reg [7:0] VAR10 ; reg VAR5 ; assign VAR25 = (4'b1010 == VAR1[3:0]); always @ (posedge VAR7, posedge VAR22) begin if (VAR22) begin VAR11 <= VAR17; end else begin VAR11 <= VAR3; end end always @ ( * ) begin case (VAR11) VAR17: begin VAR3 <= VAR13; end VAR13: begin VAR3 <= (VAR21)? VAR24:VAR13; end VAR24: begin VAR3 <= VAR12; end VAR12: begin VAR3 <= (VAR25)? ((VAR21)? VAR24:VAR13):VAR12; end default: VAR3 <= VAR13; endcase end always @ (posedge VAR7, posedge VAR22) begin if (VAR22) begin VAR18 <= 0; VAR1[3:0] <= 0; VAR15 <= 0; VAR23 <= 0; VAR14 <= 0; VAR10[7:0] <= 0; VAR5 <= 0; end else begin case (VAR3) VAR17: begin VAR18 <= 0; VAR1[3:0] <= 0; VAR15 <= 0; VAR23 <= 0; VAR14 <= 0; VAR10[7:0] <= 0; VAR5 <= 0; end VAR13: begin VAR18 <= 1; VAR1[3:0] <= 0; VAR15 <= 0; VAR23 <= 0; VAR14 <= 0; VAR10[7:0] <= 0; VAR5 <= 0; end VAR24: begin VAR18 <= 0; VAR1[3:0] <= 4'b0000; VAR15 <= 1'b1; VAR23 <= 1'b0; VAR14 <= 1'b0; VAR10[7:0] <= 8'b01010101; VAR5 <= 1'b1; end VAR12: begin VAR18 <= 0; VAR1[3:0] <= VAR1[3:0] + 1'b1; VAR15 <= 1'b1; VAR23 <= 1'b0; VAR14 <= 1'b0; VAR10[7:0] <= 8'b01010101; VAR5 <= 1'b1; end endcase end end assign VAR20 = VAR18 | VAR25 ; assign VAR2 = VAR25 ; assign VAR19 = VAR15 ; assign VAR6 = VAR23 ; assign VAR4 = VAR14 ; assign VAR9 = VAR10 ; assign VAR8 = VAR5 ; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o41ai/sky130_fd_sc_hd__o41ai.pp.blackbox.v
1,408
module MODULE1 ( VAR9 , VAR7 , VAR2 , VAR10 , VAR5 , VAR6 , VAR3, VAR1, VAR4 , VAR8 ); output VAR9 ; input VAR7 ; input VAR2 ; input VAR10 ; input VAR5 ; input VAR6 ; input VAR3; input VAR1; input VAR4 ; input VAR8 ; endmodule
apache-2.0
tmolteno/TART
hardware/FPGA/tart_spi/verilog/correlator/correlate_cos_sin_DSP.v
3,732
module MODULE1 parameter VAR19 = VAR8+VAR45, parameter VAR60 = 0, parameter VAR38 = 3) ( input clk, input VAR24, input en, input VAR25, input VAR3, input VAR53, input VAR23, input VAR37, input [VAR45:0] VAR27, input [VAR45:0] VAR52, output [VAR45:0] VAR32, output [VAR45:0] VAR21 ); wire [7:0] VAR28 = 8'b00001111; wire [17:0] VAR7 = {6'b0, VAR52[23:12]}; wire [17:0] VAR9 = {VAR52[11:0], VAR27[23:18]}; wire [17:0] VAR13 = VAR27[17:0]; wire VAR31 = VAR60 && VAR3 ? VAR23 == 1'b1 : VAR53 == VAR23; wire VAR15 = VAR60 && VAR3 ? VAR53 == 1'b1 : VAR53 == VAR37; wire VAR31 = VAR60 && VAR3 ? VAR53 == 1'b1 : VAR53 == VAR23; wire VAR15 = VAR60 && VAR3 ? VAR23 == 1'b1 : VAR53 == VAR37; wire [VAR19:0] VAR56 = {{VAR45{1'b0}}, VAR15, {VAR45{1'b0}}, VAR31}; VAR33 .VAR22(0), .VAR58(1), .VAR17(1), .VAR10(0), .VAR2(1), .VAR16(0), .VAR36 (1), .VAR26 (1), .VAR11 (0), .VAR57 (1) ) VAR34 ( .VAR20(clk), .VAR40(1'b0), .VAR5(1'b0), .VAR50(1'b0), .VAR44(VAR25), .VAR6(1'b0), .VAR55(1'b0), .VAR49(1'b0), .VAR35(48'b0), .VAR47(VAR28), .VAR48(VAR24), .VAR39(en), .VAR42(VAR9), .VAR59(VAR24), .VAR18(en), .VAR12(VAR13), .VAR46(VAR24), .VAR4(en), .VAR29(VAR7), .VAR54(1'b0), .VAR30(en), .VAR1(VAR56), .VAR14(), .VAR51(1'b0), .VAR41(VAR25), .VAR43({VAR21, VAR32}) ); endmodule
lgpl-3.0
nyaxt/dmix
ise/tepla/ipcore_dir/nkmd_ddr3/example_design/par/ipcore_dir/dcm.v
5,632
module MODULE1 ( input VAR19, output VAR41, input VAR33, output VAR37 ); VAR25 VAR2 (.VAR40 (VAR47), .VAR13 (VAR19)); wire VAR18; wire VAR31; wire [7:0] VAR48; wire VAR14; wire VAR26; wire VAR35; VAR17 .VAR45 (3), .VAR29 (10), .VAR36 ("VAR15"), .VAR43 (10.0), .VAR44 ("VAR34"), .VAR10 ("VAR34"), .VAR38 ("VAR20"), .VAR8 (0), .VAR11 ("VAR15")) VAR27 (.VAR49 (VAR47), .VAR3 (VAR14), .VAR9 (VAR26), .VAR30 (), .VAR32 (), .VAR12 (), .VAR46 (), .VAR22 (), .VAR42 (VAR35), .VAR16 (), .VAR21 (), .VAR39 (1'b0), .VAR1 (1'b0), .VAR28 (1'b0), .VAR5 (), .VAR37 (VAR31), .VAR24 (VAR48), .VAR23 (VAR33), .VAR6 (1'b0)); assign VAR37 = VAR31; assign VAR14 = 1'b0; VAR4 VAR7 (.VAR40 (VAR41), .VAR13 (VAR35)); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nor3/gf180mcu_fd_sc_mcu7t5v0__nor3_4.functional.pp.v
1,235
module MODULE1( VAR1, VAR5, VAR7, VAR2, VAR3, VAR13 ); input VAR2, VAR1, VAR7; inout VAR3, VAR13; output VAR5; wire VAR4; not VAR10( VAR4, VAR2 ); wire VAR11; not VAR9( VAR11, VAR1 ); wire VAR8; not VAR12( VAR8, VAR7 ); and VAR6( VAR5, VAR4, VAR11, VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfxtp/sky130_fd_sc_ls__sdfxtp.functional.v
1,752
module MODULE1 ( VAR2 , VAR1, VAR11 , VAR7, VAR9 ); output VAR2 ; input VAR1; input VAR11 ; input VAR7; input VAR9; wire VAR4 ; wire VAR8; VAR6 VAR13 (VAR8, VAR11, VAR7, VAR9 ); VAR5 VAR3 VAR12 (VAR4 , VAR8, VAR1 ); buf VAR10 (VAR2 , VAR4 ); endmodule
apache-2.0
Dennis-Chhun/Pong-Game
src/ScoreDecoder.v
1,459
module MODULE1(in, VAR10, VAR2); input [4:0]in; output reg [6:0] VAR10,VAR2; parameter VAR8 = 7'b1000000; parameter VAR6 = 7'b1111001; parameter VAR1 = 7'b0100100; parameter VAR4 = 7'b0110000; parameter VAR9 = 7'b0011001; parameter VAR13 = 7'b0010010; parameter VAR11 = 7'b0000010; parameter VAR12 = 7'b1111000; parameter VAR7 = 7'b0000000; parameter VAR3 = 7'b0011000; parameter VAR5 = 7'b1111111; always@(*) begin case(in) 0: begin VAR2 <= VAR8; VAR10 <= VAR5; end 1: begin VAR2 <= VAR6; VAR10 <= VAR5; end 2: begin VAR2 <= VAR1; VAR10 <= VAR5; end 3: begin VAR2 <= VAR4; VAR10 <= VAR5; end 4: begin VAR2 <= VAR9; VAR10 <= VAR5; end 5: begin VAR2 <= VAR13; VAR10 <= VAR5; end 6: begin VAR2 <= VAR11; VAR10 <= VAR5; end 7: begin VAR2 <= VAR12; VAR10 <= VAR5; end 8: begin VAR2 <= VAR7; VAR10 <= VAR5; end 9: begin VAR2 <= VAR3; VAR10 <= VAR5; end 10: begin VAR2 <= VAR8; VAR10 <= VAR6; end 11: begin VAR2 <= VAR6; VAR10 <= VAR6; end 12: begin VAR2 <= VAR1; VAR10 <= VAR6; end 13: begin VAR2 <= VAR4; VAR10 <= VAR6; end 14: begin VAR2 <= VAR9; VAR10 <= VAR6; end 15: begin VAR2 <= VAR13; VAR10 <= VAR6; end endcase end endmodule
mit
Marcoslz22/Tercer_Proyecto
Decodificador.v
3,356
module MODULE1( input [6:0] VAR3, output reg [7:0] VAR5,VAR1,VAR4,VAR2 ); always @(*) begin case (VAR3) 6'd0: begin VAR5 <= 8'b00000011; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd1: begin VAR5 <= 8'b10011111; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd2: begin VAR5 <= 8'b00100101; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd3: begin VAR5 <= 8'b00001101; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd4: begin VAR5 <= 8'b10011001; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd5: begin VAR5 <= 8'b01001001; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd6: begin VAR5 <= 8'b01000001; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd7: begin VAR5 <= 8'b00011111; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd8: begin VAR5 <= 8'b00000001; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd9: begin VAR5 <= 8'b00011001; VAR1 <= 8'b00000011; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd10: begin VAR5 <= 8'b00000011; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd11: begin VAR5 <= 8'b10011111; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd12: begin VAR5 <= 8'b00100101; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd13: begin VAR5 <= 8'b00001101; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd14: begin VAR5 <= 8'b10011001; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end 6'd15: begin VAR5 <= 8'b01001001; VAR1 <= 8'b10011111; VAR4 <= 8'b00000011; VAR2 <= 8'b00000011; end default: begin VAR5 <= 8'b10011111; VAR1 <= 8'b10011111; VAR4 <= 8'b10011111; VAR2 <= 8'b10011111; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlygate4sd1/sky130_fd_sc_ms__dlygate4sd1.functional.pp.v
1,832
module MODULE1 ( VAR1 , VAR12 , VAR4, VAR2, VAR10 , VAR6 ); output VAR1 ; input VAR12 ; input VAR4; input VAR2; input VAR10 ; input VAR6 ; wire VAR9 ; wire VAR8; buf VAR3 (VAR9 , VAR12 ); VAR5 VAR7 (VAR8, VAR9, VAR4, VAR2); buf VAR11 (VAR1 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/xnor2/sky130_fd_sc_hdll__xnor2_1.v
2,148
module MODULE1 ( VAR6 , VAR1 , VAR5 , VAR3, VAR9, VAR2 , VAR7 ); output VAR6 ; input VAR1 ; input VAR5 ; input VAR3; input VAR9; input VAR2 ; input VAR7 ; VAR4 VAR8 ( .VAR6(VAR6), .VAR1(VAR1), .VAR5(VAR5), .VAR3(VAR3), .VAR9(VAR9), .VAR2(VAR2), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR6, VAR1, VAR5 ); output VAR6; input VAR1; input VAR5; supply1 VAR3; supply0 VAR9; supply1 VAR2 ; supply0 VAR7 ; VAR4 VAR8 ( .VAR6(VAR6), .VAR1(VAR1), .VAR5(VAR5) ); endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/Quartus/custom/usb/slaveController/endpMux.v
8,001
module MODULE1 ( clk, rst, VAR21, VAR36, VAR23, VAR25, VAR35, VAR17, VAR9, VAR12, VAR26, VAR1, VAR33, VAR22, VAR7, VAR2, VAR34, VAR20, VAR8, VAR32, VAR4, VAR11, VAR29, VAR28, VAR27, VAR15, VAR3, VAR16, VAR13, VAR14, VAR19, VAR31, VAR30, VAR24, VAR18, VAR5); input clk; input rst; input [3:0] VAR21; input VAR36; input VAR23; input VAR25; input VAR35; input VAR17; input VAR9; input VAR12; input VAR26; input [1:0] VAR1; input [1:0] VAR33; output [4:0] VAR22; input VAR7; input VAR2; input [4:0] VAR34; input [4:0] VAR20; input [4:0] VAR8; input [4:0] VAR32; output [7:0] VAR4; output [7:0] VAR11; output [7:0] VAR29; output [7:0] VAR28; output [1:0] VAR27; output [1:0] VAR15; output [1:0] VAR3; output [1:0] VAR16; output [1:0] VAR13; output [1:0] VAR14; output [1:0] VAR19; output [1:0] VAR31; output VAR30; output VAR24; output VAR18; output VAR5; wire clk; wire rst; wire [3:0] VAR21; wire VAR36; wire VAR23; wire VAR25; wire VAR35; wire VAR17; wire VAR9; wire VAR12; wire VAR26; wire [1:0] VAR1; wire [1:0] VAR33; reg [4:0] VAR22; wire VAR7; wire VAR2; wire [4:0] VAR34; wire [4:0] VAR20; wire [4:0] VAR8; wire [4:0] VAR32; reg [7:0] VAR4; reg [7:0] VAR11; reg [7:0] VAR29; reg [7:0] VAR28; reg [1:0] VAR27; reg [1:0] VAR15; reg [1:0] VAR3; reg [1:0] VAR16; reg [1:0] VAR13; reg [1:0] VAR14; reg [1:0] VAR19; reg [1:0] VAR31; reg VAR30; reg VAR24; reg VAR18; reg VAR5; reg [7:0] VAR6; always @(posedge clk) begin case (VAR21[1:0]) 2'b00: begin VAR22 <= VAR34; VAR30 <= VAR7; end 2'b01: begin VAR22 <= VAR20; VAR24 <= VAR7; end 2'b10: begin VAR22 <= VAR8; VAR18 <= VAR7; end 2'b11: begin VAR22 <= VAR32; VAR5 <= VAR7; end endcase end always @(posedge clk) begin if (rst) begin VAR13 <= 2'b00; VAR14 <= 2'b00; VAR19 <= 2'b00; VAR31 <= 2'b00; VAR27 <= 2'b00; VAR15 <= 2'b00; VAR3 <= 2'b00; VAR16 <= 2'b00; VAR4 <= 4'h0; VAR11 <= 4'h0; VAR29 <= 4'h0; VAR28 <= 4'h0; end else begin if (VAR2 == 1'b1) begin if (VAR36 == 1'b1) begin case (VAR21[1:0]) 2'b00: begin VAR13 <= VAR33; VAR4 <= VAR4 | VAR10; end 2'b01: begin VAR14 <= VAR33; VAR11 <= VAR11 | VAR10; end 2'b10: begin VAR19 <= VAR33; VAR29 <= VAR29 | VAR10; end 2'b11: begin VAR31 <= VAR33; VAR28 <= VAR28 | VAR10; end endcase end else begin case (VAR21[1:0]) 2'b00: begin VAR27 <= VAR1; VAR4 <= VAR6; end 2'b01: begin VAR15 <= VAR1; VAR11 <= VAR6; end 2'b10: begin VAR3 <= VAR1; VAR29 <= VAR6; end 2'b11: begin VAR16 <= VAR1; VAR28 <= VAR6; end endcase end end end end always @(VAR12 or VAR26 or VAR23 or VAR9 or VAR17 or VAR35 or VAR25) begin VAR6 <= {VAR12, VAR26, VAR23, 1'b0, VAR9, VAR17, VAR35, VAR25}; end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi222/gf180mcu_fd_sc_mcu7t5v0__aoi222_2.functional.v
3,002
module MODULE1( VAR17, VAR6, VAR5, VAR4, VAR28, VAR31, VAR10 ); input VAR31, VAR10, VAR28, VAR4, VAR5, VAR6; output VAR17; wire VAR21; not VAR8( VAR21, VAR31 ); wire VAR18; not VAR23( VAR18, VAR28 ); wire VAR26; not VAR33( VAR26, VAR5 ); wire VAR32; and VAR1( VAR32, VAR21, VAR18, VAR26 ); wire VAR35; not VAR34( VAR35, VAR6 ); wire VAR7; and VAR14( VAR7, VAR21, VAR18, VAR35 ); wire VAR30; not VAR36( VAR30, VAR4 ); wire VAR24; and VAR27( VAR24, VAR21, VAR30, VAR26 ); wire VAR29; and VAR15( VAR29, VAR21, VAR30, VAR35 ); wire VAR16; not VAR2( VAR16, VAR10 ); wire VAR22; and VAR11( VAR22, VAR16, VAR18, VAR26 ); wire VAR9; and VAR12( VAR9, VAR16, VAR18, VAR35 ); wire VAR13; and VAR3( VAR13, VAR16, VAR30, VAR26 ); wire VAR19; and VAR25( VAR19, VAR16, VAR30, VAR35 ); or VAR20( VAR17, VAR32, VAR7, VAR24, VAR29, VAR22, VAR9, VAR13, VAR19 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4b/sky130_fd_sc_lp__and4b.pp.blackbox.v
1,341
module MODULE1 ( VAR2 , VAR7 , VAR9 , VAR6 , VAR5 , VAR1, VAR3, VAR8 , VAR4 ); output VAR2 ; input VAR7 ; input VAR9 ; input VAR6 ; input VAR5 ; input VAR1; input VAR3; input VAR8 ; input VAR4 ; endmodule
apache-2.0
AnAtomInTheUniverse/578_project_col_panic
final_verilog/src/rtr_flags_mux.v
4,127
module MODULE1 (VAR14, VAR7, VAR17, VAR13, VAR1); parameter VAR5 = 2; parameter VAR18 = 2; parameter VAR2 = 5; parameter VAR15 = 1; localparam VAR9 = VAR5 * VAR18; input [0:VAR5-1] VAR14; input [0:VAR2-1] VAR7; input [0:VAR18-1] VAR17; input [0:VAR2*VAR9*VAR15-1] VAR13; output [0:VAR15-1] VAR1; wire [0:VAR15-1] VAR1; wire [0:VAR9*VAR15-1] VAR11; VAR10 .VAR15(VAR9*VAR15)) VAR12 (.select(VAR7), .VAR16(VAR13), .VAR6(VAR11)); wire [0:VAR18*VAR15-1] VAR8; VAR10 .VAR15(VAR18*VAR15)) VAR3 (.select(VAR14), .VAR16(VAR11), .VAR6(VAR8)); VAR10 .VAR15(VAR15)) VAR4 (.select(VAR17), .VAR16(VAR8), .VAR6(VAR1)); endmodule
gpl-2.0
alexforencich/verilog-ethernet
example/fb2CG/fpga_10g/rtl/led_sreg_driver.v
3,700
module MODULE1 #( parameter VAR15 = 8, parameter VAR12 = 0, parameter VAR4 = 31 ) ( input wire clk, input wire rst, input wire [VAR15-1:0] VAR8, output wire VAR20, output wire VAR13, output wire VAR16 ); localparam VAR11 = VAR9(VAR15+1); localparam VAR14 = VAR9(VAR4+1); reg [VAR11-1:0] VAR7 = 0; reg [VAR14-1:0] VAR17 = 0; reg VAR21 = 1'b0; reg VAR19 = 1'b1; reg VAR2 = 1'b0; reg [VAR15-1:0] VAR1 = 0; reg [VAR15-1:0] VAR5 = 0; reg [VAR15-1:0] VAR10 = 0; reg VAR6 = 1'b0; reg VAR3 = 1'b0; reg VAR18 = 1'b0; assign VAR20 = VAR12 ? !VAR6 : VAR6; assign VAR13 = VAR3; assign VAR16 = VAR18; always @(posedge clk) begin VAR1 <= VAR8; VAR5 <= VAR1; VAR21 <= 1'b0; if (VAR17) begin VAR17 <= VAR17 - 1; end else begin VAR21 <= 1'b1; VAR17 <= VAR4; end if (VAR21) begin if (VAR2) begin VAR2 <= 1'b0; VAR18 <= 1'b1; end else if (VAR7) begin VAR18 <= 1'b0; VAR3 <= 1'b0; if (VAR7 < VAR15) begin VAR7 <= VAR7 + 1; VAR2 <= 1'b1; VAR6 <= VAR10[VAR7]; end else begin VAR7 <= 0; VAR2 <= 1'b0; VAR6 <= 1'b0; VAR3 <= 1'b1; end end else begin VAR18 <= 1'b0; VAR3 <= 1'b0; if (VAR19) begin VAR19 <= 1'b0; VAR7 <= 1; VAR2 <= 1'b1; VAR6 <= VAR10[0]; end end end if (VAR5 != VAR10) begin VAR10 <= VAR5; VAR19 <= 1'b1; end if (rst) begin VAR7 <= 0; VAR17 <= 0; VAR21 <= 1'b0; VAR19 <= 1'b1; VAR2 <= 1'b0; VAR10 <= 0; VAR6 <= 1'b0; VAR3 <= 1'b0; VAR18 <= 1'b0; end end endmodule
mit
kevintownsend/multi-pump_memory
multipumped_memory.v
1,279
module MODULE1(clk, wr, addr, VAR6, VAR12); parameter VAR1 = 8; parameter VAR3 = 64; parameter VAR11 = 512; parameter VAR8 = VAR15(VAR11 - 1); parameter VAR19 = VAR15(VAR1 - 1); input clk; input [0:VAR1 - 1] wr; input [VAR1 * VAR8 - 1:0] addr; input [VAR1 * VAR3 - 1:0] VAR6; output reg [VAR1 * VAR3 - 1:0] VAR12; reg [VAR19 - 2:0] counter; VAR2 counter = 0; always @(posedge clk) begin counter <= counter + 1; end integer VAR14, VAR9; reg [VAR8 - 1:0] VAR5 [0:VAR1 - 1]; reg [VAR3 - 1:0] VAR18 [0:VAR1 - 1]; always @* for(VAR14 = 0; VAR14 < VAR1; VAR14 = VAR14 + 1) begin VAR5[VAR14] = addr[(VAR14 + 1) * VAR8 - 1 -:VAR8]; VAR18[VAR14] = VAR6[(VAR14 + 1) * VAR3 - 1 -: VAR3]; end wire [VAR3 - 1:0] VAR10, VAR4; VAR16 VAR13(clk, wr[{1'VAR17, counter}], VAR5[{1'VAR17, counter}], VAR18[{1'VAR17, counter}], VAR10, wr[{1'VAR7, counter}], VAR5[{1'VAR7, counter}], VAR18[{1'VAR7, counter}], VAR4); always @(posedge clk) begin for(VAR14 = 1; VAR14 < VAR1 / 2; VAR14 = VAR14 + 1) begin if((VAR14 + 1) % (VAR1 / 2) == counter) begin VAR12[(VAR14 + 1) * VAR3 - 1 -: VAR3] <= VAR10; VAR12[(VAR14 + VAR1 / 2 + 1) * VAR3 - 1 -: VAR3] <= VAR4; end end end endmodule
apache-2.0
tugrulyatagan/RISC-processor
xilinx_processor/uart_rx.v
4,077
module MODULE1 # ( parameter VAR16 = 8 ) ( input wire clk, input wire rst, output wire [VAR16-1:0] VAR5, output wire VAR4, input wire VAR11, input wire VAR1, output wire VAR9, output wire VAR15, output wire VAR10, input wire [15:0] VAR8 ); reg [VAR16-1:0] VAR2 = 0; reg VAR7 = 0; reg VAR3 = 1; reg VAR14 = 0; reg VAR13 = 0; reg VAR17 = 0; reg [VAR16-1:0] VAR6 = 0; reg [18:0] VAR18 = 0; reg [3:0] VAR12 = 0; assign VAR5 = VAR2; assign VAR4 = VAR7; assign VAR9 = VAR14; assign VAR15 = VAR13; assign VAR10 = VAR17; always @(posedge clk or posedge rst) begin if (rst) begin VAR2 <= 0; VAR7 <= 0; VAR3 <= 1; VAR18 <= 0; VAR12 <= 0; VAR14 <= 0; VAR13 <= 0; VAR17 <= 0; end else begin VAR3 <= VAR1; VAR13 <= 0; VAR17 <= 0; if (VAR4 & VAR11) begin VAR7 <= 0; end if (VAR18 > 0) begin VAR18 <= VAR18 - 1; end else if (VAR12 > 0) begin if (VAR12 > VAR16+1) begin if (~VAR3) begin VAR12 <= VAR12 - 1; VAR18 <= (VAR8 << 3)-1; end else begin VAR12 <= 0; VAR18 <= 0; end end else if (VAR12 > 1) begin VAR12 <= VAR12 - 1; VAR18 <= (VAR8 << 3)-1; VAR6 <= {VAR3, VAR6[VAR16-1:1]}; end else if (VAR12 == 1) begin VAR12 <= VAR12 - 1; if (VAR3) begin VAR2 <= VAR6; VAR7 <= 1; VAR13 <= VAR7; end else begin VAR17 <= 1; end end end else begin VAR14 <= 0; if (~VAR3) begin VAR18 <= (VAR8 << 2)-2; VAR12 <= VAR16+2; VAR6 <= 0; VAR14 <= 1; end end end end endmodule
gpl-2.0
markusC64/1541ultimate2
fpga/nios_dut/nios_dut/synthesis/submodules/nios_dut_mm_interconnect_0_avalon_st_adapter_008.v
6,185
module MODULE1 #( parameter VAR3 = 130, parameter VAR23 = 0, parameter VAR5 = 130, parameter VAR9 = 0, parameter VAR4 = 0, parameter VAR2 = 0, parameter VAR24 = 1, parameter VAR19 = 1, parameter VAR10 = 0, parameter VAR1 = 130, parameter VAR6 = 0, parameter VAR25 = 1, parameter VAR11 = 0, parameter VAR16 = 1, parameter VAR18 = 1, parameter VAR12 = 0 ) ( input wire VAR7, input wire VAR8, input wire [129:0] VAR20, input wire VAR17, output wire VAR22, output wire [129:0] VAR21, output wire VAR15, input wire VAR14, output wire [0:0] VAR13 ); generate if (VAR3 != 130) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-3.0
toyoshim/mc6502
rtl/MC6502RegisterFile.v
6,414
module MODULE1( clk, VAR90, VAR31, VAR9, VAR25, VAR61, VAR81, VAR41, VAR28, VAR10, VAR33, VAR63, VAR20, VAR60, VAR50, VAR73, VAR37, VAR77, VAR42, VAR57, VAR27, VAR38, VAR68, VAR52, VAR84, VAR2, VAR24, VAR7, VAR11, VAR85, VAR87, VAR74, VAR86, VAR19, VAR4, VAR15, VAR18, VAR32, VAR66, VAR89, VAR71, VAR29, VAR35, VAR22, VAR55, VAR34, VAR70, VAR16, VAR80, VAR39, VAR67, VAR21, VAR82); input clk; input VAR90; input VAR31; input VAR9; input [ 7:0] VAR25; input VAR61; input VAR81; input VAR41; output [ 7:0] VAR28; output [ 7:0] VAR10; output [15:0] VAR33; input VAR63; input VAR20; input VAR60; input [15:0] VAR50; input VAR73; input [ 7:0] VAR37; input VAR77; output [15:0] VAR42; output [ 7:0] VAR57; output [ 7:0] VAR27; output [ 7:0] VAR38; output [ 7:0] VAR68; output [ 7:0] VAR52; input VAR84; input VAR2; input VAR24; input VAR7; input VAR11; input VAR85; input VAR87; input VAR74; input VAR86; input VAR19; input VAR4; input VAR15; input [ 7:0] VAR18; input VAR32; input VAR66; input VAR89; input VAR71; input VAR29; input VAR35; output [ 7:0] VAR22; output [ 7:0] VAR55; output [ 7:0] VAR34; output [ 7:0] VAR70; output [ 7:0] VAR16; output VAR80; output VAR39; output VAR67; output VAR21; output VAR82; reg [ 7:0] VAR72; reg [ 7:0] VAR47; reg [ 7:0] VAR14; reg [ 7:0] VAR51; reg [ 7:0] VAR75; reg [ 7:0] VAR88; wire VAR45; wire [15:0] VAR58; wire [ 7:0] VAR3; wire VAR59; wire VAR12; wire VAR23; wire VAR56; wire VAR17; wire VAR53; wire VAR40; wire VAR26; wire VAR79; wire VAR54; wire VAR44; wire VAR78; wire VAR91; wire VAR62; assign VAR28 = VAR88; assign VAR10 = VAR3; assign VAR33 = { VAR47, VAR72 }; assign VAR42 = { VAR47, VAR72 }; assign VAR57 = VAR14; assign VAR27 = VAR51; assign VAR38 = VAR75; assign VAR68 = VAR88; assign VAR52 = VAR3; assign VAR22 = VAR72; assign VAR55 = VAR47; assign VAR34 = VAR14; assign VAR70 = VAR51; assign VAR16 = VAR75; assign VAR80 = VAR3[0]; assign VAR39 = VAR3[3]; assign VAR67 = VAR3[7]; assign VAR21 = VAR3[6]; assign VAR82 = VAR3[1]; assign VAR45 = VAR61 | VAR81 | VAR29 | VAR35; assign VAR58 = { VAR47, VAR72 } + 16'h0001; assign VAR59 = VAR2 ? VAR84 : VAR37[0]; assign VAR26 = VAR2 | VAR77; assign VAR12 = VAR7 ? VAR24 : VAR31 ? 1'b1 : VAR37[2]; assign VAR79 = VAR7 | VAR77 | VAR31; assign VAR23 = VAR85 ? VAR11 : VAR37[6]; assign VAR54 = VAR85 | VAR77; assign VAR56 = VAR74 ? VAR87 : VAR37[3]; assign VAR44 = VAR74 | VAR77; assign VAR17 = VAR19 ? VAR86 : VAR37[7]; assign VAR78 = VAR19 | VAR77; assign VAR53 = VAR15 ? VAR4 : VAR37[1]; assign VAR91 = VAR15 | VAR77; assign VAR40 = VAR9 ? 1'b1 : VAR37[4]; assign VAR62 = VAR77 | VAR9; always @ (posedge clk or negedge VAR90) begin if (!VAR90) begin VAR72 <= 8'h00; VAR47 <= 8'h00; VAR14 <= 8'h00; VAR51 <= 8'h00; VAR75 <= 8'h00; VAR88 <= 8'h00; end else begin if (VAR45) begin if (VAR61) begin VAR72 <= VAR25; end else if (VAR29) begin VAR72 <= VAR18; end if (VAR81) begin VAR47 <= VAR25; end else if (VAR35) begin VAR47 <= VAR18; end end else begin if (VAR63) begin VAR47 <= VAR58[15:8]; VAR72 <= VAR58[ 7:0]; end else if (VAR73) begin VAR47 <= VAR50[15:8]; VAR72 <= VAR50[ 7:0]; end end if (VAR32) begin VAR14 <= VAR18; end if (VAR66) begin VAR51 <= VAR18; end if (VAR89) begin VAR75 <= VAR18; end if (VAR71) begin VAR88 <= VAR18; end else if (VAR20 | VAR41) begin VAR88 <= VAR88 - 8'h01; end else if (VAR60) begin VAR88 <= VAR88 + 8'h01; end end end VAR65 VAR6( .clk (clk ), .VAR90 (VAR90 ), .VAR43 (VAR59 ), .VAR8(VAR26), .VAR13 (VAR12 ), .VAR5(VAR79), .VAR83 (VAR23 ), .VAR30(VAR54), .VAR46 (VAR56 ), .VAR1(VAR44), .in (VAR17 ), .VAR48(VAR78), .VAR36 (VAR53 ), .VAR49(VAR91), .VAR76 (VAR40 ), .VAR69(VAR62), .VAR64 (VAR3 )); endmodule
bsd-3-clause
csturton/wirepatch
system/hardware/cores/fabric/ovl_ported/redundant/ovl_no_underflow.v
1,514
module MODULE1 (VAR12, reset, enable, VAR10, VAR7); parameter VAR8 = VAR16; parameter VAR21 = 1; parameter VAR4 = 0; parameter VAR9 = ((1<<VAR21)-1); parameter VAR11 = VAR23; parameter VAR17 = VAR18; parameter VAR19 = VAR14; parameter VAR5 = VAR13; parameter VAR3 = VAR2; parameter VAR24 = VAR15; input VAR12, reset, enable; input [VAR21-1:0] VAR10; output [VAR6-1:0] VAR7; parameter VAR1 = "VAR20"; assign VAR7 = {VAR6{1'b0}}; VAR22 assign VAR7 = {VAR6{1'b0}}; VAR22 endmodule VAR22
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o221ai/sky130_fd_sc_hs__o221ai.functional.pp.v
2,113
module MODULE1 ( VAR15, VAR13, VAR1 , VAR18 , VAR7 , VAR11 , VAR16 , VAR14 ); input VAR15; input VAR13; output VAR1 ; input VAR18 ; input VAR7 ; input VAR11 ; input VAR16 ; input VAR14 ; wire VAR16 VAR10 ; wire VAR16 VAR5 ; wire VAR9 ; wire VAR4; or VAR6 (VAR10 , VAR16, VAR11 ); or VAR3 (VAR5 , VAR7, VAR18 ); nand VAR8 (VAR9 , VAR5, VAR10, VAR14 ); VAR2 VAR17 (VAR4, VAR9, VAR15, VAR13); buf VAR12 (VAR1 , VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux2/sky130_fd_sc_ls__mux2.behavioral.pp.v
1,902
module MODULE1 ( VAR1 , VAR3 , VAR8 , VAR11 , VAR7, VAR5, VAR2 , VAR15 ); output VAR1 ; input VAR3 ; input VAR8 ; input VAR11 ; input VAR7; input VAR5; input VAR2 ; input VAR15 ; wire VAR10 ; wire VAR14; VAR12 VAR9 (VAR10 , VAR3, VAR8, VAR11 ); VAR6 VAR13 (VAR14, VAR10, VAR7, VAR5); buf VAR4 (VAR1 , VAR14 ); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bjx1core32/DecOp.v
11,886
module MODULE1( clk, VAR13, VAR3, VAR6, VAR2, VAR9, VAR11, VAR8, VAR4, VAR10 ); input clk; input[31:0] VAR13; input[31:0] VAR3; output[6:0] VAR6; output[6:0] VAR2; output[6:0] VAR9; output[31:0] VAR11; output[3:0] VAR8; output[11:0] VAR4; output[31:0] VAR10; reg[11:0] VAR7[256]; reg[31:0] VAR1[4096]; reg[7:0] VAR5; reg VAR12; begin begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin end begin begin begin end begin begin begin begin begin begin begin begin begin begin begin end begin begin begin end begin begin begin begin begin begin end begin begin begin
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_io
cells/bi_24t/gf180mcu_fd_io__bi_24t.v
1,949
module MODULE1 (VAR2, VAR7, VAR9, VAR3, VAR10, VAR5, VAR4, VAR15, VAR13, VAR8, VAR12, VAR6, VAR11); input VAR2; input VAR7; input VAR9; input VAR3; input VAR10; input VAR5; input VAR4; inout VAR15; output VAR13; inout VAR8; inout VAR12; inout VAR6; inout VAR11; supply0 VAR14; supply1 VAR1; and (VAR13, VAR15, VAR9); bufif1 (VAR15, VAR4, VAR3); rnmos (VAR15, VAR14, ~VAR3 && ~VAR10 && VAR5); rnmos (VAR15, VAR1, ~VAR3 && VAR10 && ~VAR5);
apache-2.0
kyzhai/NUNY
src/hardware/phd_new.v
6,389
module MODULE1 ( address, VAR35, VAR9); input [11:0] address; input VAR35; output [11:0] VAR9; tri1 VAR35; wire [11:0] VAR6; wire [11:0] VAR9 = VAR6[11:0]; VAR21 VAR20 ( .VAR27 (address), .VAR23 (VAR35), .VAR19 (VAR6), .VAR10 (1'b0), .VAR39 (1'b0), .VAR3 (1'b1), .VAR8 (1'b0), .VAR4 (1'b0), .VAR12 (1'b1), .VAR7 (1'b1), .VAR22 (1'b1), .VAR28 (1'b1), .VAR34 (1'b1), .VAR33 (1'b1), .VAR38 (1'b1), .VAR44 ({12{1'b1}}), .VAR40 (1'b1), .VAR13 (), .VAR17 (), .VAR25 (1'b1), .VAR14 (1'b1), .VAR43 (1'b0), .VAR15 (1'b0)); VAR20.VAR45 = "VAR24", VAR20.VAR2 = "VAR49", VAR20.VAR37 = "VAR49", VAR20.VAR36 = "../VAR41-new/MODULE1.VAR1", VAR20.VAR32 = "VAR47 VAR26", VAR20.VAR51 = "VAR18=VAR30", VAR20.VAR48 = "VAR21", VAR20.VAR46 = 4096, VAR20.VAR31 = "VAR50", VAR20.VAR52 = "VAR24", VAR20.VAR11 = "VAR16", VAR20.VAR5 = 12, VAR20.VAR29 = 12, VAR20.VAR42 = 1; endmodule
gpl-2.0
VerticalResearchGroup/miaow
src/verilog/rtl/sgpr/reg_512x32b_3r_2w.v
17,268
module MODULE1 ( VAR44, VAR15, VAR9, clk, VAR68, VAR59, VAR22, VAR71, VAR17, VAR58, VAR45, VAR48, VAR6 ); input clk; output [127:0] VAR44; output [63:0] VAR15; output [63:0] VAR9; input [8:0] VAR68; input [8:0] VAR59; input [8:0] VAR22; input [8:0] VAR71; input [8:0] VAR17; input [3:0] VAR58; input [1:0] VAR45; input [127:0] VAR48; input [63:0] VAR6; wire [8:0] VAR27; wire [8:0] VAR29; wire [8:0] VAR11; reg [127:0] VAR44; reg [63:0] VAR15; reg [63:0] VAR9; wire [8:0] VAR65; wire [8:0] VAR5; wire [8:0] VAR51; assign VAR65 = VAR68 + 1'b1; assign VAR5 = VAR68 + 2'b10; assign VAR51 = VAR68 + 2'b11; wire [31:0] VAR4; wire [31:0] VAR43; wire [31:0] VAR26; wire [31:0] VAR37; reg [6:0] VAR8; reg [6:0] VAR24; reg [6:0] VAR38; reg [6:0] VAR28; wire [8:0] VAR66; assign VAR66 = VAR59 + 1'b1; wire [31:0] VAR36; wire [31:0] VAR61; wire [31:0] VAR67; wire [31:0] VAR23; reg [6:0] VAR7; reg [6:0] VAR40; reg [6:0] VAR54; reg [6:0] VAR31; wire [8:0] VAR53; assign VAR53 = VAR22 + 1'b1; wire [31:0] VAR34; wire [31:0] VAR64; wire [31:0] VAR21; wire [31:0] VAR13; reg [6:0] VAR18; reg [6:0] VAR1; reg [6:0] VAR63; reg [6:0] VAR57; reg VAR56; reg VAR70; reg VAR12; reg VAR16; reg [31:0] VAR41; reg [31:0] VAR52; reg [31:0] VAR62; reg [31:0] VAR46; reg VAR10; reg VAR20; reg VAR39; reg VAR19; reg [31:0] VAR14; reg [31:0] VAR47; reg [31:0] VAR49; reg [31:0] VAR32; VAR55 VAR35 VAR30( .VAR68(VAR8), .VAR44(VAR4), .VAR59(VAR7), .VAR15(VAR36), .VAR22(VAR18), .VAR9(VAR34), .VAR71(VAR71[8:2]), .VAR58(VAR56), .VAR48(VAR41), .VAR17(VAR17[8:2]), .VAR45(VAR10), .VAR6(VAR14), .clk(clk) ); VAR55 VAR35 VAR60( .VAR68(VAR24), .VAR44(VAR43), .VAR59(VAR40), .VAR15(VAR61), .VAR22(VAR1), .VAR9(VAR64), .VAR71(VAR71[8:2]), .VAR58(VAR70), .VAR48(VAR52), .VAR17(VAR17[8:2]), .VAR45(VAR20), .VAR6(VAR47), .clk(clk) ); VAR55 VAR35 VAR2( .VAR68(VAR38), .VAR44(VAR26), .VAR59(VAR54), .VAR15(VAR67), .VAR22(VAR63), .VAR9(VAR21), .VAR71(VAR71[8:2]), .VAR58(VAR12), .VAR48(VAR62), .VAR17(VAR17[8:2]), .VAR45(VAR39), .VAR6(VAR49), .clk(clk) ); VAR55 VAR35 VAR3( .VAR68(VAR28), .VAR44(VAR37), .VAR59(VAR31), .VAR15(VAR23), .VAR22(VAR57), .VAR9(VAR13), .VAR71(VAR71[8:2]), .VAR58(VAR16), .VAR48(VAR46), .VAR17(VAR17[8:2]), .VAR45(VAR19), .VAR6(VAR32), .clk(clk) ); always @(VAR48 or VAR71 or VAR58) begin casex({VAR58,VAR71[1:0]}) 6'b000100: begin VAR56 <= 1'b1; VAR70 <= 1'b0; VAR12 <= 1'b0; VAR16 <= 1'b0; VAR41 <= VAR48[31:0]; VAR52 <= {32{1'VAR33}}; VAR62 <= {32{1'VAR33}}; VAR46 <= {32{1'VAR33}}; end 6'b000101: begin VAR56 <= 1'b0; VAR70 <= 1'b1; VAR12 <= 1'b0; VAR16 <= 1'b0; VAR41 <= {32{1'VAR33}}; VAR52 <= VAR48[31:0]; VAR62 <= {32{1'VAR33}}; VAR46 <= {32{1'VAR33}}; end 6'b000110: begin VAR56 <= 1'b0; VAR70 <= 1'b0; VAR12 <= 1'b1; VAR16 <= 1'b0; VAR41 <= {32{1'VAR33}}; VAR52 <= {32{1'VAR33}}; VAR62 <= VAR48[31:0]; VAR46 <= {32{1'VAR33}}; end 6'b000111: begin VAR56 <= 1'b0; VAR70 <= 1'b0; VAR12 <= 1'b0; VAR16 <= 1'b1; VAR41 <= {32{1'VAR33}}; VAR52 <= {32{1'VAR33}}; VAR62 <= {32{1'VAR33}}; VAR46 <= VAR48[31:0]; end 6'b001100: begin VAR56 <= 1'b1; VAR70 <= 1'b1; VAR12 <= 1'b0; VAR16 <= 1'b0; VAR41 <= VAR48[31:0]; VAR52 <= VAR48[63:32]; VAR62 <= {32{1'VAR33}}; VAR46 <= {32{1'VAR33}}; end 6'b001110: begin VAR56 <= 1'b0; VAR70 <= 1'b0; VAR12 <= 1'b1; VAR16 <= 1'b1; VAR41 <= {32{1'VAR33}}; VAR52 <= {32{1'VAR33}}; VAR62 <= VAR48[31:0]; VAR46 <= VAR48[63:32]; end 6'b111100: begin VAR56 <= 1'b1; VAR70 <= 1'b1; VAR12 <= 1'b1; VAR16 <= 1'b1; VAR41 <= VAR48[31:0]; VAR52 <= VAR48[63:32]; VAR62 <= VAR48[95:64]; VAR46 <= VAR48[127:96]; end 6'b0000??: begin VAR56 <= 1'b0; VAR70 <= 1'b0; VAR12 <= 1'b0; VAR16 <= 1'b0; VAR41 <= {32{1'VAR33}}; VAR52 <= {32{1'VAR33}}; VAR62 <= {32{1'VAR33}}; VAR46 <= {32{1'VAR33}}; end default: begin VAR56 <= 1'VAR33; VAR70 <= 1'VAR33; VAR12 <= 1'VAR33; VAR16 <= 1'VAR33; VAR41 <= {32{1'VAR33}}; VAR52 <= {32{1'VAR33}}; VAR62 <= {32{1'VAR33}}; VAR46 <= {32{1'VAR33}}; end endcase end always @(VAR6 or VAR17 or VAR45) begin casex({VAR45,VAR17[1:0]}) 4'b0100: begin VAR10 <= 1'b1; VAR20 <= 1'b0; VAR39 <= 1'b0; VAR19 <= 1'b0; VAR14 <= VAR6[31:0]; VAR47 <= {32{1'VAR33}}; VAR49 <= {32{1'VAR33}}; VAR32 <= {32{1'VAR33}}; end 4'b0101: begin VAR10 <= 1'b0; VAR20 <= 1'b1; VAR39 <= 1'b0; VAR19 <= 1'b0; VAR14 <= {32{1'VAR33}}; VAR47 <= VAR6[31:0]; VAR49 <= {32{1'VAR33}}; VAR32 <= {32{1'VAR33}}; end 4'b0110: begin VAR10 <= 1'b0; VAR20 <= 1'b0; VAR39 <= 1'b1; VAR19 <= 1'b0; VAR14 <= {32{1'VAR33}}; VAR47 <= {32{1'VAR33}}; VAR49 <= VAR6[31:0]; VAR32 <= {32{1'VAR33}}; end 4'b0111: begin VAR10 <= 1'b0; VAR20 <= 1'b0; VAR39 <= 1'b0; VAR19 <= 1'b1; VAR14 <= {32{1'VAR33}}; VAR47 <= {32{1'VAR33}}; VAR49 <= {32{1'VAR33}}; VAR32 <= VAR6[31:0]; end 4'b1100: begin VAR10 <= 1'b1; VAR20 <= 1'b1; VAR39 <= 1'b0; VAR19 <= 1'b0; VAR14 <= VAR6[31:0]; VAR47 <= VAR6[63:32]; VAR49 <= {32{1'VAR33}}; VAR32 <= {32{1'VAR33}}; end 4'b1110: begin VAR10 <= 1'b0; VAR20 <= 1'b0; VAR39 <= 1'b1; VAR19 <= 1'b1; VAR14 <= {32{1'VAR33}}; VAR47 <= {32{1'VAR33}}; VAR49 <= VAR6[31:0]; VAR32 <= VAR6[63:32]; end 4'b00??: begin VAR10 <= 1'b0; VAR20 <= 1'b0; VAR39 <= 1'b0; VAR19 <= 1'b0; VAR14 <= {32{1'VAR33}}; VAR47 <= {32{1'VAR33}}; VAR49 <= {32{1'VAR33}}; VAR32 <= {32{1'VAR33}}; end default: begin VAR10 <= 1'VAR33; VAR20 <= 1'VAR33; VAR39 <= 1'VAR33; VAR19 <= 1'VAR33; VAR14 <= {32{1'VAR33}}; VAR47 <= {32{1'VAR33}}; VAR49 <= {32{1'VAR33}}; VAR32 <= {32{1'VAR33}}; end endcase end VAR69 VAR50[9+9+9-1:0] (.VAR42({VAR27, VAR29, VAR11}), .VAR25({VAR68, VAR59, VAR22}), .clk(clk), .rst(1'b0)); always @(VAR68 or VAR65 or VAR5 or VAR51) begin casex(VAR68[1:0]) 2'b00: begin VAR8 <= VAR68[8:2]; VAR24 <= VAR65[8:2]; VAR38 <= VAR5[8:2]; VAR28 <= VAR51[8:2]; end 2'b01: begin VAR8 <= VAR51[8:2]; VAR24 <= VAR68[8:2]; VAR38 <= VAR65[8:2]; VAR28 <= VAR5[8:2]; end 2'b10: begin VAR8 <= VAR5[8:2]; VAR24 <= VAR51[8:2]; VAR38 <= VAR68[8:2]; VAR28 <= VAR65[8:2]; end 2'b11: begin VAR8 <= VAR65[8:2]; VAR24 <= VAR5[8:2]; VAR38 <= VAR51[8:2]; VAR28 <= VAR68[8:2]; end default: begin VAR8 <= {7{1'VAR33}}; VAR24 <= {7{1'VAR33}}; VAR38 <= {7{1'VAR33}}; VAR28 <= {7{1'VAR33}}; end endcase end always @(VAR27 or VAR4 or VAR43 or VAR26 or VAR37) begin casex(VAR27[1:0]) 2'b00: begin VAR44 <= {VAR37,VAR26, VAR43,VAR4}; end 2'b01: begin VAR44 <= {VAR4,VAR37, VAR26,VAR43}; end 2'b10: begin VAR44 <= {VAR43,VAR4, VAR37,VAR26}; end 2'b11: begin VAR44 <= {VAR26,VAR43, VAR4,VAR37}; end default: begin VAR44 <= {128{1'VAR33}}; end endcase end always @(VAR59 or VAR66) begin casex(VAR59[1:0]) 2'b00: begin VAR7 <= VAR59[8:2]; VAR40 <= VAR66[8:2]; VAR54 <= {7{1'VAR33}}; VAR31 <= {7{1'VAR33}}; end 2'b01: begin VAR7 <= {7{1'VAR33}}; VAR40 <= VAR59[8:2]; VAR54 <= VAR66[8:2]; VAR31 <= {7{1'VAR33}}; end 2'b10: begin VAR7 <= {7{1'VAR33}}; VAR40 <= {7{1'VAR33}}; VAR54 <= VAR59[8:2]; VAR31 <= VAR66[8:2]; end 2'b11: begin VAR7 <= VAR66[8:2]; VAR40 <= {7{1'VAR33}}; VAR54 <= {7{1'VAR33}}; VAR31 <= VAR59[8:2]; end default: begin VAR7 <= {7{1'VAR33}}; VAR40 <= {7{1'VAR33}}; VAR54 <= {7{1'VAR33}}; VAR31 <= {7{1'VAR33}}; end endcase end always @(VAR29 or VAR36 or VAR61 or VAR67 or VAR23) begin casex(VAR29[1:0]) 2'b00: begin VAR15 <= {VAR61,VAR36}; end 2'b01: begin VAR15 <= {VAR67,VAR61}; end 2'b10: begin VAR15 <= {VAR23,VAR67}; end 2'b11: begin VAR15 <= {VAR36,VAR23}; end default: begin VAR15 <= {128{1'VAR33}}; end endcase end always @(VAR22 or VAR53) begin casex(VAR22[1:0]) 2'b00: begin VAR18 <= VAR22[8:2]; VAR1 <= VAR53[8:2]; VAR63 <= {7{1'VAR33}}; VAR57 <= {7{1'VAR33}}; end 2'b01: begin VAR18 <= {7{1'VAR33}}; VAR1 <= VAR22[8:2]; VAR63 <= VAR53[8:2]; VAR57 <= {7{1'VAR33}}; end 2'b10: begin VAR18 <= {7{1'VAR33}}; VAR1 <= {7{1'VAR33}}; VAR63 <= VAR22[8:2]; VAR57 <= VAR53[8:2]; end 2'b11: begin VAR18 <= VAR53[8:2]; VAR1 <= {7{1'VAR33}}; VAR63 <= {7{1'VAR33}}; VAR57 <= VAR22[8:2]; end default: begin VAR18 <= {7{1'VAR33}}; VAR1 <= {7{1'VAR33}}; VAR63 <= {7{1'VAR33}}; VAR57 <= {7{1'VAR33}}; end endcase end always @(VAR11 or VAR34 or VAR64 or VAR21 or VAR13) begin casex(VAR11[1:0]) 2'b00: begin VAR9 <= {VAR64,VAR34}; end 2'b01: begin VAR9 <= {VAR21,VAR64}; end 2'b10: begin VAR9 <= {VAR13,VAR21}; end 2'b11: begin VAR9 <= {VAR34,VAR13}; end default: begin VAR9 <= {128{1'VAR33}}; end endcase end endmodule
bsd-3-clause
MegaShow/college-programming
Homework/Computer Organization and Interfacing/Multi Cycle CPU/Multi Cycle CPU.srcs/sources_1/new/Print.v
1,565
module MODULE1( input VAR5, input [15:0] VAR6, output reg [7:0] VAR4, output reg [3:0] VAR2 ); reg [3:0] VAR7; reg [15:0] counter; parameter [15:0] VAR1 = 16'VAR3;
mit
trivoldus28/pulsarch-verilog
design/sys/iop/ccx2mb/rtl/pcx2mb_entry.v
3,207
module MODULE1 ( VAR15, VAR2, VAR10, VAR6, VAR3, VAR17, VAR16, VAR12, VAR19, VAR8, VAR14, VAR18, VAR13, VAR5, VAR1, VAR4, VAR9 ); parameter VAR11 = 5; parameter VAR11 = 2; output [VAR7+VAR11:0] VAR15; output VAR2; output [4:0] VAR10; input VAR6; input VAR3; input VAR17; input [VAR7-1:0] VAR16; input [4:0] VAR12; input VAR19; input VAR8; input [4:0] VAR14; input VAR18; input VAR13; input [VAR7+VAR11:0] VAR5; input VAR1; input [4:0] VAR4; input VAR9; reg [VAR7+VAR11:0] VAR15; reg VAR2; reg [4:0] VAR10; always @(posedge VAR6) begin if (!VAR3) begin VAR15 <= {VAR7+VAR11+1{1'b0}}; VAR2 <= 1'b0; VAR10 <= 5'b00000; end else if (VAR13 && VAR1) begin VAR15 <= VAR5; VAR2 <= VAR1; VAR10 <= VAR4; end else if (VAR17 && ( (VAR9 && !VAR13 && !VAR2) || (VAR9 && VAR13 && VAR2 && !VAR1))) begin VAR15 <= { VAR12[4:0], VAR19, VAR16}; VAR15 <= { VAR12[4], (|VAR12[3:0]), VAR19, VAR16}; VAR2 <= 1'b1; VAR10 <= VAR12; end else if (VAR8 && VAR18 && ( (VAR9 && !VAR13 && !VAR2) || (VAR9 && VAR13 && VAR2 && !VAR1))) begin VAR15 <= { VAR14[4:0], VAR18, VAR16}; VAR15 <= { VAR14[4], (|VAR14[3:0]), 1'b0, VAR16}; VAR2 <= 1'b1; VAR10 <= VAR14; end else begin VAR15 <= VAR15; VAR10 <= VAR10; if (VAR13 && VAR2) begin VAR2 <= 1'b0; end else begin VAR2 <= VAR2; end end end endmodule
gpl-2.0
jairov4/accel-oil
solution_virtex5_plb/syn/verilog/p_bsf32_hw.v
31,212
module MODULE1 ( VAR58, VAR6 ); parameter VAR12 = 5'b00000; parameter VAR50 = 1'b1; parameter VAR18 = 1'b0; parameter VAR80 = 5'b1; parameter VAR4 = 5'b10; parameter VAR99 = 5'b11; parameter VAR96 = 5'b100; parameter VAR36 = 5'b101; parameter VAR48 = 5'b110; parameter VAR52 = 5'b111; parameter VAR14 = 5'b1000; parameter VAR22 = 5'b1001; parameter VAR78 = 5'b1010; parameter VAR98 = 5'b1011; parameter VAR43 = 5'b1100; parameter VAR92 = 5'b1101; parameter VAR62 = 5'b1110; parameter VAR49 = 5'b1111; parameter VAR74 = 5'b10000; parameter VAR56 = 5'b10001; parameter VAR65 = 5'b10010; parameter VAR11 = 5'b10011; parameter VAR57 = 5'b10100; parameter VAR46 = 5'b10101; parameter VAR3 = 5'b10110; parameter VAR27 = 5'b10111; parameter VAR13 = 5'b11000; parameter VAR73 = 5'b11001; parameter VAR38 = 5'b11010; parameter VAR102 = 5'b11011; parameter VAR16 = 5'b11100; parameter VAR85 = 5'b11101; parameter VAR103 = 5'b11110; parameter VAR10 = 6'b11111; parameter VAR17 = 32'b1; parameter VAR71 = 32'b10; parameter VAR29 = 32'b11; parameter VAR23 = 32'b100; parameter VAR94 = 32'b101; parameter VAR91 = 32'b110; parameter VAR20 = 32'b111; parameter VAR33 = 32'b1000; parameter VAR37 = 32'b1001; parameter VAR68 = 32'b1010; parameter VAR61 = 32'b1011; parameter VAR21 = 32'b1100; parameter VAR77 = 32'b1101; parameter VAR84 = 32'b1110; parameter VAR95 = 32'b1111; parameter VAR97 = 32'b10000; parameter VAR67 = 32'b10001; parameter VAR93 = 32'b10010; parameter VAR63 = 32'b10011; parameter VAR15 = 32'b10100; parameter VAR5 = 32'b10101; parameter VAR47 = 32'b10110; parameter VAR8 = 32'b10111; parameter VAR69 = 32'b11000; parameter VAR70 = 32'b11001; parameter VAR76 = 32'b11010; parameter VAR41 = 32'b11011; parameter VAR79 = 32'b11100; parameter VAR2 = 32'b11101; parameter VAR87 = 32'b11110; parameter VAR88 = 1'b1; parameter VAR81 = 1'b0; input [31:0] VAR58; output [5:0] VAR6; reg [4:0] VAR72; wire [0:0] VAR64; wire [0:0] VAR32; wire [0:0] VAR90; wire [0:0] VAR66; wire [0:0] VAR44; wire [0:0] VAR30; wire [0:0] VAR19; wire [0:0] VAR100; wire [0:0] VAR28; wire [0:0] VAR39; wire [0:0] VAR59; wire [0:0] VAR86; wire [0:0] VAR35; wire [0:0] VAR51; wire [0:0] VAR9; wire [0:0] VAR60; wire [0:0] VAR82; wire [0:0] VAR83; wire [0:0] VAR31; wire [0:0] VAR45; wire [0:0] VAR1; wire [0:0] VAR54; wire [0:0] VAR25; wire [0:0] VAR42; wire [0:0] VAR26; wire [0:0] VAR24; wire [0:0] VAR53; wire [0:0] VAR34; wire [0:0] VAR40; wire [0:0] VAR89; wire [0:0] VAR75; reg [5:0] VAR101; wire [5:0] VAR7; always @ (VAR64 or VAR32 or VAR90 or VAR66 or VAR44 or VAR30 or VAR19 or VAR100 or VAR28 or VAR39 or VAR59 or VAR86 or VAR35 or VAR51 or VAR9 or VAR60 or VAR82 or VAR83 or VAR31 or VAR45 or VAR1 or VAR54 or VAR25 or VAR42 or VAR26 or VAR24 or VAR53 or VAR34 or VAR40 or VAR89 or VAR75 or VAR7) begin if ((~(VAR64 == VAR18) | ~(VAR18 == VAR32) | ~(VAR18 == VAR90) | ~(VAR18 == VAR66) | ~(VAR18 == VAR44) | ~(VAR18 == VAR30) | ~(VAR18 == VAR19) | ~(VAR18 == VAR100) | ~(VAR18 == VAR28) | ~(VAR18 == VAR39) | ~(VAR18 == VAR59) | ~(VAR18 == VAR86) | ~(VAR18 == VAR35) | ~(VAR18 == VAR51) | ~(VAR18 == VAR9) | ~(VAR18 == VAR60) | ~(VAR18 == VAR82) | ~(VAR18 == VAR83) | ~(VAR18 == VAR31) | ~(VAR18 == VAR45) | ~(VAR18 == VAR1) | ~(VAR18 == VAR54) | ~(VAR18 == VAR25) | ~(VAR18 == VAR42) | ~(VAR18 == VAR26) | ~(VAR18 == VAR24) | ~(VAR18 == VAR53) | ~(VAR18 == VAR34) | ~(VAR18 == VAR40) | ~(VAR18 == VAR89) | ~(VAR18 == VAR75))) begin VAR101 = VAR7; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & (VAR18 == VAR53) & (VAR18 == VAR34) & (VAR18 == VAR40) & (VAR18 == VAR89) & (VAR18 == VAR75))) begin VAR101 = VAR10; end else begin VAR101 = 'VAR55; end end always @ (VAR64 or VAR32 or VAR90 or VAR66 or VAR44 or VAR30 or VAR19 or VAR100 or VAR28 or VAR39 or VAR59 or VAR86 or VAR35 or VAR51 or VAR9 or VAR60 or VAR82 or VAR83 or VAR31 or VAR45 or VAR1 or VAR54 or VAR25 or VAR42 or VAR26 or VAR24 or VAR53 or VAR34 or VAR40 or VAR89 or VAR75) begin if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & (VAR18 == VAR53) & (VAR18 == VAR34) & (VAR18 == VAR40) & (VAR18 == VAR89) & ~(VAR18 == VAR75))) begin VAR72 = VAR103; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & (VAR18 == VAR53) & (VAR18 == VAR34) & (VAR18 == VAR40) & ~(VAR18 == VAR89))) begin VAR72 = VAR85; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & (VAR18 == VAR53) & (VAR18 == VAR34) & ~(VAR18 == VAR40))) begin VAR72 = VAR16; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & (VAR18 == VAR53) & ~(VAR18 == VAR34))) begin VAR72 = VAR102; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & (VAR18 == VAR24) & ~(VAR18 == VAR53))) begin VAR72 = VAR38; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & (VAR18 == VAR26) & ~(VAR18 == VAR24))) begin VAR72 = VAR73; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & (VAR18 == VAR42) & ~(VAR18 == VAR26))) begin VAR72 = VAR13; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & (VAR18 == VAR25) & ~(VAR18 == VAR42))) begin VAR72 = VAR27; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & (VAR18 == VAR54) & ~(VAR18 == VAR25))) begin VAR72 = VAR3; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & (VAR18 == VAR1) & ~(VAR18 == VAR54))) begin VAR72 = VAR46; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & (VAR18 == VAR45) & ~(VAR18 == VAR1))) begin VAR72 = VAR57; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & (VAR18 == VAR31) & ~(VAR18 == VAR45))) begin VAR72 = VAR11; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & (VAR18 == VAR83) & ~(VAR18 == VAR31))) begin VAR72 = VAR65; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & (VAR18 == VAR82) & ~(VAR18 == VAR83))) begin VAR72 = VAR56; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & (VAR18 == VAR60) & ~(VAR18 == VAR82))) begin VAR72 = VAR74; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & (VAR18 == VAR9) & ~(VAR18 == VAR60))) begin VAR72 = VAR49; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & (VAR18 == VAR51) & ~(VAR18 == VAR9))) begin VAR72 = VAR62; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & (VAR18 == VAR35) & ~(VAR18 == VAR51))) begin VAR72 = VAR92; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & (VAR18 == VAR86) & ~(VAR18 == VAR35))) begin VAR72 = VAR43; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & (VAR18 == VAR59) & ~(VAR18 == VAR86))) begin VAR72 = VAR98; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & (VAR18 == VAR39) & ~(VAR18 == VAR59))) begin VAR72 = VAR78; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & (VAR18 == VAR28) & ~(VAR18 == VAR39))) begin VAR72 = VAR22; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & (VAR18 == VAR100) & ~(VAR18 == VAR28))) begin VAR72 = VAR14; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & (VAR18 == VAR19) & ~(VAR18 == VAR100))) begin VAR72 = VAR52; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & (VAR18 == VAR30) & ~(VAR18 == VAR19))) begin VAR72 = VAR48; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & (VAR18 == VAR44) & ~(VAR18 == VAR30))) begin VAR72 = VAR36; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & (VAR18 == VAR66) & ~(VAR18 == VAR44))) begin VAR72 = VAR96; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & (VAR18 == VAR90) & ~(VAR18 == VAR66))) begin VAR72 = VAR99; end else if (((VAR64 == VAR18) & (VAR18 == VAR32) & ~(VAR18 == VAR90))) begin VAR72 = VAR4; end else if (((VAR64 == VAR18) & ~(VAR18 == VAR32))) begin VAR72 = VAR80; end else if (~(VAR64 == VAR18)) begin VAR72 = VAR12; end else begin VAR72 = 'VAR55; end end assign VAR6 = VAR101; assign VAR7 = (VAR72); assign VAR19 = VAR58[VAR91]; assign VAR100 = VAR58[VAR20]; assign VAR28 = VAR58[VAR33]; assign VAR39 = VAR58[VAR37]; assign VAR59 = VAR58[VAR68]; assign VAR86 = VAR58[VAR61]; assign VAR35 = VAR58[VAR21]; assign VAR51 = VAR58[VAR77]; assign VAR9 = VAR58[VAR84]; assign VAR60 = VAR58[VAR95]; assign VAR82 = VAR58[VAR97]; assign VAR83 = VAR58[VAR67]; assign VAR31 = VAR58[VAR93]; assign VAR45 = VAR58[VAR63]; assign VAR1 = VAR58[VAR15]; assign VAR54 = VAR58[VAR5]; assign VAR25 = VAR58[VAR47]; assign VAR42 = VAR58[VAR8]; assign VAR26 = VAR58[VAR69]; assign VAR24 = VAR58[VAR70]; assign VAR53 = VAR58[VAR76]; assign VAR34 = VAR58[VAR41]; assign VAR40 = VAR58[VAR79]; assign VAR89 = VAR58[VAR2]; assign VAR75 = VAR58[VAR87]; assign VAR32 = VAR58[VAR17]; assign VAR90 = VAR58[VAR71]; assign VAR66 = VAR58[VAR29]; assign VAR44 = VAR58[VAR23]; assign VAR30 = VAR58[VAR94]; assign VAR64 = VAR58[0:0]; endmodule
lgpl-3.0
mbus/mbus
m3_mbus_releases/r04p2g/sample/FLPv3L/verilog/mbus/flpv3l_mbus_isolation.bh.v
3,322
module MODULE1( input VAR15, input [VAR8-1:0] VAR6, input [VAR7-1:0] VAR31, input VAR12, input VAR20, input VAR25, input VAR16, input VAR28, output reg [VAR8-1:0] VAR27, output reg [VAR7-1:0] VAR18, output reg VAR30, output reg VAR19, output reg VAR29, output reg VAR2, output reg VAR14, input [VAR1-1:0] VAR3, output reg [VAR1-1:0] VAR4, input VAR22, input VAR24, input VAR11, input VAR23, output reg VAR9, output VAR17, output reg VAR10, output VAR13, output reg VAR21, output VAR26, output reg VAR5 ); assign VAR17 = ~VAR9; assign VAR13 = ~VAR10; assign VAR26 = ~VAR21; always @* begin if (VAR15) begin VAR9 = 1; VAR10 = 1; VAR21 = 1; VAR5 = 1; end else begin VAR9 = VAR22; VAR10 = VAR24; VAR21 = VAR11; VAR5 = VAR23; end end always @* begin if (VAR5) begin VAR27 = 0; VAR18 = 0; VAR30 = 0; VAR19 = 0; VAR29 = 0; VAR2 = 0; VAR14 = 0; VAR4 = 0; end else begin VAR27 = VAR6; VAR18 = VAR31; VAR30 = VAR12; VAR19 = VAR20; VAR29 = VAR25; VAR2 = VAR16; VAR14 = VAR28; VAR4 = VAR3; end end endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/data_compression/4-way_2-tree/src/riffa/async_fifo_fwft.v
4,803
module MODULE1 #( parameter VAR22 = 32, parameter VAR16 = 1024, parameter VAR5 = 2**VAR3(VAR16), parameter VAR28 = VAR10(VAR5), parameter VAR18 = VAR10(VAR5+1) ) ( input VAR21, input VAR14, input VAR25, input VAR7, input [VAR22-1:0] VAR4, input VAR6, output [VAR22-1:0] VAR27, input VAR9, output VAR12, output VAR24 ); reg [VAR22-1:0] VAR19=0; reg [VAR22-1:0] VAR1=0; reg [1:0] VAR11=0; reg VAR2=0; reg VAR15=0; reg VAR23=0; wire [VAR22-1:0] VAR13; wire VAR8; wire VAR17 = VAR9 || (VAR11 < 2'd2); assign VAR27 = VAR19; assign VAR24 = !VAR15; VAR26 #(.VAR22(VAR22), .VAR16(VAR16)) VAR20 ( .VAR25(VAR25), .VAR7(VAR7), .VAR21(VAR21), .VAR14(VAR14), .VAR6(VAR6), .VAR4(VAR4), .VAR12(VAR12), .VAR9(VAR17), .VAR27(VAR13), .VAR24(VAR8) ); always @ (posedge VAR21) begin if (VAR14) begin VAR11 <= 0; VAR15 <= 0; VAR23 <= 0; VAR2 <= 0; end else begin VAR11 <= VAR11 + (VAR17 & !VAR8) - (!VAR24 & VAR9); VAR2 <= (VAR17 & !VAR8); if (VAR2) begin if (VAR9 | !VAR15) begin VAR19 <= VAR13; VAR15 <= 1'd1; VAR23 <= 1'd0; end else begin VAR23 <= 1'd1; end VAR1 <= VAR13; end else begin if (VAR9 | !VAR15) begin VAR19 <= VAR1; VAR15 <= VAR23; VAR23 <= 1'd0; end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_mux_4to2/sky130_fd_sc_hdll__udp_mux_4to2.blackbox.v
1,306
module MODULE1 ( VAR7 , VAR1, VAR5, VAR6, VAR3, VAR2, VAR4 ); output VAR7 ; input VAR1; input VAR5; input VAR6; input VAR3; input VAR2; input VAR4; endmodule
apache-2.0
ShepardSiegel/ocpi
libsrc/hdl/bsv/SyncFIFO.v
12,537
module MODULE1( VAR12, VAR9, VAR13, VAR24, VAR23, VAR34, VAR26, VAR35, VAR30 ) ; parameter VAR8 = 1 ; parameter VAR20 = 2 ; parameter VAR25 = 1 ; input VAR12 ; input VAR9 ; input VAR24 ; input [VAR8 -1 : 0] VAR23 ; output VAR34 ; input VAR13 ; input VAR26 ; output VAR30 ; output [VAR8 -1 : 0] VAR35 ; wire [VAR25 : 0] VAR22 = ~({(VAR25 + 1){1'b1}} >> 1) ; wire [VAR25 - 1 : 0] VAR29 = ~({(VAR25 + 0){1'b1}} >> 1) ; wire [VAR25 : 0] VAR3 = VAR22 | {1'b0, VAR29} ; reg [VAR8 -1 : 0] VAR16 [0: VAR20 -1 ] ; reg [VAR8 -1 : 0] VAR5 ; reg [VAR25 +1 : 0] VAR19, VAR36 ; reg VAR21 ; wire VAR38, VAR37 ; reg [VAR25+1 : 0] VAR32, VAR15 ; reg VAR28 ; wire VAR39; wire VAR27 ; reg [VAR25 : 0] VAR17, VAR11 ; reg [VAR25 : 0] VAR14, VAR33 ; wire [VAR25 - 1 :0] VAR2, VAR7 ; assign VAR27 = VAR9 ; assign VAR35 = VAR5 ; assign VAR30 = VAR28 ; assign VAR34 = VAR21 ; assign VAR2 = VAR19[VAR25-1:0]; assign VAR7 = VAR32[VAR25-1:0]; always @(posedge VAR12) begin if ( VAR24 ) VAR16[VAR2] <= VAR18 VAR23 ; end assign VAR38 = (VAR19 [VAR25+1:1] ^ VAR3) != VAR33 ; assign VAR37 = (VAR36[VAR25+1:1] ^ VAR3) != VAR33 ; always @(posedge VAR12 or VAR4 VAR9) begin if (VAR9 == VAR1) begin VAR19 <= VAR18 {(VAR25 +2 ) {1'b0}} ; VAR36 <= VAR18 { {VAR25 {1'b0}}, 2'b11} ; VAR21 <= VAR18 1'b0 ; end else begin if ( VAR24 ) begin VAR36 <= VAR18 VAR31( VAR36 ) ; VAR19 <= VAR18 VAR36 ; VAR21 <= VAR18 VAR37 ; end else begin VAR21 <= VAR18 VAR38 ; end end end always @(posedge VAR13 or VAR4 VAR27) begin if (VAR27 == VAR1) begin VAR17 <= VAR18 {(VAR25 + 1) {1'b0}} ; VAR11 <= VAR18 {(VAR25 + 1) {1'b0}} ; end else begin VAR17 <= VAR18 VAR19[VAR25+1:1] ; VAR11 <= VAR18 VAR17 ; end end assign VAR39 = VAR32[VAR25+1:1] != VAR11 ; always @(posedge VAR13 or VAR4 VAR27) begin if (VAR27 == VAR1) begin VAR32 <= VAR18 {(VAR25 + 2) {1'b0}} ; VAR15 <= VAR18 {{VAR25 {1'b0}}, 2'b11 } ; VAR28 <= VAR18 1'b0 ; end else begin if ((!VAR28 || VAR26) && VAR39) begin VAR32 <= VAR18 VAR15 ; VAR15 <= VAR18 VAR31( VAR15 ); VAR5 <= VAR18 VAR16[VAR7] ; VAR28 <= VAR18 1'b1; end else if (VAR26 && !VAR39) begin VAR28 <= VAR18 1'b0; end end end always @(posedge VAR12 or VAR4 VAR9) begin if (VAR9 == VAR1) begin VAR14 <= VAR18 {(VAR25 + 1) {1'b0}} ; VAR33 <= VAR18 {(VAR25 + 1) {1'b0}} ; end else begin VAR14 <= VAR18 VAR32[VAR25+1:1] ; VAR33 <= VAR18 VAR14 ; end end begin : VAR10 integer VAR6 ; for (VAR6 = 0; VAR6 < VAR20; VAR6 = VAR6 + 1) begin VAR16[VAR6] = {((VAR8 + 1)/2){2'b10}} ; end VAR5 = {((VAR8 + 1)/2){2'b10}} ; VAR19 = {((VAR25 + 2)/2){2'b10}} ; VAR36 = VAR19 ; VAR21 = 1'b0 ; VAR32 = VAR19 ; VAR15 = VAR19 ; VAR28 = 1'b0; VAR14 = VAR19 ; VAR33 = VAR19 ; VAR17 = VAR19 ; VAR11 = VAR19 ; end begin begin begin begin begin begin begin begin begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a21bo/sky130_fd_sc_ls__a21bo.behavioral.v
1,579
module MODULE1 ( VAR4 , VAR7 , VAR8 , VAR3 ); output VAR4 ; input VAR7 ; input VAR8 ; input VAR3; supply1 VAR13; supply0 VAR11; supply1 VAR9 ; supply0 VAR5 ; wire VAR6 ; wire VAR2; nand VAR1 (VAR6 , VAR8, VAR7 ); nand VAR10 (VAR2, VAR3, VAR6); buf VAR12 (VAR4 , VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/invkapwr/sky130_fd_sc_lp__invkapwr.pp.blackbox.v
1,315
module MODULE1 ( VAR3 , VAR4 , VAR2 , VAR1 , VAR5, VAR6 , VAR7 ); output VAR3 ; input VAR4 ; input VAR2 ; input VAR1 ; input VAR5; input VAR6 ; input VAR7 ; endmodule
apache-2.0
codustry/cuckoo
cuckooExten/display (Nutchanon Ninyawee's conflicted copy 2016-04-20).v
1,152
module MODULE1( output [6:0] VAR6, output [3:0] VAR4, output VAR2, input VAR9 ); wire VAR7,VAR1; wire [1:0] VAR8; wire [3:0] VAR10; reg [3:0] VAR3,VAR3,VAR5,VAR5;
mit
Fabeltranm/FPGA-Game-D1
HW/RTL/08ULTRASONIDO/Version_01/011J1G2/hdl/j1.v
4,340
module MODULE1#( parameter VAR35 = "./VAR1.VAR6" ) ( VAR7, VAR3, VAR14, VAR44, VAR33, VAR12, VAR19); input VAR7; input VAR3; input [15:0] VAR14; output VAR44; output VAR33; output [15:0] VAR12; output [15:0] VAR19; wire [15:0] VAR21; wire [15:0] VAR37 = { 1'b0, VAR21[14:0] }; wire [15:0] VAR32; reg [4:0] VAR24; reg [4:0] VAR24; reg [15:0] VAR2; reg [15:0] VAR2; wire [15:0] VAR28; wire VAR20; reg [12:0] VAR36; reg [12:0] VAR36; reg [4:0] VAR43; reg [4:0] VAR43; wire [15:0] VAR10; reg VAR29; reg [15:0] VAR42; wire VAR4; wire [15:0] VAR30; assign VAR30 = VAR36 + 1; VAR9 #(13, 16, VAR35) VAR17 ( .VAR34(VAR7), .VAR11(1), .VAR27(VAR21), .VAR40({VAR36}), .VAR16(VAR7), .VAR31(|VAR2[15:14] == 0), .VAR13(VAR32), .VAR38(VAR28), .VAR15(VAR4 & (VAR2[15:14] == 0)), .VAR41(VAR2[15:1]) ); reg [15:0] VAR23[0:31]; reg [15:0] VAR8[0:31]; always @(posedge VAR7) begin if (VAR20) VAR23[VAR24] = VAR2; if (VAR29) VAR8[VAR43] = VAR42; end assign VAR28 = VAR23[VAR24]; assign VAR10 = VAR8[VAR43]; reg [3:0] VAR25; always @* begin case (VAR21[14:13]) 2'b00: VAR25 = 0; 2'b01: VAR25 = 1; 2'b10: VAR25 = 0; 2'b11: VAR25 = VAR21[11:8]; default: VAR25 = 4'VAR39; endcase end always @* begin if (VAR21[15]) VAR2 = VAR37; end else case (VAR25) 4'b0000: VAR2 = VAR2; 4'b0001: VAR2 = VAR28; 4'b0010: VAR2 = VAR2 + VAR28; 4'b0011: VAR2 = VAR2 & VAR28; 4'b0100: VAR2 = VAR2 | VAR28; 4'b0101: VAR2 = VAR2 ^ VAR28; 4'b0110: VAR2 = ~VAR2; 4'b0111: VAR2 = {16{(VAR28 == VAR2)}}; 4'b1000: VAR2 = {16{((VAR28) < (VAR2))}}; 4'b1001: VAR2 = VAR28 >> VAR2[3:0]; 4'b1010: VAR2 = VAR2 - 1; 4'b1011: VAR2 = VAR10; 4'b1100: VAR2 = |VAR2[15:14] ? VAR14 : VAR32; 4'b1101: VAR2 = VAR28 << VAR2[3:0]; 4'b1110: VAR2 = {VAR43, 3'b000, VAR24}; 4'b1111: VAR2 = {16{(VAR28 < VAR2)}}; default: VAR2 = 16'VAR26; endcase end wire VAR18 = (VAR21[15:13] == 3'b011); wire VAR5 = (VAR21[15]); assign VAR44 = (VAR18 & (VAR21[11:8] == 4'hc) & (|VAR2[15:14])); assign VAR33 = VAR4; assign VAR12 = VAR2; assign VAR19 = VAR28; assign VAR4 = VAR18 & VAR21[5]; assign VAR20 = VAR5 | (VAR18 & VAR21[7]); wire [1:0] VAR22 = VAR21[1:0]; wire [1:0] rd = VAR21[3:2]; always @* begin if (VAR5) begin VAR24 = VAR24 + 1; VAR43 = VAR43; VAR29 = 0; VAR42 = VAR36; end else if (VAR18) begin VAR24 = VAR24 + {VAR22[1], VAR22[1], VAR22[1], VAR22}; VAR43 = VAR43 + {rd[1], rd[1], rd[1], rd}; VAR29 = VAR21[6]; VAR42 = VAR2; end else begin if (VAR21[15:13] == 3'b001) begin VAR24 = VAR24 - 1; end else begin VAR24 = VAR24; end if (VAR21[15:13] == 3'b010) begin VAR43 = VAR43 + 1; VAR29 = 1; VAR42 = {VAR30[14:0], 1'b0}; end else begin VAR43 = VAR43; VAR29 = 0; VAR42 = VAR36; end end end always @* begin if (VAR3) VAR36 = VAR36; end else if ((VAR21[15:13] == 3'b000) | ((VAR21[15:13] == 3'b001) & (|VAR2 == 0)) | (VAR21[15:13] == 3'b010)) VAR36 = VAR21[12:0]; end else if (VAR18 & VAR21[12]) VAR36 = VAR10[15:1]; end else VAR36 = VAR30; end always @(posedge VAR7) begin if (VAR3) begin VAR36 <= 0; VAR24 <= 0; VAR2 <= 0; VAR43 <= 0; end else begin VAR24 <= VAR24; VAR36 <= VAR36; VAR2 <= VAR2; VAR43 <= VAR43; end end endmodule
gpl-3.0
benreynwar/fpga-sdrlib
verilog/fpgamath/qa_multiply.v
1,224
module MODULE1 parameter VAR1 = 32, parameter VAR10 = 1 ) ( input wire clk, input wire VAR14, input wire [VAR1-1:0] VAR6, input wire VAR3, input wire [VAR10-1:0] VAR11, input wire [VAR15-1:0] VAR18, input wire VAR4, output wire [VAR1-1:0] VAR17, output reg VAR13, output reg [VAR10-1:0] VAR20, output wire [VAR15-1:0] VAR2, output wire VAR16, output reg VAR9 ); wire [VAR1/2-1:0] VAR5; wire [VAR1/2-1:0] VAR7; wire [VAR1/2-1:0] VAR8; assign VAR5 = VAR6[VAR1-1:VAR1/2]; assign VAR7 = VAR6[VAR1/2-1:0]; assign VAR17 = {{VAR1/2{1'b0}}, VAR8}; always @ (posedge clk) if (~VAR14) VAR9 <= 1'b0; else begin VAR13 <= VAR3; end VAR19 #(VAR1/2) VAR12 (.clk(clk), .VAR14(VAR14), .VAR5(VAR5), .VAR7(VAR7), .VAR8(VAR8) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlygate4sd3/sky130_fd_sc_ls__dlygate4sd3.functional.pp.v
1,832
module MODULE1 ( VAR8 , VAR9 , VAR5, VAR7, VAR3 , VAR11 ); output VAR8 ; input VAR9 ; input VAR5; input VAR7; input VAR3 ; input VAR11 ; wire VAR2 ; wire VAR10; buf VAR1 (VAR2 , VAR9 ); VAR6 VAR4 (VAR10, VAR2, VAR5, VAR7); buf VAR12 (VAR8 , VAR10 ); endmodule
apache-2.0
spesialstyrker/boula
gen/PCIe/example_design/PIO_64_RX_ENGINE.v
19,779
module MODULE1 #( parameter VAR4 = 1, parameter VAR31 = 64, parameter VAR6 = VAR31 / 8 ) ( input clk, input VAR17, input [VAR31-1:0] VAR9, input [VAR6-1:0] VAR18, input VAR14, input VAR46, output reg VAR32, input [21:0] VAR22, output reg VAR16, output reg VAR41, input VAR39, output reg [2:0] VAR11, output reg VAR28, output reg VAR37, output reg [1:0] VAR34, output reg [9:0] VAR2, output reg [15:0] VAR12, output reg [7:0] VAR7, output reg [7:0] VAR47, output reg [12:0] VAR13, output reg [10:0] VAR25, output reg [7:0] VAR38, output reg [31:0] VAR15, output reg VAR48, input VAR49 ); localparam VAR35 = 7'b0000000; localparam VAR50 = 7'b1000000; localparam VAR51 = 7'b0100000; localparam VAR43 = 7'b1100000; localparam VAR42 = 7'b0000010; localparam VAR21 = 7'b1000010; localparam VAR3 = 8'b00000000; localparam VAR36 = 8'b00000001; localparam VAR10 = 8'b00000010; localparam VAR24 = 8'b00000100; localparam VAR20 = 8'b00001000; localparam VAR19 = 8'b00010000; localparam VAR45 = 8'b00100000; localparam VAR8 = 8'b01000000; localparam VAR23 = 8'b10000000; reg [7:0] state; reg [7:0] VAR30; wire VAR1; wire VAR29; wire VAR44; wire VAR5; reg [1:0] VAR26; wire VAR40; reg VAR27; always@(posedge clk) begin if(!VAR17) VAR27 <= # VAR4 1'b0; end else if (VAR46 && VAR32 && VAR14) VAR27 <= # VAR4 1'b0; else if (VAR40 && VAR32) VAR27 <= # VAR4 1'b1; end assign VAR40 = !VAR27 && VAR46; always @ ( posedge clk ) begin if (!VAR17 ) begin end else begin case (state) VAR3 : begin if (VAR40) begin case (VAR9[30:24]) VAR35 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end VAR50 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end VAR51 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end VAR43 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end VAR42 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end VAR21 : begin if (VAR9[9:0] == 10'b1) begin end else begin end end default : begin end endcase end else end VAR36 : begin if (VAR46) begin end else end VAR10 : begin if (VAR46) begin end else end VAR24 : begin if (VAR46) begin end else end VAR20 : begin if (VAR46) begin end else end VAR19 : begin if (VAR46) begin end else end VAR8 : begin if (VAR46) begin end else end VAR45 : begin if ((VAR30 == VAR50) && (!VAR49)) begin end else if ((VAR30 == VAR21) && (!VAR49)) begin end else if ((VAR30 == VAR43) && (!VAR49)) begin end else if ((VAR30 == VAR35) && (VAR39)) begin end else if ((VAR30 == VAR42) && (VAR39)) begin end else if ((VAR30 == VAR51) && (VAR39)) begin end else end endcase end end assign VAR44 = ~VAR22[2]; assign VAR1 = ~VAR22[5]; assign VAR29 = ~VAR22[4]; assign VAR5 = !VAR22[8]; always @* begin case ({VAR1, VAR29, VAR44, VAR5}) 4'b0111 : begin 4'b1011 : begin 4'b1101 : begin 4'b1110 : begin default : begin endcase end reg [8*20:1] VAR33; always @(state) begin case (state) endcase end endmodule
gpl-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/output_queues/sram_rr_output_queues/src/oq_regs_host_iface.v
5,433
module MODULE1 parameter VAR15 = 13, parameter VAR16 = 8, parameter VAR21 = 2, parameter VAR42 = 8, parameter VAR25 = VAR13(VAR42), parameter VAR18 = 17, parameter VAR27 = VAR13(VAR18) ) ( input VAR17, input VAR38, input VAR39, input [VAR41-1:0] VAR36, input [VAR6-1:0] VAR7, input [VAR21-1:0] VAR9, output reg VAR3, output reg VAR5, output reg VAR32, output reg [VAR41-1:0] VAR31, output reg [VAR6-1:0] VAR8, output reg [VAR21-1:0] VAR35, output reg VAR20, output reg VAR1, output reg [VAR6-1:0] VAR2, output [VAR27-1:0] addr, output [VAR25-1:0] VAR28, input VAR29, input [VAR6-1:0] VAR10, input clk, input reset ); function integer VAR13; input integer VAR33; begin VAR13=0; while(2**VAR13<VAR33) begin VAR13=VAR13+1; end end endfunction wire [VAR34 - 1:0] VAR4; wire [VAR19 - wire VAR30; wire VAR22; reg VAR26; reg [VAR41-1:0] VAR24; reg [VAR21-1:0] VAR40; assign VAR4 = VAR36[VAR34-1:0]; assign VAR11 = VAR36[VAR19 - 1:VAR34]; assign VAR23 = VAR36[VAR41 - 1:VAR19]; assign VAR30 = (VAR4<VAR18) && (VAR11 < VAR42); assign VAR22 = VAR23 == VAR14; assign addr = VAR24[VAR27-1:0]; assign VAR28 = VAR24[VAR34 + VAR25 - 1:VAR34]; always @(posedge clk) begin if (reset) begin VAR3 <= 1'b0; VAR5 <= 1'b0; VAR32 <= 'h0; VAR31 <= 'h0; VAR35 <= 'h0; VAR26 <= 1'b0; VAR1 <= 'h0; VAR24 <= 'h0; VAR2 <= 'h0; VAR40 <= 'h0; VAR20 <= 1'b0; end else begin if (VAR20) begin if (VAR29) begin VAR20 <= 1'b0; VAR3 <= VAR26; VAR5 <= VAR26; VAR32 <= VAR1; VAR31 <= VAR24; VAR8 <= VAR10; VAR35 <= VAR40; end end else if (VAR17 && VAR22 && VAR30) begin VAR20 <= 1'b1; VAR26 <= VAR17; VAR1 <= VAR39; VAR24 <= VAR36; VAR2 <= VAR7; VAR40 <= VAR9; VAR3 <= 1'b0; VAR5 <= 1'b0; VAR32 <= 'h0; VAR31 <= 'h0; VAR8 <= 'h0; VAR35 <= 'h0; end else begin VAR3 <= VAR17; VAR5 <= VAR38 || VAR17 && VAR22; VAR32 <= VAR39; VAR31 <= VAR36; VAR8 <= (VAR17 && VAR22) ? 32'VAR12 VAR37 : VAR7; VAR35 <= VAR9; end end end endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/icgtn/gf180mcu_fd_sc_mcu7t5v0__icgtn_2.behavioral.pp.v
2,820
module MODULE1( VAR1, VAR22, VAR6, VAR12, VAR17, VAR10 ); input VAR6, VAR22, VAR1; inout VAR17, VAR10; output VAR12; reg VAR2; VAR26 VAR25(.VAR1(VAR1),.VAR22(VAR22),.VAR6(VAR6),.VAR12(VAR12),.VAR17(VAR17),.VAR10(VAR10),.VAR2(VAR2)); VAR26 VAR13(.VAR1(VAR1),.VAR22(VAR22),.VAR6(VAR6),.VAR12(VAR12),.VAR17(VAR17),.VAR10(VAR10),.VAR2(VAR2)); not VAR4(VAR15,VAR22); not VAR3(VAR14,VAR1); and VAR8(VAR24,VAR14,VAR15); not VAR21(VAR16,VAR22); and VAR18(VAR9,VAR1,VAR16); not VAR20(VAR19,VAR1); and VAR7(VAR5,VAR19,VAR22); and VAR11(VAR23,VAR1,VAR22);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfstp/sky130_fd_sc_hd__dfstp.symbol.v
1,387
module MODULE1 ( input VAR5 , output VAR1 , input VAR6, input VAR4 ); supply1 VAR7; supply0 VAR8; supply1 VAR2 ; supply0 VAR3 ; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_amphy/alt_mem_ddrx_burst_gen.v
61,872
module MODULE1 # ( parameter VAR85 = 4, VAR81 = "VAR105", VAR7 = 0, VAR150 = 1, VAR63 = 1, VAR38 = 3, VAR49 = 13, VAR115 = 10, VAR29 = 10, VAR9 = 10, VAR28 = 4, VAR124 = 2, VAR75 = 0, VAR103 = 0, VAR121 = 3, VAR79 = 5, VAR62 = 4, VAR87 = 0 ) ( VAR137, VAR1, VAR100, VAR94, VAR67, VAR70, VAR88, VAR98, VAR134, VAR127, VAR6, VAR30, VAR44, VAR39, VAR130, VAR26, VAR20, VAR110, VAR69, VAR43, VAR120, VAR2, VAR101, VAR72, VAR149, VAR125, VAR34, VAR31, VAR71, VAR13, VAR17, VAR68, VAR27, VAR116, VAR145, VAR90, VAR135, VAR123, VAR132, VAR18, VAR4, VAR15, VAR91, VAR76, VAR8, VAR5, VAR136, VAR141, VAR53, VAR122, VAR104, VAR78, VAR126, VAR54, VAR66, VAR84, VAR138, VAR129, VAR37, VAR60, VAR147, VAR24, VAR33, VAR92, VAR96, VAR52, VAR3 ); localparam VAR41 = 0; localparam VAR109 = 1; input VAR137; input VAR1; input [VAR121 - 1 : 0] VAR100; input [VAR79 - 1 : 0] VAR94; input [VAR62 - 1 : 0] VAR67; input [VAR124 - 1 : 0] VAR70; input [VAR124 - 1 : 0] VAR88; input [VAR124 - 1 : 0] VAR98; input [VAR124 - 1 : 0] VAR134; input [VAR124 - 1 : 0] VAR127; input [VAR124 - 1 : 0] VAR6; input [VAR124 - 1 : 0] VAR30; input [VAR124 - 1 : 0] VAR44; input [VAR124 - 1 : 0] VAR39; input [(VAR124 * VAR150) - 1 : 0] VAR130; input [(VAR124 * VAR150) - 1 : 0] VAR26; input [(VAR124 * VAR150) - 1 : 0] VAR20; input [(VAR124 * VAR150) - 1 : 0] VAR110; input [(VAR124 * VAR150) - 1 : 0] VAR69; input [(VAR124 * VAR150) - 1 : 0] VAR43; input [VAR124 - 1 : 0] VAR120; input [(VAR124 * VAR63) - 1 : 0] VAR2; input [(VAR124 * VAR150) - 1 : 0] VAR101; input [(VAR124 * VAR38) - 1 : 0] VAR72; input [(VAR124 * VAR49) - 1 : 0] VAR149; input [(VAR124 * VAR115) - 1 : 0] VAR125; input [VAR29 - 1 : 0] VAR34; input [VAR9 - 1 : 0] VAR31; input [VAR28 - 1 : 0] VAR71; output [VAR124 - 1 : 0] VAR13; output [VAR124 - 1 : 0] VAR17; output [VAR124 - 1 : 0] VAR68; output [VAR124 - 1 : 0] VAR27; output [VAR124 - 1 : 0] VAR116; output [VAR124 - 1 : 0] VAR145; output [(VAR124 * VAR150) - 1 : 0] VAR90; output [VAR28 - 1 : 0] VAR135; output VAR123; output [VAR124 - 1 : 0] VAR132; output [VAR124 - 1 : 0] VAR18; output [VAR124 - 1 : 0] VAR4; output [VAR124 - 1 : 0] VAR15; output [VAR124 - 1 : 0] VAR91; output [VAR124 - 1 : 0] VAR76; output [VAR124 - 1 : 0] VAR8; output [VAR124 - 1 : 0] VAR5; output [VAR124 - 1 : 0] VAR136; output [(VAR124 * VAR150) - 1 : 0] VAR141; output [(VAR124 * VAR150) - 1 : 0] VAR53; output [(VAR124 * VAR150) - 1 : 0] VAR122; output [(VAR124 * VAR150) - 1 : 0] VAR104; output [(VAR124 * VAR150) - 1 : 0] VAR78; output [(VAR124 * VAR150) - 1 : 0] VAR126; output [VAR124 - 1 : 0] VAR54; output [(VAR124 * VAR63) - 1 : 0] VAR66; output [(VAR124 * VAR150) - 1 : 0] VAR84; output [(VAR124 * VAR38) - 1 : 0] VAR138; output [(VAR124 * VAR49) - 1 : 0] VAR129; output [(VAR124 * VAR115) - 1 : 0] VAR37; output VAR60; output VAR147; output VAR24; output VAR33; output [VAR29 - 1 : 0] VAR92; output [VAR9 - 1 : 0] VAR96; output [VAR28 - 1 : 0] VAR52; output [VAR28 - 1 : 0] VAR3; reg [VAR124 - 1 : 0] VAR132; reg [VAR124 - 1 : 0] VAR18; reg [VAR124 - 1 : 0] VAR4; reg [VAR124 - 1 : 0] VAR15; reg [VAR124 - 1 : 0] VAR91; reg [VAR124 - 1 : 0] VAR76; reg [VAR124 - 1 : 0] VAR8; reg [VAR124 - 1 : 0] VAR5; reg [VAR124 - 1 : 0] VAR136; reg [(VAR124 * VAR150) - 1 : 0] VAR141; reg [(VAR124 * VAR150) - 1 : 0] VAR53; reg [(VAR124 * VAR150) - 1 : 0] VAR122; reg [(VAR124 * VAR150) - 1 : 0] VAR104; reg [(VAR124 * VAR150) - 1 : 0] VAR78; reg [(VAR124 * VAR150) - 1 : 0] VAR126; reg [VAR124 - 1 : 0] VAR54; reg [(VAR124 * VAR63) - 1 : 0] VAR66; reg [(VAR124 * VAR150) - 1 : 0] VAR84; reg [(VAR124 * VAR38) - 1 : 0] VAR138; reg [(VAR124 * VAR49) - 1 : 0] VAR129; reg [(VAR124 * VAR115) - 1 : 0] VAR37; reg VAR60; reg VAR147; reg VAR24; reg VAR33; reg [VAR29 - 1 : 0] VAR92; reg [VAR9 - 1 : 0] VAR96; reg [VAR28 - 1 : 0] VAR52; reg [VAR28 - 1 : 0] VAR3; reg [VAR28 - 1 : 0] VAR58; reg [VAR9 - 1 : 0] VAR23; reg [(VAR124 * VAR115) - 1 : 0] VAR86; reg [2 : 0] VAR36; reg [2 : 0] VAR61; reg VAR99; reg VAR108; reg VAR10; reg VAR118; reg [VAR124 - 1 : 0] VAR112; reg [VAR124 - 1 : 0] VAR113; reg [VAR124 - 1 : 0] VAR46; reg [VAR28 - 1 : 0] VAR144; reg [VAR9 - 1 : 0] VAR133; reg [(VAR124 * VAR115) - 1 : 0] VAR57; reg [2 : 0] VAR131; reg [2 : 0] VAR146; reg VAR82; reg VAR139; reg VAR143; reg VAR47; reg [VAR124 - 1 : 0] VAR74; reg [VAR124 - 1 : 0] VAR73; reg [VAR124 - 1 : 0] VAR106; reg [3 : 0] VAR117; reg [3 : 0] VAR128; reg [3 : 0] VAR114; reg [3 : 0] VAR16; reg [3 : 0] VAR12; reg VAR22; reg VAR97; reg VAR48; reg [3 : 0] VAR55; reg VAR93; reg VAR25; reg VAR59; reg [VAR62 - 2 : 0] VAR148; reg VAR95; reg VAR77; reg VAR83; reg VAR56; reg VAR14; reg [VAR28 - 1 : 0] VAR102; reg VAR142; reg VAR19; reg VAR119; reg [VAR124 - 1 : 0] VAR89; reg [VAR124 - 1 : 0] VAR45; reg [VAR124 - 1 : 0] VAR42; reg [VAR124 - 1 : 0] VAR35; reg [VAR9 - 1 : 0] VAR51; reg [VAR9 - 1 : 0] VAR80; reg [(VAR124 * VAR115) - 1 : 0] VAR21; wire VAR40 = 1'b0; reg [VAR124 - 1 : 0] VAR13; reg [VAR124 - 1 : 0] VAR17; reg [VAR124 - 1 : 0] VAR68; reg [VAR124 - 1 : 0] VAR27; reg [VAR124 - 1 : 0] VAR116; reg [VAR124 - 1 : 0] VAR145; reg [(VAR124 * VAR150) - 1 : 0] VAR90; reg [VAR28 - 1 : 0] VAR135; reg VAR123; reg [VAR124 - 1 : 0] VAR32; reg VAR50; reg VAR11; reg VAR107; reg VAR64; reg [VAR28 - 1 : 0] VAR140; generate if (VAR87 == 1) begin always @ (posedge VAR137 or negedge VAR1) begin if (! VAR1) begin VAR132 <= 0; VAR18 <= 0; VAR91 <= 0; VAR76 <= 0; VAR8 <= 0; VAR5 <= 0; VAR136 <= 0; VAR141 <= 0; VAR53 <= 0; VAR122 <= 0; VAR104 <= 0; VAR78 <= 0; VAR126 <= 0; VAR54 <= 0; VAR84 <= 0; VAR66 <= 0; VAR138 <= 0; VAR129 <= 0; VAR92 <= 0; VAR52 <= 0; VAR37 <= 0; VAR96 <= 0; VAR4 <= 0; VAR15 <= 0; VAR60 <= 0; VAR147 <= 0; VAR24 <= 0; VAR33 <= 0; VAR3 <= 0; end else begin VAR132 <= VAR70; VAR18 <= VAR88; VAR91 <= VAR127; VAR76 <= VAR42; VAR8 <= VAR35; VAR5 <= VAR44; VAR136 <= VAR39; VAR141 <= VAR130; VAR53 <= VAR26; VAR122 <= VAR20; VAR104 <= VAR110; VAR78 <= VAR69; VAR126 <= VAR43; VAR54 <= VAR120; VAR84 <= VAR101; VAR66 <= VAR2; VAR138 <= VAR72; VAR129 <= VAR149; VAR92 <= VAR34; VAR52 <= VAR71; VAR37 <= VAR21; VAR96 <= VAR80; VAR4 <= VAR74; VAR15 <= VAR32; VAR60 <= VAR50; VAR147 <= VAR11; VAR24 <= VAR107; VAR33 <= VAR64; VAR3 <= VAR140; end end end else begin always @ begin VAR37 = VAR21; end always @ begin VAR96 = VAR80; end end endgenerate always @ begin VAR36 = 0; if (VAR100 == VAR65 && VAR74) begin if (VAR117 [2]) VAR36 [0 ] = VAR125 [(VAR85 / 2)]; end else VAR36 = 0; end else if (VAR117 [0]) VAR36 = 0; else if (VAR117 [1]) VAR36 [0 ] = VAR125 [(VAR85 / 2)]; else if (VAR117 [2]) VAR36 [1 : 0] = VAR125 [(VAR85 / 2) + 1 : (VAR85 / 2)]; else if (VAR117 [3]) VAR36 [2 : 0] = VAR125 [(VAR85 / 2) + 2 : (VAR85 / 2)]; end always @ begin VAR86 = VAR125; end always @ begin VAR108 = (|VAR70) | (|VAR88); end always @ begin VAR112 = VAR98; end always @ begin VAR58 = VAR71; VAR23 = VAR31; end always @ begin if (VAR139 && VAR131 == 0) VAR22 = 1'b1; end else VAR22 = 1'b0; end always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR97 <= 0; end else begin if (VAR139 && ((VAR131 == 0 && VAR144 > 1) || VAR131 == 1'b1)) VAR97 <= 1'b1; end else if (VAR146 == 0 && VAR12 > 0) VAR97 <= 1'b1; end else VAR97 <= 1'b0; end end always @ begin VAR107 = VAR48; end always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR55 <= 0; end else begin if (VAR139) begin if (VAR74) begin if (VAR128 <= 2) VAR55 <= 0; end else VAR55 <= VAR16; end else begin if (VAR117 <= 2) VAR55 <= 0; end else VAR55 <= VAR114; end end else if (VAR55 != 0) VAR55 <= VAR55 - 1'b1; end end always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR93 <= 0; end else begin if (VAR139) begin if (VAR117 <= 1'b1) VAR93 <= 1'b0; end else if (VAR74 && VAR117 <= 2'd2) VAR93 <= 1'b0; end else VAR93 <= 1'b1; end else if (VAR55 > 0) VAR93 <= 1'b1; else VAR93 <= 1'b0; end end always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR25 <= 1'b0; VAR59 <= 1'b0; end else begin if (VAR47) begin VAR25 <= 1'b1; VAR59 <= 1'b0; end else if (VAR143) begin VAR25 <= 1'b0; VAR59 <= 1'b1; end end end always @ begin if (VAR47) VAR11 = 1'b0; end else if (VAR143) VAR11 = ~VAR119; else if (VAR59) VAR11 = VAR93 & ~VAR119; else VAR11 = 1'b0; end always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR89 <= 0; VAR45 <= 0; end else begin if (VAR139) begin VAR89 <= VAR73; VAR45 <= VAR106; end end end always @ begin if (VAR139) VAR80 = VAR133; end else if (VAR93) VAR80 = VAR51; else VAR80 = 0; end always @ begin VAR77 = VAR95; end end else begin always @ (posedge VAR137 or negedge VAR1) begin if (!VAR1) begin VAR77 <= 0; end else begin if (VAR139) begin if (VAR7) begin if (VAR117 <= 2'd2) VAR77 <= 1'b0; end else if (VAR74 && VAR117 <= 3'd4) VAR77 <= 1'b0; end else VAR77 <= 1'b1; end else begin if (VAR117 <= 1'b1) VAR77 <= 1'b0; end else if (VAR74 && VAR117 <= 2'd2) VAR77 <= 1'b0; end else VAR77 <= 1'b1; end end else if (!VAR7 && VAR55 > 0) VAR77 <= 1'b1; else if ( VAR7 && VAR55 > 1'b1) VAR77 <= 1'b1; else VAR77 <= 1'b0; end end end end endgenerate always @ begin VAR14 = VAR40; end always @ begin VAR119 = (|VAR32) | VAR19; end always @ begin VAR32 = 0; if (VAR142) VAR32 [VAR109] = 0; end else VAR32 [VAR109] = VAR14; end end else if (VAR81 == "VAR111") begin always @ begin VAR140 = VAR102; end endmodule
lgpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_3.behavioral.v
1,101
module MODULE1( VAR3, VAR5 ); input VAR3; output VAR5; VAR2 VAR4(.VAR3(VAR3),.VAR5(VAR5)); VAR2 VAR1(.VAR3(VAR3),.VAR5(VAR5));
apache-2.0
rkrajnc/minimig-mist
rtl/minimig/amber.v
16,582
module MODULE1 ( input wire clk, input wire VAR47, input wire VAR18, input wire [ 2-1:0] VAR39, input wire [ 2-1:0] VAR22, input wire [ 2-1:0] VAR81, input wire [ 2-1:0] VAR42, input wire [ 9-1:0] VAR21, input wire VAR1, input wire VAR43, input wire VAR50, input wire [ 8-1:0] VAR28, input wire [ 8-1:0] VAR83, input wire [ 8-1:0] VAR11, input wire VAR55, input wire VAR61, input wire VAR3, output reg [ 8-1:0] VAR78=0, output reg [ 8-1:0] VAR52=0, output reg [ 8-1:0] VAR64=0, output reg VAR48=0, output reg VAR60=0 ); localparam [ 8-1:0] VAR65 = 8'b11110000; localparam [ 8-1:0] VAR26 = 8'b11110000; localparam [ 8-1:0] VAR8 = 8'b11110000; reg VAR19=0; reg VAR31=0; reg VAR84=0; reg VAR90=0; always @ (posedge clk) begin VAR19 <= VAR55; VAR31 <= ~VAR55 & VAR19; VAR84 <= VAR61; VAR90 <= ~VAR61 & VAR84; end reg VAR70=0; reg [ 8-1:0] VAR63=0; reg [ 8-1:0] VAR85=0; reg [ 8-1:0] bind=0; wire [ 9-1:0] VAR88; wire [ 9-1:0] VAR82; wire [ 9-1:0] VAR20; reg [ 11-1:0] VAR72=0; always @ (posedge clk) begin if (VAR31) VAR70 <= VAR1 ? VAR22[0] : VAR39[0]; VAR70 <= 1'b0; end always @ (posedge clk) begin if (VAR72[0]) begin VAR63 <= VAR28; VAR85 <= VAR83; bind <= VAR11; end end assign VAR88 = VAR70 ? ({1'b0, VAR28} + {1'b0, VAR63}) : {VAR28[7:0] , 1'b0}; assign VAR82 = VAR70 ? ({1'b0, VAR83} + {1'b0, VAR85}) : {VAR83[7:0], 1'b0}; assign VAR20 = VAR70 ? ({1'b0, VAR11} + {1'b0, bind}) : {VAR11[7:0] , 1'b0}; reg [ 30-1:0] VAR36 [0:1024-1]; reg [ 30-1:0] VAR15=0; reg [ 30-1:0] VAR67=0; reg [ 11-1:0] VAR75=0; always @ (posedge clk) begin if (VAR31 || !VAR47) VAR72 <= 11'd0; end else VAR72 <= VAR72 + 11'd1; end always @ (posedge clk) begin if (VAR31 || !VAR47 || (VAR75 == {VAR21[8:1],2'b11})) VAR75 <= 11'd0; end else VAR75 <= VAR75 + 11'd1; end always @ (posedge clk) begin if (VAR47) begin VAR36[VAR72[10:1]] <= {VAR55, VAR43, VAR50, VAR88, VAR82, VAR20}; VAR15 <= VAR36[VAR75[9:0]]; VAR67 <= VAR15; end end reg VAR80=0; reg [ 30-1:0] VAR4 [0:1024-1]; reg [ 30-1:0] VAR33=0; wire [ 10-1:0] VAR46; wire [ 10-1:0] VAR29; wire [ 10-1:0] VAR9; wire [ 8-1:0] VAR87; wire [ 8-1:0] VAR2; wire [ 8-1:0] VAR5; always @ (posedge clk) begin if (VAR31) VAR80 <= VAR1 ? VAR22[1] : VAR39[1]; VAR80 <= 1'b0; end always @ (posedge clk) begin VAR4[VAR75[9:0]] <= VAR15; VAR33 <= VAR4[VAR75[9:0]]; end assign VAR46 = VAR80 ? ({1'b0, VAR67[26:18]} + {1'b0, VAR33[26:18]}) : {VAR67[26:18], 1'b0}; assign VAR29 = VAR80 ? ({1'b0, VAR67[17:09]} + {1'b0, VAR33[17:09]}) : {VAR67[17:09], 1'b0}; assign VAR9 = VAR80 ? ({1'b0, VAR67[ 8: 0]} + {1'b0, VAR33[ 8: 0]}) : {VAR67[ 8: 0], 1'b0}; assign VAR87 = VAR46[8+2-1:2]; assign VAR2 = VAR29[8+2-1:2]; assign VAR5 = VAR9[8+2-1:2]; reg [24-1:0] VAR79=0; reg [24-1:0] VAR10=0; reg [24-1:0] VAR45=0; wire [26-1:0] VAR25; reg VAR14=0; reg VAR66=0; reg VAR62=0; wire [ 8-1:0] VAR59; wire [ 8-1:0] VAR68; wire [ 8-1:0] VAR6; reg [ 8-1:0] VAR38=0; reg [ 8-1:0] VAR27=0; reg [ 8-1:0] VAR13=0; wire [ 8-1:0] VAR40; wire [ 8-1:0] VAR32; wire [ 8-1:0] VAR49; wire [ 8-1:0] VAR51; wire [ 8-1:0] VAR16; wire [ 8-1:0] VAR56; wire [ 8-1:0] VAR58; wire [ 8-1:0] VAR76; wire [ 8-1:0] VAR54; always @ (posedge clk) begin if (VAR90) begin VAR79 <= 24'h654321; VAR45 <= 24'd0; VAR10 <= 24'd0; end else if (|VAR42) begin VAR79 <= {VAR79[22:0], ~(VAR79[23] ^ VAR79[22] ^ VAR79[21] ^ VAR79[16])}; VAR45 <= VAR79; VAR10 <= VAR25[25:2]; end end assign VAR25 = {2'b00,VAR10} + {2'b00, VAR79} - {2'b00, VAR45}; always @ (posedge clk) begin if (VAR90) begin VAR14 <= ~VAR14; VAR62 <= 1'b0; VAR66 <= 1'b0; end else if (|VAR42) begin if (VAR75 == {VAR21[8:1],2'b11}) VAR62 <= ~VAR62; VAR66 <= ~VAR66; end end assign VAR59 = &VAR87[7:2] ? VAR87[7:0] : VAR87[7:0] + {6'b000000, VAR38[1:0]}; assign VAR68 = &VAR2[7:2] ? VAR2[7:0] : VAR2[7:0] + {6'b000000, VAR27[1:0]}; assign VAR6 = &VAR5[7:2] ? VAR5[7:0] : VAR5[7:0] + {6'b000000, VAR13[1:0]}; assign VAR40 = &VAR59[7:2] ? VAR59[7:0] : VAR59[7:0] + {6'b000000, (VAR42[0] & (VAR14 ^ VAR62 ^ VAR66) & VAR59[1]), 1'b0}; assign VAR32 = &VAR68[7:2] ? VAR68[7:0] : VAR68[7:0] + {6'b000000, (VAR42[0] & (VAR14 ^ VAR62 ^ VAR66) & VAR68[1]), 1'b0}; assign VAR49 = &VAR6[7:2] ? VAR6[7:0] : VAR6[7:0] + {6'b000000, (VAR42[0] & (VAR14 ^ VAR62 ^ VAR66) & VAR6[1]), 1'b0}; assign VAR51 = &VAR40[7:2] ? VAR40[7:0] : VAR40[7:0] + {7'b0000000, VAR42[1] & VAR10[0]}; assign VAR16 = &VAR32[7:2] ? VAR32[7:0] : VAR32[7:0] + {7'b0000000, VAR42[1] & VAR10[0]}; assign VAR56 = &VAR49[7:2] ? VAR49[7:0] : VAR49[7:0] + {7'b0000000, VAR42[1] & VAR10[0]}; always @ (posedge clk) begin if (VAR90) begin VAR38 <= 8'd0; VAR27 <= 8'd0; VAR13 <= 8'd0; end else if (|VAR42) begin VAR38 <= {6'b000000, VAR51[1:0]}; VAR27 <= {6'b000000, VAR16[1:0]}; VAR13 <= {6'b000000, VAR56[1:0]}; end end assign VAR58 = VAR51; assign VAR76 = VAR16; assign VAR54 = VAR56; reg VAR74=0; reg [ 8-1:0] VAR30=0; reg [ 8-1:0] VAR37=0; reg [ 8-1:0] VAR35=0; reg [ 8-1:0] VAR44; reg [ 8-1:0] VAR41; reg [ 8-1:0] VAR17; reg VAR77; reg VAR12; reg VAR53; always @ (posedge clk) begin if (VAR31) VAR74 <= 1'b0; end else if (VAR75 == {VAR21[8:1],2'b11}) VAR74 <= 1'b1; end always @ (posedge clk) begin VAR30 <= ((VAR74 && VAR81[1]) ? 8'h00 : ((VAR74 && VAR81[0]) ? {1'b0, VAR58[7:1]} : VAR58)); VAR37 <= ((VAR74 && VAR81[1]) ? 8'h00 : ((VAR74 && VAR81[0]) ? {1'b0, VAR76[7:1]} : VAR76)); VAR35 <= ((VAR74 && VAR81[1]) ? 8'h00 : ((VAR74 && VAR81[0]) ? {1'b0, VAR54[7:1]} : VAR54)); end always @ (posedge clk) begin VAR44 <= ((!VAR47 && VAR14 && VAR81[1]) ? 8'h00 : ((!VAR47 && VAR14 && VAR81[0]) ? {1'b0, VAR28[7:1]} : VAR28)); VAR41 <= ((!VAR47 && VAR14 && VAR81[1]) ? 8'h00 : ((!VAR47 && VAR14 && VAR81[0]) ? {1'b0, VAR83[7:1]} : VAR83)); VAR17 <= ((!VAR47 && VAR14 && VAR81[1]) ? 8'h00 : ((!VAR47 && VAR14 && VAR81[0]) ? {1'b0, VAR11[7:1]} : VAR11)); VAR77 <= VAR3; VAR12 <= VAR43; VAR53 <= VAR50; end wire VAR86; wire VAR57; wire [ 8-1:0] VAR73; wire [ 8-1:0] VAR89; wire [ 8-1:0] VAR7; wire VAR69; wire VAR23; assign VAR86 = VAR47 ? VAR67[29] : VAR18 ? VAR55 : VAR77; assign VAR57 = VAR47 ? VAR61 : VAR18 ? VAR61 : 1'b1; assign VAR73 = VAR47 ? VAR30 : VAR18 ? VAR28 : VAR44; assign VAR89 = VAR47 ? VAR37 : VAR18 ? VAR83 : VAR41; assign VAR7 = VAR47 ? VAR35 : VAR18 ? VAR11 : VAR17; assign VAR69 = VAR47 ? VAR67[28] : VAR18 ? VAR43 : VAR12; assign VAR23 = VAR47 ? VAR67[27] : VAR18 ? VAR50 : VAR53; wire [ 8-1:0] VAR34; wire [ 8-1:0] VAR24; wire [ 8-1:0] VAR71; assign VAR34 = (VAR69 ? (VAR23 ? VAR65 : {2'b00, VAR73[7:2]}) : VAR73); assign VAR24 = (VAR69 ? (VAR23 ? VAR26 : {2'b00, VAR89[7:2]}) : VAR89); assign VAR71 = (VAR69 ? (VAR23 ? VAR8 : {2'b10, VAR7[7:2]}) : VAR7); always @ (posedge clk) begin VAR48 <= VAR86; VAR60 <= VAR57; VAR78 <= VAR34; VAR52 <= VAR24; VAR64 <= VAR71; end endmodule
gpl-3.0
jmt329/PitchShifter
delta_rom.v
35,702
module MODULE1 (VAR1, address, VAR2); input VAR1; input [9:0] address; output [31:0] VAR2; reg [31:0] VAR2; always@(posedge VAR1) begin case(address) 10'd0: VAR2 = 32'b00010000000000000000000000000000; 10'd1: VAR2 = 32'b00010000001000000000000000000000; 10'd2: VAR2 = 32'b00010000010000000000000000000000; 10'd3: VAR2 = 32'b00010000011000000000000000000000; 10'd4: VAR2 = 32'b00010000100000000000000000000000; 10'd5: VAR2 = 32'b00010000101000000000000000000000; 10'd6: VAR2 = 32'b00010000110000000000000000000000; 10'd7: VAR2 = 32'b00010000111000000000000000000000; 10'd8: VAR2 = 32'b00010001000000000000000000000000; 10'd9: VAR2 = 32'b00010001001000000000000000000000; 10'd10: VAR2 = 32'b00010001010000000000000000000000; 10'd11: VAR2 = 32'b00010001011000000000000000000000; 10'd12: VAR2 = 32'b00010001100000000000000000000000; 10'd13: VAR2 = 32'b00010001101000000000000000000000; 10'd14: VAR2 = 32'b00010001110000000000000000000000; 10'd15: VAR2 = 32'b00010001111000000000000000000000; 10'd16: VAR2 = 32'b00010010000000000000000000000000; 10'd17: VAR2 = 32'b00010010001000000000000000000000; 10'd18: VAR2 = 32'b00010010010000000000000000000000; 10'd19: VAR2 = 32'b00010010011000000000000000000000; 10'd20: VAR2 = 32'b00010010100000000000000000000000; 10'd21: VAR2 = 32'b00010010101000000000000000000000; 10'd22: VAR2 = 32'b00010010110000000000000000000000; 10'd23: VAR2 = 32'b00010010111000000000000000000000; 10'd24: VAR2 = 32'b00010011000000000000000000000000; 10'd25: VAR2 = 32'b00010011001000000000000000000000; 10'd26: VAR2 = 32'b00010011010000000000000000000000; 10'd27: VAR2 = 32'b00010011011000000000000000000000; 10'd28: VAR2 = 32'b00010011100000000000000000000000; 10'd29: VAR2 = 32'b00010011101000000000000000000000; 10'd30: VAR2 = 32'b00010011110000000000000000000000; 10'd31: VAR2 = 32'b00010011111000000000000000000000; 10'd32: VAR2 = 32'b00010100000000000000000000000000; 10'd33: VAR2 = 32'b00010100001000000000000000000000; 10'd34: VAR2 = 32'b00010100010000000000000000000000; 10'd35: VAR2 = 32'b00010100011000000000000000000000; 10'd36: VAR2 = 32'b00010100100000000000000000000000; 10'd37: VAR2 = 32'b00010100101000000000000000000000; 10'd38: VAR2 = 32'b00010100110000000000000000000000; 10'd39: VAR2 = 32'b00010100111000000000000000000000; 10'd40: VAR2 = 32'b00010101000000000000000000000000; 10'd41: VAR2 = 32'b00010101001000000000000000000000; 10'd42: VAR2 = 32'b00010101010000000000000000000000; 10'd43: VAR2 = 32'b00010101011000000000000000000000; 10'd44: VAR2 = 32'b00010101100000000000000000000000; 10'd45: VAR2 = 32'b00010101101000000000000000000000; 10'd46: VAR2 = 32'b00010101110000000000000000000000; 10'd47: VAR2 = 32'b00010101111000000000000000000000; 10'd48: VAR2 = 32'b00010110000000000000000000000000; 10'd49: VAR2 = 32'b00010110001000000000000000000000; 10'd50: VAR2 = 32'b00010110010000000000000000000000; 10'd51: VAR2 = 32'b00010110011000000000000000000000; 10'd52: VAR2 = 32'b00010110100000000000000000000000; 10'd53: VAR2 = 32'b00010110101000000000000000000000; 10'd54: VAR2 = 32'b00010110110000000000000000000000; 10'd55: VAR2 = 32'b00010110111000000000000000000000; 10'd56: VAR2 = 32'b00010111000000000000000000000000; 10'd57: VAR2 = 32'b00010111001000000000000000000000; 10'd58: VAR2 = 32'b00010111010000000000000000000000; 10'd59: VAR2 = 32'b00010111011000000000000000000000; 10'd60: VAR2 = 32'b00010111100000000000000000000000; 10'd61: VAR2 = 32'b00010111101000000000000000000000; 10'd62: VAR2 = 32'b00010111110000000000000000000000; 10'd63: VAR2 = 32'b00010111111000000000000000000000; 10'd64: VAR2 = 32'b00011000000000000000000000000000; 10'd65: VAR2 = 32'b00011000001000000000000000000000; 10'd66: VAR2 = 32'b00011000010000000000000000000000; 10'd67: VAR2 = 32'b00011000011000000000000000000000; 10'd68: VAR2 = 32'b00011000100000000000000000000000; 10'd69: VAR2 = 32'b00011000101000000000000000000000; 10'd70: VAR2 = 32'b00011000110000000000000000000000; 10'd71: VAR2 = 32'b00011000111000000000000000000000; 10'd72: VAR2 = 32'b00011001000000000000000000000000; 10'd73: VAR2 = 32'b00011001001000000000000000000000; 10'd74: VAR2 = 32'b00011001010000000000000000000000; 10'd75: VAR2 = 32'b00011001011000000000000000000000; 10'd76: VAR2 = 32'b00011001100000000000000000000000; 10'd77: VAR2 = 32'b00011001101000000000000000000000; 10'd78: VAR2 = 32'b00011001110000000000000000000000; 10'd79: VAR2 = 32'b00011001111000000000000000000000; 10'd80: VAR2 = 32'b00011010000000000000000000000000; 10'd81: VAR2 = 32'b00011010001000000000000000000000; 10'd82: VAR2 = 32'b00011010010000000000000000000000; 10'd83: VAR2 = 32'b00011010011000000000000000000000; 10'd84: VAR2 = 32'b00011010100000000000000000000000; 10'd85: VAR2 = 32'b00011010101000000000000000000000; 10'd86: VAR2 = 32'b00011010110000000000000000000000; 10'd87: VAR2 = 32'b00011010111000000000000000000000; 10'd88: VAR2 = 32'b00011011000000000000000000000000; 10'd89: VAR2 = 32'b00011011001000000000000000000000; 10'd90: VAR2 = 32'b00011011010000000000000000000000; 10'd91: VAR2 = 32'b00011011011000000000000000000000; 10'd92: VAR2 = 32'b00011011100000000000000000000000; 10'd93: VAR2 = 32'b00011011101000000000000000000000; 10'd94: VAR2 = 32'b00011011110000000000000000000000; 10'd95: VAR2 = 32'b00011011111000000000000000000000; 10'd96: VAR2 = 32'b00011100000000000000000000000000; 10'd97: VAR2 = 32'b00011100001000000000000000000000; 10'd98: VAR2 = 32'b00011100010000000000000000000000; 10'd99: VAR2 = 32'b00011100011000000000000000000000; 10'd100: VAR2 = 32'b00011100100000000000000000000000; 10'd101: VAR2 = 32'b00011100101000000000000000000000; 10'd102: VAR2 = 32'b00011100110000000000000000000000; 10'd103: VAR2 = 32'b00011100111000000000000000000000; 10'd104: VAR2 = 32'b00011101000000000000000000000000; 10'd105: VAR2 = 32'b00011101001000000000000000000000; 10'd106: VAR2 = 32'b00011101010000000000000000000000; 10'd107: VAR2 = 32'b00011101011000000000000000000000; 10'd108: VAR2 = 32'b00011101100000000000000000000000; 10'd109: VAR2 = 32'b00011101101000000000000000000000; 10'd110: VAR2 = 32'b00011101110000000000000000000000; 10'd111: VAR2 = 32'b00011101111000000000000000000000; 10'd112: VAR2 = 32'b00011110000000000000000000000000; 10'd113: VAR2 = 32'b00011110001000000000000000000000; 10'd114: VAR2 = 32'b00011110010000000000000000000000; 10'd115: VAR2 = 32'b00011110011000000000000000000000; 10'd116: VAR2 = 32'b00011110100000000000000000000000; 10'd117: VAR2 = 32'b00011110101000000000000000000000; 10'd118: VAR2 = 32'b00011110110000000000000000000000; 10'd119: VAR2 = 32'b00011110111000000000000000000000; 10'd120: VAR2 = 32'b00011111000000000000000000000000; 10'd121: VAR2 = 32'b00011111001000000000000000000000; 10'd122: VAR2 = 32'b00011111010000000000000000000000; 10'd123: VAR2 = 32'b00011111011000000000000000000000; 10'd124: VAR2 = 32'b00011111100000000000000000000000; 10'd125: VAR2 = 32'b00011111101000000000000000000000; 10'd126: VAR2 = 32'b00011111110000000000000000000000; 10'd127: VAR2 = 32'b00011111111000000000000000000000; 10'd128: VAR2 = 32'b00100000000000000000000000000000; 10'd129: VAR2 = 32'b00100000001000000000000000000000; 10'd130: VAR2 = 32'b00100000010000000000000000000000; 10'd131: VAR2 = 32'b00100000011000000000000000000000; 10'd132: VAR2 = 32'b00100000100000000000000000000000; 10'd133: VAR2 = 32'b00100000101000000000000000000000; 10'd134: VAR2 = 32'b00100000110000000000000000000000; 10'd135: VAR2 = 32'b00100000111000000000000000000000; 10'd136: VAR2 = 32'b00100001000000000000000000000000; 10'd137: VAR2 = 32'b00100001001000000000000000000000; 10'd138: VAR2 = 32'b00100001010000000000000000000000; 10'd139: VAR2 = 32'b00100001011000000000000000000000; 10'd140: VAR2 = 32'b00100001100000000000000000000000; 10'd141: VAR2 = 32'b00100001101000000000000000000000; 10'd142: VAR2 = 32'b00100001110000000000000000000000; 10'd143: VAR2 = 32'b00100001111000000000000000000000; 10'd144: VAR2 = 32'b00100010000000000000000000000000; 10'd145: VAR2 = 32'b00100010001000000000000000000000; 10'd146: VAR2 = 32'b00100010010000000000000000000000; 10'd147: VAR2 = 32'b00100010011000000000000000000000; 10'd148: VAR2 = 32'b00100010100000000000000000000000; 10'd149: VAR2 = 32'b00100010101000000000000000000000; 10'd150: VAR2 = 32'b00100010110000000000000000000000; 10'd151: VAR2 = 32'b00100010111000000000000000000000; 10'd152: VAR2 = 32'b00100011000000000000000000000000; 10'd153: VAR2 = 32'b00100011001000000000000000000000; 10'd154: VAR2 = 32'b00100011010000000000000000000000; 10'd155: VAR2 = 32'b00100011011000000000000000000000; 10'd156: VAR2 = 32'b00100011100000000000000000000000; 10'd157: VAR2 = 32'b00100011101000000000000000000000; 10'd158: VAR2 = 32'b00100011110000000000000000000000; 10'd159: VAR2 = 32'b00100011111000000000000000000000; 10'd160: VAR2 = 32'b00100100000000000000000000000000; 10'd161: VAR2 = 32'b00100100001000000000000000000000; 10'd162: VAR2 = 32'b00100100010000000000000000000000; 10'd163: VAR2 = 32'b00100100011000000000000000000000; 10'd164: VAR2 = 32'b00100100100000000000000000000000; 10'd165: VAR2 = 32'b00100100101000000000000000000000; 10'd166: VAR2 = 32'b00100100110000000000000000000000; 10'd167: VAR2 = 32'b00100100111000000000000000000000; 10'd168: VAR2 = 32'b00100101000000000000000000000000; 10'd169: VAR2 = 32'b00100101001000000000000000000000; 10'd170: VAR2 = 32'b00100101010000000000000000000000; 10'd171: VAR2 = 32'b00100101011000000000000000000000; 10'd172: VAR2 = 32'b00100101100000000000000000000000; 10'd173: VAR2 = 32'b00100101101000000000000000000000; 10'd174: VAR2 = 32'b00100101110000000000000000000000; 10'd175: VAR2 = 32'b00100101111000000000000000000000; 10'd176: VAR2 = 32'b00100110000000000000000000000000; 10'd177: VAR2 = 32'b00100110001000000000000000000000; 10'd178: VAR2 = 32'b00100110010000000000000000000000; 10'd179: VAR2 = 32'b00100110011000000000000000000000; 10'd180: VAR2 = 32'b00100110100000000000000000000000; 10'd181: VAR2 = 32'b00100110101000000000000000000000; 10'd182: VAR2 = 32'b00100110110000000000000000000000; 10'd183: VAR2 = 32'b00100110111000000000000000000000; 10'd184: VAR2 = 32'b00100111000000000000000000000000; 10'd185: VAR2 = 32'b00100111001000000000000000000000; 10'd186: VAR2 = 32'b00100111010000000000000000000000; 10'd187: VAR2 = 32'b00100111011000000000000000000000; 10'd188: VAR2 = 32'b00100111100000000000000000000000; 10'd189: VAR2 = 32'b00100111101000000000000000000000; 10'd190: VAR2 = 32'b00100111110000000000000000000000; 10'd191: VAR2 = 32'b00100111111000000000000000000000; 10'd192: VAR2 = 32'b00101000000000000000000000000000; 10'd193: VAR2 = 32'b00101000001000000000000000000000; 10'd194: VAR2 = 32'b00101000010000000000000000000000; 10'd195: VAR2 = 32'b00101000011000000000000000000000; 10'd196: VAR2 = 32'b00101000100000000000000000000000; 10'd197: VAR2 = 32'b00101000101000000000000000000000; 10'd198: VAR2 = 32'b00101000110000000000000000000000; 10'd199: VAR2 = 32'b00101000111000000000000000000000; 10'd200: VAR2 = 32'b00101001000000000000000000000000; 10'd201: VAR2 = 32'b00101001001000000000000000000000; 10'd202: VAR2 = 32'b00101001010000000000000000000000; 10'd203: VAR2 = 32'b00101001011000000000000000000000; 10'd204: VAR2 = 32'b00101001100000000000000000000000; 10'd205: VAR2 = 32'b00101001101000000000000000000000; 10'd206: VAR2 = 32'b00101001110000000000000000000000; 10'd207: VAR2 = 32'b00101001111000000000000000000000; 10'd208: VAR2 = 32'b00101010000000000000000000000000; 10'd209: VAR2 = 32'b00101010001000000000000000000000; 10'd210: VAR2 = 32'b00101010010000000000000000000000; 10'd211: VAR2 = 32'b00101010011000000000000000000000; 10'd212: VAR2 = 32'b00101010100000000000000000000000; 10'd213: VAR2 = 32'b00101010101000000000000000000000; 10'd214: VAR2 = 32'b00101010110000000000000000000000; 10'd215: VAR2 = 32'b00101010111000000000000000000000; 10'd216: VAR2 = 32'b00101011000000000000000000000000; 10'd217: VAR2 = 32'b00101011001000000000000000000000; 10'd218: VAR2 = 32'b00101011010000000000000000000000; 10'd219: VAR2 = 32'b00101011011000000000000000000000; 10'd220: VAR2 = 32'b00101011100000000000000000000000; 10'd221: VAR2 = 32'b00101011101000000000000000000000; 10'd222: VAR2 = 32'b00101011110000000000000000000000; 10'd223: VAR2 = 32'b00101011111000000000000000000000; 10'd224: VAR2 = 32'b00101100000000000000000000000000; 10'd225: VAR2 = 32'b00101100001000000000000000000000; 10'd226: VAR2 = 32'b00101100010000000000000000000000; 10'd227: VAR2 = 32'b00101100011000000000000000000000; 10'd228: VAR2 = 32'b00101100100000000000000000000000; 10'd229: VAR2 = 32'b00101100101000000000000000000000; 10'd230: VAR2 = 32'b00101100110000000000000000000000; 10'd231: VAR2 = 32'b00101100111000000000000000000000; 10'd232: VAR2 = 32'b00101101000000000000000000000000; 10'd233: VAR2 = 32'b00101101001000000000000000000000; 10'd234: VAR2 = 32'b00101101010000000000000000000000; 10'd235: VAR2 = 32'b00101101011000000000000000000000; 10'd236: VAR2 = 32'b00101101100000000000000000000000; 10'd237: VAR2 = 32'b00101101101000000000000000000000; 10'd238: VAR2 = 32'b00101101110000000000000000000000; 10'd239: VAR2 = 32'b00101101111000000000000000000000; 10'd240: VAR2 = 32'b00101110000000000000000000000000; 10'd241: VAR2 = 32'b00101110001000000000000000000000; 10'd242: VAR2 = 32'b00101110010000000000000000000000; 10'd243: VAR2 = 32'b00101110011000000000000000000000; 10'd244: VAR2 = 32'b00101110100000000000000000000000; 10'd245: VAR2 = 32'b00101110101000000000000000000000; 10'd246: VAR2 = 32'b00101110110000000000000000000000; 10'd247: VAR2 = 32'b00101110111000000000000000000000; 10'd248: VAR2 = 32'b00101111000000000000000000000000; 10'd249: VAR2 = 32'b00101111001000000000000000000000; 10'd250: VAR2 = 32'b00101111010000000000000000000000; 10'd251: VAR2 = 32'b00101111011000000000000000000000; 10'd252: VAR2 = 32'b00101111100000000000000000000000; 10'd253: VAR2 = 32'b00101111101000000000000000000000; 10'd254: VAR2 = 32'b00101111110000000000000000000000; 10'd255: VAR2 = 32'b00101111111000000000000000000000; 10'd256: VAR2 = 32'b00110000000000000000000000000000; 10'd257: VAR2 = 32'b00101111111000000000000000000000; 10'd258: VAR2 = 32'b00101111110000000000000000000000; 10'd259: VAR2 = 32'b00101111101000000000000000000000; 10'd260: VAR2 = 32'b00101111100000000000000000000000; 10'd261: VAR2 = 32'b00101111011000000000000000000000; 10'd262: VAR2 = 32'b00101111010000000000000000000000; 10'd263: VAR2 = 32'b00101111001000000000000000000000; 10'd264: VAR2 = 32'b00101111000000000000000000000000; 10'd265: VAR2 = 32'b00101110111000000000000000000000; 10'd266: VAR2 = 32'b00101110110000000000000000000000; 10'd267: VAR2 = 32'b00101110101000000000000000000000; 10'd268: VAR2 = 32'b00101110100000000000000000000000; 10'd269: VAR2 = 32'b00101110011000000000000000000000; 10'd270: VAR2 = 32'b00101110010000000000000000000000; 10'd271: VAR2 = 32'b00101110001000000000000000000000; 10'd272: VAR2 = 32'b00101110000000000000000000000000; 10'd273: VAR2 = 32'b00101101111000000000000000000000; 10'd274: VAR2 = 32'b00101101110000000000000000000000; 10'd275: VAR2 = 32'b00101101101000000000000000000000; 10'd276: VAR2 = 32'b00101101100000000000000000000000; 10'd277: VAR2 = 32'b00101101011000000000000000000000; 10'd278: VAR2 = 32'b00101101010000000000000000000000; 10'd279: VAR2 = 32'b00101101001000000000000000000000; 10'd280: VAR2 = 32'b00101101000000000000000000000000; 10'd281: VAR2 = 32'b00101100111000000000000000000000; 10'd282: VAR2 = 32'b00101100110000000000000000000000; 10'd283: VAR2 = 32'b00101100101000000000000000000000; 10'd284: VAR2 = 32'b00101100100000000000000000000000; 10'd285: VAR2 = 32'b00101100011000000000000000000000; 10'd286: VAR2 = 32'b00101100010000000000000000000000; 10'd287: VAR2 = 32'b00101100001000000000000000000000; 10'd288: VAR2 = 32'b00101100000000000000000000000000; 10'd289: VAR2 = 32'b00101011111000000000000000000000; 10'd290: VAR2 = 32'b00101011110000000000000000000000; 10'd291: VAR2 = 32'b00101011101000000000000000000000; 10'd292: VAR2 = 32'b00101011100000000000000000000000; 10'd293: VAR2 = 32'b00101011011000000000000000000000; 10'd294: VAR2 = 32'b00101011010000000000000000000000; 10'd295: VAR2 = 32'b00101011001000000000000000000000; 10'd296: VAR2 = 32'b00101011000000000000000000000000; 10'd297: VAR2 = 32'b00101010111000000000000000000000; 10'd298: VAR2 = 32'b00101010110000000000000000000000; 10'd299: VAR2 = 32'b00101010101000000000000000000000; 10'd300: VAR2 = 32'b00101010100000000000000000000000; 10'd301: VAR2 = 32'b00101010011000000000000000000000; 10'd302: VAR2 = 32'b00101010010000000000000000000000; 10'd303: VAR2 = 32'b00101010001000000000000000000000; 10'd304: VAR2 = 32'b00101010000000000000000000000000; 10'd305: VAR2 = 32'b00101001111000000000000000000000; 10'd306: VAR2 = 32'b00101001110000000000000000000000; 10'd307: VAR2 = 32'b00101001101000000000000000000000; 10'd308: VAR2 = 32'b00101001100000000000000000000000; 10'd309: VAR2 = 32'b00101001011000000000000000000000; 10'd310: VAR2 = 32'b00101001010000000000000000000000; 10'd311: VAR2 = 32'b00101001001000000000000000000000; 10'd312: VAR2 = 32'b00101001000000000000000000000000; 10'd313: VAR2 = 32'b00101000111000000000000000000000; 10'd314: VAR2 = 32'b00101000110000000000000000000000; 10'd315: VAR2 = 32'b00101000101000000000000000000000; 10'd316: VAR2 = 32'b00101000100000000000000000000000; 10'd317: VAR2 = 32'b00101000011000000000000000000000; 10'd318: VAR2 = 32'b00101000010000000000000000000000; 10'd319: VAR2 = 32'b00101000001000000000000000000000; 10'd320: VAR2 = 32'b00101000000000000000000000000000; 10'd321: VAR2 = 32'b00100111111000000000000000000000; 10'd322: VAR2 = 32'b00100111110000000000000000000000; 10'd323: VAR2 = 32'b00100111101000000000000000000000; 10'd324: VAR2 = 32'b00100111100000000000000000000000; 10'd325: VAR2 = 32'b00100111011000000000000000000000; 10'd326: VAR2 = 32'b00100111010000000000000000000000; 10'd327: VAR2 = 32'b00100111001000000000000000000000; 10'd328: VAR2 = 32'b00100111000000000000000000000000; 10'd329: VAR2 = 32'b00100110111000000000000000000000; 10'd330: VAR2 = 32'b00100110110000000000000000000000; 10'd331: VAR2 = 32'b00100110101000000000000000000000; 10'd332: VAR2 = 32'b00100110100000000000000000000000; 10'd333: VAR2 = 32'b00100110011000000000000000000000; 10'd334: VAR2 = 32'b00100110010000000000000000000000; 10'd335: VAR2 = 32'b00100110001000000000000000000000; 10'd336: VAR2 = 32'b00100110000000000000000000000000; 10'd337: VAR2 = 32'b00100101111000000000000000000000; 10'd338: VAR2 = 32'b00100101110000000000000000000000; 10'd339: VAR2 = 32'b00100101101000000000000000000000; 10'd340: VAR2 = 32'b00100101100000000000000000000000; 10'd341: VAR2 = 32'b00100101011000000000000000000000; 10'd342: VAR2 = 32'b00100101010000000000000000000000; 10'd343: VAR2 = 32'b00100101001000000000000000000000; 10'd344: VAR2 = 32'b00100101000000000000000000000000; 10'd345: VAR2 = 32'b00100100111000000000000000000000; 10'd346: VAR2 = 32'b00100100110000000000000000000000; 10'd347: VAR2 = 32'b00100100101000000000000000000000; 10'd348: VAR2 = 32'b00100100100000000000000000000000; 10'd349: VAR2 = 32'b00100100011000000000000000000000; 10'd350: VAR2 = 32'b00100100010000000000000000000000; 10'd351: VAR2 = 32'b00100100001000000000000000000000; 10'd352: VAR2 = 32'b00100100000000000000000000000000; 10'd353: VAR2 = 32'b00100011111000000000000000000000; 10'd354: VAR2 = 32'b00100011110000000000000000000000; 10'd355: VAR2 = 32'b00100011101000000000000000000000; 10'd356: VAR2 = 32'b00100011100000000000000000000000; 10'd357: VAR2 = 32'b00100011011000000000000000000000; 10'd358: VAR2 = 32'b00100011010000000000000000000000; 10'd359: VAR2 = 32'b00100011001000000000000000000000; 10'd360: VAR2 = 32'b00100011000000000000000000000000; 10'd361: VAR2 = 32'b00100010111000000000000000000000; 10'd362: VAR2 = 32'b00100010110000000000000000000000; 10'd363: VAR2 = 32'b00100010101000000000000000000000; 10'd364: VAR2 = 32'b00100010100000000000000000000000; 10'd365: VAR2 = 32'b00100010011000000000000000000000; 10'd366: VAR2 = 32'b00100010010000000000000000000000; 10'd367: VAR2 = 32'b00100010001000000000000000000000; 10'd368: VAR2 = 32'b00100010000000000000000000000000; 10'd369: VAR2 = 32'b00100001111000000000000000000000; 10'd370: VAR2 = 32'b00100001110000000000000000000000; 10'd371: VAR2 = 32'b00100001101000000000000000000000; 10'd372: VAR2 = 32'b00100001100000000000000000000000; 10'd373: VAR2 = 32'b00100001011000000000000000000000; 10'd374: VAR2 = 32'b00100001010000000000000000000000; 10'd375: VAR2 = 32'b00100001001000000000000000000000; 10'd376: VAR2 = 32'b00100001000000000000000000000000; 10'd377: VAR2 = 32'b00100000111000000000000000000000; 10'd378: VAR2 = 32'b00100000110000000000000000000000; 10'd379: VAR2 = 32'b00100000101000000000000000000000; 10'd380: VAR2 = 32'b00100000100000000000000000000000; 10'd381: VAR2 = 32'b00100000011000000000000000000000; 10'd382: VAR2 = 32'b00100000010000000000000000000000; 10'd383: VAR2 = 32'b00100000001000000000000000000000; 10'd384: VAR2 = 32'b00100000000000000000000000000000; 10'd385: VAR2 = 32'b00011111111000000000000000000000; 10'd386: VAR2 = 32'b00011111110000000000000000000000; 10'd387: VAR2 = 32'b00011111101000000000000000000000; 10'd388: VAR2 = 32'b00011111100000000000000000000000; 10'd389: VAR2 = 32'b00011111011000000000000000000000; 10'd390: VAR2 = 32'b00011111010000000000000000000000; 10'd391: VAR2 = 32'b00011111001000000000000000000000; 10'd392: VAR2 = 32'b00011111000000000000000000000000; 10'd393: VAR2 = 32'b00011110111000000000000000000000; 10'd394: VAR2 = 32'b00011110110000000000000000000000; 10'd395: VAR2 = 32'b00011110101000000000000000000000; 10'd396: VAR2 = 32'b00011110100000000000000000000000; 10'd397: VAR2 = 32'b00011110011000000000000000000000; 10'd398: VAR2 = 32'b00011110010000000000000000000000; 10'd399: VAR2 = 32'b00011110001000000000000000000000; 10'd400: VAR2 = 32'b00011110000000000000000000000000; 10'd401: VAR2 = 32'b00011101111000000000000000000000; 10'd402: VAR2 = 32'b00011101110000000000000000000000; 10'd403: VAR2 = 32'b00011101101000000000000000000000; 10'd404: VAR2 = 32'b00011101100000000000000000000000; 10'd405: VAR2 = 32'b00011101011000000000000000000000; 10'd406: VAR2 = 32'b00011101010000000000000000000000; 10'd407: VAR2 = 32'b00011101001000000000000000000000; 10'd408: VAR2 = 32'b00011101000000000000000000000000; 10'd409: VAR2 = 32'b00011100111000000000000000000000; 10'd410: VAR2 = 32'b00011100110000000000000000000000; 10'd411: VAR2 = 32'b00011100101000000000000000000000; 10'd412: VAR2 = 32'b00011100100000000000000000000000; 10'd413: VAR2 = 32'b00011100011000000000000000000000; 10'd414: VAR2 = 32'b00011100010000000000000000000000; 10'd415: VAR2 = 32'b00011100001000000000000000000000; 10'd416: VAR2 = 32'b00011100000000000000000000000000; 10'd417: VAR2 = 32'b00011011111000000000000000000000; 10'd418: VAR2 = 32'b00011011110000000000000000000000; 10'd419: VAR2 = 32'b00011011101000000000000000000000; 10'd420: VAR2 = 32'b00011011100000000000000000000000; 10'd421: VAR2 = 32'b00011011011000000000000000000000; 10'd422: VAR2 = 32'b00011011010000000000000000000000; 10'd423: VAR2 = 32'b00011011001000000000000000000000; 10'd424: VAR2 = 32'b00011011000000000000000000000000; 10'd425: VAR2 = 32'b00011010111000000000000000000000; 10'd426: VAR2 = 32'b00011010110000000000000000000000; 10'd427: VAR2 = 32'b00011010101000000000000000000000; 10'd428: VAR2 = 32'b00011010100000000000000000000000; 10'd429: VAR2 = 32'b00011010011000000000000000000000; 10'd430: VAR2 = 32'b00011010010000000000000000000000; 10'd431: VAR2 = 32'b00011010001000000000000000000000; 10'd432: VAR2 = 32'b00011010000000000000000000000000; 10'd433: VAR2 = 32'b00011001111000000000000000000000; 10'd434: VAR2 = 32'b00011001110000000000000000000000; 10'd435: VAR2 = 32'b00011001101000000000000000000000; 10'd436: VAR2 = 32'b00011001100000000000000000000000; 10'd437: VAR2 = 32'b00011001011000000000000000000000; 10'd438: VAR2 = 32'b00011001010000000000000000000000; 10'd439: VAR2 = 32'b00011001001000000000000000000000; 10'd440: VAR2 = 32'b00011001000000000000000000000000; 10'd441: VAR2 = 32'b00011000111000000000000000000000; 10'd442: VAR2 = 32'b00011000110000000000000000000000; 10'd443: VAR2 = 32'b00011000101000000000000000000000; 10'd444: VAR2 = 32'b00011000100000000000000000000000; 10'd445: VAR2 = 32'b00011000011000000000000000000000; 10'd446: VAR2 = 32'b00011000010000000000000000000000; 10'd447: VAR2 = 32'b00011000001000000000000000000000; 10'd448: VAR2 = 32'b00011000000000000000000000000000; 10'd449: VAR2 = 32'b00010111111000000000000000000000; 10'd450: VAR2 = 32'b00010111110000000000000000000000; 10'd451: VAR2 = 32'b00010111101000000000000000000000; 10'd452: VAR2 = 32'b00010111100000000000000000000000; 10'd453: VAR2 = 32'b00010111011000000000000000000000; 10'd454: VAR2 = 32'b00010111010000000000000000000000; 10'd455: VAR2 = 32'b00010111001000000000000000000000; 10'd456: VAR2 = 32'b00010111000000000000000000000000; 10'd457: VAR2 = 32'b00010110111000000000000000000000; 10'd458: VAR2 = 32'b00010110110000000000000000000000; 10'd459: VAR2 = 32'b00010110101000000000000000000000; 10'd460: VAR2 = 32'b00010110100000000000000000000000; 10'd461: VAR2 = 32'b00010110011000000000000000000000; 10'd462: VAR2 = 32'b00010110010000000000000000000000; 10'd463: VAR2 = 32'b00010110001000000000000000000000; 10'd464: VAR2 = 32'b00010110000000000000000000000000; 10'd465: VAR2 = 32'b00010101111000000000000000000000; 10'd466: VAR2 = 32'b00010101110000000000000000000000; 10'd467: VAR2 = 32'b00010101101000000000000000000000; 10'd468: VAR2 = 32'b00010101100000000000000000000000; 10'd469: VAR2 = 32'b00010101011000000000000000000000; 10'd470: VAR2 = 32'b00010101010000000000000000000000; 10'd471: VAR2 = 32'b00010101001000000000000000000000; 10'd472: VAR2 = 32'b00010101000000000000000000000000; 10'd473: VAR2 = 32'b00010100111000000000000000000000; 10'd474: VAR2 = 32'b00010100110000000000000000000000; 10'd475: VAR2 = 32'b00010100101000000000000000000000; 10'd476: VAR2 = 32'b00010100100000000000000000000000; 10'd477: VAR2 = 32'b00010100011000000000000000000000; 10'd478: VAR2 = 32'b00010100010000000000000000000000; 10'd479: VAR2 = 32'b00010100001000000000000000000000; 10'd480: VAR2 = 32'b00010100000000000000000000000000; 10'd481: VAR2 = 32'b00010011111000000000000000000000; 10'd482: VAR2 = 32'b00010011110000000000000000000000; 10'd483: VAR2 = 32'b00010011101000000000000000000000; 10'd484: VAR2 = 32'b00010011100000000000000000000000; 10'd485: VAR2 = 32'b00010011011000000000000000000000; 10'd486: VAR2 = 32'b00010011010000000000000000000000; 10'd487: VAR2 = 32'b00010011001000000000000000000000; 10'd488: VAR2 = 32'b00010011000000000000000000000000; 10'd489: VAR2 = 32'b00010010111000000000000000000000; 10'd490: VAR2 = 32'b00010010110000000000000000000000; 10'd491: VAR2 = 32'b00010010101000000000000000000000; 10'd492: VAR2 = 32'b00010010100000000000000000000000; 10'd493: VAR2 = 32'b00010010011000000000000000000000; 10'd494: VAR2 = 32'b00010010010000000000000000000000; 10'd495: VAR2 = 32'b00010010001000000000000000000000; 10'd496: VAR2 = 32'b00010010000000000000000000000000; 10'd497: VAR2 = 32'b00010001111000000000000000000000; 10'd498: VAR2 = 32'b00010001110000000000000000000000; 10'd499: VAR2 = 32'b00010001101000000000000000000000; 10'd500: VAR2 = 32'b00010001100000000000000000000000; 10'd501: VAR2 = 32'b00010001011000000000000000000000; 10'd502: VAR2 = 32'b00010001010000000000000000000000; 10'd503: VAR2 = 32'b00010001001000000000000000000000; 10'd504: VAR2 = 32'b00010001000000000000000000000000; 10'd505: VAR2 = 32'b00010000111000000000000000000000; 10'd506: VAR2 = 32'b00010000110000000000000000000000; 10'd507: VAR2 = 32'b00010000101000000000000000000000; 10'd508: VAR2 = 32'b00010000100000000000000000000000; 10'd509: VAR2 = 32'b00010000011000000000000000000000; 10'd510: VAR2 = 32'b00010000010000000000000000000000; 10'd511: VAR2 = 32'b00010000001000000000000000000000; 10'd512: VAR2 = 32'b00010000000000000000000000000000; 10'd513: VAR2 = 32'b00001111111000000000000000000000; endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sedfxbp/sky130_fd_sc_hs__sedfxbp.blackbox.v
1,396
module MODULE1 ( VAR4 , VAR8, VAR7, VAR2 , VAR9 , VAR1, VAR6 ); output VAR4 ; output VAR8; input VAR7; input VAR2 ; input VAR9 ; input VAR1; input VAR6; supply1 VAR5; supply0 VAR3; endmodule
apache-2.0
jmahler/mips-cpu
im_slow.v
1,843
module MODULE1( input wire clk, input wire [31:0] addr, output wire VAR14, output wire [31:0] VAR13); parameter VAR1 = 128; parameter VAR5 = "VAR6.VAR7"; parameter VAR10 = 128; parameter VAR3 = 3; wire [31:0] VAR9; VAR12 #(.VAR1(VAR1), .VAR5(VAR5)) VAR11(.clk(clk), .addr(addr), .VAR13(VAR9)); reg [31:0] VAR4; wire VAR8; assign VAR8 = (VAR4 == addr) ? 1'b1 : 1'b0; reg [VAR3-1:0] VAR2; wire [VAR3-1:0] VAR15; assign VAR15 = {VAR2[VAR3-2:0], VAR8}; always @(posedge clk) begin VAR4 <= addr; VAR2 <= VAR15; end assign VAR14 = (&VAR15) ? 1'b1 : 1'b0; assign VAR13 = (VAR14) ? VAR9 : {32{1'b0}}; endmodule
gpl-3.0
Jam-G/MIPS
MEM_WR.v
1,105
module MODULE1( input clk, input VAR5, input VAR8, input [31:0] VAR11, input [3:0] VAR13, input [31:0] VAR14, input VAR6, input VAR12, input [4:0] VAR10, output reg [31:0] VAR9, output reg [3:0] VAR3, output reg [31:0] VAR1, output reg VAR7, output reg VAR4, output reg [4:0] VAR2 ); begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfxtp/sky130_fd_sc_lp__dfxtp.functional.pp.v
1,644
module MODULE1 ( VAR2 , VAR8 , VAR6 , VAR5, VAR9, VAR7 , VAR3 ); output VAR2 ; input VAR8 ; input VAR6 ; input VAR5; input VAR9; input VAR7 ; input VAR3 ; wire VAR12; VAR4 VAR11 VAR1 (VAR12 , VAR6, VAR8, , VAR5, VAR9); buf VAR10 (VAR2 , VAR12 ); endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/4-way/src/ip_dram/phy/mig_7series_v2_3_ddr_phy_wrcal.v
54,326
module MODULE1 # ( parameter VAR41 = 100, parameter VAR127 = 2, parameter VAR98 = 2500, parameter VAR171 = 64, parameter VAR146 = 3, parameter VAR214 = 8, parameter VAR91 = 8, parameter VAR140 = "VAR51", parameter VAR207 = "VAR77" ) ( input clk, input rst, input VAR4, input VAR134, input VAR152, input VAR136, input VAR208, output VAR63, output VAR163, output reg VAR148, output reg VAR82, output reg VAR45, output reg VAR15, output reg VAR9, output reg VAR20, input VAR105, input [2*VAR127*VAR171-1:0] VAR168, input [3*VAR214-1:0] VAR157, input [6*VAR214-1:0] VAR28, input VAR95, output reg VAR79, output reg VAR14, output reg VAR64, output reg VAR153, output reg VAR121, output reg [VAR146:0] VAR141, output VAR220, output [6*VAR214-1:0] VAR219, output [3*VAR214-1:0] VAR232, output [99:0] VAR55 ); localparam VAR104 = 1; localparam VAR199 = 2; localparam VAR19 = 12; localparam VAR101 = (VAR98/VAR127 <= 2500) ? 3 : 6; localparam VAR94 = (VAR98/VAR127 <= 2500) ? 22 : 44; localparam VAR108 = 4'h0; localparam VAR112 = 4'h1; localparam VAR130 = 4'h2; localparam VAR165 = 4'h3; localparam VAR186 = 4'h4; localparam VAR159 = 4'h5; localparam VAR125 = 4'h6; localparam VAR80 = 4'h7; localparam VAR29 = 4'h8; integer VAR175,VAR46,VAR17,VAR59,VAR66,VAR162,VAR192,VAR143; reg [2:0] VAR24 [0:VAR214-1]; reg [3*VAR214-1:0] VAR120; reg [5:0] VAR52 [0:VAR214-1]; reg [6*VAR214-1:0] VAR173; reg [VAR146:0] VAR181; reg [4:0] VAR225; reg [3:0] VAR102; reg VAR235; reg VAR2; reg VAR118; reg [3:0] VAR218; reg [3:0] VAR179; reg [2:0] VAR238 [0:VAR214-1]; reg [5:0] VAR47 [0:VAR214-1]; reg VAR184; reg VAR195; reg VAR221; reg VAR145; reg VAR137; reg [VAR91-1:0] VAR100; reg [VAR91-1:0] VAR183; reg [VAR91-1:0] VAR78; reg [VAR91-1:0] VAR113; reg [VAR91-1:0] VAR154; reg [VAR91-1:0] VAR27; reg [VAR91-1:0] VAR200; reg [VAR91-1:0] VAR230; reg VAR43; reg VAR42; reg VAR202; reg VAR65; reg VAR39; wire [VAR104-1:0] VAR48 [3:0]; wire [VAR104-1:0] VAR71 [3:0]; wire [VAR104-1:0] VAR188 [3:0]; wire [VAR104-1:0] VAR117 [3:0]; wire [VAR104-1:0] VAR75 [3:0]; wire [VAR104-1:0] VAR167 [3:0]; wire [VAR104-1:0] VAR3 [3:0]; wire [VAR104-1:0] VAR139 [3:0]; wire [VAR104-1:0] VAR12 [3:0]; wire [VAR104-1:0] VAR206 [3:0]; wire [VAR104-1:0] VAR116 [3:0]; wire [VAR104-1:0] VAR144 [3:0]; wire [VAR104-1:0] VAR13 [3:0]; wire [VAR104-1:0] VAR83 [3:0]; wire [VAR104-1:0] VAR131 [3:0]; wire [VAR104-1:0] VAR76 [3:0]; reg [VAR91-1:0] VAR40; reg VAR62; reg [VAR91-1:0] VAR88; reg VAR205; reg [VAR91-1:0] VAR61; reg VAR198; reg [VAR91-1:0] VAR81; reg VAR126; reg [VAR91-1:0] VAR158; reg VAR50; reg [VAR91-1:0] VAR22; reg VAR35; reg [VAR91-1:0] VAR114; reg VAR11; reg [VAR91-1:0] VAR16; reg VAR193; reg [VAR91-1:0] VAR213; reg [VAR91-1:0] VAR196; reg [VAR91-1:0] VAR36; reg [VAR91-1:0] VAR99; reg [VAR91-1:0] VAR166; reg [VAR91-1:0] VAR190; reg [VAR91-1:0] VAR109; reg [VAR91-1:0] VAR160; reg VAR68; reg VAR73; reg VAR23; reg VAR149; reg VAR164; reg VAR67; reg VAR174; reg VAR18; reg VAR170; reg VAR178; reg [VAR91-1:0] VAR72; reg VAR229; reg [VAR91-1:0] VAR211; reg VAR236; reg [VAR91-1:0] VAR56; reg VAR215; reg [VAR91-1:0] VAR6; reg VAR74; reg [VAR91-1:0] VAR7; reg VAR212; reg [VAR91-1:0] VAR197; reg VAR10; reg [VAR91-1:0] VAR93; reg VAR90; reg [VAR91-1:0] VAR209; reg VAR21; reg VAR187; reg [VAR91-1:0] VAR227; reg VAR194; reg [VAR91-1:0] VAR85; reg VAR128; reg [VAR91-1:0] VAR180; reg VAR31; reg [VAR91-1:0] VAR150; reg VAR156; reg [VAR91-1:0] VAR33; reg VAR8; reg [VAR91-1:0] VAR60; reg VAR176; reg [VAR91-1:0] VAR106; reg VAR123; reg [VAR91-1:0] VAR185; reg VAR203; wire [VAR104-1:0] VAR237 [3:0]; wire [VAR104-1:0] VAR32 [3:0]; wire [VAR104-1:0] VAR92 [3:0]; wire [VAR104-1:0] VAR132 [3:0]; wire [VAR104-1:0] VAR34 [3:0]; wire [VAR104-1:0] VAR119 [3:0]; wire [VAR104-1:0] VAR69 [3:0]; wire [VAR104-1:0] VAR124 [3:0]; wire [VAR104-1:0] VAR228 [3:0]; wire [VAR104-1:0] VAR129 [3:0]; wire [VAR104-1:0] VAR169 [3:0]; wire [VAR104-1:0] VAR5 [3:0]; wire [VAR104-1:0] VAR216 [3:0]; wire [VAR104-1:0] VAR70 [3:0]; wire [VAR104-1:0] VAR182 [3:0]; wire [VAR104-1:0] VAR191 [3:0]; wire [VAR171-1:0] VAR147; wire [VAR171-1:0] VAR233; wire [VAR171-1:0] VAR97; wire [VAR171-1:0] VAR226; wire [VAR171-1:0] VAR222; wire [VAR171-1:0] VAR155; wire [VAR171-1:0] VAR111; wire [VAR171-1:0] VAR49; reg [VAR146:0] VAR177; reg VAR138; reg VAR53; reg VAR86; reg VAR58; reg VAR87; reg VAR189; reg VAR204; reg [VAR104-1:0] VAR25 [VAR91-1:0]; reg [VAR104-1:0] VAR201 [VAR91-1:0]; reg [VAR104-1:0] VAR107 [VAR91-1:0]; reg [VAR104-1:0] VAR224 [VAR91-1:0]; reg [VAR104-1:0] VAR234 [VAR91-1:0]; reg [VAR104-1:0] VAR142 [VAR91-1:0]; reg [VAR104-1:0] VAR223 [VAR91-1:0]; reg [VAR104-1:0] VAR217 [VAR91-1:0]; reg VAR122; reg VAR26; reg VAR44; reg VAR54; reg VAR110; reg VAR1; always @ begin for (VAR66 = 0; VAR66 < VAR214; VAR66 = VAR66 + 1) begin VAR238[VAR66] = VAR157[3*VAR66+:3]; VAR47[VAR66] = VAR28[6*VAR66+:6]; end end always @(posedge clk) begin if (rst) begin for (VAR162 = 0; VAR162 < VAR214; VAR162 = VAR162 + 1) begin end end else if (VAR235 && ~VAR2) begin for (VAR192 = 0; VAR192 < VAR214; VAR192 = VAR192 + 1) begin end end end always @(posedge clk) begin end generate genvar VAR115; if (VAR127 == 4) begin: VAR172 for (VAR115 = 0; VAR115 < VAR91; VAR115 = VAR115 + 1) begin: VAR135 always @(posedge clk) begin end end end else if (VAR127 == 2) begin: VAR37 for (VAR115 = 0; VAR115 < VAR91; VAR115 = VAR115 + 1) begin: VAR135 always @(posedge clk) begin end end end endgenerate always @(posedge clk) if (rst) end else generate genvar VAR231; if (VAR127 == 4) begin: VAR210 for (VAR231 = 0; VAR231 < VAR91; VAR231 = VAR231 + 1) begin: VAR30 always @(posedge clk) begin end end end else if (VAR127 == 2) begin: VAR89 for (VAR231 = 0; VAR231 < VAR91; VAR231 = VAR231 + 1) begin: VAR30 always @(posedge clk) begin end end end endgenerate always @(posedge clk) begin end generate if (VAR127 == 4) begin: VAR161 assign VAR237[3] = 1'b1; assign VAR48[3] = 1'b0; assign VAR32[3] = 1'b1; assign VAR71[3] = 1'b0; assign VAR92[3] = 1'b0; assign VAR188[3] = 1'b1; assign VAR132[3] = 1'b1; assign VAR117[3] = 1'b0; assign VAR237[2] = 1'b1; assign VAR48[2] = 1'b0; assign VAR32[2] = 1'b0; assign VAR71[2] = 1'b1; assign VAR92[2] = 1'b1; assign VAR188[2] = 1'b0; assign VAR132[2] = 1'b0; assign VAR117[2] = 1'b1; assign VAR237[1] = 1'b1; assign VAR48[1] = 1'b0; assign VAR32[1] = 1'b1; assign VAR71[1] = 1'b0; assign VAR92[1] = 1'b0; assign VAR188[1] = 1'b1; assign VAR132[1] = 1'b0; assign VAR117[1] = 1'b1; assign VAR237[0] = 1'b1; assign VAR48[0] = 1'b0; assign VAR32[0] = 1'b0; assign VAR71[0] = 1'b1; assign VAR92[0] = 1'b1; assign VAR188[0] = 1'b0; assign VAR132[0] = 1'b1; assign VAR117[0] = 1'b0; assign VAR228[3] = 1'b1; assign VAR12[3] = 1'b0; assign VAR129[3] = 1'b1; assign VAR206[3] = 1'b0; assign VAR169[3] = 1'b0; assign VAR116[3] = 1'b1; assign VAR5[3] = 1'b1; assign VAR144[3] = 1'b1; assign VAR228[2] = 1'b0; assign VAR12[2] = 1'b0; assign VAR129[2] = 1'b1; assign VAR206[2] = 1'b1; assign VAR169[2] = 1'b1; assign VAR116[2] = 1'b1; assign VAR5[2] = 1'b1; assign VAR144[2] = 1'b0; assign VAR228[1] = 1'b1; assign VAR12[1] = 1'b0; assign VAR129[1] = 1'b1; assign VAR206[1] = 1'b0; assign VAR169[1] = 1'b0; assign VAR116[1] = 1'b1; assign VAR5[1] = 1'b0; assign VAR144[1] = 1'b0; assign VAR228[0] = 1'b1; assign VAR12[0] = 1'b1; assign VAR129[0] = 1'b0; assign VAR206[0] = 1'b0; assign VAR169[0] = 1'b0; assign VAR116[0] = 1'b0; assign VAR5[0] = 1'b1; assign VAR144[0] = 1'b0; end else if (VAR127 == 2) begin: VAR57 assign VAR34[3] = 1'b1; assign VAR75[3] = 1'b0; assign VAR119[3] = 1'b1; assign VAR167[3] = 1'b0; assign VAR34[2] = 1'b1; assign VAR75[2] = 1'b0; assign VAR119[2] = 1'b0; assign VAR167[2] = 1'b1; assign VAR34[1] = 1'b1; assign VAR75[1] = 1'b0; assign VAR119[1] = 1'b1; assign VAR167[1] = 1'b0; assign VAR34[0] = 1'b1; assign VAR75[0] = 1'b0; assign VAR119[0] = 1'b0; assign VAR167[0] = 1'b1; assign VAR69[3] = 1'b0; assign VAR3[3] = 1'b1; assign VAR124[3] = 1'b1; assign VAR139[3] = 1'b0; assign VAR69[2] = 1'b1; assign VAR3[2] = 1'b0; assign VAR124[2] = 1'b0; assign VAR139[2] = 1'b1; assign VAR69[1] = 1'b0; assign VAR3[1] = 1'b1; assign VAR124[1] = 1'b0; assign VAR139[1] = 1'b1; assign VAR69[0] = 1'b1; assign VAR3[0] = 1'b0; assign VAR124[0] = 1'b1; assign VAR139[0] = 1'b0; assign VAR216[3] = 2'b1; assign VAR13[3] = 2'b0; assign VAR70[3] = 2'b0; assign VAR83[3] = 2'b1; assign VAR216[2] = 2'b0; assign VAR13[2] = 2'b1; assign VAR70[2] = 2'b1; assign VAR83[2] = 2'b0; assign VAR216[1] = 2'b1; assign VAR13[1] = 2'b0; assign VAR70[1] = 2'b0; assign VAR83[1] = 2'b1; assign VAR216[0] = 2'b0; assign VAR13[0] = 2'b1; assign VAR70[0] = 2'b1; assign VAR83[0] = 2'b0; assign VAR182[3] = 2'b1; assign VAR131[3] = 2'b0; assign VAR191[3] = 2'b1; assign VAR76[3] = 2'b0; assign VAR182[2] = 2'b0; assign VAR131[2] = 2'b1; assign VAR191[2] = 2'b0; assign VAR76[2] = 2'b0; assign VAR182[1] = 2'b0; assign VAR131[1] = 2'b1; assign VAR191[1] = 2'b1; assign VAR76[1] = 2'b0; assign VAR182[0] = 2'b1; assign VAR131[0] = 2'b0; assign VAR191[0] = 2'b1; assign VAR76[0] = 2'b1; end endgenerate generate genvar VAR84; if (VAR127 == 4) begin: VAR96 for (VAR84 = 0; VAR84 < VAR91; VAR84 = VAR84 + 1) begin: VAR133 always @(posedge clk) begin if (VAR107[VAR84] == VAR237[VAR84%4]) end else if (VAR25[VAR84] == VAR48[VAR84%4]) end else if (VAR224[VAR84] == VAR32[VAR84%4]) end else if (VAR201[VAR84] == VAR71[VAR84%4]) end else if (VAR223[VAR84] == VAR92[VAR84%4]) end else if (VAR234[VAR84] == VAR188[VAR84%4]) end else if (VAR217[VAR84] == VAR132[VAR84%4]) else if (VAR142[VAR84] == VAR117[VAR84%4]) else end always @(posedge clk) begin if (VAR107[VAR84] == VAR32[VAR84%4]) end else if (VAR25[VAR84] == VAR71[VAR84%4]) else if (VAR224[VAR84] == VAR92[VAR84%4]) else if (VAR201[VAR84] == VAR188[VAR84%4]) else if (VAR223[VAR84] == VAR132[VAR84%4]) else if (VAR234[VAR84] == VAR117[VAR84%4]) else if (VAR217[VAR84] == VAR228[VAR84%4]) else if (VAR142[VAR84] == VAR12[VAR84%4]) else end always @(posedge clk) begin if (VAR107[VAR84] == VAR92[VAR84%4]) end else if (VAR25[VAR84] == VAR188[VAR84%4]) else if (VAR224[VAR84] == VAR132[VAR84%4]) else if (VAR201[VAR84] == VAR117[VAR84%4]) else if (VAR223[VAR84] == VAR228[VAR84%4]) else if (VAR234[VAR84] == VAR12[VAR84%4]) else if (VAR217[VAR84] == VAR129[VAR84%4]) else if (VAR142[VAR84] == VAR206[VAR84%4]) else end end always @(posedge clk) begin VAR62 && VAR35 && VAR205 && VAR11 && VAR198 && VAR193 && VAR126); end always @(posedge clk) begin VAR229 && VAR10 && VAR236 && VAR90 && VAR215 && VAR21 && VAR74); end always @(posedge clk) begin VAR194 && VAR176 && VAR128 && VAR123 && VAR31 && VAR203 && VAR156); end end else if (VAR127 == 2) begin: VAR151 for (VAR84 = 0; VAR84 < VAR91; VAR84 = VAR84 + 1) begin: VAR133 always @(posedge clk) begin if (VAR107[VAR84] == VAR34[VAR84%4]) end else if (VAR25[VAR84] == VAR75[VAR84%4]) end else if (VAR224[VAR84] == VAR119[VAR84%4]) end else if (VAR201[VAR84] == VAR167[VAR84%4]) else end always @(posedge clk) begin if (VAR107[VAR84] == VAR69[VAR84%4]) end else if (VAR25[VAR84] == VAR3[VAR84%4]) else if (VAR224[VAR84] == VAR124[VAR84%4]) else if (VAR201[VAR84] == VAR139[VAR84%4]) else end always @(posedge clk) begin if (VAR107[VAR84] == VAR216[VAR84%4]) end else if (VAR25[VAR84] == VAR13[VAR84%4]) else if (VAR224[VAR84] == VAR70[VAR84%4]) else if (VAR201[VAR84] == VAR83[VAR84%4]) else end always @(posedge clk) begin if (VAR107[VAR84] == VAR182[VAR84%4]) end else if (VAR25[VAR84] == VAR131[VAR84%4]) else if (VAR224[VAR84] == VAR191[VAR84%4]) else if (VAR201[VAR84] == VAR76[VAR84%4]) else end end always @(posedge clk) begin VAR23 && VAR73 && VAR149); VAR174 && VAR67 && VAR18); end always @(posedge clk) begin VAR229 && VAR10 && VAR236); VAR194 && VAR176 && VAR128); end end endgenerate always @(posedge clk) begin end always @(posedge clk) begin if (rst) end else if ((VAR218 == VAR159) || (VAR218 == VAR186) || (VAR218 == VAR80)) else end always @(posedge clk) begin if (rst) end else if ((VAR218 == VAR112) && VAR134) else end always @(posedge clk) always @(posedge clk) begin if (rst) begin end else begin case (VAR218) VAR108: begin if (VAR4) begin if (VAR207 == "VAR38") end else end end VAR112: begin if (VAR39 && (VAR127 == 4)) begin if (VAR43) end else begin if (VAR110) end else if (VAR170) begin end else if (VAR187) begin end else if (~VAR26) begin end else end end else if (VAR39 && (VAR127 == 2)) begin if ((VAR202 && VAR65) || (VAR44 && VAR65)) end else if (VAR202 && ~VAR65) begin end else begin if (VAR110) end else if ((VAR178 && VAR187) || (VAR54 && VAR187)) begin end else if (VAR178 && ~VAR187) begin end else if (~VAR26) begin end else end end else if (VAR225 == 'd31) end VAR165: begin if (VAR95 && ~VAR122) if (VAR95) begin if (VAR86 && ~VAR53) begin end end end VAR159: begin if (VAR102 == 'd4) begin end end VAR186: begin if (VAR102 == 'd15) begin if (VAR110) end else if (VAR26) begin end else end end VAR130: begin if (VAR110 && (VAR181 != VAR214-1)) begin end else if (VAR105) if (((VAR214 == 1) || (VAR207 == "VAR103")) || (VAR181 == VAR214-1)) begin if (VAR110) begin end else end else begin end end VAR80: begin if (VAR102 == 'd15) begin end end VAR125: begin if (VAR152 && ~VAR110) begin end else if (VAR110) end VAR29: begin if (VAR110) end else end endcase end end always @(posedge clk) if (rst) end else always @(posedge clk) if (rst || (VAR152 && ~VAR110)) end else if (VAR235) endmodule
mit
gajjanag/6111_Project
src/ycrcb2rgb.v
2,589
module MODULE1 ( VAR9, VAR18, VAR20, clk, rst, VAR16, VAR14, VAR13 ); output [7:0] VAR9, VAR18, VAR20; input clk,rst; input[9:0] VAR16, VAR14, VAR13; wire [7:0] VAR9,VAR18,VAR20; reg [20:0] VAR6,VAR2,VAR3,VAR21,VAR23,VAR17,VAR4,VAR5; reg [9:0] VAR15,VAR12,VAR8,VAR11,VAR10; reg[9:0] VAR22, VAR1, VAR19; always @ (posedge clk) begin VAR15 = 10'VAR7 0100101010; VAR12 = 10'VAR7 0110011000; VAR8 = 10'VAR7 0011010000; VAR11 = 10'VAR7 0001100100; VAR10 = 10'VAR7 1000000100; end always @ (posedge clk or posedge rst) if (rst) begin VAR22 <= 0; VAR1 <= 0; VAR19 <= 0; end else begin VAR22 <= VAR16; VAR1 <= VAR14; VAR19 <= VAR13; end always @ (posedge clk or posedge rst) if (rst) begin VAR23 <= 0; VAR17 <= 0; VAR4 <= 0; VAR5 <= 0; VAR21 <= 0; end else begin VAR21 <= (VAR15 * (VAR22 - 'd64)) ; VAR23 <= (VAR12 * (VAR1 - 'd512)); VAR17 <= (VAR8 * (VAR1 - 'd512)); VAR4 <= (VAR11 * (VAR19 - 'd512)); VAR5 <= (VAR10 * (VAR19 - 'd512)); end always @ (posedge clk or posedge rst) if (rst) begin VAR6 <= 0; VAR2 <= 0; VAR3 <= 0; end else begin VAR6 <= VAR21 + VAR23; VAR2 <= VAR21 - VAR17 - VAR4; VAR3 <= VAR21 + VAR5; end assign VAR9 = (VAR6[20]) ? 0 : (VAR6[19:18] == 2'b0) ? VAR6[17:10] : 8'b11111111; assign VAR18 = (VAR2[20]) ? 0 : (VAR2[19:18] == 2'b0) ? VAR2[17:10] : 8'b11111111; assign VAR20 = (VAR3[20]) ? 0 : (VAR3[19:18] == 2'b0) ? VAR3[17:10] : 8'b11111111; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlrtn/sky130_fd_sc_hs__dlrtn.functional.v
1,907
module MODULE1 ( VAR3 , VAR12 , VAR1 , VAR15, VAR5 , VAR7 ); input VAR3 ; input VAR12 ; output VAR1 ; input VAR15; input VAR5 ; input VAR7 ; wire VAR14 ; wire VAR10; wire VAR6 ; not VAR11 (VAR14 , VAR15 ); not VAR9 (VAR10, VAR7 ); VAR8 VAR13 VAR2 (VAR6 , VAR5, VAR10, VAR14, VAR3, VAR12); buf VAR4 (VAR1 , VAR6 ); endmodule
apache-2.0