repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
vr3d/miaow
|
src/verilog/rtl/lsu/lsu_in_flight_counter.v
| 1,354 |
module MODULE1(
VAR5,
VAR12,
VAR15,
VAR10,
clk,
rst
);
parameter VAR11 = 16;
parameter VAR9 = 4;
input [3:0] VAR5;
input [3:0] VAR12;
input VAR15;
output VAR10;
input clk;
input rst;
wire VAR8;
wire VAR6;
wire [VAR9-1:0] VAR1;
reg [VAR9-1:0] VAR4;
assign VAR8 = |VAR5;
assign VAR6 = |VAR12;
VAR13 VAR7[VAR9-1:0](
.VAR14(VAR1),
.VAR2(VAR4),
.clk(clk),
.rst(rst)
);
always @(VAR8 or VAR6 or VAR15 or VAR1)
begin
casex({VAR8, VAR6, VAR15})
3'b000:
begin
VAR4 <= VAR1;
end
3'b001:
begin
VAR4 <= VAR1 - 1'b1;
end
3'b010:
begin
VAR4 <= VAR1 + 1'b1;
end
3'b011:
begin
VAR4 <= VAR1;
end
3'b100:
begin
VAR4 <= VAR1 + 1'b1;
end
3'b101:
begin
VAR4 <= VAR1;
end
default:
begin
VAR4 <= {VAR9{1'VAR3}};
end
endcase
end
assign VAR10 = (VAR4 == VAR11-3) ? 1'b0 : 1'b1;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/edfxbp/sky130_fd_sc_hd__edfxbp.functional.v
| 1,870 |
module MODULE1 (
VAR7 ,
VAR9,
VAR8,
VAR10 ,
VAR2
);
output VAR7 ;
output VAR9;
input VAR8;
input VAR10 ;
input VAR2 ;
wire VAR13 ;
wire VAR5;
VAR4 VAR1 (VAR5, VAR13, VAR10, VAR2 );
VAR3 VAR6 VAR14 (VAR13 , VAR5, VAR8 );
buf VAR12 (VAR7 , VAR13 );
not VAR11 (VAR9 , VAR13 );
endmodule
|
apache-2.0
|
v3best/R7Lite
|
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pll.v
| 6,817 |
module MODULE1
( input VAR6,
output VAR49,
output VAR41,
output VAR20,
output VAR18,
input VAR68,
output VAR47
);
VAR22 VAR67
(.VAR29 (VAR55),
.VAR13 (VAR6));
wire [15:0] VAR52;
wire VAR70;
wire VAR53;
wire VAR32;
wire VAR60;
wire VAR4;
wire VAR40;
wire VAR8;
wire VAR11;
wire VAR34;
wire VAR35;
wire VAR66;
wire VAR17;
wire VAR63;
wire VAR1;
VAR46
.VAR31 ("VAR7"),
.VAR24 (1),
.VAR36 (16),
.VAR72 (0.000),
.VAR58 (10),
.VAR16 (0.000),
.VAR64 (0.500),
.VAR30 (10),
.VAR69 (0.000),
.VAR51 (0.500),
.VAR9 (10),
.VAR37 (0.000),
.VAR71 (0.500),
.VAR43 (16),
.VAR10 (0.000),
.VAR15 (0.500),
.VAR19 (20.0),
.VAR62 (0.010))
VAR25
(.VAR3 (VAR32),
.VAR21 (VAR39),
.VAR49 (VAR57),
.VAR41 (VAR59),
.VAR20 (VAR44),
.VAR18 (VAR35),
.VAR5 (VAR66),
.VAR73 (VAR60),
.VAR6 (VAR55),
.VAR61 (1'b0),
.VAR38 (1'b1),
.VAR33 (7'h0),
.VAR42 (1'b0),
.VAR26 (1'b0),
.VAR56 (16'h0),
.VAR27 (VAR52),
.VAR45 (VAR70),
.VAR23 (1'b0),
.VAR47 (VAR47),
.VAR54 (1'b0),
.VAR12 (VAR68));
VAR48 VAR65
(.VAR29 (VAR60),
.VAR13 (VAR32));
VAR48 VAR2
(.VAR29 (VAR49),
.VAR13 (VAR39));
VAR48 VAR50
(.VAR29 (VAR41),
.VAR13 (VAR57));
VAR48 VAR14
(.VAR29 (VAR20),
.VAR13 (VAR59));
VAR48 VAR28
(.VAR29 (VAR18),
.VAR13 (VAR44));
endmodule
|
gpl-2.0
|
manu3193/TextEditor
|
text_editor_keyboard_controller.v
| 3,958 |
module MODULE1(
input VAR20,
input VAR12,
input VAR26,
inout VAR7,
inout VAR22,
output reg [7:0] VAR25,
output reg VAR23
);
reg [1:0] state;
localparam
VAR9 = 2'b00,
VAR24 = 2'b01,
VAR3 = 2'b10,
VAR21 = 2'VAR2;
wire [7:0] VAR28;
wire VAR19;
reg [7:0] VAR11;
reg VAR4;
reg [7:0] VAR27;
wire VAR10;
VAR16 VAR17(
.VAR8(VAR12),
.reset(VAR26),
.VAR27(VAR27),
.VAR4(VAR4),
.VAR5(VAR22), .VAR6(VAR7),
.VAR13(VAR10),
.VAR1( ),
.VAR28(VAR28),
.VAR19(VAR19) );
always @ (posedge VAR20, posedge VAR26) begin: VAR14
if(VAR26) begin
state <= VAR9;
VAR11 <= 8'VAR15;
VAR4 <= 1'VAR18;
VAR27 <= 8'VAR15;
VAR25 <= 8'VAR15;
end else
case(state)
VAR9: begin
state <= VAR24;
VAR25 <= 8'h00;
VAR11 <= 8'h00;
VAR23 <= 1'b0;
VAR4 <= 1'b1;
VAR27 <= 8'hFF; end
VAR24: begin
if (VAR10) begin
VAR4 <= 1'b0;
end
case (VAR28)
8'hAA: begin state <= VAR3;
end
8'hFC: begin
VAR4 <= 1'b1; end
default: begin
end
endcase
end
VAR3: begin
if (VAR10) begin
VAR4 <= 1'b0;
end
if (VAR23) begin
VAR23 <= 1'b0;
end
if (VAR19) begin
VAR11 <= VAR28;
case(VAR28)
8'hF0: begin
end
8'hFA: begin
end
8'hAA: begin
end
8'hEE: begin
end
8'hFE: begin
end
8'h00: begin
end
8'hFF: begin
end
8'h12: begin
end
8'h59: begin
end
8'h58: begin
end
8'h0D: begin
end
8'h14: begin
end
8'h11: begin
end
8'hE0: begin
end
8'h5A: begin
end
default: begin
if (VAR11 == 8'hF0) begin VAR25 <= VAR28;
VAR23 <= 1'b1;
end
end
endcase
end
end
default:
state <= VAR21;
endcase
end
endmodule
|
mit
|
hydai/Verilog-Practice
|
HardwareLab/Lab8/LCD_DISPLAY_CTRL.v
| 5,168 |
module MODULE1(VAR10, VAR3, VAR6, VAR4, reset, clk);
input clk;
input reset, VAR3, VAR6;
input [15:0] VAR4;
output [255:0] VAR10;
reg [255:0] VAR10, VAR5;
reg [4:0] counter, VAR7;
wire [255:0] VAR1, VAR8;
wire [255:0] VAR2, VAR9;
always @(negedge clk or negedge reset) begin
if (!reset) begin
VAR10 <= 256'd0;
counter <= 5'd31;
end else begin
if(!VAR3)begin
VAR10 <= VAR5;
counter <= VAR7;
end else begin
VAR10 <= 256'd0;
counter <= 5'd31;
end
end
end
always @(*)begin
case(counter)
5'd0 :
if(VAR4[15]==1)VAR5 = VAR1;
end
else VAR5 = VAR2;
5'd2 :
if(VAR4[15]==1)VAR5 = VAR8;
end
else VAR5 = VAR9;
5'd1 :
if(VAR4[14]==1)VAR5 = VAR1;
end
else VAR5 = VAR2;
5'd3 :
if(VAR4[14]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd4 :
if(VAR4[11]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd6 :
if(VAR4[11]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd5 :
if(VAR4[3]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd7 :
if(VAR4[3]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd8 :
if(VAR4[10]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd10:
if(VAR4[10]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd9 :
if(VAR4[2]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd11:
if(VAR4[2]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd12:
if(VAR4[0]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd14:
if(VAR4[0]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd13:
if(VAR4[1]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd15:
if(VAR4[1]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd16:
if(VAR4[13]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd18:
if(VAR4[13]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd17:
if(VAR4[12]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd19:
if(VAR4[12]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd20:
if(VAR4[6]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd22:
if(VAR4[6]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd21:
if(VAR4[9]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd23:
if(VAR4[9]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd24:
if(VAR4[5]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd26:
if(VAR4[5]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd25:
if(VAR4[8]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd27:
if(VAR4[8]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd28:
if(VAR4[4]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd30:
if(VAR4[4]==1)VAR5 = VAR8;
else VAR5 = VAR9;
5'd29:
if(VAR4[7]==1)VAR5 = VAR1;
else VAR5 = VAR2;
5'd31:
if(VAR4[7]==1)VAR5 = VAR8;
else VAR5 = VAR9;
default:
VAR5 = VAR10;
endcase
end
always @( * )begin
if(VAR6) VAR7 = counter + 5'd1;
end
else VAR7 = counter;
end
assign VAR1[255:0] = 256'hFF010101010101018141211109050303030303050911214181010101010101FF;
assign VAR8[255:0] = 256'hFF808080808080808182848890A0C0C0C0C0C0A09088848281808080808080FF;
assign VAR2[255:0] = 256'hFF010101010101010101010101010101010101010101010101010101010101FF;
assign VAR9[255:0] = 256'hFF808080808080808080808080808080808080808080808080808080808080FF;
endmodule
|
mit
|
drichmond/riffa
|
fpga/altera/de5/DE5Gen1x8If64/hdl/DE5Gen1x8If64.v
| 23,796 |
module MODULE1
parameter VAR196 = 8,
parameter VAR30 = 64,
parameter VAR170 = 256,
parameter VAR145 = 5
)
(
output [7:0] VAR139,
input VAR46,
input VAR52,
input [VAR196-1:0] VAR25,
output [VAR196-1:0] VAR100,
input VAR105
);
wire VAR181;
wire VAR127;
wire [11:0] VAR204;
wire [31:0] VAR163;
wire VAR133;
wire VAR138;
wire VAR51;
wire [31:0] VAR162;
wire [3:0] VAR101;
wire [31:0] VAR43;
wire [52:0] VAR119;
wire [0:0] VAR190;
wire [0:0] VAR153;
wire [0:0] VAR85;
wire [0:0] VAR150;
wire [0:0] VAR188;
wire VAR129;
wire [63:0] VAR47;
wire [7:0] VAR17;
wire VAR171;
wire [0:0] VAR87;
wire [0:0] VAR108;
wire [0:0] VAR45;
wire [0:0] VAR74;
wire [0:0] VAR115;
wire VAR142;
wire [63:0] VAR97;
wire VAR137;
wire VAR208;
wire VAR158;
wire VAR68;
wire VAR207;
wire VAR93;
wire VAR110;
wire [699:0] VAR58;
wire [505:0] VAR98;
wire VAR99;
wire [4:0] VAR198;
wire VAR57;
wire [2:0] VAR161;
wire VAR179;
wire VAR55;
wire VAR94;
wire VAR202;
wire VAR6;
wire VAR195;
wire VAR107;
wire VAR156;
wire VAR91;
wire VAR199;
wire [3:0] VAR4;
wire VAR22;
wire [3:0] VAR157;
wire [4:0] VAR185;
wire VAR42;
wire [1:0] VAR16;
wire VAR38;
wire [1:0] VAR71;
wire [7:0] VAR8;
wire [11:0] VAR154;
wire VAR2;
wire VAR75;
wire VAR104;
wire VAR118;
wire VAR130;
wire VAR82;
wire VAR24;
wire VAR197;
wire [3:0] VAR12;
wire VAR205;
wire [3:0] VAR175;
wire [4:0] VAR60;
wire VAR155;
wire [1:0] VAR114;
wire VAR7;
wire [7:0] VAR201;
wire [11:0] VAR117;
wire VAR89;
wire VAR148;
wire VAR165;
wire [6:0] VAR83;
wire VAR59;
wire [31:0] VAR206;
wire VAR32;
wire VAR53;
wire [31:0] VAR147;
wire VAR81;
wire VAR116;
wire [7:0] VAR31;
wire [7:0] VAR69;
assign VAR31 = VAR25;
assign VAR100 = VAR69;
assign VAR137 = VAR208;
assign VAR148 = VAR52;
assign VAR81 = VAR52;
assign VAR158 = VAR52;
assign VAR68 = VAR93;
assign VAR116 = 1'b0;
assign VAR165 = 1'b0;
assign VAR127 = VAR46;
assign VAR181 = VAR46;
assign VAR139[7:0] = 8'hff;
VAR182
.VAR180("VAR23 (2.5 VAR120)"), .VAR86("VAR48 VAR172"))
VAR124
(
.VAR83 (VAR83[6:0]),
.VAR59 (VAR59),
.VAR53 (VAR53),
.VAR147 (VAR147[31:0]),
.VAR137 (VAR137),
.VAR116 (VAR116),
.VAR206 (VAR206[31:0]),
.VAR32 (VAR32),
.VAR81 (VAR81),
.VAR89 (VAR89),
.VAR2 (VAR2),
.VAR75 (VAR75),
.VAR104 (VAR104),
.VAR118 (VAR118),
.VAR130 (VAR130),
.VAR82 (VAR82),
.VAR24 (VAR24),
.VAR197 (VAR197),
.VAR12 (VAR12[3:0]),
.VAR205 (VAR205),
.VAR175 (VAR175[3:0]),
.VAR60 (VAR60[4:0]),
.VAR155 (VAR155),
.VAR114 (VAR114[1:0]),
.VAR7 (VAR7),
.VAR201 (VAR201[7:0]),
.VAR117 (VAR117[11:0]),
.VAR71 (VAR71[1:0]));
assign VAR2 = VAR94;
assign VAR75 = VAR202;
assign VAR104 = VAR6;
assign VAR118 = VAR195;
assign VAR130 = VAR107;
assign VAR82 = VAR156;
assign VAR24 = VAR91;
assign VAR197 = VAR199;
assign VAR12 = VAR4;
assign VAR205 = VAR22;
assign VAR175 = VAR157;
assign VAR60 = VAR185;
assign VAR155 = VAR42;
assign VAR114 = VAR16;
assign VAR7 = VAR38;
assign VAR201 = VAR8;
assign VAR117 = VAR154;
VAR14 VAR20
(
.VAR89 (VAR89),
.VAR206 (VAR206[31:0]),
.VAR32 (VAR32),
.VAR58 (VAR58[699:0]),
.VAR148 (VAR148),
.VAR165 (VAR165),
.VAR83 (VAR83[6:0]),
.VAR59 (VAR59),
.VAR53 (VAR53),
.VAR147 (VAR147[31:0]),
.VAR98 (VAR98[459:0]));
VAR177 VAR96
(
.VAR51 (VAR51),
.VAR162 (VAR162[31:0]),
.VAR101 (VAR101[3:0]),
.VAR43 (VAR43[31:0]),
.VAR119 (VAR119[52:0]),
.VAR190 (VAR190[0:0]),
.VAR153 (VAR153[0:0]),
.VAR85 (VAR85[0:0]),
.VAR150 (VAR150[0:0]),
.VAR47 (VAR47[63:0]),
.VAR17 (VAR17[7:0]),
.VAR142 (VAR142),
.VAR208 (VAR208),
.VAR207 (VAR207),
.VAR93 (VAR93),
.VAR110 (VAR110),
.VAR98 (VAR98[459:0]),
.VAR194 (VAR69[0]),
.VAR49 (VAR69[1]),
.VAR63 (VAR69[2]),
.VAR78 (VAR69[3]),
.VAR189 (VAR69[4]),
.VAR187 (VAR69[5]),
.VAR176 (VAR69[6]),
.VAR50 (VAR69[7]),
.VAR179 (VAR179),
.VAR55 (VAR55),
.VAR94 (VAR94),
.VAR202 (VAR202),
.VAR6 (VAR6),
.VAR195 (VAR195),
.VAR107 (VAR107),
.VAR156 (VAR156),
.VAR91 (VAR91),
.VAR199 (VAR199),
.VAR4 (VAR4[3:0]),
.VAR22 (VAR22),
.VAR157 (VAR157[3:0]),
.VAR185 (VAR185[4:0]),
.VAR42 (VAR42),
.VAR16 (VAR16[1:0]),
.VAR38 (VAR38),
.VAR8 (VAR8[7:0]),
.VAR154 (VAR154[11:0]),
.VAR71 (VAR71[1:0]),
.VAR181 (VAR181),
.VAR127 (VAR127),
.VAR137 (VAR137),
.VAR158 (VAR158),
.VAR68 (VAR68),
.VAR204 (VAR204[11:0]),
.VAR163 (VAR163[31:0]),
.VAR133 (VAR133),
.VAR138 (VAR138),
.VAR129 (VAR129),
.VAR171 (VAR171),
.VAR87 (VAR87[0:0]),
.VAR108 (VAR108[0:0]),
.VAR45 (VAR45[0:0]),
.VAR74 (VAR74[0:0]),
.VAR97 (VAR97[63:0]),
.VAR58 (VAR58[699:0]),
.VAR184 (VAR31[0]),
.VAR21 (VAR31[1]),
.VAR5 (VAR31[2]),
.VAR103 (VAR31[3]),
.VAR37 (VAR31[4]),
.VAR136 (VAR31[5]),
.VAR28 (VAR31[6]),
.VAR183 (VAR31[7]),
.VAR99 (VAR99),
.VAR198 (VAR198[4:0]),
.VAR57 (VAR57),
.VAR161 (VAR161[2:0]),
.VAR203 (1'b0));
wire VAR113;
wire [VAR77-1:0] VAR151;
wire [VAR77-1:0] VAR95;
wire [VAR77-1:0] VAR76;
wire [VAR77-1:0] VAR3;
wire [(VAR77*32)-1:0] VAR88;
wire [(VAR77*31)-1:0] VAR33;
wire [(VAR77*VAR30)-1:0] VAR200;
wire [VAR77-1:0] VAR36;
wire [VAR77-1:0] VAR15;
wire [VAR77-1:0] VAR146;
wire [VAR77-1:0] VAR41;
wire [VAR77-1:0] VAR144;
wire [VAR77-1:0] VAR159;
wire [(VAR77*32)-1:0] VAR79;
wire [(VAR77*31)-1:0] VAR141;
wire [(VAR77*VAR30)-1:0] VAR131;
wire [VAR77-1:0] VAR193;
wire [VAR77-1:0] VAR169;
wire VAR19;
wire VAR166;
wire VAR160;
wire VAR167;
assign VAR166 = VAR137;
assign VAR19 = VAR113;
VAR178
.VAR145 (VAR145),
.VAR77 (VAR77),
.VAR30 (VAR30),
.VAR170 (VAR170))
VAR18
(
.VAR56 (VAR129),
.VAR173 (VAR97[VAR30-1:0]),
.VAR44 (VAR74[0:0]),
.VAR132 (VAR108[0:0]),
.VAR191 (VAR87[0:0]),
.VAR149 (VAR115[0:0]),
.VAR66 (VAR57),
.VAR168 (VAR113),
.VAR92 (VAR95[VAR77-1:0]),
.VAR29 (VAR3[VAR77-1:0]),
.VAR140 (VAR88[(VAR77*VAR26)-1:0]),
.VAR34 (VAR33[(VAR77*VAR54)-1:0]),
.VAR152 (VAR200[(VAR77*VAR30)-1:0]),
.VAR106 (VAR36[VAR77-1:0]),
.VAR35 (VAR144[VAR77-1:0]),
.VAR62 (VAR169[VAR77-1:0]),
.VAR11 (VAR47[VAR30-1:0]),
.VAR73 (VAR153[0:0]),
.VAR164 (VAR190[0:0]),
.VAR70 (VAR150[0:0]),
.VAR111 (VAR188[0:0]),
.VAR109 (VAR142),
.VAR61 (VAR43[VAR112-1:0]),
.VAR40 (VAR101[VAR102-1:0]),
.VAR1 (VAR119[VAR174-1:0]),
.VAR126 (VAR8[VAR65-1:0]),
.VAR121 (VAR154[VAR10-1:0]),
.VAR9 (VAR55),
.VAR134 (VAR137),
.VAR192 (VAR207),
.VAR135 (VAR151[VAR77-1:0]),
.VAR13 (VAR76[VAR77-1:0]),
.VAR90 (VAR15[VAR77-1:0]),
.VAR84 (VAR146[VAR77-1:0]),
.VAR122 (VAR41[VAR77-1:0]),
.VAR67 (VAR159[VAR77-1:0]),
.VAR125 (VAR79[(VAR77*VAR26)-1:0]),
.VAR64 (VAR141[(VAR77*VAR54)-1:0]),
.VAR123 (VAR131[(VAR77*VAR30)-1:0]),
.VAR39 (VAR193[VAR77-1:0]));
genvar VAR80;
generate
for (VAR80 = 0; VAR80 < VAR77; VAR80 = VAR80 + 1) begin : VAR72
VAR128
.VAR30(VAR30)
)
VAR143
(
.VAR186(VAR166),
.VAR27(VAR19), .VAR135(VAR151[VAR80]),
.VAR92(VAR95[VAR80]),
.VAR13(VAR76[VAR80]),
.VAR29(VAR3[VAR80]),
.VAR140(VAR88[VAR26*VAR80 +:VAR26]),
.VAR34(VAR33[VAR54*VAR80 +:VAR54]),
.VAR152(VAR200[VAR30*VAR80 +:VAR30]),
.VAR106(VAR36[VAR80]),
.VAR90(VAR15[VAR80]),
.VAR84(VAR146[VAR80]),
.VAR122(VAR41[VAR80]),
.VAR35(VAR144[VAR80]),
.VAR67(VAR159[VAR80]),
.VAR125(VAR79[VAR26*VAR80 +:VAR26]),
.VAR64(VAR141[VAR54*VAR80 +:VAR54]),
.VAR123(VAR131[VAR30*VAR80 +:VAR30]),
.VAR39(VAR193[VAR80]),
.VAR62(VAR169[VAR80])
);
end
endgenerate
endmodule
|
bsd-3-clause
|
scalable-networks/ext
|
uhd/fpga/usrp2/top/E1x0/E1x0.v
| 8,623 |
module MODULE1
(input VAR25, input VAR123, output [3:0] VAR6, output [31:0] VAR146, output [1:0] VAR50,
input VAR42, output VAR45, input VAR100,
output VAR136, input VAR152, input VAR9, output VAR3,
input VAR35, inout [15:0] VAR66, input [10:1] VAR134, input [1:0] VAR115,
input VAR92, input VAR120, input VAR128, input VAR8,
input VAR5, input VAR110,
inout VAR11, inout VAR114,
output VAR44, output VAR105, output VAR108, input VAR21, output VAR22, output VAR130, output VAR104, input VAR49, output VAR113, output VAR37, output VAR78, input VAR143, output VAR32, output VAR61, output VAR4, input VAR90,
input VAR119, input VAR67, input VAR79, output VAR17, output VAR46,
input VAR103, input VAR93, input VAR107, output VAR142,
output VAR20, output VAR122, input VAR145, input VAR74, input VAR1, input VAR47, input VAR94, input VAR27, input VAR71, input VAR36, input VAR149, input VAR13,
inout [15:0] VAR124, inout [15:0] VAR116,
output [13:0] VAR29, output VAR112, output VAR106,
input [11:0] VAR139, input [11:0] VAR137, input VAR121,
input VAR109
);
assign VAR45 = 0;
wire VAR2;
wire reset;
reg VAR33;
reg [7:0] VAR59 = 8'h0;
always @(posedge VAR2)
if (VAR59 != 8'h55)
begin
VAR59 <= VAR59 + 8'h1;
VAR33 <= 1'b1;
end
else VAR33 <= 1'b0;
wire VAR43;
VAR39 #(.VAR125(1)) VAR138
(.clk(VAR2), .rst(VAR33), .in(VAR61 & ~VAR32), .out(VAR43));
VAR88 #(.VAR135("VAR147"), .VAR30("VAR70"))
VAR150 (.VAR63(VAR2),.VAR23(VAR25),.VAR60(VAR123));
VAR80 VAR80(.clk(VAR2), .VAR51(VAR43), .VAR65(reset));
assign VAR136 = VAR9;
assign VAR3 = VAR152;
wire VAR102, VAR73, VAR111;
assign { VAR44, VAR108 } = ~VAR105 ? {VAR73,VAR102} : 2'b0;
assign { VAR22, VAR104 } = ~VAR130 ? {VAR73,VAR102} : 2'b0;
assign { VAR113, VAR78 } = ~VAR37 ? {VAR73,VAR102} : 2'b0;
assign VAR111 = (~VAR105 & VAR21) | (~VAR130 & VAR49) |
(~VAR37 & VAR143) | (~VAR61 & VAR90);
assign VAR32 = VAR103;
assign VAR61 = VAR93;
assign VAR4 = VAR107;
wire VAR10; assign VAR142 = (VAR61 == 1'b0)? VAR90 : VAR10;
wire VAR61;
assign VAR106 = 0;
wire [13:0] VAR24, VAR38;
reg[13:0] VAR72;
always @(posedge VAR2)
VAR72 <= VAR38;
genvar VAR91;
generate
for(VAR91=0;VAR91<14;VAR91=VAR91+1)
begin : VAR58
VAR95 #(.VAR99("VAR34"), .VAR83(1'b0), .VAR15("VAR55")) VAR85 (.VAR101(VAR29[VAR91]), .VAR41(VAR2), .VAR31(~VAR2), .VAR48(1'b1), .VAR68(VAR24[VAR91]), .VAR133(VAR72[VAR91]), .VAR129(1'b0), .VAR118(1'b0)); end endgenerate
VAR95 #(.VAR99("VAR34"), .VAR83(1'b0), .VAR15("VAR55")) VAR89 (.VAR101(VAR112), .VAR41(VAR2), .VAR31(~VAR2), .VAR48(1'b1), .VAR68(1'b0), .VAR133(1'b1), .VAR129(1'b0), .VAR118(1'b0));
reg [11:0] VAR56, VAR7;
always @(posedge VAR2) begin
VAR56 <= ~VAR139;
VAR7 <= ~VAR137;
end
wire [35:0] VAR75, VAR62, VAR98, VAR97;
wire VAR117, VAR57, VAR40, VAR53, VAR77, VAR148, VAR131, VAR127;
wire VAR12, VAR19;
wire [7:0] VAR81;
assign {VAR61,VAR37,VAR105,VAR130} = VAR81[3:0];
wire [31:0] VAR76;
assign VAR6 = ~{VAR109, VAR19, VAR12, VAR67};
wire VAR82;
assign { VAR17, VAR46 } = {~VAR82, 1'b1};
VAR84 #(
.VAR52(2),
.VAR18(10),
.VAR64(10),
.VAR26(0)
) VAR69(
.clk(VAR2), .reset(reset),
.VAR146(VAR76), .VAR50(VAR50),
.VAR75(VAR75), .VAR117(VAR117), .VAR57(VAR57),
.VAR62(VAR62), .VAR40(VAR40), .VAR53(VAR53),
.VAR98(VAR98), .VAR131(VAR131), .VAR127(VAR127),
.VAR97(VAR97), .VAR77(VAR77), .VAR148(VAR148),
.VAR12(VAR12), .VAR19(VAR19),
.VAR87(VAR82),
.VAR11(VAR11), .VAR114(VAR114),
.VAR73(VAR73), .VAR28(VAR81), .VAR102(VAR102), .VAR111(VAR111),
.VAR124(VAR124), .VAR116(VAR116),
.VAR24(VAR24), .VAR38(VAR38),
.VAR56(VAR56), .VAR7(VAR7),
.VAR132(VAR109) );
wire [31:0] VAR96;
VAR14 #(.VAR16(13), .VAR126(13))
VAR14 (.VAR86(VAR43),
.VAR35(VAR35), .VAR66(VAR66), .VAR134(VAR134), .VAR115(VAR115),
.VAR92(VAR92), .VAR120(VAR120), .VAR8(VAR8), .VAR5(VAR5),
.VAR110(VAR110),
.VAR54(VAR122), .VAR141(VAR20),
.VAR140(VAR10),
.VAR144(VAR2), .VAR151(reset),
.VAR75(VAR75), .VAR117(VAR117), .VAR57(VAR57),
.VAR62(VAR62), .VAR40(VAR40), .VAR53(VAR53),
.VAR98(VAR98), .VAR131(VAR131), .VAR127(VAR127),
.VAR97(VAR97), .VAR77(VAR77), .VAR148(VAR148),
.VAR146(VAR96));
assign VAR146 = VAR76;
endmodule
|
gpl-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9671/axi_ad9671_pnmon.v
| 7,262 |
module MODULE1 (
VAR2,
VAR13,
VAR1,
VAR9,
VAR7,
VAR19);
input VAR2;
input VAR13;
input [15:0] VAR1;
output VAR9;
output VAR7;
input [ 3:0] VAR19;
reg VAR5 = 'd0;
reg [31:0] VAR14 = 'd0;
reg [31:0] VAR12 = 'd0;
wire VAR3;
wire [31:0] VAR16;
function [31:0] VAR11;
input [31:0] din;
reg [31:0] dout;
begin
dout[31] = din[22] ^ din[17];
dout[30] = din[21] ^ din[16];
dout[29] = din[20] ^ din[15];
dout[28] = din[19] ^ din[14];
dout[27] = din[18] ^ din[13];
dout[26] = din[17] ^ din[12];
dout[25] = din[16] ^ din[11];
dout[24] = din[15] ^ din[10];
dout[23] = din[14] ^ din[ 9];
dout[22] = din[13] ^ din[ 8];
dout[21] = din[12] ^ din[ 7];
dout[20] = din[11] ^ din[ 6];
dout[19] = din[10] ^ din[ 5];
dout[18] = din[ 9] ^ din[ 4];
dout[17] = din[ 8] ^ din[ 3];
dout[16] = din[ 7] ^ din[ 2];
dout[15] = din[ 6] ^ din[ 1];
dout[14] = din[ 5] ^ din[ 0];
dout[13] = din[ 4] ^ din[22] ^ din[17];
dout[12] = din[ 3] ^ din[21] ^ din[16];
dout[11] = din[ 2] ^ din[20] ^ din[15];
dout[10] = din[ 1] ^ din[19] ^ din[14];
dout[ 9] = din[ 0] ^ din[18] ^ din[13];
dout[ 8] = din[22] ^ din[12];
dout[ 7] = din[21] ^ din[11];
dout[ 6] = din[20] ^ din[10];
dout[ 5] = din[19] ^ din[ 9];
dout[ 4] = din[18] ^ din[ 8];
dout[ 3] = din[17] ^ din[ 7];
dout[ 2] = din[16] ^ din[ 6];
dout[ 1] = din[15] ^ din[ 5];
dout[ 0] = din[14] ^ din[ 4];
VAR11 = dout;
end
endfunction
function [31:0] VAR8;
input [31:0] din;
reg [31:0] dout;
begin
dout[31] = din[ 8] ^ din[ 4];
dout[30] = din[ 7] ^ din[ 3];
dout[29] = din[ 6] ^ din[ 2];
dout[28] = din[ 5] ^ din[ 1];
dout[27] = din[ 4] ^ din[ 0];
dout[26] = din[ 3] ^ din[ 8] ^ din[ 4];
dout[25] = din[ 2] ^ din[ 7] ^ din[ 3];
dout[24] = din[ 1] ^ din[ 6] ^ din[ 2];
dout[23] = din[ 0] ^ din[ 5] ^ din[ 1];
dout[22] = din[ 8] ^ din[ 0];
dout[21] = din[ 7] ^ din[ 8] ^ din[ 4];
dout[20] = din[ 6] ^ din[ 7] ^ din[ 3];
dout[19] = din[ 5] ^ din[ 6] ^ din[ 2];
dout[18] = din[ 4] ^ din[ 5] ^ din[ 1];
dout[17] = din[ 3] ^ din[ 4] ^ din[ 0];
dout[16] = din[ 2] ^ din[ 3] ^ din[ 8] ^ din[ 4];
dout[15] = din[ 1] ^ din[ 2] ^ din[ 7] ^ din[ 3];
dout[14] = din[ 0] ^ din[ 1] ^ din[ 6] ^ din[ 2];
dout[13] = din[ 8] ^ din[ 0] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[12] = din[ 7] ^ din[ 8] ^ din[ 3] ^ din[ 0];
dout[11] = din[ 6] ^ din[ 7] ^ din[ 2] ^ din[ 8] ^ din[ 4];
dout[10] = din[ 5] ^ din[ 6] ^ din[ 1] ^ din[ 7] ^ din[ 3];
dout[ 9] = din[ 4] ^ din[ 5] ^ din[ 0] ^ din[ 6] ^ din[ 2];
dout[ 8] = din[ 3] ^ din[ 8] ^ din[ 5] ^ din[ 1];
dout[ 7] = din[ 2] ^ din[ 4] ^ din[ 7] ^ din[ 0];
dout[ 6] = din[ 1] ^ din[ 3] ^ din[ 6] ^ din[ 8] ^ din[ 4];
dout[ 5] = din[ 0] ^ din[ 2] ^ din[ 5] ^ din[ 7] ^ din[ 3];
dout[ 4] = din[ 8] ^ din[ 1] ^ din[ 6] ^ din[ 2];
dout[ 3] = din[ 7] ^ din[ 0] ^ din[ 5] ^ din[ 1];
dout[ 2] = din[ 6] ^ din[ 8] ^ din[ 0];
dout[ 1] = din[ 5] ^ din[ 7] ^ din[ 8] ^ din[ 4];
dout[ 0] = din[ 4] ^ din[ 6] ^ din[ 7] ^ din[ 3];
VAR8 = dout;
end
endfunction
assign VAR3 = VAR13 & VAR5;
assign VAR16 = (VAR9 == 1'b1) ? VAR14 : VAR12;
always @(posedge VAR2) begin
if (VAR13 == 1'b1) begin
VAR5 <= ~VAR5;
VAR14 <= {VAR14[15:0], ~VAR1[15], VAR1[14:0]};
end
if (VAR3 == 1'b1) begin
if (VAR19 == 4'd0) begin
VAR12 <= VAR8(VAR16);
end else begin
VAR12 <= VAR11(VAR16);
end
end
end
VAR18 #(.VAR4(32)) VAR17 (
.VAR2 (VAR2),
.VAR10 (VAR3),
.VAR15 (VAR14),
.VAR6 (VAR12),
.VAR9 (VAR9),
.VAR7 (VAR7));
endmodule
|
gpl-3.0
|
Openlights/hydra-fpga
|
hydra/hdl/address_generator.v
| 1,303 |
module MODULE1 (
clk,
VAR4,
VAR5,
VAR1,
VAR3,
addr
);
parameter VAR2 = 24;
parameter VAR6 = 16;
input clk;
input VAR4;
input [VAR6-1:0] VAR5;
input [VAR6-1:0] VAR1;
input [VAR6-1:0] VAR3;
output reg [VAR2-1:0] addr;
always @(posedge clk) begin
if (VAR4 == 1'b0) begin
addr = { VAR2 {1'b0} };
end
else begin
if (VAR1 > VAR3 - 1) begin
addr = VAR5 + (VAR1 - VAR3);
end
else begin
addr = VAR5 + VAR1;
end
end
end
endmodule
|
mit
|
The7thPres/CFTP
|
CFTP_Sat/CFTP_Sat.srcs/sources_1/imports/Sources-On_Sat/Voters/Voter.v
| 1,237 |
module MODULE1 #(parameter VAR6 = 1)(
input [(VAR6-1):0] VAR2, VAR5, VAR4,
output [(VAR6-1):0] VAR1
);
genvar VAR3;
generate
for (VAR3 = 0; VAR3 < VAR6; VAR3 = VAR3 +1) begin : VAR7
assign VAR1[VAR3] = (VAR2[VAR3] && VAR5[VAR3]) || (VAR2[VAR3] && VAR4[VAR3]) || (VAR5[VAR3] && VAR4[VAR3]);
end
endgenerate
endmodule
|
lgpl-3.0
|
ptracton/wb_soc_template
|
rtl/XILINX/BUFGCE.v
| 4,712 |
module MODULE1 #(
parameter VAR8 = "VAR12",
parameter VAR14 = "VAR27",
parameter [0:0] VAR1 = 1'b0,
parameter [0:0] VAR7 = 1'b0
)(
output VAR32,
input VAR29,
input VAR9
);
localparam VAR11 = "MODULE1";
localparam VAR17 = 0;
localparam VAR18 = 0;
localparam VAR35 = 0;
localparam VAR22 = 0;
localparam VAR3 = 1;
localparam VAR13 = 0;
reg VAR10 = 1'b0;
localparam [40:1] VAR19 = VAR14;
localparam [0:0] VAR28 = VAR1;
localparam [0:0] VAR24 = VAR7;
wire VAR4;
wire VAR16;
wire VAR30;
reg VAR31 = 1'b1;
reg VAR31 = 1'b0;
reg VAR23 = 1'b0;
tri0 VAR33 = VAR26.VAR34;
wire VAR15;
wire VAR6;
wire VAR20;
wire VAR21;
wire VAR25;
assign VAR6 = (VAR29 === 1'VAR2) || (VAR21 ^ VAR16); assign VAR20 = VAR25 ^ VAR30;
assign VAR6 = (VAR29 === 1'VAR2) || (VAR29 ^ VAR16); assign VAR20 = VAR9 ^ VAR30;
assign VAR32 = VAR15;
assign VAR4 =
(VAR19 == "VAR27") ? VAR13 :
(VAR19 == "VAR5") ? VAR3 :
VAR13;
assign VAR16 = VAR28;
assign VAR30 = VAR24;
|
mit
|
ridecore/ridecore
|
src/fpga/arf.v
| 33,559 |
module MODULE2
(
input wire clk,
input wire reset,
input wire [VAR148-1:0] VAR23, input wire [VAR148-1:0] VAR90,
input wire [VAR148-1:0] VAR32,
input wire [VAR148-1:0] VAR63,
output wire [VAR14-1:0] VAR113,
output wire [VAR14-1:0] VAR147,
output wire [VAR14-1:0] VAR76,
output wire [VAR14-1:0] VAR144, input wire [VAR148-1:0] VAR135, input wire [VAR148-1:0] VAR97, input wire [VAR14-1:0] VAR118, input wire [VAR14-1:0] VAR91, input wire VAR106, input wire VAR29, input wire [VAR157-1:0] VAR122, input wire [VAR157-1:0] VAR45, output wire [VAR157-1:0] VAR18, output wire [VAR157-1:0] VAR36,
output wire [VAR157-1:0] VAR7,
output wire [VAR157-1:0] VAR79,
input wire [VAR148-1:0] VAR149,
input wire [VAR148-1:0] VAR28,
input wire VAR3,
input wire VAR132,
input wire [VAR157-1:0] VAR140,
input wire [VAR157-1:0] VAR105,
input wire [VAR87-1:0] VAR160,
input wire [VAR87-1:0] VAR128,
output wire VAR109,
output wire VAR62,
output wire VAR21,
output wire VAR126,
input wire VAR16,
input wire VAR6,
input wire [VAR87-1:0] VAR146,
input wire [VAR87-1:0] VAR34,
input wire [VAR87-1:0] VAR78
);
wire [VAR157-1:0] VAR17;
wire [VAR157-1:0] VAR155;
wire VAR50 = VAR106;
wire VAR93 = VAR29;
wire VAR83 = VAR106 &&
(VAR135 != VAR148'b0);
wire VAR141 = VAR29 &&
(VAR97 != VAR148'b0);
wire VAR54 = ((VAR135 == VAR97) &&
VAR83 && VAR141) ?
1'b0 : VAR83;
VAR59
VAR41(
.clk(clk),
.VAR95(VAR23),
.VAR64(VAR90),
.VAR39(VAR32),
.VAR102(VAR63),
.VAR30(VAR113),
.VAR65(VAR147),
.VAR145(VAR76),
.VAR86(VAR144),
.VAR94(VAR135),
.VAR127(VAR97),
.VAR118(VAR118),
.VAR91(VAR91),
.VAR106(VAR83),
.VAR29(VAR141)
);
MODULE3 MODULE1(
.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR90(VAR90),
.VAR32(VAR32),
.VAR63(VAR63),
.VAR121(VAR135),
.VAR24(VAR97),
.VAR18(VAR18),
.VAR36(VAR36),
.VAR7(VAR7),
.VAR79(VAR79),
.VAR109(VAR109),
.VAR62(VAR62),
.VAR21(VAR21),
.VAR126(VAR126),
.VAR26(VAR149),
.VAR35(VAR28),
.VAR108(VAR3),
.VAR153(VAR132),
.VAR140(VAR140),
.VAR105(VAR105),
.VAR73(VAR160),
.VAR12(VAR128),
.VAR50(VAR50),
.VAR93(VAR93),
.VAR122(VAR122),
.VAR45(VAR45),
.VAR16(VAR16),
.VAR6(VAR6),
.VAR146(VAR146),
.VAR34(VAR34),
.VAR78(VAR78)
);
endmodule
module MODULE1(
input wire [VAR87-1:0] VAR13,
input wire [VAR137-1:0] VAR48,
input wire [VAR137-1:0] VAR84,
input wire [VAR137-1:0] VAR116,
input wire [VAR137-1:0] VAR33,
input wire [VAR137-1:0] VAR38,
output reg [VAR137-1:0] out
);
always @ (*) begin
out = 0;
case (VAR13)
5'b00001 : out = VAR84;
5'b00010 : out = VAR116;
5'b00100 : out = VAR33;
5'b01000 : out = VAR38;
5'b10000 : out = VAR48;
default : out = 0;
endcase end
endmodule
module MODULE3
(
input wire clk,
input wire reset,
input wire [VAR148-1:0] VAR23,
input wire [VAR148-1:0] VAR90,
input wire [VAR148-1:0] VAR32,
input wire [VAR148-1:0] VAR63,
input wire [VAR148-1:0] VAR121, input wire [VAR148-1:0] VAR24, input wire VAR50, input wire VAR93,
input wire [VAR157-1:0] VAR122,
input wire [VAR157-1:0] VAR45,
output wire [VAR157-1:0] VAR18,
output wire [VAR157-1:0] VAR36,
output wire [VAR157-1:0] VAR7,
output wire [VAR157-1:0] VAR79,
output wire VAR109,
output wire VAR62,
output wire VAR21,
output wire VAR126,
input wire [VAR148-1:0] VAR26,
input wire [VAR148-1:0] VAR35,
input wire VAR108,
input wire VAR153,
input wire [VAR157-1:0] VAR140,
input wire [VAR157-1:0] VAR105,
input wire [VAR87-1:0] VAR73,
input wire [VAR87-1:0] VAR12,
input wire VAR16,
input wire VAR6,
input wire [VAR87-1:0] VAR146,
input wire [VAR87-1:0] VAR34,
input wire [VAR87-1:0] VAR78
);
reg [VAR137-1:0] VAR67;
reg [VAR137-1:0] VAR151;
reg [VAR137-1:0] VAR77;
reg [VAR137-1:0] VAR120;
reg [VAR137-1:0] VAR125;
reg [VAR137-1:0] VAR143;
reg [VAR137-1:0] VAR98;
reg [VAR137-1:0] VAR47;
reg [VAR137-1:0] VAR134;
reg [VAR137-1:0] VAR53;
reg [VAR137-1:0] VAR15;
reg [VAR137-1:0] VAR89;
reg [VAR137-1:0] VAR25;
reg [VAR137-1:0] VAR110;
reg [VAR137-1:0] VAR4;
reg [VAR137-1:0] VAR22;
reg [VAR137-1:0] VAR111;
reg [VAR137-1:0] VAR107;
reg [VAR137-1:0] VAR19;
reg [VAR137-1:0] VAR101;
reg [VAR137-1:0] VAR58;
reg [VAR137-1:0] VAR46;
reg [VAR137-1:0] VAR10;
reg [VAR137-1:0] VAR61;
reg [VAR137-1:0] VAR114;
reg [VAR137-1:0] VAR88;
reg [VAR137-1:0] VAR70;
reg [VAR137-1:0] VAR124;
reg [VAR137-1:0] VAR51;
reg [VAR137-1:0] VAR55;
reg [VAR137-1:0] VAR74;
reg [VAR137-1:0] VAR136;
reg [VAR137-1:0] VAR152;
reg [VAR137-1:0] VAR56;
reg [VAR137-1:0] VAR117;
reg [VAR137-1:0] VAR103;
reg [VAR137-1:0] VAR100;
reg [VAR137-1:0] VAR154;
reg [VAR137-1:0] VAR66;
reg [VAR137-1:0] VAR71;
reg [VAR137-1:0] VAR85;
reg [VAR137-1:0] VAR99;
wire [VAR137-1:0] VAR72;
wire [VAR137-1:0] VAR2;
wire [VAR137-1:0] VAR129;
wire [VAR137-1:0] VAR115;
wire [VAR137-1:0] VAR69;
wire [VAR137-1:0] VAR8;
wire [VAR87-1:0] VAR112 = ~VAR34;
wire [VAR87-1:0] VAR81 = ~VAR78;
wire VAR20 = VAR108 && VAR153 &&
(VAR26 == VAR35) ? 1'b0 : VAR108;
wire VAR5 = VAR50 &&
~(
(VAR108 && (VAR26 == VAR121)) ||
(VAR153 && (VAR35 == VAR121))
);
wire VAR142 = VAR93 &&
~(
(VAR108 && (VAR26 == VAR24)) ||
(VAR153 && (VAR35 == VAR24))
);
wire VAR75 = VAR20;
wire VAR43 = VAR153;
wire VAR82 = VAR50 &&
(VAR122 == {VAR99[VAR121], VAR85[VAR121],
VAR71[VAR121], VAR66[VAR121],
VAR154[VAR121], VAR100[VAR121]}) &&
~((VAR75 && (VAR26 == VAR121)) ||
(VAR43 && (VAR35 == VAR121)));
wire VAR131 = VAR93 &&
(VAR45 == {VAR99[VAR24], VAR85[VAR24],
VAR71[VAR24], VAR66[VAR24],
VAR154[VAR24], VAR100[VAR24]}) &&
~((VAR75 && (VAR26 == VAR24)) ||
(VAR43 && (VAR35 == VAR24)));
wire VAR138 = VAR20 && VAR112[0];
wire VAR80 = VAR153 && VAR81[0];
wire VAR96 = VAR50 &&
(VAR122 ==
{VAR98[VAR121], VAR143[VAR121], VAR125[VAR121],
VAR120[VAR121], VAR77[VAR121], VAR151[VAR121]}) &&
~((VAR138 && (VAR26 == VAR121)) ||
(VAR80 && (VAR35 == VAR121)));
wire VAR119 = VAR93 &&
(VAR45 ==
{VAR98[VAR24], VAR143[VAR24], VAR125[VAR24],
VAR120[VAR24], VAR77[VAR24], VAR151[VAR24]}) &&
~((VAR138 && (VAR26 == VAR24)) ||
(VAR80 && (VAR35 == VAR24)));
wire VAR37 = VAR20 && VAR112[1];
wire VAR104 = VAR153 && VAR81[1];
wire VAR1 = VAR50 &&
(VAR122 ==
{VAR110[VAR121], VAR25[VAR121], VAR89[VAR121],
VAR15[VAR121], VAR53[VAR121], VAR134[VAR121]}) &&
~((VAR37 && (VAR26 == VAR121)) ||
(VAR104 && (VAR35 == VAR121)));
wire VAR68 = VAR93 &&
(VAR45 ==
{VAR110[VAR24], VAR25[VAR24], VAR89[VAR24],
VAR15[VAR24], VAR53[VAR24], VAR134[VAR24]}) &&
~((VAR37 && (VAR26 == VAR24)) ||
(VAR104 && (VAR35 == VAR24)));
wire VAR133 = VAR20 && VAR112[2];
wire VAR49 = VAR153 && VAR81[2];
wire VAR156 = VAR50 &&
(VAR122 ==
{VAR58[VAR121], VAR101[VAR121], VAR19[VAR121],
VAR107[VAR121], VAR111[VAR121], VAR22[VAR121]}) &&
~((VAR133 && (VAR26 == VAR121)) ||
(VAR49 && (VAR35 == VAR121)));
wire VAR42 = VAR93 &&
(VAR45 ==
{VAR58[VAR24], VAR101[VAR24], VAR19[VAR24],
VAR107[VAR24], VAR111[VAR24], VAR22[VAR24]}) &&
~((VAR133 && (VAR26 == VAR24)) ||
(VAR49 && (VAR35 == VAR24)));
wire VAR27 = VAR20 && VAR112[3];
wire VAR40 = VAR153 && VAR81[3];
wire VAR150 = VAR50 &&
(VAR122 ==
{VAR124[VAR121], VAR70[VAR121], VAR88[VAR121],
VAR114[VAR121], VAR61[VAR121], VAR10[VAR121]}) &&
~((VAR27 && (VAR26 == VAR121)) ||
(VAR40 && (VAR35 == VAR121)));
wire VAR92 = VAR93 &&
(VAR45 ==
{VAR124[VAR24], VAR70[VAR24], VAR88[VAR24],
VAR114[VAR24], VAR61[VAR24], VAR10[VAR24]}) &&
~((VAR27 && (VAR26 == VAR24)) ||
(VAR40 && (VAR35 == VAR24)));
wire VAR57 = VAR20 && VAR112[4];
wire VAR123 = VAR153 && VAR81[4];
wire VAR130 = VAR50 &&
(VAR122 ==
{VAR117[VAR121], VAR56[VAR121], VAR152[VAR121],
VAR136[VAR121], VAR74[VAR121], VAR55[VAR121]}) &&
~((VAR57 && (VAR26 == VAR121)) ||
(VAR123 && (VAR35 == VAR121)));
wire VAR9 = VAR93 &&
(VAR45 ==
{VAR117[VAR24], VAR56[VAR24], VAR152[VAR24],
VAR136[VAR24], VAR74[VAR24], VAR55[VAR24]}) &&
~((VAR57 && (VAR26 == VAR24)) ||
(VAR123 && (VAR35 == VAR24)));
wire [VAR137-1:0] VAR159 =
(VAR103 &
((VAR82) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR131) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0))
);
wire [VAR137-1:0] VAR44 =
((VAR96) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR119) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0));
wire [VAR137-1:0] VAR158 =
((VAR1) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR68) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0));
wire [VAR137-1:0] VAR11 =
((VAR156) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR42) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0));
wire [VAR137-1:0] VAR31 =
((VAR150) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR92) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0));
wire [VAR137-1:0] VAR52 =
((VAR130) ?
~(VAR137'b1 << VAR121) :
~(VAR137'b0)) &
((VAR9) ?
~(VAR137'b1 << VAR24) :
~(VAR137'b0));
assign VAR109 = VAR103[VAR23];
assign VAR62 = VAR103[VAR90];
assign VAR21 = VAR103[VAR32];
assign VAR126 = VAR103[VAR63];
assign VAR18 = {VAR99[VAR23], VAR85[VAR23], VAR71[VAR23],
VAR66[VAR23], VAR154[VAR23], VAR100[VAR23]};
assign VAR36 = {VAR99[VAR90], VAR85[VAR90], VAR71[VAR90],
VAR66[VAR90], VAR154[VAR90], VAR100[VAR90]};
assign VAR7 = {VAR99[VAR32], VAR85[VAR32], VAR71[VAR32],
VAR66[VAR32], VAR154[VAR32], VAR100[VAR32]};
assign VAR79 = {VAR99[VAR63], VAR85[VAR63], VAR71[VAR63],
VAR66[VAR63], VAR154[VAR63], VAR100[VAR63]};
always @ (posedge clk) begin
if (reset) begin
VAR67 <= 0;
VAR47 <= 0;
VAR4 <= 0;
VAR46 <= 0;
VAR51 <= 0;
VAR103 <= 0;
end else begin
if (VAR6) begin
VAR103 <= VAR159;
VAR47 <= (VAR146 == 5'b00010) ? VAR159 : (VAR158 & VAR47);
VAR4 <= (VAR146 == 5'b00100) ? VAR159 : (VAR11 & VAR4);
VAR46 <= (VAR146 == 5'b01000) ? VAR159 : (VAR31 & VAR46);
VAR51 <= (VAR146 == 5'b10000) ? VAR159 : (VAR52 & VAR51);
VAR67 <= (VAR146 == 5'b00001) ? VAR159 : (VAR44 & VAR67);
end else if (VAR16) begin if (VAR146 == 5'b00010) begin
VAR67 <= VAR47;
VAR47 <= VAR47;
VAR4 <= VAR47;
VAR46 <= VAR47;
VAR51 <= VAR47;
VAR103 <= VAR47;
end else if (VAR146 == 5'b00100) begin
VAR67 <= VAR4;
VAR47 <= VAR4;
VAR4 <= VAR4;
VAR46 <= VAR4;
VAR51 <= VAR4;
VAR103 <= VAR4;
end else if (VAR146 == 5'b01000) begin
VAR67 <= VAR46;
VAR47 <= VAR46;
VAR4 <= VAR46;
VAR46 <= VAR46;
VAR51 <= VAR46;
VAR103 <= VAR46;
end else if (VAR146 == 5'b10000) begin
VAR67 <= VAR51;
VAR47 <= VAR51;
VAR4 <= VAR51;
VAR46 <= VAR51;
VAR51 <= VAR51;
VAR103 <= VAR51;
end else if (VAR146 == 5'b00001) begin
VAR67 <= VAR67;
VAR47 <= VAR67;
VAR4 <= VAR67;
VAR46 <= VAR67;
VAR51 <= VAR67;
VAR103 <= VAR67;
end
end else begin
if (VAR75)
VAR103[VAR26] <= 1'b1;
if (VAR43)
VAR103[VAR35] <= 1'b1;
if (VAR82)
VAR103[VAR121] <= 1'b0;
if (VAR131)
VAR103[VAR24] <= 1'b0;
if (VAR138)
VAR67[VAR26] <= 1'b1;
if (VAR80)
VAR67[VAR35] <= 1'b1;
if (VAR96)
VAR67[VAR121] <= 1'b0;
if (VAR119)
VAR67[VAR24] <= 1'b0;
if (VAR37)
VAR47[VAR26] <= 1'b1;
if (VAR104)
VAR47[VAR35] <= 1'b1;
if (VAR1)
VAR47[VAR121] <= 1'b0;
if (VAR68)
VAR47[VAR24] <= 1'b0;
if (VAR133)
VAR4[VAR26] <= 1'b1;
if (VAR49)
VAR4[VAR35] <= 1'b1;
if (VAR156)
VAR4[VAR121] <= 1'b0;
if (VAR42)
VAR4[VAR24] <= 1'b0;
if (VAR27)
VAR46[VAR26] <= 1'b1;
if (VAR40)
VAR46[VAR35] <= 1'b1;
if (VAR150)
VAR46[VAR121] <= 1'b0;
if (VAR92)
VAR46[VAR24] <= 1'b0;
if (VAR57)
VAR51[VAR26] <= 1'b1;
if (VAR123)
VAR51[VAR35] <= 1'b1;
if (VAR130)
VAR51[VAR121] <= 1'b0;
if (VAR9)
VAR51[VAR24] <= 1'b0;
end end end
always @ (posedge clk) begin
if (reset) begin
VAR151 <= 0;
VAR77 <= 0;
VAR120 <= 0;
VAR125 <= 0;
VAR143 <= 0;
VAR98 <= 0;
VAR134 <= 0;
VAR53 <= 0;
VAR15 <= 0;
VAR89 <= 0;
VAR25 <= 0;
VAR110 <= 0;
VAR22 <= 0;
VAR111 <= 0;
VAR107 <= 0;
VAR19 <= 0;
VAR101 <= 0;
VAR58 <= 0;
VAR10 <= 0;
VAR61 <= 0;
VAR114 <= 0;
VAR88 <= 0;
VAR70 <= 0;
VAR124 <= 0;
VAR55 <= 0;
VAR74 <= 0;
VAR136 <= 0;
VAR152 <= 0;
VAR56 <= 0;
VAR117 <= 0;
VAR100 <= 0;
VAR154 <= 0;
VAR66 <= 0;
VAR71 <= 0;
VAR85 <= 0;
VAR99 <= 0;
end else if (VAR6) begin
VAR100 <= VAR100;
VAR154 <= VAR154;
VAR66 <= VAR66;
VAR71 <= VAR71;
VAR85 <= VAR85;
VAR99 <= VAR99;
if (VAR146 == 5'b00010) begin
VAR134 <= VAR100;
VAR53 <= VAR154;
VAR15 <= VAR66;
VAR89 <= VAR71;
VAR25 <= VAR85;
VAR110 <= VAR99;
end else if (VAR146 == 5'b00100) begin
VAR22 <= VAR100;
VAR111 <= VAR154;
VAR107 <= VAR66;
VAR19 <= VAR71;
VAR101 <= VAR85;
VAR58 <= VAR99;
end else if (VAR146 == 5'b01000) begin
VAR10 <= VAR100;
VAR61 <= VAR154;
VAR114 <= VAR66;
VAR88 <= VAR71;
VAR70 <= VAR85;
VAR124 <= VAR99;
end else if (VAR146 == 5'b10000) begin
VAR55 <= VAR100;
VAR74 <= VAR154;
VAR136 <= VAR66;
VAR152 <= VAR71;
VAR56 <= VAR85;
VAR117 <= VAR99;
end else if (VAR146 == 5'b00001) begin
VAR151 <= VAR100;
VAR77 <= VAR154;
VAR120 <= VAR66;
VAR125 <= VAR71;
VAR143 <= VAR85;
VAR98 <= VAR99;
end
end else if (VAR16) begin if (VAR146 == 5'b00010) begin
VAR151 <= VAR134;
VAR77 <= VAR53;
VAR120 <= VAR15;
VAR125 <= VAR89;
VAR143 <= VAR25;
VAR98 <= VAR110;
VAR134 <= VAR134;
VAR53 <= VAR53;
VAR15 <= VAR15;
VAR89 <= VAR89;
VAR25 <= VAR25;
VAR110 <= VAR110;
VAR22 <= VAR134;
VAR111 <= VAR53;
VAR107 <= VAR15;
VAR19 <= VAR89;
VAR101 <= VAR25;
VAR58 <= VAR110;
VAR10 <= VAR134;
VAR61 <= VAR53;
VAR114 <= VAR15;
VAR88 <= VAR89;
VAR70 <= VAR25;
VAR124 <= VAR110;
VAR55 <= VAR134;
VAR74 <= VAR53;
VAR136 <= VAR15;
VAR152 <= VAR89;
VAR56 <= VAR25;
VAR117 <= VAR110;
VAR100 <= VAR134;
VAR154 <= VAR53;
VAR66 <= VAR15;
VAR71 <= VAR89;
VAR85 <= VAR25;
VAR99 <= VAR110;
end else if (VAR146 == 5'b00100) begin
VAR151 <= VAR22;
VAR77 <= VAR111;
VAR120 <= VAR107;
VAR125 <= VAR19;
VAR143 <= VAR101;
VAR98 <= VAR58;
VAR134 <= VAR22;
VAR53 <= VAR111;
VAR15 <= VAR107;
VAR89 <= VAR19;
VAR25 <= VAR101;
VAR110 <= VAR58;
VAR22 <= VAR22;
VAR111 <= VAR111;
VAR107 <= VAR107;
VAR19 <= VAR19;
VAR101 <= VAR101;
VAR58 <= VAR58;
VAR10 <= VAR22;
VAR61 <= VAR111;
VAR114 <= VAR107;
VAR88 <= VAR19;
VAR70 <= VAR101;
VAR124 <= VAR58;
VAR55 <= VAR22;
VAR74 <= VAR111;
VAR136 <= VAR107;
VAR152 <= VAR19;
VAR56 <= VAR101;
VAR117 <= VAR58;
VAR100 <= VAR22;
VAR154 <= VAR111;
VAR66 <= VAR107;
VAR71 <= VAR19;
VAR85 <= VAR101;
VAR99 <= VAR58;
end else if (VAR146 == 5'b01000) begin
VAR151 <= VAR10;
VAR77 <= VAR61;
VAR120 <= VAR114;
VAR125 <= VAR88;
VAR143 <= VAR70;
VAR98 <= VAR124;
VAR134 <= VAR10;
VAR53 <= VAR61;
VAR15 <= VAR114;
VAR89 <= VAR88;
VAR25 <= VAR70;
VAR110 <= VAR124;
VAR22 <= VAR10;
VAR111 <= VAR61;
VAR107 <= VAR114;
VAR19 <= VAR88;
VAR101 <= VAR70;
VAR58 <= VAR124;
VAR10 <= VAR10;
VAR61 <= VAR61;
VAR114 <= VAR114;
VAR88 <= VAR88;
VAR70 <= VAR70;
VAR124 <= VAR124;
VAR55 <= VAR10;
VAR74 <= VAR61;
VAR136 <= VAR114;
VAR152 <= VAR88;
VAR56 <= VAR70;
VAR117 <= VAR124;
VAR100 <= VAR10;
VAR154 <= VAR61;
VAR66 <= VAR114;
VAR71 <= VAR88;
VAR85 <= VAR70;
VAR99 <= VAR124;
end else if (VAR146 == 5'b10000) begin
VAR151 <= VAR55;
VAR77 <= VAR74;
VAR120 <= VAR136;
VAR125 <= VAR152;
VAR143 <= VAR56;
VAR98 <= VAR117;
VAR134 <= VAR55;
VAR53 <= VAR74;
VAR15 <= VAR136;
VAR89 <= VAR152;
VAR25 <= VAR56;
VAR110 <= VAR117;
VAR22 <= VAR55;
VAR111 <= VAR74;
VAR107 <= VAR136;
VAR19 <= VAR152;
VAR101 <= VAR56;
VAR58 <= VAR117;
VAR10 <= VAR55;
VAR61 <= VAR74;
VAR114 <= VAR136;
VAR88 <= VAR152;
VAR70 <= VAR56;
VAR124 <= VAR117;
VAR55 <= VAR55;
VAR74 <= VAR74;
VAR136 <= VAR136;
VAR152 <= VAR152;
VAR56 <= VAR56;
VAR117 <= VAR117;
VAR100 <= VAR55;
VAR154 <= VAR74;
VAR66 <= VAR136;
VAR71 <= VAR152;
VAR85 <= VAR56;
VAR99 <= VAR117;
end else if (VAR146 == 5'b00001) begin
VAR151 <= VAR151;
VAR77 <= VAR77;
VAR120 <= VAR120;
VAR125 <= VAR125;
VAR143 <= VAR143;
VAR98 <= VAR98;
VAR134 <= VAR151;
VAR53 <= VAR77;
VAR15 <= VAR120;
VAR89 <= VAR125;
VAR25 <= VAR143;
VAR110 <= VAR98;
VAR22 <= VAR151;
VAR111 <= VAR77;
VAR107 <= VAR120;
VAR19 <= VAR125;
VAR101 <= VAR143;
VAR58 <= VAR98;
VAR10 <= VAR151;
VAR61 <= VAR77;
VAR114 <= VAR120;
VAR88 <= VAR125;
VAR70 <= VAR143;
VAR124 <= VAR98;
VAR55 <= VAR151;
VAR74 <= VAR77;
VAR136 <= VAR120;
VAR152 <= VAR125;
VAR56 <= VAR143;
VAR117 <= VAR98;
VAR100 <= VAR151;
VAR154 <= VAR77;
VAR66 <= VAR120;
VAR71 <= VAR125;
VAR85 <= VAR143;
VAR99 <= VAR98;
end
end else begin if (VAR108) begin
VAR100[VAR26] <= VAR20 ?
VAR140[0] : VAR100[VAR26];
VAR151[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[0] : VAR151[VAR26];
VAR134[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[0] : VAR134[VAR26];
VAR22[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[0] : VAR22[VAR26];
VAR10[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[0] : VAR10[VAR26];
VAR55[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[0] : VAR55[VAR26];
VAR154[VAR26] <= VAR20 ?
VAR140[1] : VAR154[VAR26];
VAR77[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[1] : VAR77[VAR26];
VAR53[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[1] : VAR53[VAR26];
VAR111[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[1] : VAR111[VAR26];
VAR61[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[1] : VAR61[VAR26];
VAR74[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[1] : VAR74[VAR26];
VAR66[VAR26] <= VAR20 ?
VAR140[2] : VAR66[VAR26];
VAR120[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[2] : VAR120[VAR26];
VAR15[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[2] : VAR15[VAR26];
VAR107[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[2] : VAR107[VAR26];
VAR114[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[2] : VAR114[VAR26];
VAR136[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[2] : VAR136[VAR26];
VAR71[VAR26] <= VAR20 ?
VAR140[3] : VAR71[VAR26];
VAR125[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[3] : VAR125[VAR26];
VAR89[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[3] : VAR89[VAR26];
VAR19[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[3] : VAR19[VAR26];
VAR88[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[3] : VAR88[VAR26];
VAR152[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[3] : VAR152[VAR26];
VAR85[VAR26] <= VAR20 ?
VAR140[4] : VAR85[VAR26];
VAR143[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[4] : VAR143[VAR26];
VAR25[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[4] : VAR25[VAR26];
VAR101[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[4] : VAR101[VAR26];
VAR70[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[4] : VAR70[VAR26];
VAR56[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[4] : VAR56[VAR26];
VAR99[VAR26] <= VAR20 ?
VAR140[5] : VAR99[VAR26];
VAR98[VAR26] <= (VAR112[0] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[5] : VAR98[VAR26];
VAR110[VAR26] <= (VAR112[1] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[5] : VAR110[VAR26];
VAR58[VAR26] <= (VAR112[2] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[5] : VAR58[VAR26];
VAR124[VAR26] <= (VAR112[3] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[5] : VAR124[VAR26];
VAR117[VAR26] <= (VAR112[4] &
(VAR20 |
(VAR73 != VAR12))) ?
VAR140[5] : VAR117[VAR26];
end if (VAR153) begin
VAR100[VAR35] <= VAR105[0];
VAR151[VAR35] <= VAR81[0] ?
VAR105[0] : VAR151[VAR35];
VAR134[VAR35] <= VAR81[1] ?
VAR105[0] : VAR134[VAR35];
VAR22[VAR35] <= VAR81[2] ?
VAR105[0] : VAR22[VAR35];
VAR10[VAR35] <= VAR81[3] ?
VAR105[0] : VAR10[VAR35];
VAR55[VAR35] <= VAR81[4] ?
VAR105[0] : VAR55[VAR35];
VAR154[VAR35] <= VAR105[1];
VAR77[VAR35] <= VAR81[0] ?
VAR105[1] : VAR77[VAR35];
VAR53[VAR35] <= VAR81[1] ?
VAR105[1] : VAR53[VAR35];
VAR111[VAR35] <= VAR81[2] ?
VAR105[1] : VAR111[VAR35];
VAR61[VAR35] <= VAR81[3] ?
VAR105[1] : VAR61[VAR35];
VAR74[VAR35] <= VAR81[4] ?
VAR105[1] : VAR74[VAR35];
VAR66[VAR35] <= VAR105[2];
VAR120[VAR35] <= VAR81[0] ?
VAR105[2] : VAR120[VAR35];
VAR15[VAR35] <= VAR81[1] ?
VAR105[2] : VAR15[VAR35];
VAR107[VAR35] <= VAR81[2] ?
VAR105[2] : VAR107[VAR35];
VAR114[VAR35] <= VAR81[3] ?
VAR105[2] : VAR114[VAR35];
VAR136[VAR35] <= VAR81[4] ?
VAR105[2] : VAR136[VAR35];
VAR71[VAR35] <= VAR105[3];
VAR125[VAR35] <= VAR81[0] ?
VAR105[3] : VAR125[VAR35];
VAR89[VAR35] <= VAR81[1] ?
VAR105[3] : VAR89[VAR35];
VAR19[VAR35] <= VAR81[2] ?
VAR105[3] : VAR19[VAR35];
VAR88[VAR35] <= VAR81[3] ?
VAR105[3] : VAR88[VAR35];
VAR152[VAR35] <= VAR81[4] ?
VAR105[3] : VAR152[VAR35];
VAR85[VAR35] <= VAR105[4];
VAR143[VAR35] <= VAR81[0] ?
VAR105[4] : VAR143[VAR35];
VAR25[VAR35] <= VAR81[1] ?
VAR105[4] : VAR25[VAR35];
VAR101[VAR35] <= VAR81[2] ?
VAR105[4] : VAR101[VAR35];
VAR70[VAR35] <= VAR81[3] ?
VAR105[4] : VAR70[VAR35];
VAR56[VAR35] <= VAR81[4] ?
VAR105[4] : VAR56[VAR35];
VAR99[VAR35] <= VAR105[5];
VAR98[VAR35] <= VAR81[0] ?
VAR105[5] : VAR98[VAR35];
VAR110[VAR35] <= VAR81[1] ?
VAR105[5] : VAR110[VAR35];
VAR58[VAR35] <= VAR81[2] ?
VAR105[5] : VAR58[VAR35];
VAR124[VAR35] <= VAR81[3] ?
VAR105[5] : VAR124[VAR35];
VAR117[VAR35] <= VAR81[4] ?
VAR105[5] : VAR117[VAR35];
end
end
end
endmodule VAR139 wire
|
bsd-3-clause
|
esonghori/TinyGarble
|
circuit_synthesis/a23/a23_core.v
| 11,574 |
module MODULE1
(
input VAR93,
input VAR83,
output [31:0] VAR13, output [31:0] VAR60,
output VAR12,
output [3:0] VAR100,
input [31:0] VAR66,
output VAR71
);
wire [31:0] VAR3;
wire [31:0] VAR19; wire [31:0] VAR15;
wire VAR55;
wire [31:0] VAR78;
wire [3:0] VAR102;
wire VAR52;
wire [31:0] VAR68;
wire [4:0] VAR113;
wire [3:0] VAR18;
wire [31:0] VAR84;
wire [4:0] VAR106;
wire [3:0] VAR115;
wire [3:0] VAR70;
wire [3:0] VAR50;
wire [3:0] VAR32;
wire [3:0] VAR28;
wire [3:0] VAR59;
wire [1:0] VAR56;
wire [1:0] VAR105;
wire [1:0] VAR64;
wire VAR4;
wire [8:0] VAR47;
wire [1:0] VAR65;
wire [3:0] VAR8;
wire [1:0] VAR31;
wire [1:0] VAR27;
wire [2:0] VAR39;
wire [2:0] VAR77;
wire VAR108;
wire VAR29;
wire [14:0] VAR40;
wire VAR114;
assign VAR71 = ({VAR3[31:2], 2'd0} == 32'h00000018) && (VAR19 == 32'h0000001c);
VAR63 VAR17 (
.VAR93 ( VAR93 ),
.VAR83 ( VAR83 ),
.VAR51 ( {VAR3[31:2], 2'd0} ),
.VAR109 ( VAR19 ),
.VAR1 ( VAR15 ),
.VAR61 ( VAR55 ),
.VAR85 ( VAR78 ),
.VAR16 ( VAR102 ),
.VAR74 ( 1'b0 ),
.VAR62 ( 1'b0 ),
.VAR48 ( 32'b0 ),
.VAR13 ( VAR13 ),
.VAR60 ( VAR60 ),
.VAR12 ( VAR12 ),
.VAR100 ( VAR100 ),
.VAR66 ( VAR66 )
);
VAR20 VAR99 (
.VAR93 ( VAR93 ),
.VAR83 ( VAR83 ),
.VAR26 ( VAR78 ),
.VAR46 ( VAR3 ),
.VAR85 ( VAR84 ),
.VAR82 ( VAR106 ),
.VAR25 ( VAR114 ),
.VAR36 ( VAR68 ),
.VAR7 ( VAR113 ),
.VAR5 ( VAR18 ),
.VAR86 ( VAR115 ),
.VAR54 ( VAR70 ),
.VAR89 ( VAR50 ),
.VAR96 ( VAR32 ),
.VAR98 ( VAR28 ),
.VAR34 ( VAR59 ),
.VAR90 ( VAR56 ),
.VAR101 ( VAR105 ),
.VAR104 ( VAR64 ),
.VAR35 ( VAR4 ),
.VAR75 ( VAR47 ),
.VAR37 ( VAR65 ),
.VAR111 ( VAR8 ),
.VAR58 ( VAR31 ),
.VAR44 ( VAR27 ),
.VAR21 ( VAR39 ),
.VAR88 ( VAR77 ),
.VAR79 ( VAR108 ),
.VAR9 ( VAR29 ),
.VAR53 ( VAR40 ),
.VAR110 ( VAR52 )
);
VAR38 VAR14 (
.VAR93 ( VAR93 ),
.VAR83 ( VAR83 ),
.VAR26 ( VAR84 ),
.VAR30 ( VAR106 ),
.VAR76 ( VAR15 ),
.VAR23 ( VAR3 ),
.VAR33 ( VAR19 ),
.VAR41 ( VAR102 ),
.VAR6 ( VAR55 ),
.VAR49 ( VAR114 ),
.VAR97 ( VAR68 ),
.VAR24 ( VAR113 ),
.VAR57 ( VAR18 ),
.VAR2 ( VAR115 ),
.VAR22 ( VAR70 ),
.VAR95 ( VAR50 ),
.VAR69 ( VAR32 ),
.VAR45 ( VAR28 ),
.VAR10 ( VAR59 ),
.VAR43 ( VAR56 ),
.VAR87 ( VAR105 ),
.VAR81 ( VAR64 ),
.VAR107 ( VAR4 ),
.VAR67 ( VAR47 ),
.VAR11 ( VAR65 ),
.VAR80 ( VAR8 ),
.VAR42 ( VAR31 ),
.VAR91 ( VAR27 ),
.VAR73 ( VAR39 ),
.VAR72 ( VAR77 ),
.VAR103 ( VAR108 ),
.VAR92 ( VAR29 ),
.VAR94 ( VAR40 ),
.VAR112 ( VAR52 )
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/inputiso1n/sky130_fd_sc_lp__inputiso1n.pp.blackbox.v
| 1,385 |
module MODULE1 (
VAR4 ,
VAR5 ,
VAR2,
VAR6 ,
VAR3 ,
VAR1 ,
VAR7
);
output VAR4 ;
input VAR5 ;
input VAR2;
input VAR6 ;
input VAR3 ;
input VAR1 ;
input VAR7 ;
endmodule
|
apache-2.0
|
rurume/openrisc_vision_hardware
|
ISE/ram0_top.v
| 3,696 |
module MODULE1(
input VAR13,
input VAR5,
input VAR4,
input VAR24,
output reg VAR25,
input [31:0] VAR34,
input [3:0] VAR2,
input VAR17,
input [31:0] VAR10,
output [31:0] VAR27,
input VAR26,
input VAR7,
input [15:0] VAR20,
input [31:0] VAR19,
output [31:0] VAR14,
output [7:0] VAR6, input [1:0] VAR15 );
wire [31:0] VAR1;
wire [31:0] VAR16;
wire request;
wire [15:0] VAR33;
wire [12:0] VAR33;
wire [31:0] VAR30;
wire [3:0] VAR22;
wire VAR31;
reg VAR8;
wire VAR32;
reg VAR23;
assign request = VAR4 & VAR24;
assign VAR30 = (VAR26==1'b1)? VAR19
:(request == 1'b1)? (VAR10 & {{8{VAR22[3]}},{8{VAR22[2]}},{8{VAR22[1]}},{8{VAR22[0]}}}):32'b0;
assign VAR22 = (VAR26==1'b1)? 4'b1111
:(request == 1'b1)? VAR2:4'b0;
assign VAR31 = (VAR26==1'b1)? VAR7
:(request == 1'b1)? VAR17:1'b0;
assign VAR33 = (VAR26==1'b1)? VAR20
:(request == 1'b1)? VAR34[17:2]:16'b0;
assign VAR14 = (VAR33 == 16'hffff) ? VAR16 : VAR1; assign VAR27 = (VAR33 == 16'hffff) ? VAR16 : VAR1; VAR29
assign VAR33 = (VAR26==1'b1)? VAR20[12:0]
:(request == 1'b1)? VAR34[14:2]:13'b0;
assign VAR14 = (VAR33 == 13'h1fff) ? VAR16 : VAR1; assign VAR27 = (VAR33 == 13'h1fff) ? VAR16 : VAR1; VAR29
VAR12 VAR12(
.clk(VAR13),
.rst(VAR5),
.VAR35(VAR31),
.addr(VAR33),
.din(VAR30),
.dout(VAR16),
.VAR6(VAR6),
.VAR15({14'b11111111111111, VAR15})
);
VAR37 VAR11(
.VAR36(VAR13),
.VAR21(VAR5),
.VAR35(VAR31),
.VAR18(VAR33),
.VAR28(VAR30),
.VAR3(VAR1)
);
VAR9 VAR11(
.VAR36(VAR13),
.VAR21(VAR5),
.VAR35(VAR31),
.VAR18(VAR33),
.VAR28(VAR30),
.VAR3(VAR1)
);
always @ (posedge VAR13)
begin
if(VAR5 == 1)
VAR8 <= 0;
end
else
VAR8 <= request;
end
assign VAR32 = (VAR8 ^ request) & request;
always @ (posedge VAR13)
begin
if (VAR5 == 1)
VAR23 <= 0;
end
else if (VAR32 == 1)
VAR23 <= 1;
else
VAR23 <= 0;
end
always @ (posedge VAR13)
begin
if (VAR5 == 1)
VAR25 <= 0;
end
else
VAR25 <= VAR23;
end
endmodule
|
gpl-2.0
|
SymbiFlow/yosys
|
techlibs/xilinx/xc2v_brams_map.v
| 5,842 |
module \VAR36 (VAR43, VAR16, VAR23, VAR22, VAR32, VAR6, VAR1, VAR7);
parameter VAR47 = 9;
parameter VAR27 = 36;
parameter VAR45 = 1;
parameter VAR34 = 1;
parameter VAR41 = 1;
parameter [18431:0] VAR25 = 18432'VAR30;
input VAR43;
input VAR16;
input [VAR47-1:0] VAR23;
output [VAR27-1:0] VAR22;
input VAR32;
input [VAR47-1:0] VAR6;
input [VAR27-1:0] VAR1;
input [VAR45-1:0] VAR7;
generate if (VAR27 == 1) begin
wire VAR26;
VAR14 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(1'd0),
.VAR10(VAR22),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17(VAR1),
.VAR26(VAR26),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 2) begin
wire [1:0] VAR26;
VAR44 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(2'd0),
.VAR10(VAR22),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17(VAR1),
.VAR26(VAR26),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 4) begin
wire [3:0] VAR26;
VAR37 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(4'd0),
.VAR10(VAR22),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17(VAR1),
.VAR26(VAR26),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 9) begin
wire [7:0] VAR26;
wire VAR51;
VAR29 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(8'd0),
.VAR18(1'd0),
.VAR10(VAR22[7:0]),
.VAR35(VAR22[8]),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17(VAR1[7:0]),
.VAR2(VAR1[8]),
.VAR26(VAR26),
.VAR51(VAR51),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 18) begin
wire [15:0] VAR26;
wire [1:0] VAR51;
VAR8 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(16'd0),
.VAR18(2'd0),
.VAR10({VAR22[16:9], VAR22[7:0]}),
.VAR35({VAR22[17], VAR22[8]}),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17({VAR1[16:9], VAR1[7:0]}),
.VAR2({VAR1[17], VAR1[8]}),
.VAR26(VAR26),
.VAR51(VAR51),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 36) begin
wire [31:0] VAR26;
wire [3:0] VAR51;
VAR52 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(32'd0),
.VAR18(4'd0),
.VAR10({VAR22[34:27], VAR22[25:18], VAR22[16:9], VAR22[7:0]}),
.VAR35({VAR22[35], VAR22[26], VAR22[17], VAR22[8]}),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(1'b0),
.VAR17({VAR1[34:27], VAR1[25:18], VAR1[16:9], VAR1[7:0]}),
.VAR2({VAR1[35], VAR1[26], VAR1[17], VAR1[8]}),
.VAR26(VAR26),
.VAR51(VAR51),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else begin
("VAR40 VAR4 VAR9 VAR21 VAR49.");
end endgenerate
endmodule
module \VAR15 (VAR43, VAR16, VAR23, VAR22, VAR32, VAR6, VAR1, VAR7);
parameter VAR47 = 9;
parameter VAR27 = 36;
parameter VAR45 = 4;
parameter VAR34 = 1;
parameter VAR41 = 1;
parameter [18431:0] VAR25 = 18432'VAR30;
input VAR43;
input VAR16;
input [VAR47-1:0] VAR23;
output [VAR27-1:0] VAR22;
input VAR32;
input [VAR47-1:0] VAR6;
input [VAR27-1:0] VAR1;
input [VAR45-1:0] VAR7;
generate if (VAR27 == 18) begin
wire [15:0] VAR26;
wire [1:0] VAR51;
VAR12 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(16'd0),
.VAR18(2'd0),
.VAR10({VAR22[16:9], VAR22[7:0]}),
.VAR35({VAR22[17], VAR22[8]}),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(2'b00),
.VAR17({VAR1[16:9], VAR1[7:0]}),
.VAR2({VAR1[17], VAR1[8]}),
.VAR26(VAR26),
.VAR51(VAR51),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else if (VAR27 == 36) begin
wire [31:0] VAR26;
wire [3:0] VAR51;
VAR46 #(
.VAR33("VAR11"),
.VAR13("VAR11"),
) VAR31 (
.VAR48(32'd0),
.VAR18(4'd0),
.VAR10({VAR22[34:27], VAR22[25:18], VAR22[16:9], VAR22[7:0]}),
.VAR35({VAR22[35], VAR22[26], VAR22[17], VAR22[8]}),
.VAR42(VAR23),
.VAR24(VAR43 ^ !VAR34),
.VAR38(VAR32),
.VAR20(|0),
.VAR19(4'b0000),
.VAR17({VAR1[34:27], VAR1[25:18], VAR1[16:9], VAR1[7:0]}),
.VAR2({VAR1[35], VAR1[26], VAR1[17], VAR1[8]}),
.VAR26(VAR26),
.VAR51(VAR51),
.VAR39(VAR6),
.VAR28(VAR16 ^ !VAR41),
.VAR3(|1),
.VAR50(|0),
.VAR5(VAR7)
);
end else begin
("VAR40 VAR4 VAR9 VAR21 VAR49.");
end endgenerate
endmodule
|
isc
|
golfit/QcmMasterController
|
counterDiagnosticVersion.v
| 9,213 |
module MODULE1 (clk,VAR7,VAR3,VAR2);
input clk,VAR7;
output reg [13:0] VAR3;
reg [13:0] VAR5; reg [13:0] VAR4;
output reg [13:0] VAR2; reg [6:0] VAR8; reg VAR6; reg VAR1; reg reset;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a32oi/sky130_fd_sc_ms__a32oi.functional.pp.v
| 2,238 |
module MODULE1 (
VAR15 ,
VAR19 ,
VAR8 ,
VAR13 ,
VAR1 ,
VAR4 ,
VAR6,
VAR2,
VAR3 ,
VAR16
);
output VAR15 ;
input VAR19 ;
input VAR8 ;
input VAR13 ;
input VAR1 ;
input VAR4 ;
input VAR6;
input VAR2;
input VAR3 ;
input VAR16 ;
wire VAR18 ;
wire VAR17 ;
wire VAR5 ;
wire VAR10;
nand VAR9 (VAR18 , VAR8, VAR19, VAR13 );
nand VAR20 (VAR17 , VAR4, VAR1 );
and VAR14 (VAR5 , VAR18, VAR17 );
VAR12 VAR7 (VAR10, VAR5, VAR6, VAR2);
buf VAR11 (VAR15 , VAR10 );
endmodule
|
apache-2.0
|
hpeng2/ECE492_Group4_Project
|
ECE_492_Project_new/db/ip/Video_System/submodules/altera_up_av_config_auto_init_dc2.v
| 8,036 |
module MODULE1 (
VAR14,
VAR8
);
parameter VAR4 = 16'h000C;
parameter VAR26 = 16'h001E;
parameter VAR12 = 16'h0400;
parameter VAR18 = 16'h0500;
parameter VAR6 = 16'h0088; parameter VAR5 = 16'h0019; parameter VAR1 = 16'h00C6;
parameter VAR3 = 16'h0019;
parameter VAR2 = 16'h0432;
parameter VAR16 = 16'h0011;
parameter VAR24 = 16'h0000;
parameter VAR23 = 16'h0000;
parameter VAR21 = 16'h0008;
parameter VAR7 = 16'h0000;
parameter VAR9 = 16'h0001;
parameter VAR19 = 16'h040C;
parameter VAR11 = 16'h0129;
parameter VAR10 = 16'h0608;
parameter VAR17 = 16'h00B0;
parameter VAR15 = 16'h00CF;
parameter VAR22 = 16'h00CF;
parameter VAR13 = 16'h00B0;
parameter VAR25 = 16'h000B;
input [ 4: 0] VAR14;
output [35: 0] VAR8;
reg [31: 0] VAR20;
assign VAR8 = {VAR20[31:24], 1'b0,
VAR20[23:16], 1'b0,
VAR20[15: 8], 1'b0,
VAR20[ 7: 0], 1'b0};
always @(*)
begin
case (VAR14)
0 : VAR20 <= {8'hBA, 8'h01, VAR4};
1 : VAR20 <= {8'hBA, 8'h02, VAR26};
2 : VAR20 <= {8'hBA, 8'h03, VAR12};
3 : VAR20 <= {8'hBA, 8'h04, VAR18};
4 : VAR20 <= {8'hBA, 8'h05, VAR6};
5 : VAR20 <= {8'hBA, 8'h06, VAR5};
6 : VAR20 <= {8'hBA, 8'h07, VAR1};
7 : VAR20 <= {8'hBA, 8'h08, VAR3};
8 : VAR20 <= {8'hBA, 8'h09, VAR2};
9 : VAR20 <= {8'hBA, 8'h0A, VAR16};
10 : VAR20 <= {8'hBA, 8'h0B, VAR24};
11 : VAR20 <= {8'hBA, 8'h0C, VAR23};
12 : VAR20 <= {8'hBA, 8'h0D, VAR21};
13 : VAR20 <= {8'hBA, 8'h1F, VAR7};
14 : VAR20 <= {8'hBA, 8'h20, VAR9};
15 : VAR20 <= {8'hBA, 8'h21, VAR19};
16 : VAR20 <= {8'hBA, 8'h22, VAR11};
17 : VAR20 <= {8'hBA, 8'h23, VAR10};
18 : VAR20 <= {8'hBA, 8'h2B, VAR17};
19 : VAR20 <= {8'hBA, 8'h2C, VAR15};
20 : VAR20 <= {8'hBA, 8'h2D, VAR22};
21 : VAR20 <= {8'hBA, 8'h2E, VAR13};
22 : VAR20 <= {8'hBA, 8'hC8, VAR25};
default : VAR20 <= 32'h00000000;
endcase
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or4b/sky130_fd_sc_lp__or4b.pp.blackbox.v
| 1,335 |
module MODULE1 (
VAR9 ,
VAR8 ,
VAR2 ,
VAR4 ,
VAR3 ,
VAR7,
VAR1,
VAR5 ,
VAR6
);
output VAR9 ;
input VAR8 ;
input VAR2 ;
input VAR4 ;
input VAR3 ;
input VAR7;
input VAR1;
input VAR5 ;
input VAR6 ;
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/mul/rtl/sparc_mul_dp.v
| 6,733 |
module MODULE1(
VAR27,
VAR6,
VAR38,
VAR2,
valid,
VAR9,
VAR33,
VAR52,
VAR28,
VAR23,
VAR43,
VAR37,
VAR24,
VAR7,
VAR30,
VAR16,
VAR21,
VAR49,
VAR8,
VAR45,
VAR53,
VAR19
);
input [63:0] VAR27; input [63:0] VAR6; input [63:0] VAR38; input [63:0] VAR2; input valid; input VAR9; input VAR33; input VAR52; input VAR28; input VAR23, VAR43; input VAR37; input VAR24; input VAR7; input VAR30; input VAR16; input VAR49; input VAR8; input VAR53; input VAR19;
output VAR45; output [63:0] VAR21;
wire [63:0] VAR40, VAR11, VAR26;
wire [63:32] VAR14, VAR34;
wire [96:0] VAR35, VAR25;
wire [135:0] VAR44, VAR17, VAR48;
wire VAR32, VAR47, VAR42;
wire VAR41, VAR5;
wire clk;
assign clk = VAR19 ;
assign VAR40 = ({64{VAR9}} & VAR38) |
({64{~VAR9}} & VAR27 );
assign VAR32 = ~VAR9;
assign VAR47 = VAR9 & VAR33 ;
assign VAR42 = VAR9 & ~VAR33 ;
assign VAR11 = (VAR32 & VAR47)|(VAR32 & VAR42)|(VAR47 & VAR42) ? 64'VAR15 :
(VAR32 ? VAR6 :
(VAR47 ? VAR26 :
(VAR42 ? VAR2 : 64'VAR15)
));
assign VAR14[63:32] = VAR23 ? VAR48[31:0]
: VAR48[63:32] ;
assign VAR34[63:32] = (VAR23 & VAR37) ? VAR44[31:0]
: VAR44[63:32] ;
assign VAR35[96:0] = VAR28 ? {VAR44[128:64],VAR34[63:32]}
: {VAR48[128:64],VAR14[63:32]};
assign VAR25[96:32] = VAR35[96:32] & {65{VAR43}} ;
assign VAR25[31:0] = VAR35[31:0] & {32{(VAR43 | VAR23)}};
VAR51 VAR29(.VAR22 (~VAR40),
.VAR50 (VAR11),
.valid (valid),
.VAR25 (VAR25),
.VAR48 (VAR48[135:129]),
.VAR16 (VAR16),
.out (VAR44),
.VAR19 (clk),
.VAR8 (),
.VAR45 (),
.VAR53 (VAR53),
.VAR39 (VAR49),
.VAR13 (1'b1)
);
VAR10 VAR36 (.din (VAR30),
.clk (clk),
.VAR12 (VAR41),
.VAR53 (VAR53),
.VAR8 (),
.VAR45 ()
);
assign VAR17 = VAR30 ? {64'b0,VAR48[135:64]}
: VAR44 ;
assign VAR21 = VAR41 ? VAR48[63:0]
: VAR44[63:0] ;
VAR20 #(136) VAR1 (.din (VAR17),
.rst (VAR7),
.en (VAR24 | VAR7), .clk(clk), .VAR12 (VAR48),
.VAR53 (VAR53),
.VAR8 (),
.VAR45 ()
);
VAR3 #(136) VAR1 (.din (VAR17),
.rst (VAR7),
.clk (VAR5),
.VAR12 (VAR48),
.VAR53 (VAR53),
.VAR8 (),
.VAR45 ()
);
VAR46 VAR31(.clk(VAR5), .VAR19(clk), .VAR4(~(VAR24 | VAR7)), .VAR18(~VAR53));
assign VAR26 = VAR52 ? VAR44[63:0]
: VAR48[63:0] ;
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/aoi22/gf180mcu_fd_sc_mcu7t5v0__aoi22_1.functional.pp.v
| 1,804 |
module MODULE1( VAR22, VAR4, VAR18, VAR15, VAR2, VAR19, VAR8 );
input VAR15, VAR2, VAR4, VAR22;
inout VAR19, VAR8;
output VAR18;
wire VAR24;
not VAR7( VAR24, VAR15 );
wire VAR11;
not VAR13( VAR11, VAR4 );
wire VAR17;
and VAR9( VAR17, VAR24, VAR11 );
wire VAR16;
not VAR12( VAR16, VAR22 );
wire VAR1;
and VAR20( VAR1, VAR24, VAR16 );
wire VAR21;
not VAR3( VAR21, VAR2 );
wire VAR14;
and VAR5( VAR14, VAR21, VAR11 );
wire VAR23;
and VAR6( VAR23, VAR21, VAR16 );
or VAR10( VAR18, VAR17, VAR1, VAR14, VAR23 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/or4b/sky130_fd_sc_ms__or4b.functional.v
| 1,402 |
module MODULE1 (
VAR6 ,
VAR1 ,
VAR9 ,
VAR5 ,
VAR7
);
output VAR6 ;
input VAR1 ;
input VAR9 ;
input VAR5 ;
input VAR7;
wire VAR8 ;
wire VAR2;
not VAR3 (VAR8 , VAR7 );
or VAR4 (VAR2, VAR8, VAR5, VAR9, VAR1);
buf VAR10 (VAR6 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlygate4sd2/sky130_fd_sc_ms__dlygate4sd2.functional.pp.v
| 1,832 |
module MODULE1 (
VAR5 ,
VAR8 ,
VAR9,
VAR4,
VAR6 ,
VAR1
);
output VAR5 ;
input VAR8 ;
input VAR9;
input VAR4;
input VAR6 ;
input VAR1 ;
wire VAR7 ;
wire VAR11;
buf VAR3 (VAR7 , VAR8 );
VAR12 VAR10 (VAR11, VAR7, VAR9, VAR4);
buf VAR2 (VAR5 , VAR11 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and4bb/sky130_fd_sc_hd__and4bb.functional.pp.v
| 1,998 |
module MODULE1 (
VAR6 ,
VAR17 ,
VAR12 ,
VAR14 ,
VAR7 ,
VAR13,
VAR1,
VAR2 ,
VAR8
);
output VAR6 ;
input VAR17 ;
input VAR12 ;
input VAR14 ;
input VAR7 ;
input VAR13;
input VAR1;
input VAR2 ;
input VAR8 ;
wire VAR16 ;
wire VAR9 ;
wire VAR4;
nor VAR10 (VAR16 , VAR17, VAR12 );
and VAR11 (VAR9 , VAR16, VAR14, VAR7 );
VAR3 VAR15 (VAR4, VAR9, VAR13, VAR1);
buf VAR5 (VAR6 , VAR4 );
endmodule
|
apache-2.0
|
fredmorcos/attic
|
projects/vo-tools/machines/sbn-machine/sbn.v
| 1,917 |
module MODULE4 (clk, VAR17, addr, in, out);
parameter VAR7 = 32;
parameter VAR15 = 8;
input clk;
input VAR17;
input [VAR15-1:0] addr;
input [VAR7-1:0] in;
output [VAR7-1:0] out;
reg [VAR7-1:0] VAR12 [0:(2**VAR15)-1];
always @ (posedge clk)
if (VAR17) VAR12[addr] <= in;
always @ (addr)
assign out = VAR12[addr];
endmodule
module MODULE3 (clk, sel, VAR11, VAR14, out);
parameter VAR2 = 32;
input clk;
input sel;
input [VAR2-1:0] VAR11;
input [VAR2-1:0] VAR14;
output [VAR2-1:0] out;
always @ (posedge clk)
case (sel)
1: out <= VAR11;
0: out <= VAR14;
default:
endcase endmodule
module MODULE1 (clk, sel, VAR1, VAR3, VAR11, VAR14, out);
parameter VAR2 = 32;
input clk;
input [1:0] sel;
input [VAR2-1:0] VAR1;
input [VAR2-1:0] VAR3;
input [VAR2-1:0] VAR11;
input [VAR2-1:0] VAR14;
output [VAR2-1:0] out;
always @ (posedge clk)
case (sel)
3: out <= VAR1;
2: out <= VAR3;
1: out <= VAR11;
0: out <= VAR14;
default:
endcase endmodule
module MODULE2 (clk);
parameter VAR7 = 32;
parameter VAR15 = 8;
wire VAR18; assign VAR18 = 0;
wire [VAR15-1:0] VAR16;
wire [VAR7-1:0] VAR19;
wire [VAR7-1:0] VAR10;
MODULE4 MODULE1 (clk, VAR18, VAR16, VAR19, VAR10);
wire VAR6;
wire [VAR15-1:0] VAR8;
wire [VAR7-1:0] VAR4;
wire [VAR7-1:0] VAR13;
MODULE4 MODULE1 (clk, VAR6, VAR8, VAR4, VAR13);
reg [VAR15-1:0] VAR9;
endmodule
|
isc
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/rxc_engine_ultrascale.v
| 19,958 |
module MODULE1
parameter VAR45=10,
parameter VAR32 = 0,
parameter VAR2 = 1)
( input VAR17,
input VAR29, input VAR62, output VAR26,
input VAR110,
input VAR121,
input [VAR130-1:0] VAR131,
input [(VAR130/32)-1:0] VAR24,
input [VAR39-1:0] VAR64,
output VAR94,
output [VAR130-1:0] VAR74,
output VAR119,
output [(VAR130/32)-1:0] VAR11,
output VAR116,
output [VAR98(VAR130/32)-1:0] VAR111,
output VAR88,
output [VAR98(VAR130/32)-1:0] VAR48,
output [VAR118-1:0] VAR22,
output [VAR113-1:0] VAR109,
output [VAR56-1:0] VAR78,
output [VAR128-1:0] VAR47,
output [VAR8-1:0] VAR75,
output [VAR43-1:0] VAR19,
output [VAR68-1:0] VAR70,
output [VAR12-1:0] VAR80,
output VAR28
);
localparam VAR27 = (VAR113 + VAR118);
localparam VAR33 = 0;
localparam VAR93 = 2; localparam VAR65 = 1;
localparam VAR73 = VAR65 + VAR93 + VAR33;
localparam VAR37 = (VAR60/VAR130) + VAR33;
localparam VAR108 = (VAR69/VAR130) + VAR33;
localparam VAR127 = (VAR99/VAR130) + VAR33;
localparam VAR82 = (VAR134/VAR130) + VAR33;
localparam VAR9 = VAR33;
localparam VAR61 = VAR130*VAR33 + (VAR60%VAR130);
localparam VAR57 = VAR130*VAR33 + (VAR69%VAR130);
localparam VAR67 = VAR130*VAR33 + (VAR99%VAR130);
localparam VAR35 = VAR130*VAR33;
localparam VAR63 = VAR106(VAR130/32);
wire VAR84;
wire VAR87;
wire [VAR45:0] VAR66;
wire [VAR45:0] VAR1;
wire [VAR45:0] VAR71;
wire [(VAR45+1)*VAR27-1:0] VAR96;
wire [(VAR45+1)*VAR130-1:0] VAR49;
wire VAR5;
wire VAR10; wire [(VAR130/32)-1:0] VAR79;
wire VAR38;
wire [VAR106(VAR130/32)-1:0] VAR126;
wire VAR120;
wire [VAR106(VAR130/32)-1:0] VAR129;
wire [VAR68-1:0] VAR36;
wire [VAR12-1:0] VAR58;
wire [VAR123-1:0] VAR7;
wire [VAR8-1:0] VAR14;
wire [VAR3-1:0] VAR42;
wire [VAR123-1:0] VAR104;
wire [VAR8-1:0] VAR34;
wire VAR91;
wire VAR81;
wire VAR81;
wire VAR41;
wire [VAR106(VAR130/32)-1:0] VAR124;
wire [(VAR130/32)-1:0] VAR15;
wire VAR72;
wire VAR72;
wire [1:0] VAR16;
wire [VAR106(VAR130/32)-1:0] VAR54;
wire [(VAR130/32)-1:0] VAR103;
wire [VAR63-1:0] VAR112;
reg VAR115,VAR115;
reg VAR132;
assign VAR26 = ~VAR132;
assign VAR84 = VAR64[VAR77];
assign VAR87 = VAR121;
assign VAR81 = VAR1[VAR33 + VAR65];
assign VAR41 = VAR1[VAR33 + VAR65 + 1];
assign VAR54 = 3;
assign VAR124 = VAR104[VAR136 +: VAR63] + ((VAR123-32)/32);
assign VAR19 = VAR7[VAR136+:VAR43];
assign VAR78 = VAR7[VAR85];
assign VAR109 = 0; assign VAR22 = 0; assign VAR47 = VAR7[(VAR105) +: VAR128];
assign VAR116 = VAR120;
assign VAR111 = {VAR130 > 64, 1'b1};
assign VAR88 = VAR38;
assign VAR48 = VAR126;
assign VAR119 = VAR5;
assign VAR74 = VAR49[(VAR73)*VAR130 +: VAR130];
assign VAR75 = VAR14;
assign VAR70 = VAR7[VAR44 +: VAR68];
assign VAR80 = VAR7[VAR55];
assign VAR28 = VAR7[VAR46];
assign VAR94 = 1'b1;
assign VAR81 = VAR1[VAR33];
assign VAR81 = VAR1[VAR33+1];
assign VAR72 = VAR16 != 0;
assign VAR34 = (VAR91)? VAR4: VAR40;
generate
if(VAR130 == 64) begin
assign VAR16[0] = 0;
assign VAR16[1] = VAR66[VAR33 + 1];
end else if (VAR130 == 128) begin
assign VAR16[1] = 0;
assign VAR16[0] = VAR66[VAR33];
end else begin assign VAR16[1] = 0;
assign VAR16[0] = VAR66[VAR33];
end endgenerate
always @(*) begin
VAR115 = VAR115;
if(VAR72) begin
VAR115 = 1'b1;
end else if (VAR81) begin
VAR115 = 1'b0;
end
end
always @(posedge VAR17) begin
if(VAR132) begin
VAR115 <= 1'b0;
end else begin
VAR115 <= VAR115;
end
end
always @(posedge VAR17) begin
VAR132 <= VAR29 | VAR62;
end
register
.VAR52 (0)
)
VAR21
( .VAR101 (VAR72),
.VAR122 (VAR72),
.VAR97 (1),
.VAR76 (0),
.VAR17 (VAR17));
register
.VAR52 (0)
)
VAR50
( .VAR101 (VAR104[95:64]),
.VAR122 (VAR49[VAR67 +: 32]),
.VAR97 (VAR66[VAR127]),
.VAR76 (0),
.VAR17 (VAR17));
register
.VAR52 (0)
)
VAR25
( .VAR101 ({VAR104[63:32],VAR91}),
.VAR122 ({VAR49[VAR57 +: 32],
VAR49[VAR57 +: VAR135] != 0}),
.VAR97 (VAR66[VAR108]),
.VAR76 (0),
.VAR17 (VAR17));
register
.VAR52 (0)
)
VAR13
( .VAR101 (VAR104[31:0]),
.VAR122 (VAR49[VAR61 +: 32]),
.VAR97 (VAR66[VAR37]),
.VAR76 (0),
.VAR17 (VAR17));
VAR20
.VAR95 (VAR130),
.VAR52 (0)
)
VAR89
( .VAR101 (VAR49),
.VAR122 (VAR131),
.VAR76 (0),
.VAR17 (VAR17));
VAR20
.VAR95 (1'b1),
.VAR52 (0)
)
VAR30
( .VAR101 (VAR66),
.VAR122 (VAR84 & VAR110),
.VAR76 (0),
.VAR17 (VAR17));
VAR20
.VAR95 (1'b1),
.VAR52 (0)
)
VAR133
( .VAR101 (VAR1),
.VAR122 (VAR87),
.VAR76 (0),
.VAR17 (VAR17));
VAR20
.VAR95 (1),
.VAR52 (0)
)
VAR23
( .VAR101 (VAR71),
.VAR122 (VAR110),
.VAR76 (VAR132),
.VAR17 (VAR17));
assign VAR103 = {VAR130/32{1'b1}} << ({VAR63{VAR72}}& VAR54[VAR63-1:0]);
VAR100
.VAR107 (VAR130/32)
)
VAR114
( .VAR18 (VAR15),
.VAR90 (VAR81),
.VAR92 (VAR124)
);
generate
if(VAR93 == 0) begin
assign VAR11 = {VAR15 & VAR103} & {VAR130/32{~VAR115 | ~VAR91}};
end else begin
register
.VAR52 (0)
)
VAR31
( .VAR101 (VAR79),
.VAR76 (~VAR115 | ~VAR91),
.VAR122 (VAR15 & VAR103),
.VAR97 (1),
.VAR17 (VAR17));
VAR117
.VAR125 (VAR93-1),
.VAR95 (VAR130/32),
.VAR6 (0)
)
VAR86
( .VAR53 (), .VAR101 (VAR11),
.VAR59 (),
.VAR122 (VAR79),
.VAR102 (1),
.VAR83 (1'b1),
.VAR76 (0),
.VAR17 (VAR17));
end
endgenerate
VAR117
.VAR125 (VAR93),
.VAR95 (VAR123 +
2*(1 + VAR106(VAR130/32))+VAR8),
.VAR6 (0)
)
VAR51
(
.VAR53 (), .VAR101 ({VAR7, VAR120,
VAR129,VAR38,
VAR126,VAR14}),
.VAR59 (VAR5),
.VAR122 ({VAR104,VAR72,
VAR54[VAR63-1:0],
VAR81,VAR124[VAR63-1:0],VAR34}),
.VAR102 (VAR115),
.VAR83 (1'b1),
.VAR76 (VAR132),
.VAR17 (VAR17));
endmodule
|
gpl-3.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/tq/spiral_16.v
| 3,200 |
module MODULE1(
VAR34,
VAR13,
VAR33,
VAR28,
VAR27,
VAR1,
VAR15,
VAR18,
VAR7,
VAR45,
VAR26,
VAR24,
VAR6,
VAR23,
VAR50,
VAR41
);
input signed [16:0] VAR34;
output signed [16+7:0] VAR13;
output signed [16+7:0] VAR33;
output signed [16+7:0] VAR28;
output signed [16+7:0] VAR27;
output signed [16+7:0] VAR1;
output signed [16+7:0] VAR15;
output signed [16+7:0] VAR18;
output signed [16+7:0] VAR7;
output signed [16+7:0] VAR45;
output signed [16+7:0] VAR26;
output signed [16+7:0] VAR24;
output signed [16+7:0] VAR6;
output signed [16+7:0] VAR23;
output signed [16+7:0] VAR50;
output signed [16+7:0] VAR41;
wire signed [23:0] VAR49,
VAR8,
VAR4,
VAR47,
VAR37,
VAR38,
VAR20,
VAR9,
VAR31,
VAR44,
VAR29,
VAR16,
VAR14,
VAR46,
VAR10,
VAR30,
VAR5,
VAR32,
VAR35,
VAR39,
VAR36,
VAR12,
VAR40,
VAR42,
VAR11,
VAR17,
VAR19,
VAR2,
VAR22,
VAR25,
VAR43,
VAR21,
VAR48,
VAR3;
assign VAR49 = VAR34;
assign VAR8 = VAR49 << 5;
assign VAR4 = VAR8 - VAR49;
assign VAR47 = VAR49 << 3;
assign VAR37 = VAR4 - VAR47;
assign VAR38 = VAR49 << 2;
assign VAR20 = VAR4 - VAR38;
assign VAR9 = VAR4 + VAR47;
assign VAR31 = VAR4 << 1;
assign VAR44 = VAR31 - VAR49;
assign VAR29 = VAR49 + VAR47;
assign VAR16 = VAR49 << 1;
assign VAR14 = VAR29 + VAR16;
assign VAR46 = VAR29 + VAR38;
assign VAR10 = VAR29 << 1;
assign VAR30 = VAR49 + VAR10;
assign VAR5 = VAR29 + VAR8;
assign VAR32 = VAR29 << 2;
assign VAR35 = VAR29 + VAR32;
assign VAR39 = VAR4 + VAR32;
assign VAR36 = VAR49 << 6;
assign VAR12 = VAR29 + VAR36;
assign VAR40 = VAR49 << 4;
assign VAR42 = VAR49 + VAR40;
assign VAR11 = VAR42 << 2;
assign VAR17 = VAR42 + VAR11;
assign VAR19 = VAR14 << 1;
assign VAR2 = VAR30 << 1;
assign VAR22 = VAR37 << 1;
assign VAR25 = VAR20 << 1;
assign VAR43 = VAR9 << 1;
assign VAR21 = VAR5 << 1;
assign VAR48 = VAR14 << 3;
assign VAR3 = VAR35 << 1;
assign VAR13= VAR38;
assign VAR33=VAR46;
assign VAR28=VAR19;
assign VAR27=VAR4;
assign VAR1=VAR2;
assign VAR15=VAR22;
assign VAR18=VAR25;
assign VAR7=VAR44;
assign VAR45=VAR39;
assign VAR26=VAR12;
assign VAR24=VAR43;
assign VAR6=VAR21;
assign VAR23=VAR17;
assign VAR50=VAR48;
assign VAR41=VAR3;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nand3/sky130_fd_sc_lp__nand3.functional.v
| 1,291 |
module MODULE1 (
VAR2,
VAR5,
VAR6,
VAR1
);
output VAR2;
input VAR5;
input VAR6;
input VAR1;
wire VAR3;
nand VAR4 (VAR3, VAR6, VAR5, VAR1 );
buf VAR7 (VAR2 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a32oi/sky130_fd_sc_hdll__a32oi.blackbox.v
| 1,442 |
module MODULE1 (
VAR4 ,
VAR7,
VAR8,
VAR3,
VAR2,
VAR10
);
output VAR4 ;
input VAR7;
input VAR8;
input VAR3;
input VAR2;
input VAR10;
supply1 VAR9;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
Elphel/x393_sata
|
host/gtx_8x10enc.v
| 4,989 |
module MODULE1(
input wire rst,
input wire clk,
input wire [1:0] VAR10,
input wire [15:0] VAR34,
output wire [19:0] VAR9
);
wire [8:0] VAR23;
wire [8:0] VAR27;
assign VAR23 = {VAR10[0], VAR34[7:0]};
assign VAR27 = {VAR10[1], VAR34[15:8]};
wire [31:0] VAR8;
wire [31:0] VAR44;
reg [19:0] VAR28;
reg [19:0] VAR31;
wire [19:0] VAR19;
wire [19:0] VAR5;
assign VAR19 = VAR8[19:0];
assign VAR5 = VAR44[19:0];
always @ (posedge clk)
begin
VAR28 <= VAR19;
VAR31 <= VAR5;
end
wire [9:0] VAR18;
wire [9:0] VAR36;
reg VAR24;
wire VAR22;
wire VAR15;
wire VAR14;
assign VAR15 = ~^VAR18;
assign VAR14 = ~^VAR36;
assign VAR22 = VAR15 ? ~VAR24 : VAR24;
always @ (posedge clk)
VAR24 <= rst ? 1'b0 : VAR14 ^ VAR15 ? ~VAR24 : VAR24;
assign VAR18 = {10{~VAR24}} & VAR28[19:10] | {10{VAR24}} & VAR28[9:0];
assign VAR36 = {10{~VAR22}} & VAR31[19:10] | {10{VAR22}} & VAR31[9:0];
reg [19:0] VAR29;
assign VAR9 = VAR29;
always @ (posedge clk)
VAR29 <= {VAR36, VAR18};
VAR6 #(
.VAR35 (1),
.VAR33 (1),
.VAR43 (5),
.VAR21 (5)
)
VAR12(
.VAR2 (clk),
.VAR30 ({1'b0, VAR23}),
.VAR1 (1'b1),
.VAR7 (1'b1),
.VAR26 (1'b0),
.VAR39 (VAR8),
.VAR41 (32'h0),
.VAR25 (clk),
.VAR42 ({1'b0, VAR27}),
.VAR16 (1'b1),
.VAR40 (1'b1),
.VAR11 (1'b0),
.VAR38 (VAR44),
.VAR13 (32'h0)
);
reg [8:0] VAR32;
reg [8:0] VAR4;
reg [8:0] VAR45;
reg [8:0] VAR17;
always @ (posedge clk)
begin
VAR32 <= VAR23;
VAR4 <= VAR27;
VAR45 <= VAR32;
VAR17 <= VAR4;
end
always @ (posedge clk)
if (~rst)
if (|VAR19 | |VAR5) begin
end
else begin
VAR20 = %VAR37, VAR3 = %VAR37 2) VAR20 = %VAR37, VAR3 = %VAR37", VAR45[8], VAR45[7:0], VAR17[8], VAR17[7:0]);
repeat (10) @(posedge clk);
end
endmodule
|
gpl-3.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/spu/rtl/spu_madp.v
| 25,971 |
module MODULE1 (
VAR147,
VAR109,
VAR1,
VAR100,
VAR33,
VAR141,
VAR58,
VAR122,
VAR88,
VAR13,
VAR169,
VAR112,
VAR80,
VAR41,
VAR152,
VAR5,
VAR15,
VAR12,
VAR108,
VAR67,
VAR97,
VAR91,
VAR64,
VAR167,
VAR118,
VAR131,
VAR96,
VAR76,
VAR36,
VAR106,
VAR55,
VAR90,
VAR27,
VAR51,
VAR60,
VAR176,
VAR135,
VAR30,
VAR71,
VAR85,
VAR14,
VAR29,
VAR69,
VAR3,
VAR95,
VAR168,
VAR87,
VAR48);
input VAR48;
input VAR152;
input VAR5;
input [63:0] VAR15;
input [3:0] VAR12;
input VAR108;
input VAR67;
input VAR97;
input VAR91;
input [4:0] VAR64;
input VAR167;
input VAR118;
input VAR131;
input VAR96;
input VAR76;
input [2:0] VAR36;
input VAR106;
input VAR71;
input VAR85;
input VAR14;
input VAR29;
input [3:0] VAR69;
input VAR3;
input VAR95;
input VAR168;
input VAR87;
input [65:0] VAR55;
input [65:0] VAR90;
input [2:0] VAR27;
input [3:0] VAR51;
input VAR60;
input [63:0] VAR176;
input [13:0] VAR30;
input [127:0] VAR135;
output [65:0] VAR147;
output [65:0] VAR109;
output [63:0] VAR1;
output VAR100;
output VAR33;
output VAR58;
output [63:0] VAR141;
output VAR122;
output [38:3] VAR88;
output VAR13;
output VAR169;
output [63:0] VAR112;
output [47:0] VAR80;
output [63:0] VAR41;
wire [47:0] VAR7;
wire [39:0] VAR148;
wire [63:0] VAR34;
wire [64:0] VAR125;
wire [63:0] VAR68;
wire [63:0] VAR101,VAR114;
wire [39:0] VAR153;
wire VAR116;
wire [63:0] VAR83;
wire [63:0] VAR39,VAR119;
wire [63:0] VAR72;
wire [63:0] VAR6;
wire [1:0] VAR24;
wire [1:0] VAR8;
wire [1:0] VAR59;
wire [1:0] VAR104;
wire [63:0] VAR75;
wire [65:0] VAR157;
wire [63:0] VAR140;
wire [65:0] VAR129;
wire [65:0] VAR172;
wire [63:0] VAR163;
wire [1:0] VAR82;
wire [38:3] VAR84;
wire [63:0] VAR156;
wire [63:0] VAR54;
wire [63:0] VAR139;
wire VAR77 = ~VAR95;
wire [63:0] VAR81;
wire [1:0] VAR155;
VAR107 #(66) VAR16 (
.VAR121 (VAR55[65:0]),
.VAR73 (VAR90[65:0]),
.VAR178 ({2'b11,64'h0000000000000000}),
.VAR134 ({VAR155[1:0],VAR81[63:0]}), .VAR2 (VAR51[0]),
.VAR78 (VAR51[1]),
.VAR63 (VAR51[2]),
.VAR162 (VAR51[3]),
.dout (VAR172[65:0]));
assign VAR163[63:0] = VAR172[63:0];
wire VAR46;
VAR19 VAR170 (.clk(VAR46), .VAR48(VAR48),
.VAR44(~VAR60), .VAR136(VAR77));
VAR177 #(64) VAR145 (
.din(VAR172[63:0]) ,
.VAR61(VAR81[63:0]),
.en (~(~VAR60)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR145 (
.din(VAR172[63:0]) ,
.VAR61(VAR81[63:0]),
.clk (VAR46), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
wire [63:0] VAR74;
VAR143 #(64) VAR31 (
.VAR121 (VAR81[63:0]),
.VAR73 (VAR172[63:0]),
.VAR178 (VAR156[63:0]),
.VAR2 (VAR27[0]),
.VAR78 (VAR27[1]),
.VAR63 (VAR27[2]),
.dout (VAR74[63:0]));
assign VAR112[63:0] = VAR74[63:0];
wire [1:0] VAR165;
assign VAR165[1:0] = VAR172[65:64];
VAR128 #(2) VAR146 (
.din(VAR165[1:0]) ,
.VAR61(VAR155[1:0]),
.clk (VAR48), .VAR95(VAR95), .VAR168(), .VAR169());
wire VAR42 = VAR155[1] ^ ~VAR82[1];
wire VAR89 = VAR155[0] ^ ~VAR82[0];
assign VAR13 = VAR42 | VAR89;
wire VAR11;
VAR128 #(1) VAR62 (
.din(VAR96) ,
.VAR61(VAR11),
.clk (VAR48), .VAR95(VAR95),.VAR168 (),.VAR169 ()
);
wire VAR164 = VAR11;
wire VAR159 = VAR11;
VAR20 #(64) VAR111 (
.VAR121 (VAR163[63:0]),
.VAR73 (VAR15[63:0]),
.sel (VAR5),
.dout (VAR34[63:0]));
wire VAR149;
VAR19 VAR23 (
.clk(VAR149), .VAR48(VAR48),
.VAR44(~VAR152), .VAR136(VAR77));
VAR177 #(64) VAR154 (
.din(VAR34[63:0]) ,
.VAR61(VAR1[63:0]),
.en (~(~VAR152)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR154 (
.din(VAR34[63:0]) ,
.VAR61(VAR1[63:0]),
.clk (VAR149), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
wire VAR49,VAR65;
VAR128 #(1) VAR137 (
.din(VAR131) ,
.VAR61(VAR49),
.clk (VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(1) VAR9 (
.din(VAR131) ,
.VAR61(VAR65),
.clk (VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
wire VAR117 = VAR49;
wire VAR35 = VAR65;
wire VAR40 = VAR49;
wire VAR93 = VAR65;
VAR20 #(32) VAR166 (
.VAR121 (VAR1[63:32]),
.VAR73 ({24'h000000,VAR148[39:32]}),
.sel (VAR117),
.dout (VAR101[63:32]));
VAR20 #(32) VAR38 (
.VAR121 (VAR1[31:0]),
.VAR73 (VAR148[31:0]),
.sel (VAR35),
.dout (VAR101[31:0]));
wire [4:0] VAR56;
VAR128 #(5) VAR150 (
.din(VAR64[4:0]) ,
.VAR61(VAR56[4:0]),
.clk (VAR48), .VAR95(1'b0), .VAR168(), .VAR169());
wire [4:0] VAR115 = ~VAR56;
wire [63:0] VAR179;
VAR20 #(32) VAR103 (
.VAR121 (VAR68[63:32]),
.VAR73 (32'hffffffff),
.sel (VAR40),
.dout (VAR179[63:32]));
VAR20 #(32) VAR105 (
.VAR121 (VAR68[31:0]),
.VAR73 ({24'hffffff,3'b111,VAR115[4:0]}),
.sel (VAR93),
.dout (VAR179[31:0]));
assign VAR114 = ~VAR179;
VAR20 #(40) VAR4 (
.VAR121 ({1'b0,VAR84[38:3],3'b000}),
.VAR73 (VAR125[39:0]),
.sel (VAR118),
.dout (VAR153[39:0]));
wire VAR142;
VAR19 VAR130 (
.clk(VAR142), .VAR48(VAR48),
.VAR44(~VAR167), .VAR136(VAR77));
VAR177 #(40) VAR57 (
.din({VAR153[39:3],3'b000}) ,
.VAR61(VAR148[39:0]),
.en (~(~VAR167)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(40) VAR57 (
.din({VAR153[39:3],3'b000}) ,
.VAR61(VAR148[39:0]),
.clk (VAR142), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
assign VAR88[38:3] = VAR148[38:3];
wire VAR132;
VAR19 VAR160 (
.clk(VAR132), .VAR48(VAR48),
.VAR44(~VAR108), .VAR136(VAR77));
VAR177 #(64) VAR32 (
.din(VAR163[63:0]) ,
.VAR61(VAR68[63:0]),
.en (~(~VAR108)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR32 (
.din(VAR163[63:0]) ,
.VAR61(VAR68[63:0]),
.clk (VAR132), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
assign VAR125[64:0] = {1'b0,VAR101[63:0]} +
{1'b0,VAR114[63:0]} +
{64'h0000000000000000,VAR67};
assign VAR116 = VAR125[64];
assign VAR58 = VAR116;
assign VAR100 = ~VAR116;
assign VAR33 = (VAR1[63:0] == VAR68[63:0]);
VAR107 #(64) VAR66 (
.VAR121 (VAR15[63:0]),
.VAR73 (64'h0000000000000000), .VAR178 (VAR1[63:0]), .VAR134 (VAR125[63:0]), .VAR2 (VAR12[0]),
.VAR78 (VAR12[1]),
.VAR63 (VAR12[2]),
.VAR162 (VAR12[3]),
.dout (VAR83[63:0]));
assign VAR141[63:0] = VAR68[63:0];
VAR20 #(64) VAR144 (
.VAR121 (VAR163[63:0]),
.VAR73 ({VAR119[62:0],1'b0}),
.sel (VAR91),
.dout (VAR39[63:0]));
wire VAR94;
VAR19 VAR102 (
.clk(VAR94), .VAR48(VAR48),
.VAR44(~VAR97), .VAR136(VAR77));
VAR177 #(64) VAR173 (
.din(VAR39[63:0]) ,
.VAR61(VAR119[63:0]),
.en (~(~VAR97)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR173 (
.din(VAR39[63:0]) ,
.VAR61(VAR119[63:0]),
.clk (VAR94), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
assign VAR122 = VAR119[63];
wire [63:0] VAR161;
wire VAR22;
VAR19 VAR25 (
.clk(VAR22), .VAR48(VAR48),
.VAR44(~VAR76), .VAR136(VAR77));
VAR177 #(64) VAR110 (
.din(VAR83[63:0]) ,
.VAR61(VAR72[63:0]),
.en (~(~VAR76)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR110 (
.din(VAR83[63:0]) ,
.VAR61(VAR72[63:0]),
.clk (VAR22), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR20 #(64) VAR47 (
.VAR121 (VAR72[63:0]),
.VAR73 (VAR139[63:0]),
.sel (VAR164),
.dout (VAR6[63:0]));
wire [63:0] VAR43;
assign VAR43 = ~VAR6;
assign VAR59[1] = (^VAR43[63:32]); assign VAR59[0] = (^VAR43[31:0]);
assign VAR24[1] = VAR59[1] ^ VAR106;
assign VAR24[0] = VAR59[0] ^ VAR106;
wire [65:0] VAR53;
VAR143 #(66) VAR86 (
.VAR121 ({VAR24[1:0],VAR43[63:0]}),
.VAR73 ({VAR8[1:0],VAR161[63:0]}),
.VAR178 ({VAR24[1:0],VAR43[63:0]}),
.VAR2 (VAR36[0]),
.VAR78 (VAR36[1]),
.VAR63 (VAR36[2]),
.dout (VAR53[65:0]));
assign VAR157 = ~VAR53;
wire [65:0] VAR126;
VAR20 #(66) VAR70 (
.VAR121 (VAR157[65:0]),
.VAR73 (VAR109[65:0]),
.sel (VAR87),
.dout (VAR126[65:0]));
VAR128 #(66) VAR92 (
.din(VAR126[65:0]) ,
.VAR61(VAR109[65:0]),
.clk (VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR26 (
.din(VAR163[63:0]) ,
.VAR61(VAR140[63:0]),
.clk (VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR20 #(64) VAR37 (
.VAR121 (VAR140[63:0]),
.VAR73 (VAR54[63:0]),
.sel (VAR159),
.dout (VAR75[63:0]));
assign VAR161 = ~VAR75;
assign VAR104[1] = (^VAR161[63:32]);
assign VAR104[0] = (^VAR161[31:0]);
assign VAR8[1] = VAR104[1] ^ VAR106;
assign VAR8[0] = VAR104[0] ^ VAR106;
wire [65:0] VAR123;
VAR143 #(66) VAR120 (
.VAR121 ({VAR8[1:0],VAR161[63:0]}),
.VAR73 ({VAR24[1:0],VAR43[63:0]}),
.VAR178 ({VAR24[1:0],VAR43[63:0]}),
.VAR2 (VAR36[0]),
.VAR78 (VAR36[1]),
.VAR63 (VAR36[2]),
.dout (VAR123[65:0]));
assign VAR129 = ~VAR123;
wire [65:0] VAR171;
VAR20 #(66) VAR28 (
.VAR121 (VAR129[65:0]),
.VAR73 (VAR147[65:0]),
.sel (VAR87),
.dout (VAR171[65:0]));
VAR128 #(66) VAR174 (
.din(VAR171[65:0]) ,
.VAR61(VAR147[65:0]),
.clk (VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
assign VAR82[1:0] = VAR104[1:0];
wire VAR113;
VAR19 VAR133 (
.clk(VAR113), .VAR48(VAR48),
.VAR44(~VAR85), .VAR136(VAR77));
VAR177 #(36) VAR17 (
.din(VAR176[38:3]) ,
.VAR61(VAR84[38:3]),
.en (~(~VAR85)), .clk(VAR48), .VAR95(1'b0),.VAR168(),.VAR169()
);
VAR128 #(36) VAR17 (
.din(VAR176[38:3]) ,
.VAR61(VAR84[38:3]),
.clk (VAR113), .VAR95(1'b0),.VAR168(),.VAR169()
);
wire VAR175;
VAR19 VAR10 (
.clk(VAR175), .VAR48(VAR48),
.VAR44(~VAR14), .VAR136(VAR77));
VAR177 #(48) VAR124 (
.din(VAR176[47:0]) ,
.VAR61(VAR7[47:0]),
.en (~(~VAR14)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(48) VAR124 (
.din(VAR176[47:0]) ,
.VAR61(VAR7[47:0]),
.clk (VAR175), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
assign VAR80[47:0] = VAR7[47:0];
wire VAR98;
VAR19 VAR50 (
.clk(VAR98), .VAR48(VAR48),
.VAR44(~VAR29), .VAR136(VAR77));
VAR177 #(64) VAR151 (
.din(VAR176[63:0]) ,
.VAR61(VAR156[63:0]),
.en (~(~VAR29)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR151 (
.din(VAR176[63:0]) ,
.VAR61(VAR156[63:0]),
.clk (VAR98), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
wire [63:0] VAR138;
VAR107 #(64) VAR127 (
.VAR121 ({24'h000000,1'b0,VAR84[38:3],3'b000}),
.VAR73 ({16'h0000,VAR7[47:0]}),
.VAR178 (VAR156[63:0]),
.VAR134 ({50'b00000000000000000000000000000000000000000000000000,VAR30[13:0]}),
.VAR2 (VAR69[0]),
.VAR78 (VAR69[1]),
.VAR63 (VAR69[2]),
.VAR162 (VAR69[3]),
.dout (VAR138[63:0]));
VAR20 #(64) VAR18 (
.VAR121 (VAR138[63:0]),
.VAR73 (64'h0000000000000000),
.sel (VAR3),
.dout (VAR41[63:0]));
wire VAR52;
VAR19 VAR21 (
.clk(VAR52), .VAR48(VAR48),
.VAR44(~VAR71), .VAR136(VAR77));
VAR177 #(64) VAR79 (
.din(VAR135[127:64]) ,
.VAR61(VAR54[63:0]),
.en (~(~VAR71)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR79 (
.din(VAR135[127:64]) ,
.VAR61(VAR54[63:0]),
.clk (VAR52), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
wire VAR45;
VAR19 VAR99 (
.clk(VAR45), .VAR48(VAR48),
.VAR44(~VAR71), .VAR136(VAR77));
VAR177 #(64) VAR158 (
.din(VAR135[63:0]) ,
.VAR61(VAR139[63:0]),
.en (~(~VAR71)), .clk(VAR48), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
VAR128 #(64) VAR158 (
.din(VAR135[63:0]) ,
.VAR61(VAR139[63:0]),
.clk (VAR45), .VAR95(1'b0),.VAR168 (),.VAR169 ()
);
endmodule
|
gpl-2.0
|
ncos/Xilinx-Verilog
|
SINGEN/src/toplevel.v
| 2,224 |
module MODULE1
(
VAR14,
VAR39,
VAR46,
VAR33,
VAR43,
VAR15,
VAR18,
VAR35,
VAR24,
VAR31,
VAR22,
VAR23,
VAR28,
VAR19,
VAR38,
VAR29,
VAR40,
VAR2,
VAR12,
VAR48,
VAR4,
VAR13,
VAR49,
VAR50,
VAR8,
VAR32,
VAR44,
VAR16,
VAR17,
VAR36,
VAR21,
VAR1,
VAR6,
VAR7,
VAR11,
VAR20,
VAR25,
VAR10,
VAR3,
VAR37,
VAR42,
VAR30,
VAR27,
VAR26
);
input wire VAR14;
output wire VAR39;
output wire VAR46;
output wire VAR33;
output wire VAR43;
output wire VAR15;
output wire VAR18;
output wire VAR35;
output wire VAR24;
output wire VAR31;
output wire VAR22;
output wire VAR23;
output wire VAR28;
output wire VAR19;
output wire VAR38;
input wire VAR29;
input wire VAR40;
input wire VAR2;
input wire VAR12;
input wire VAR48;
input wire VAR4;
input wire VAR13;
input wire VAR49;
input wire VAR50;
input wire VAR8;
input wire VAR32;
input wire VAR44;
input wire VAR16;
input wire VAR17;
input wire VAR36;
input wire VAR21;
input wire VAR1;
input wire VAR6;
input wire VAR7;
input wire VAR11;
input wire VAR20;
output wire VAR25;
output wire VAR10;
output wire VAR3;
output wire VAR37;
output wire VAR42;
output wire VAR30;
output wire VAR27;
output wire VAR26;
VAR34 VAR47
(
.VAR14(VAR14),
.VAR5({VAR26, VAR27, VAR30, VAR42, VAR37, VAR3, VAR10, VAR25}),
.VAR41({VAR21, VAR36, VAR17, VAR16, VAR44, VAR32, VAR8, VAR50}),
.VAR45({VAR38, VAR19, VAR28, VAR23, VAR22, VAR31, VAR24, VAR35}),
.VAR9({VAR49, VAR13, VAR4, VAR48, VAR12, VAR2, VAR40, VAR29}),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR20(VAR20)
);
endmodule
|
mit
|
TalentlessAlpaca/Automated_Vacuum_Cleaner
|
crc_7/peripheral_crc_7.v
| 1,814 |
module MODULE1(clk , rst , din , VAR1 , addr , rd , wr, dout );
input clk;
input rst;
input [15:0]din;
input VAR1;
input [3:0]addr; input rd;
input wr;
output reg [15:0]dout;
reg [3:0] VAR3;
reg [16:0] VAR8=0;
reg VAR7=0;
wire [6:0] VAR6;
wire VAR5;
always @(*) begin case (addr)
4'h0:begin VAR3 = (VAR1 && wr) ? 4'b0001 : 4'b0000 ;end 4'h2:begin VAR3 = (VAR1 && wr) ? 4'b0010 : 4'b0000 ;end
4'h4:begin VAR3 = (VAR1 && rd) ? 4'b0100 : 4'b0000 ;end 4'h6:begin VAR3 = (VAR1 && rd) ? 4'b1000 : 4'b0000 ;end
default:begin VAR3 = 4'b0000 ; end
endcase
end
always @(negedge clk) begin
VAR8 = (VAR3[0]) ? din : VAR8; VAR7 = VAR3[1];
end
always @(negedge clk) begin case (VAR3[3:2])
2'b01: dout[0] = VAR5 ;
2'b10: dout[6:0] = VAR6 ;
default: dout = 0 ;
endcase
end
VAR4 VAR2 ( .clk(clk), .rst(rst), .VAR8(VAR8), .VAR7(VAR7), .VAR6(VAR6), .VAR5(VAR5) );
endmodule
|
mit
|
jairov4/accel-oil
|
solution_kintex7/syn/verilog/nfa_accept_sample.v
| 43,247 |
module MODULE1 (
VAR58,
VAR238,
VAR70,
VAR180,
VAR164,
VAR52,
VAR11,
VAR155,
VAR271,
VAR80,
VAR225,
VAR74,
VAR229,
VAR235,
VAR6,
VAR139,
VAR43,
VAR100,
VAR174,
VAR149,
VAR75,
VAR117,
VAR46,
VAR214,
VAR38,
VAR17,
VAR143,
VAR245,
VAR98,
VAR97,
VAR256,
VAR227,
VAR190,
VAR167,
VAR67,
VAR172,
VAR215,
VAR282,
VAR150,
VAR34,
VAR64,
VAR54,
VAR132,
VAR178,
VAR69,
VAR33
);
input VAR58;
input VAR238;
input VAR70;
output VAR180;
output VAR164;
output VAR52;
output VAR11;
input VAR155;
output VAR271;
input VAR80;
output VAR225;
output [31:0] VAR74;
input [31:0] VAR229;
output [31:0] VAR235;
output [31:0] VAR6;
output VAR139;
input VAR43;
output VAR100;
input VAR174;
output VAR149;
output [31:0] VAR75;
input [31:0] VAR117;
output [31:0] VAR46;
output [31:0] VAR214;
output VAR38;
input VAR17;
output VAR143;
input VAR245;
output VAR98;
output [31:0] VAR97;
input [31:0] VAR256;
output [31:0] VAR227;
output [31:0] VAR190;
input [7:0] VAR167;
output VAR67;
input VAR172;
output VAR215;
input VAR282;
output VAR150;
output [31:0] VAR34;
input [7:0] VAR64;
output [7:0] VAR54;
output [31:0] VAR132;
input [31:0] VAR178;
input [15:0] VAR69;
output [0:0] VAR33;
reg VAR180;
reg VAR164;
reg VAR52;
reg VAR143;
reg VAR98;
reg[31:0] VAR97;
reg VAR215;
reg VAR150;
reg[0:0] VAR33;
reg [5:0] VAR264 = 6'b000000;
reg [31:0] VAR61;
reg [31:0] VAR88;
reg [31:0] VAR212;
wire [0:0] VAR233;
reg [0:0] VAR16;
wire [15:0] VAR255;
reg [15:0] VAR268;
reg [31:0] VAR55;
wire [0:0] VAR250;
reg [0:0] VAR57;
wire [31:0] VAR63;
reg [31:0] VAR165;
reg [7:0] VAR261;
wire [0:0] VAR253;
reg [0:0] VAR173;
wire [4:0] VAR228;
reg [4:0] VAR275;
wire [1:0] VAR106;
wire [7:0] VAR240;
wire [7:0] VAR40;
wire [13:0] VAR22;
reg [13:0] VAR177;
wire [0:0] VAR20;
wire [5:0] VAR138;
reg [5:0] VAR181;
wire [13:0] VAR30;
reg [13:0] VAR45;
wire [13:0] VAR73;
reg [13:0] VAR241;
reg [7:0] VAR237;
reg [7:0] VAR135;
reg [31:0] VAR236;
reg [0:0] VAR158;
wire [31:0] VAR154;
reg [31:0] VAR279;
wire [31:0] VAR93;
reg [31:0] VAR176;
reg [31:0] VAR95;
wire [31:0] VAR122;
reg [31:0] VAR243;
wire [31:0] VAR65;
reg [31:0] VAR232;
wire [31:0] VAR105;
reg [31:0] VAR141;
wire [0:0] VAR42;
reg [0:0] VAR203;
wire [31:0] VAR242;
wire [7:0] VAR116;
wire [7:0] VAR148;
wire [31:0] VAR44;
wire [7:0] VAR84;
wire [7:0] VAR204;
wire [31:0] VAR120;
wire [0:0] VAR48;
reg VAR77;
reg VAR92;
wire VAR15;
wire VAR272;
wire VAR162;
wire VAR62;
wire VAR66;
wire VAR49;
wire VAR36;
wire VAR91;
wire [31:0] VAR130;
wire [31:0] VAR156;
wire [31:0] VAR112;
wire [31:0] VAR12;
wire VAR218;
wire [31:0] VAR24;
wire [31:0] VAR186;
wire VAR60;
wire VAR137;
wire VAR249;
wire VAR179;
wire VAR199;
wire VAR169;
wire VAR273;
wire VAR170;
wire VAR277;
wire [31:0] VAR26;
wire [31:0] VAR260;
wire [31:0] VAR246;
wire [31:0] VAR231;
wire VAR200;
wire [31:0] VAR110;
wire [31:0] VAR96;
wire [31:0] VAR184;
reg VAR216;
reg [15:0] VAR217;
wire [0:0] VAR160;
reg [31:0] VAR234;
reg [31:0] VAR213;
reg [31:0] VAR107;
reg [31:0] VAR2;
reg [0:0] VAR99;
reg [31:0] VAR35;
reg [1:0] VAR278;
reg [4:0] VAR23;
reg [0:0] VAR81;
reg [31:0] VAR211;
reg [31:0] VAR185;
reg [31:0] VAR144;
reg [7:0] VAR208;
reg [7:0] VAR124;
reg [0:0] VAR226;
reg [0:0] VAR134;
reg [0:0] VAR101;
reg [5:0] VAR221;
reg VAR194 = 1'b0;
wire [31:0] VAR53;
wire [31:0] VAR281;
wire [31:0] VAR39;
wire [31:0] VAR222;
wire [31:0] VAR197;
wire [15:0] VAR201;
wire [15:0] VAR131;
wire [31:0] VAR175;
wire [31:0] VAR14;
wire [0:0] VAR133;
wire [5:0] VAR198;
wire [5:0] VAR257;
wire [7:0] VAR191;
wire [5:0] VAR126;
wire [13:0] VAR262;
wire [13:0] VAR142;
wire [14:0] VAR115;
wire [14:0] VAR1;
wire VAR207;
wire VAR83;
reg VAR269;
wire VAR192;
wire VAR18;
wire VAR47;
reg [0:0] VAR267 = 1'b0;
wire [13:0] VAR10;
wire [13:0] VAR168;
parameter VAR102 = 1'b1;
parameter VAR41 = 1'b0;
parameter VAR121 = 6'b000000;
parameter VAR147 = 6'b1;
parameter VAR189 = 6'b10;
parameter VAR71 = 6'b11;
parameter VAR258 = 6'b100;
parameter VAR113 = 6'b101;
parameter VAR223 = 6'b110;
parameter VAR94 = 6'b111;
parameter VAR140 = 6'b1000;
parameter VAR230 = 6'b1001;
parameter VAR50 = 6'b1010;
parameter VAR239 = 6'b1011;
parameter VAR145 = 6'b1100;
parameter VAR8 = 6'b1101;
parameter VAR90 = 6'b1110;
parameter VAR270 = 6'b1111;
parameter VAR87 = 6'b10000;
parameter VAR5 = 6'b10001;
parameter VAR276 = 6'b10010;
parameter VAR127 = 6'b10011;
parameter VAR266 = 6'b10100;
parameter VAR104 = 6'b10101;
parameter VAR68 = 6'b10110;
parameter VAR108 = 6'b10111;
parameter VAR151 = 6'b11000;
parameter VAR56 = 6'b11001;
parameter VAR193 = 6'b11010;
parameter VAR85 = 6'b11011;
parameter VAR82 = 6'b11100;
parameter VAR59 = 6'b11101;
parameter VAR86 = 6'b11110;
parameter VAR109 = 6'b11111;
parameter VAR252 = 6'b100000;
parameter VAR153 = 6'b100001;
parameter VAR29 = 6'b100010;
parameter VAR13 = 6'b100011;
parameter VAR254 = 6'b100100;
parameter VAR274 = 6'b100101;
parameter VAR3 = 6'b100110;
parameter VAR76 = 6'b100111;
parameter VAR247 = 6'b101000;
parameter VAR248 = 6'b101001;
parameter VAR209 = 6'b101010;
parameter VAR182 = 6'b101011;
parameter VAR244 = 6'b101100;
parameter VAR159 = 1'b0;
parameter VAR32 = 16'b0000000000000000;
parameter VAR72 = 32'b00000000000000000000000000000000;
parameter VAR171 = 1'b1;
parameter VAR166 = 2'b10;
parameter VAR202 = 32'b1;
parameter VAR146 = 16'b1;
parameter VAR280 = 5'b00000;
parameter VAR118 = 8'b00000000;
parameter VAR195 = 1'b1;
VAR31 VAR251(
.VAR58( VAR58 ),
.VAR238( VAR238 ),
.VAR157( VAR242 ),
.VAR7( VAR116 ),
.VAR21( VAR148 ),
.VAR224( VAR44 ),
.VAR89( VAR84 ),
.VAR119( VAR204 ),
.VAR161( VAR120 ),
.VAR163( VAR48 ),
.VAR4( VAR77 )
);
VAR265 VAR136(
.VAR58( VAR58 ),
.VAR238( VAR238 ),
.VAR70( VAR92 ),
.VAR180( VAR15 ),
.VAR164( VAR272 ),
.VAR52( VAR162 ),
.VAR11( VAR62 ),
.VAR155( VAR66 ),
.VAR271( VAR49 ),
.VAR80( VAR36 ),
.VAR225( VAR91 ),
.VAR74( VAR130 ),
.VAR229( VAR156 ),
.VAR235( VAR112 ),
.VAR6( VAR12 ),
.VAR4( VAR218 ),
.VAR89( VAR24 ),
.VAR119( VAR186 )
);
VAR79 VAR187(
.VAR58( VAR58 ),
.VAR238( VAR238 ),
.VAR70( VAR60 ),
.VAR180( VAR137 ),
.VAR164( VAR249 ),
.VAR52( VAR179 ),
.VAR139( VAR199 ),
.VAR43( VAR169 ),
.VAR100( VAR273 ),
.VAR174( VAR170 ),
.VAR149( VAR277 ),
.VAR75( VAR26 ),
.VAR117( VAR260 ),
.VAR46( VAR246 ),
.VAR214( VAR231 ),
.VAR4( VAR200 ),
.VAR89( VAR110 ),
.VAR119( VAR96 )
);
VAR205 VAR129(
.VAR58( VAR58 ),
.VAR238( VAR238 ),
.VAR103( VAR184 ),
.VAR33( VAR228 ),
.VAR4( VAR216 )
);
VAR128 #(
.VAR188( 17 ),
.VAR78( 8 ),
.VAR37( 32 ),
.VAR28( 32 ),
.VAR183( 32 ))
VAR152(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR222 ),
.VAR25( VAR197 ),
.VAR206( VAR207 ),
.dout( VAR53 )
);
VAR263 #(
.VAR188( 18 ),
.VAR78( 4 ),
.VAR37( 16 ),
.VAR28( 16 ),
.VAR183( 16 ))
VAR125(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR201 ),
.VAR25( VAR131 ),
.VAR206( VAR83 ),
.dout( VAR255 )
);
VAR128 #(
.VAR188( 19 ),
.VAR78( 8 ),
.VAR37( 32 ),
.VAR28( 32 ),
.VAR183( 32 ))
VAR114(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR175 ),
.VAR25( VAR14 ),
.VAR206( VAR269 ),
.dout( VAR63 )
);
VAR259 #(
.VAR188( 20 ),
.VAR78( 2 ),
.VAR37( 6 ),
.VAR28( 6 ),
.VAR183( 6 ))
VAR9(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR198 ),
.VAR25( VAR257 ),
.VAR206( VAR192 ),
.dout( VAR138 )
);
VAR196 #(
.VAR188( 21 ),
.VAR78( 4 ),
.VAR37( 8 ),
.VAR28( 6 ),
.VAR183( 14 ))
VAR123(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR191 ),
.VAR25( VAR126 ),
.VAR206( VAR18 ),
.dout( VAR30 )
);
VAR111 #(
.VAR188( 22 ),
.VAR78( 4 ),
.VAR37( 14 ),
.VAR28( 14 ),
.VAR183( 14 ))
VAR27(
.clk( VAR58 ),
.reset( VAR238 ),
.VAR219( VAR262 ),
.VAR25( VAR142 ),
.VAR206( VAR47 ),
.dout( VAR73 )
);
always @ (posedge VAR58)
begin : VAR220
if (VAR238 == 1'b1) begin
VAR264 <= VAR121;
end else begin
VAR264 <= VAR221;
end
end
always @ (posedge VAR58)
begin : VAR19
if (VAR238 == 1'b1) begin
VAR267 <= VAR159;
end else begin
if ((VAR244 == VAR264)) begin
VAR267 <= VAR101;
end
end
end
always @ (posedge VAR58)
begin : VAR51
if (VAR238 == 1'b1) begin
VAR194 <= VAR41;
end else begin
if (((VAR239 == VAR221) & (VAR50 == VAR264) & (VAR16 == VAR159))) begin
VAR194 <= VAR102;
end else if ((VAR102 == VAR179)) begin
VAR194 <= VAR41;
end
end
end
always @(posedge VAR58)
begin
if (((VAR127 == VAR264) & (VAR173 == VAR159))) begin
VAR99 <= VAR171;
end else if (((VAR276 == VAR264) & ~(VAR282 == VAR41) & (VAR57 == VAR159))) begin
VAR99 <= VAR159;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR134 <= VAR159;
end else if ((VAR274 == VAR264)) begin
VAR134 <= VAR171;
end
end
always @(posedge VAR58)
begin
if (((VAR127 == VAR264) & (VAR173 == VAR159))) begin
VAR2 <= VAR213;
end else if (((VAR276 == VAR264) & ~(VAR282 == VAR41) & (VAR57 == VAR159))) begin
VAR2 <= VAR107;
end
end
always @(posedge VAR58)
begin
if (((VAR151 == VAR264) & ~(VAR159 == VAR20) & ~(VAR159 == VAR160))) begin
VAR217 <= VAR268;
end else if ((VAR71 == VAR264)) begin
VAR217 <= VAR32;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR124 <= VAR40;
end else if ((VAR274 == VAR264)) begin
VAR124 <= VAR237;
end
end
always @(posedge VAR58)
begin
if ((VAR68 == VAR264)) begin
VAR35 <= VAR2;
end else if (((VAR127 == VAR264) & ~(VAR173 == VAR159))) begin
VAR35 <= VAR72;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR144 <= VAR35;
end else if ((VAR274 == VAR264)) begin
VAR144 <= VAR236;
end
end
always @(posedge VAR58)
begin
if ((VAR68 == VAR264)) begin
VAR278 <= VAR106;
end else if (((VAR127 == VAR264) & ~(VAR173 == VAR159))) begin
VAR278 <= VAR166;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR208 <= VAR240;
end else if ((VAR274 == VAR264)) begin
VAR208 <= VAR135;
end
end
always @(posedge VAR58)
begin
if ((VAR68 == VAR264)) begin
VAR81 <= VAR159;
end else if (((VAR127 == VAR264) & ~(VAR173 == VAR159))) begin
VAR81 <= VAR171;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR226 <= VAR81;
end else if ((VAR274 == VAR264)) begin
VAR226 <= VAR158;
end
end
always @(posedge VAR58)
begin
if (((VAR151 == VAR264) & ~(VAR159 == VAR20) & ~(VAR159 == VAR160))) begin
VAR107 <= VAR185;
end else if ((VAR71 == VAR264)) begin
VAR107 <= VAR88;
end
end
always @(posedge VAR58)
begin
if (((VAR151 == VAR264) & ~(VAR159 == VAR20) & ~(VAR159 == VAR160))) begin
VAR213 <= VAR211;
end else if ((VAR71 == VAR264)) begin
VAR213 <= VAR212;
end
end
always @(posedge VAR58)
begin
if (((VAR151 == VAR264) & ~(VAR159 == VAR20) & ~(VAR159 == VAR160))) begin
VAR234 <= VAR165;
end else if ((VAR71 == VAR264)) begin
VAR234 <= VAR72;
end
end
always @(posedge VAR58)
begin
if (((VAR151 == VAR264) & ~(VAR159 == VAR20) & (VAR159 == VAR160))) begin
VAR101 <= VAR159;
end else if ((VAR182 == VAR264)) begin
VAR101 <= VAR203;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR185 <= VAR72;
end else if ((VAR274 == VAR264)) begin
VAR185 <= VAR279;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR211 <= VAR72;
end else if ((VAR274 == VAR264)) begin
VAR211 <= VAR93;
end
end
always @(posedge VAR58)
begin
if ((VAR247 == VAR264)) begin
VAR243 <= VAR122;
VAR232 <= VAR65;
end
end
always @(posedge VAR58)
begin
if ((VAR189 == VAR264)) begin
VAR88 <= VAR24;
VAR212 <= VAR186;
end
end
always @(posedge VAR58)
begin
if ((VAR94 == VAR264)) begin
VAR268 <= VAR255;
end
end
always @(posedge VAR58)
begin
if ((VAR68 == VAR264)) begin
VAR23 <= VAR275;
end
end
always @(posedge VAR58)
begin
if (((VAR13 == VAR264) & ~(VAR245 == VAR41))) begin
VAR237 <= VAR84;
VAR135 <= VAR204;
VAR236 <= VAR120;
VAR158 <= VAR48;
end
end
always @(posedge VAR58)
begin
if ((~(VAR245 == VAR41) & (VAR254 == VAR264))) begin
VAR279 <= VAR154;
end
end
always @(posedge VAR58)
begin
if ((VAR153 == VAR264)) begin
VAR241 <= VAR73;
end
end
always @(posedge VAR58)
begin
if (((VAR276 == VAR264) & ~(VAR282 == VAR41))) begin
VAR165 <= VAR63;
VAR261 <= VAR64;
end
end
always @(posedge VAR58)
begin
if ((VAR104 == VAR264)) begin
VAR275 <= VAR228;
end
end
always @(posedge VAR58)
begin
if ((((VAR13 == VAR264) & ~(VAR245 == VAR41)) | (~(VAR245 == VAR41) & (VAR254 == VAR264)))) begin
VAR61 <= VAR256;
end
end
always @(posedge VAR58)
begin
if ((VAR239 == VAR264)) begin
VAR55 <= VAR53;
end
end
always @(posedge VAR58)
begin
if ((VAR56 == VAR264)) begin
VAR181 <= VAR138;
end
end
always @(posedge VAR58)
begin
if (((VAR276 == VAR264) & ~(VAR282 == VAR41) & ~(VAR57 == VAR159))) begin
VAR173 <= VAR253;
end
end
always @(posedge VAR58)
begin
if ((VAR5 == VAR264)) begin
VAR57 <= VAR250;
end
end
always @(posedge VAR58)
begin
if ((VAR248 == VAR264)) begin
VAR141 <= VAR105;
end
end
always @(posedge VAR58)
begin
if ((VAR209 == VAR264)) begin
VAR203 <= VAR42;
end
end
always @(posedge VAR58)
begin
if ((VAR59 == VAR264)) begin
VAR45 <= VAR30;
end
end
always @(posedge VAR58)
begin
if ((VAR108 == VAR264)) begin
VAR177[0] <= VAR22[0];
VAR177[1] <= VAR22[1];
VAR177[2] <= VAR22[2];
VAR177[3] <= VAR22[3];
VAR177[4] <= VAR22[4];
VAR177[5] <= VAR22[5];
VAR177[6] <= VAR22[6];
VAR177[7] <= VAR22[7];
end
end
always @(posedge VAR58)
begin
if ((VAR76 == VAR264)) begin
VAR176 <= VAR110;
VAR95 <= VAR96;
end
end
always @(posedge VAR58)
begin
if ((VAR258 == VAR264)) begin
VAR16 <= VAR233;
end
end
always @ (VAR70 or VAR264)
begin
if (((~(VAR102 == VAR70) & (VAR121 == VAR264)) | (VAR244 == VAR264))) begin
VAR180 = VAR102;
end else begin
VAR180 = VAR41;
end
end
always @ (VAR70 or VAR264)
begin
if ((~(VAR102 == VAR70) & (VAR121 == VAR264))) begin
VAR164 = VAR102;
end else begin
VAR164 = VAR41;
end
end
always @ (VAR264)
begin
if ((VAR244 == VAR264)) begin
VAR52 = VAR102;
end else begin
VAR52 = VAR41;
end
end
always @ (VAR264 or VAR101 or VAR267)
begin
if ((VAR244 == VAR264)) begin
VAR33 = VAR101;
end else begin
VAR33 = VAR267;
end
end
always @ (VAR264 or VAR245 or VAR20)
begin
if ((((VAR13 == VAR264) & ~(VAR245 == VAR41)) | ((VAR151 == VAR264) & (VAR159 == VAR20)) | (VAR56 == VAR264) | (VAR193 == VAR264) | (VAR59 == VAR264) | (VAR153 == VAR264) | (VAR29 == VAR264) | (VAR85 == VAR264) | (VAR82 == VAR264) | (VAR86 == VAR264) | (VAR109 == VAR264) | (VAR252 == VAR264))) begin
VAR77 = VAR102;
end else begin
VAR77 = VAR41;
end
end
always @ (VAR264 or VAR282 or VAR16)
begin
if (((VAR5 == VAR264) | ((VAR276 == VAR264) & ~(VAR282 == VAR41)) | ((VAR239 == VAR264) & ~(VAR16 == VAR159)) | (VAR145 == VAR264) | (VAR8 == VAR264) | (VAR90 == VAR264) | (VAR270 == VAR264) | (VAR87 == VAR264))) begin
VAR269 = VAR102;
end else begin
VAR269 = VAR41;
end
end
always @ (VAR70 or VAR264)
begin
if (((VAR121 == VAR264) & ~(VAR70 == VAR41))) begin
VAR92 = VAR102;
end else begin
VAR92 = VAR41;
end
end
always @ (VAR264)
begin
if (((VAR104 == VAR264) | (VAR266 == VAR264))) begin
VAR216 = VAR102;
end else begin
VAR216 = VAR41;
end
end
always @ (VAR264 or VAR245 or VAR281 or VAR39)
begin
if (((VAR13 == VAR264) & ~(VAR245 == VAR41))) begin
VAR97 = VAR39;
end else if ((VAR29 == VAR264)) begin
VAR97 = VAR281;
end else begin
VAR97 = 'VAR210;
end
end
always @ (VAR264 or VAR245)
begin
if ((((VAR13 == VAR264) & ~(VAR245 == VAR41)) | (VAR29 == VAR264))) begin
VAR143 = VAR102;
end else begin
VAR143 = VAR41;
end
end
always @ (VAR264 or VAR245)
begin
if ((((VAR13 == VAR264) & ~(VAR245 == VAR41)) | (~(VAR245 == VAR41) & (VAR254 == VAR264)))) begin
VAR98 = VAR102;
end else begin
VAR98 = VAR41;
end
end
always @ (VAR264)
begin
if ((VAR5 == VAR264)) begin
VAR215 = VAR102;
end else begin
VAR215 = VAR41;
end
end
always @ (VAR264 or VAR282)
begin
if (((VAR276 == VAR264) & ~(VAR282 == VAR41))) begin
VAR150 = VAR102;
end else begin
VAR150 = VAR41;
end
end
always @ (VAR70 or VAR264 or VAR245 or VAR282 or VAR16 or VAR57 or VAR173 or VAR20 or VAR160)
begin
case (VAR264)
VAR121 :
if (~(VAR70 == VAR41)) begin
VAR221 = VAR147;
end else begin
VAR221 = VAR121;
end
VAR147 :
VAR221 = VAR189;
VAR189 :
VAR221 = VAR71;
VAR71 :
VAR221 = VAR258;
VAR258 :
VAR221 = VAR113;
VAR113 :
VAR221 = VAR223;
VAR223 :
VAR221 = VAR94;
VAR94 :
VAR221 = VAR140;
VAR140 :
VAR221 = VAR230;
VAR230 :
VAR221 = VAR50;
VAR50 :
VAR221 = VAR239;
VAR239 :
if ((VAR16 == VAR159)) begin
VAR221 = VAR3;
end else begin
VAR221 = VAR145;
end
VAR145 :
VAR221 = VAR8;
VAR8 :
VAR221 = VAR90;
VAR90 :
VAR221 = VAR270;
VAR270 :
VAR221 = VAR87;
VAR87 :
VAR221 = VAR5;
VAR5 :
VAR221 = VAR276;
VAR276 :
if ((~(VAR282 == VAR41) & (VAR57 == VAR159))) begin
VAR221 = VAR266;
end else if ((~(VAR282 == VAR41) & ~(VAR57 == VAR159))) begin
VAR221 = VAR127;
end else begin
VAR221 = VAR276;
end
VAR127 :
if (~(VAR173 == VAR159)) begin
VAR221 = VAR108;
end else begin
VAR221 = VAR266;
end
VAR266 :
VAR221 = VAR104;
VAR104 :
VAR221 = VAR68;
VAR68 :
VAR221 = VAR108;
VAR108 :
VAR221 = VAR151;
VAR151 :
if ((~(VAR159 == VAR20) & ~(VAR159 == VAR160))) begin
VAR221 = VAR258;
end else if ((~(VAR159 == VAR20) & (VAR159 == VAR160))) begin
VAR221 = VAR244;
end else begin
VAR221 = VAR56;
end
VAR56 :
VAR221 = VAR193;
VAR193 :
VAR221 = VAR85;
VAR85 :
VAR221 = VAR82;
VAR82 :
VAR221 = VAR59;
VAR59 :
VAR221 = VAR86;
VAR86 :
VAR221 = VAR109;
VAR109 :
VAR221 = VAR252;
VAR252 :
VAR221 = VAR153;
VAR153 :
VAR221 = VAR29;
VAR29 :
VAR221 = VAR13;
VAR13 :
if (~(VAR245 == VAR41)) begin
VAR221 = VAR254;
end else begin
VAR221 = VAR13;
end
VAR254 :
if (~(VAR245 == VAR41)) begin
VAR221 = VAR274;
end else begin
VAR221 = VAR254;
end
VAR274 :
VAR221 = VAR151;
VAR3 :
VAR221 = VAR76;
VAR76 :
VAR221 = VAR247;
VAR247 :
VAR221 = VAR248;
VAR248 :
VAR221 = VAR209;
VAR209 :
VAR221 = VAR182;
VAR182 :
VAR221 = VAR244;
VAR244 :
VAR221 = VAR121;
default :
VAR221 = 'VAR210;
endcase
end
assign VAR106 = (VAR99);
assign VAR160 = VAR134;
assign VAR122 = (VAR107 & VAR176);
assign VAR65 = (VAR213 & VAR95);
assign VAR242 = VAR213;
assign VAR116 = VAR124;
assign VAR44 = VAR144;
assign VAR148 = VAR208;
assign VAR207 = VAR102;
assign VAR222 = VAR234;
assign VAR197 = VAR178;
assign VAR83 = VAR102;
assign VAR201 = VAR217;
assign VAR131 = VAR146;
assign VAR175 = VAR234;
assign VAR14 = VAR202;
assign VAR192 = VAR102;
assign VAR198 = {{VAR133}, {VAR280}};
assign VAR257 = VAR124[5:0];
assign VAR18 = VAR102;
assign VAR191 = VAR10;
assign VAR10 = (VAR167);
assign VAR126 = VAR168;
assign VAR168 = (VAR181);
assign VAR47 = VAR102;
assign VAR262 = VAR45;
assign VAR142 = VAR177;
assign VAR200 = VAR102;
assign VAR60 = VAR194;
assign VAR260 = VAR117;
assign VAR169 = VAR43;
assign VAR170 = VAR174;
assign VAR218 = VAR102;
assign VAR156 = VAR229;
assign VAR66 = VAR155;
assign VAR36 = VAR80;
assign VAR184 = VAR2;
assign VAR40 = (VAR23);
assign VAR240 = (VAR278);
assign VAR20 = VAR226;
assign VAR154 = (VAR185 | VAR61);
assign VAR93 = (VAR211 | VAR61);
assign VAR75 = VAR26;
assign VAR46 = VAR246;
assign VAR139 = VAR199;
assign VAR100 = VAR273;
assign VAR149 = VAR277;
assign VAR214 = VAR231;
assign VAR227 = VAR72;
assign VAR38 = VAR41;
assign VAR190 = VAR202;
assign VAR74 = VAR130;
assign VAR235 = VAR112;
assign VAR11 = VAR62;
assign VAR271 = VAR49;
assign VAR225 = VAR91;
assign VAR6 = VAR12;
assign VAR34 = VAR55;
assign VAR54 = VAR118;
assign VAR67 = VAR41;
assign VAR132 = VAR202;
assign VAR253 = (VAR213 == VAR72? 1'b1: 1'b0);
assign VAR250 = (VAR107 == VAR72? 1'b1: 1'b0);
assign VAR105 = (VAR232 | VAR243);
assign VAR42 = (VAR141 != VAR72? 1'b1: 1'b0);
assign VAR281 = (VAR115);
assign VAR115 = {{VAR241}, {VAR159}};
assign VAR133 = VAR208[0:0];
assign VAR22 = (VAR261);
assign VAR39 = (VAR1);
assign VAR1 = {{VAR241}, {VAR171}};
assign VAR233 = (VAR217 < VAR69? 1'b1: 1'b0);
always @ (posedge VAR58)
begin
VAR177[13:8] <= 6'b000000;
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o2bb2ai/sky130_fd_sc_lp__o2bb2ai.pp.symbol.v
| 1,391 |
module MODULE1 (
input VAR1,
input VAR7,
input VAR2 ,
input VAR9 ,
output VAR5 ,
input VAR6 ,
input VAR8,
input VAR4,
input VAR3
);
endmodule
|
apache-2.0
|
Jafet95/I-Proyecto-Laboratorio-de-Dise-o-Sistemas-Digitales
|
DivFrec.v
| 1,226 |
module MODULE1(clk,rst,VAR7,VAR2,VAR1);
input wire clk,rst;
input wire [10:0]VAR7;
output wire VAR2;
output wire VAR1;
reg [10:0]VAR3 = 0;
reg VAR5 = 0;
reg [15:0]VAR4 = 0;
reg VAR6 = 0;
always@(posedge clk, posedge rst)
if (rst)
begin
VAR3 <= 0;
VAR5 <=0;
end
else
if (VAR3==VAR7)
begin
VAR3 <= 0;
VAR5 <= ~VAR5;
end
else
VAR3 <= VAR3 + 11'b1;
assign VAR2 = VAR5;
always@(posedge clk, posedge rst)
if (rst)
begin
VAR4 <= 0;
VAR6 <=0;
end
else
if (VAR4==16'd49999)
begin
VAR4 <= 0;
VAR6 <= ~VAR6;
end
else
VAR4 <= VAR4 + 16'b1;
assign VAR1 = VAR6;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/xnor3/sky130_fd_sc_ls__xnor3.behavioral.pp.v
| 1,828 |
module MODULE1 (
VAR13 ,
VAR4 ,
VAR2 ,
VAR9 ,
VAR14,
VAR7,
VAR5 ,
VAR10
);
output VAR13 ;
input VAR4 ;
input VAR2 ;
input VAR9 ;
input VAR14;
input VAR7;
input VAR5 ;
input VAR10 ;
wire VAR6 ;
wire VAR1;
xnor VAR3 (VAR6 , VAR4, VAR2, VAR9 );
VAR8 VAR12 (VAR1, VAR6, VAR14, VAR7);
buf VAR11 (VAR13 , VAR1 );
endmodule
|
apache-2.0
|
Marcoslz22/Tercer_Proyecto
|
Deteccion_Tecla.v
| 4,900 |
module MODULE1(
input clk,
input reset,
input VAR61,
input VAR36,
input VAR77,
input [7:0] VAR53,
input [7:0] VAR55,
input [7:0] VAR54,
output [7:0] VAR64,
output VAR9,
output [7:0] VAR37,
output [7:0] VAR4,
output [7:0] VAR16,
output [7:0] VAR51,
output [7:0] VAR19,
output [7:0] VAR17,
output [7:0] VAR7,
output VAR29,
output [7:0] VAR71,
output [7:0] VAR76
);
wire VAR21;
wire [7:0] dout;
wire [7:0] VAR67;
wire [1:0] VAR83;
wire [5:0] VAR45;
wire [5:0] VAR5;
wire [4:0] VAR8;
wire [4:0] VAR62;
wire [3:0] VAR33;
wire [6:0] VAR34;
wire [7:0] VAR59;
wire [7:0] VAR72;
wire [7:0] VAR86;
wire [7:0] VAR11;
wire [7:0] VAR52;
wire VAR13;
wire VAR28;
assign VAR37 = VAR67;
assign VAR76 = VAR11;
VAR6 VAR58 (
.clk(clk),
.reset(reset),
.VAR61(VAR61),
.VAR36(VAR36),
.VAR73(1'b1),
.VAR21(VAR21),
.dout(dout)
);
VAR48 VAR38 (
.clk(clk),
.reset(reset),
.VAR75(VAR21),
.VAR24(dout),
.VAR50(VAR50)
);
VAR32 VAR3 (
.rst(reset),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR83)
);
VAR56 VAR60 (
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR45)
);
VAR63 VAR18 (
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR5)
);
VAR79 VAR87 (
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR8)
);
VAR69 VAR31(
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR62)
);
VAR23 VAR65(
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR33)
);
VAR66 VAR14 (
.VAR1 (VAR67),
.rst(reset),
.en(VAR83),
.VAR68(dout),
.VAR70(VAR50),
.clk(clk),
.VAR88(VAR34)
);
VAR30 VAR41 (
.clk(clk),
.reset(reset),
.en(VAR50),
.VAR74(dout),
.VAR67(VAR67)
);
VAR82 VAR39 (
.clk(clk),
.VAR43(VAR67),
.VAR45(VAR45),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR62(VAR62),
.VAR33(VAR33),
.VAR34(VAR34),
.VAR4(VAR4),
.VAR16(VAR16),
.VAR51(VAR51)
);
VAR57 VAR42 (
.VAR47(dout),
.VAR70 (VAR50),
.VAR77 (VAR77),
.clk(clk),
.VAR64(VAR64)
);
VAR84 VAR15 (
.VAR47(dout),
.VAR77(VAR64),
.clk(clk),
.VAR70(VAR50),
.VAR64(VAR9)
);
VAR10 VAR35 (
.clk(clk),
.VAR78(VAR4),
.VAR12(VAR16),
.VAR46(VAR51),
.VAR19(VAR19),
.VAR17(VAR17),
.VAR7(VAR7)
);
VAR20 VAR81(
.clk(clk),
.VAR49(VAR19),
.VAR2(VAR17),
.VAR22(VAR7),
.VAR47(VAR52),
.VAR59(VAR59),
.VAR72(VAR72),
.VAR86(VAR86)
);
VAR80 VAR27 (
.VAR47(dout),
.clk(clk),
.reset(reset),
.VAR11(VAR11)
);
VAR25 VAR40 (
.VAR47(dout),
.clk(clk),
.reset(VAR28),
.VAR52(VAR52)
);
VAR44 VAR26 (
.VAR49(VAR59),
.VAR2(VAR72),
.VAR22(VAR86),
.VAR53(VAR53),
.VAR55(VAR55),
.VAR54(VAR54),
.VAR43(VAR52),
.VAR85(VAR11),
.clk(clk),
.reset(reset),
.VAR71(VAR71)
);
endmodule
|
mit
|
mosass/HexapodRobot
|
VIVADO/hexapod/hexapod.cache/ip/1b79005c37c3e993/design_1_axi_gpio_0_0_stub.v
| 2,385 |
module MODULE1(VAR15, VAR10, VAR17,
VAR11, VAR4, VAR3, VAR6, VAR21, VAR18,
VAR22, VAR19, VAR9, VAR8, VAR12, VAR13,
VAR20, VAR16, VAR7, VAR1, VAR14, VAR5, VAR2)
;
input VAR15;
input VAR10;
input [8:0]VAR17;
input VAR11;
output VAR4;
input [31:0]VAR3;
input [3:0]VAR6;
input VAR21;
output VAR18;
output [1:0]VAR22;
output VAR19;
input VAR9;
input [8:0]VAR8;
input VAR12;
output VAR13;
output [31:0]VAR20;
output [1:0]VAR16;
output VAR7;
input VAR1;
output VAR14;
input [3:0]VAR5;
input [3:0]VAR2;
endmodule
|
mit
|
vad-rulezz/megabot
|
fusesoc/orpsoc-cores/systems/neek/backend/rtl/verilog/ddr_ctrl_ip/alt_mem_ddrx_addr_cmd.v
| 24,623 |
module MODULE1
VAR75 = 3,
VAR60 = 1,
VAR57 = 1,
VAR11 = 1, VAR38 = 16, VAR7 = 16, VAR70 = 12, VAR66 = 3, VAR44 = "VAR17",
VAR65 = 2
)
(
VAR5,
VAR20,
VAR47,
VAR71,
VAR42,
VAR34,
VAR51,
VAR81,
VAR14,
VAR74,
VAR9,
VAR31,
VAR68,
VAR41,
VAR78,
VAR21,
VAR54,
VAR43,
VAR72, VAR18,
VAR69, VAR32,
VAR80,
VAR3,
VAR25, VAR28,
VAR52,
VAR61,
VAR55,
VAR40,
VAR2,
VAR79,
VAR23,
VAR12
);
input VAR5;
input VAR20;
input VAR47;
input [VAR75-1:0] VAR71;
input [VAR60 -1:0] VAR42;
input VAR34;
input VAR51;
input VAR81;
input VAR14;
input VAR74;
input VAR9;
input VAR31;
input [VAR57-1:0] VAR68;
input [VAR57-1:0] VAR41;
input [VAR57-1:0] VAR78;
input [VAR57-1:0] VAR21;
input [VAR57-1:0] VAR54;
input VAR43;
input VAR72;
input [VAR57-1:0] VAR18;
input [VAR57-1:0] VAR69;
input [VAR66-1:0] VAR32;
input [VAR7-1:0] VAR80;
input [VAR70-1:0] VAR3;
input [VAR66-1:0] VAR25;
input [VAR38-1:0] VAR28;
output [(VAR11 * (VAR65/2)) - 1:0] VAR55;
output [(VAR57 * (VAR65/2)) - 1:0] VAR40;
output [(VAR65/2) - 1:0] VAR2;
output [(VAR65/2) - 1:0] VAR79;
output [(VAR65/2) - 1:0] VAR23;
output [(VAR66 * (VAR65/2)) - 1:0] VAR61;
output [(VAR38 * (VAR65/2)) - 1:0] VAR52;
output [(VAR65/2) - 1:0] VAR12;
wire VAR51;
wire VAR81;
wire VAR14;
wire VAR74;
wire VAR9;
wire VAR31;
wire [VAR57-1:0] VAR68;
wire [VAR57-1:0] VAR41;
wire [VAR57-1:0] VAR78;
wire [VAR57-1:0] VAR21;
wire [VAR57-1:0] VAR54;
wire VAR43;
wire [VAR57-1:0] VAR18;
wire VAR72;
reg [VAR57-1:0] VAR35;
reg [VAR57-1:0] VAR19;
reg [VAR57-1:0] VAR13;
reg [VAR57-1:0] VAR59;
reg [VAR57-1:0] VAR27;
reg [VAR57-1:0] VAR67;
wire [(VAR11 * (VAR65/2)) - 1:0] VAR55;
wire [(VAR57 * (VAR65/2)) - 1:0] VAR40;
wire [(VAR65/2) - 1:0] VAR2;
wire [(VAR65/2) - 1:0] VAR79;
wire [(VAR65/2) - 1:0] VAR23;
wire [(VAR66 * (VAR65/2)) - 1:0] VAR61;
wire [(VAR38 * (VAR65/2)) - 1:0] VAR52;
wire [(VAR65/2) - 1:0] VAR12;
reg [(VAR11) - 1:0] VAR63;
reg [(VAR11) - 1:0] VAR26;
reg [(VAR57) - 1:0] VAR10;
reg VAR16;
reg VAR39;
reg VAR53;
reg [(VAR66) - 1:0] VAR15;
reg [(VAR38) - 1:0] VAR6;
reg [(VAR11) - 1:0] VAR73 ;
reg [(VAR57) - 1:0] VAR8 ;
reg VAR1;
reg VAR37;
reg VAR50 ;
reg [(VAR66) - 1:0] VAR46 ;
reg [(VAR38) - 1:0] VAR30 ;
reg [(VAR11) - 1:0] VAR24 ;
reg [(VAR57) - 1:0] VAR33 ;
reg VAR62;
reg VAR4;
reg VAR48 ;
reg [(VAR66) - 1:0] VAR49 ;
reg [(VAR38) - 1:0] VAR64 ;
wire [(VAR38) - 1:0] VAR22;
wire [(VAR38) - 1:0] VAR56;
wire [(VAR38) - 1:0] VAR29;
wire VAR45;
wire [(VAR38) - 1:0] VAR77;
reg [VAR57-1:0] VAR36;
generate
if (VAR38 > VAR7)
begin
assign VAR22 = {{(VAR38 - VAR7){1'b0}},VAR80};
end
else
begin
assign VAR22 = VAR80;
end
endgenerate
assign VAR56 = {{(VAR38 - VAR70){1'b0}},VAR3};
assign VAR12 = {(VAR65/2){1'b1}};
assign VAR45 = (VAR71 == VAR58) ? ~VAR14 : VAR56[11];
generate
if (VAR38 < 13)
begin
assign VAR29 = {VAR56[VAR38-1:10],VAR74,VAR56[9:0]};
end
else if (VAR38 == 13)
begin
assign VAR29 = {VAR45,VAR56[10],VAR74,VAR56[9:0]};
end
else
begin
assign VAR29 = {VAR56[VAR38-3:11],VAR45,VAR56[10],VAR74,VAR56[9:0]};
end
endgenerate
generate
if (VAR65 == 2)
begin
assign VAR55 = VAR63;
assign VAR40 = VAR10;
assign VAR2 = VAR16;
assign VAR79 = VAR39;
assign VAR23 = VAR53;
assign VAR61 = VAR15;
assign VAR52 = VAR6;
end
else
begin
assign VAR55 = {VAR63,VAR26};
assign VAR40 = {VAR10,{VAR57{1'b1}}}; assign VAR2 = {VAR16,VAR16};
assign VAR79 = {VAR39,VAR39};
assign VAR23 = {VAR53,VAR53};
assign VAR61 = {VAR15,VAR15};
assign VAR52 = {VAR6,VAR6};
end
endgenerate
always @(posedge VAR5, negedge VAR20) begin
if (!VAR20)
VAR26 <= {(VAR11){1'b0}};
end
else
VAR26 <= VAR63;
end
always @(posedge VAR5, negedge VAR20) begin
if (!VAR20)
VAR36 <= {(VAR57){1'b0}};
end
else
if ((VAR78) || (VAR18 && VAR71 == VAR76)) VAR36 <= VAR69;
else
VAR36 <= {(VAR57){1'b0}};
end
always @(posedge VAR5, negedge VAR20)
begin
if (!VAR20)
begin
VAR24 <= {(VAR11){1'b1}};
VAR33 <= {(VAR57){1'b1}};
VAR62 <= 1'b1;
VAR4 <= 1'b1;
VAR48 <= 1'b1;
VAR49 <= {(VAR66){1'b0}};
VAR64 <= {(VAR38){1'b0}};
end
else
begin
VAR24 <= VAR73;
VAR33 <= VAR8;
VAR62 <= VAR1;
VAR4 <= VAR37;
VAR48 <= VAR50;
VAR49 <= VAR46;
VAR64 <= VAR30;
end
end
always @
begin
if (VAR47)
begin
VAR73 = ~(VAR78 | VAR21 | VAR18);
end
else
begin
VAR73 = {(VAR11){1'b1}};
end
end
always @(posedge VAR5, negedge VAR20)
begin
if (!VAR20)
begin
VAR59 <= {(VAR57){1'b0}};
VAR27 <= {(VAR57){1'b0}};
VAR67 <= {(VAR57){1'b0}};
end
else
begin
VAR59 <= ~VAR78;
VAR27 <= ~VAR21;
VAR67 <= ~VAR18;
end
end
always @ begin
if (VAR47)
begin
VAR8 = {(VAR57){1'b1}};
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
if (|VAR41)
begin
VAR8 = ~VAR41;
VAR1 = 1'b0;
VAR37 = 1'b0;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
if (|VAR68)
begin
VAR8 = ~VAR68;
VAR1 = 1'b0;
VAR37 = 1'b1;
VAR50 = 1'b0;
VAR46 = VAR32;
VAR30[10]= 1'b1;
end
if (VAR9)
begin
VAR8 = ~VAR69;
VAR1 = 1'b0;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = VAR32;
VAR30 = VAR22;
end
if (VAR31)
begin
VAR8 = ~VAR69;
VAR1 = 1'b0;
VAR37 = 1'b1;
VAR50 = 1'b0;
VAR46 = VAR32;
VAR30 = {(VAR38){1'b0}};
end
if (VAR51)
begin
VAR8 = ~VAR69;
VAR1 = 1'b1;
VAR37 = 1'b0;
VAR50 = 1'b0;
VAR46 = VAR32;
VAR30 = VAR29;
end
if (VAR81)
begin
VAR8 = ~VAR69;
VAR1 = 1'b1;
VAR37 = 1'b0;
VAR50 = 1'b1;
VAR46 = VAR32;
VAR30 = VAR29;
end
if (|VAR19)
begin
VAR8 = {(VAR57){1'b1}};
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
if (|VAR13) begin
if (VAR34)
begin
VAR8 = ~VAR13; end
else
begin
VAR8 = {(VAR57){1'b1}};
end
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b0;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
if (|VAR35)
begin
if (VAR34)
begin
VAR8 = ~VAR35; end
else
begin
VAR8 = {(VAR57){1'b1}};
end
VAR1 = 1'b0;
VAR37 = 1'b0;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
if (|VAR54) begin
if (VAR71 == VAR58) begin
VAR8 = ~VAR54;
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b0;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
else begin
VAR8 = {(VAR57){1'b1}};
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
end
if (VAR43)
begin
VAR8 = ~VAR69;
VAR1 = 1'b0;
VAR37 = 1'b0;
VAR50 = 1'b0;
VAR46 = VAR25;
VAR30 = VAR28;
end
if (VAR72)
begin
if (VAR71 == VAR76) begin
VAR8 = ~VAR69;
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b0;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
else
begin
VAR8 = {(VAR57){1'b1}};
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
end
end
else
begin
VAR8 = {(VAR57){1'b1}};
VAR1 = 1'b1;
VAR37 = 1'b1;
VAR50 = 1'b1;
VAR46 = {(VAR66){1'b0}};
VAR30 = {(VAR38){1'b0}};
end
end
endmodule
|
gpl-2.0
|
ankitshah009/High-Radix-Adaptive-CORDIC
|
HCORDIC_Verilog/MultiplyMultDescale.v
| 1,872 |
module MODULE1(
input [32:0] VAR19,
input [32:0] VAR5,
input [32:0] VAR18,
input VAR2,
input [7:0] VAR11,
input VAR4,
input [31:0] VAR7,
input VAR3,
output reg VAR1,
output reg [32:0] VAR15,
output reg [49:0] VAR22,
output reg [7:0] VAR12,
output reg VAR6,
output reg [31:0] VAR17
);
parameter VAR13 = 1'b0,
VAR16 = 1'b1;
wire VAR9;
wire [7:0] VAR21;
wire [23:0] VAR20;
wire VAR8;
wire [7:0] VAR14;
wire [23:0] VAR10;
assign VAR9 = VAR19[32];
assign VAR21 = VAR19[31:24] - 127;
assign VAR20 = {VAR19[23:0]};
assign VAR8 = VAR5[32];
assign VAR14 = VAR5[31:24] - 127;
assign VAR10 = {VAR5[23:0]};
always @ (posedge VAR3)
begin
VAR17 <= VAR7;
VAR6 <= VAR4;
VAR12 <= VAR11;
VAR1 <= VAR2;
if (VAR2 == VAR13) begin
VAR15[32] <= VAR9 ^ VAR8;
VAR15[31:24] <= VAR21 + VAR14 + 1;
VAR15[23:0] <= 0;
VAR22 <= VAR20 * VAR10 * 4;
end
else begin
VAR15 <= VAR18;
end
end
endmodule
|
apache-2.0
|
masc-ucsc/cmpe220fall16
|
rtl/l2tlb.v
| 10,316 |
module MODULE1(
input clk
,input reset
,input VAR65
,output VAR62
,input VAR30 VAR31
,output VAR92
,input VAR46
,output VAR96 VAR104
,output VAR110
,input VAR90
,output VAR14 VAR106
,output VAR98
,input VAR79
,output VAR56 VAR97
,input VAR102
,output VAR112
,input VAR41 VAR11
,input VAR2
,output VAR29
,input VAR27 VAR52
,output VAR45
,input VAR94
,output VAR32 VAR44
,input VAR82
,output VAR75
,input VAR17 VAR77
,output VAR26
,input VAR86
,output VAR8 VAR1
,output VAR114
,input VAR74
,output VAR111 VAR69
,input VAR50
,output VAR58
,input VAR47 VAR37
);
assign VAR110 = 1'b0;
assign VAR45 = 1'b0;
assign VAR114 = 1'b0;
VAR96 VAR83;
logic VAR70, VAR51;
VAR33 begin
if(VAR65) begin
VAR83.VAR15 = VAR31.VAR15;
VAR83.VAR101 = VAR31.VAR101;
VAR83.VAR34 = 3'b000;
VAR83.VAR39 = VAR31.VAR39;
VAR83.VAR20 = {27'b0, VAR31.VAR39, 12'b0};
VAR70 = VAR65;
VAR62 = VAR51;
end else begin
VAR70 = 1'b0;
end
end
VAR25 #(.VAR72(VAR18(VAR96))) VAR57(
.clk(clk)
,.reset(reset)
,.VAR81(VAR70)
,.VAR63(VAR51)
,.din(VAR83)
,.VAR19(VAR92)
,.VAR103(VAR46)
,.VAR107(VAR104)
);
VAR56 VAR54;
logic VAR9, VAR91;
VAR33 begin
if(VAR102) begin
VAR54.VAR71 = VAR11.VAR71;
VAR54.VAR39 = VAR11.VAR10[22:12];
VAR54.VAR5 = VAR11.VAR10[14:12];
VAR54.VAR23 = 13'b0000000000000;
VAR9 = VAR102;
VAR112 = VAR91;
end else begin
VAR9 = 1'b0;
end
end
VAR25 #(.VAR72(VAR18(VAR56))) VAR95(
.clk(clk)
,.reset(reset)
,.VAR81(VAR9)
,.VAR63(VAR91)
,.din(VAR54)
,.VAR19(VAR98)
,.VAR103(VAR79)
,.VAR107(VAR97)
);
VAR8 VAR108;
logic VAR84, VAR60;
VAR33 begin
if(VAR82) begin
VAR108.VAR59 = VAR77.VAR59;
VAR108.VAR85 = VAR77.VAR85;
VAR84 = VAR82;
VAR75 = VAR60;
end else begin
VAR84 = 1'b0;
end
end
VAR25 #(.VAR72(VAR18(VAR8))) VAR88(
.clk(clk)
,.reset(reset)
,.VAR81(VAR84)
,.VAR63(VAR60)
,.din(VAR108)
,.VAR19(VAR26)
,.VAR103(VAR86)
,.VAR107(VAR1)
);
logic VAR100;
logic VAR7;
logic VAR76[3:0];
logic VAR48[3:0]
logic VAR89
logic VAR78[3:0];
VAR68 VAR93[3:0];
logic[18:0] VAR6[3:0];
VAR87 VAR38;
VAR28 #(33, 256) VAR64(
.clk(clk)
,.reset(reset)
,.VAR35(VAR102)
,.VAR40(VAR112)
,.VAR99(VAR42[0])
,.VAR21(VAR11.VAR10[19:12])
,.VAR55({1, 13'b0000000000000, VAR11.VAR10[38:20]})
,.VAR24(VAR48[0])
,.VAR36(VAR89)
,.VAR113({VAR78[0], VAR93[0], VAR6[0]})
);
VAR28 #(33, 256) VAR61(
.clk(clk)
,.reset(reset)
,.VAR35(VAR102)
,.VAR40(VAR112)
,.VAR99(VAR42[1])
,.VAR21(VAR11.VAR10[19:12])
,.VAR55({1, 13'b0000000000000, VAR11.VAR10[38:20]})
,.VAR24(VAR48[1])
,.VAR36(VAR89)
,.VAR113({VAR78[1], VAR93[1], VAR6[1]})
);
VAR28 #(33, 256) VAR73(
.clk(clk)
,.reset(reset)
,.VAR35(VAR102)
,.VAR40(VAR112)
,.VAR99(VAR42[2])
,.VAR21(VAR11.VAR10[19:12])
,.VAR55({1, 13'b0000000000000, VAR11.VAR10[38:20]})
,.VAR24(VAR48[2])
,.VAR36(VAR89)
,.VAR113({VAR78[2], VAR93[2], VAR6[2]})
);
VAR28 #(33, 256) VAR80(
.clk(clk)
,.reset(reset)
,.VAR35(VAR102)
,.VAR40(VAR112)
,.VAR99(VAR42[3])
,.VAR21(VAR11.VAR10[19:12])
,.VAR55({1, 13'b0000000000000, VAR11.VAR10[38:20]})
,.VAR24(VAR48[3])
,.VAR36(VAR89)
,.VAR113({VAR78[3], VAR93[3], VAR6[3]})
);
VAR33 begin
if(VAR102 = 1'b1) begin
VAR97.VAR71 = VAR11.VAR71;
VAR100 = 1'b1;
VAR7 = 1'b0;
VAR42 = {1'b0, 1'b0, 1'b0, 1'b0};
if((VAR48[0]) && (VAR78[0] == 1) && (VAR11.VAR10[38:20] == VAR6[0])) begin
VAR98 = 1'b1;
VAR97.VAR39 = {3'b000, VAR11.VAR10[19:12]};
VAR97.VAR23 = VAR93[0];
end else if((VAR48[1]) && (VAR78[1] == 1) && (VAR11.VAR10[38:20] == VAR6[1])) begin
VAR98 = 1'b1;
VAR97.VAR39 = {3'b001, VAR11.VAR10[19:12]};
VAR97.VAR23 = VAR93[1];
end else if((VAR48[2]) && (VAR78[2] == 1) && (VAR11.VAR10[38:20] == VAR6[2])) begin
VAR98 = 1'b1;
VAR97.VAR39 = {3'b010, VAR11.VAR10[19:12]};
VAR97.VAR23 = VAR93[2];
end else if((VAR48[3]) && (VAR78[3] == 1) && (VAR11.VAR10[38:20] == VAR6[3])) begin
VAR98 = 1'b1;
VAR97.VAR39 = {3'b011, VAR11.VAR10[19:12]};
VAR97.VAR23 = VAR93[3];
end else begin
VAR98 = 1'b0;
end
end
end
logic VAR49;
logic VAR12;
logic VAR3;
VAR87 VAR66;
logic VAR4;
VAR67 VAR16;
logic VAR43;
logic VAR109;
logic VAR13;
VAR67 VAR53;
VAR28 #(51, 2048) VAR105(
.clk(clk)
,.reset(reset)
,.VAR35(VAR49)
,.VAR40(VAR12)
,.VAR99(VAR3)
,.VAR21(VAR66)
,.VAR55({VAR4, VAR16})
,.VAR24(VAR43)
,.VAR36(VAR109)
,.VAR113({VAR13, VAR53})
);
VAR33 begin
if(VAR65) begin
VAR104.VAR22 = VAR31.VAR22;
VAR49 = 1'b1;
VAR12 = 1'b0;
VAR3 = 1'b0;
VAR66 = VAR31.VAR39;
if((VAR43 == 1'b1) && (VAR13 == 1'b1)) begin
VAR92 = 1'b1;
VAR104.VAR20 = VAR31.VAR39;
VAR104.VAR20 = VAR53;
end else begin
VAR92 = 1'b0;
end
end
end
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/jbi_min/rtl/jbi_min_wdq.v
| 9,106 |
module MODULE1(
VAR24, VAR35, VAR62, VAR26,
VAR52, VAR59, VAR56, VAR5,
VAR46, VAR13, VAR31, VAR8,
VAR45, VAR6, VAR9,
VAR49, VAR2, VAR36, VAR4, VAR16, VAR37,
VAR41, VAR53, VAR22, VAR38, VAR60,
VAR10, VAR39, VAR54, VAR23,
VAR34, VAR33, VAR25, VAR14,
VAR17, VAR61, VAR1,
VAR3, VAR7,
VAR11, clk, VAR29, VAR44
);
input VAR29; input clk; input [3:0] VAR11;input [3:0] VAR7; input VAR3; input VAR1; input VAR61; input [VAR15:VAR30]VAR17;input VAR14; input VAR25; input VAR33; input VAR34; input VAR23; input [2:0] VAR54; input VAR39; input VAR10; input VAR60; input VAR38; input VAR22; input VAR53; input VAR41; input VAR37; input VAR16; input VAR4; input VAR36; input VAR2; input VAR49;
input [127:0] VAR44;
output VAR9; output VAR6; output VAR45; output VAR8; output VAR31; output VAR13; output VAR46; output [VAR18-1:0]VAR5; output VAR56; output VAR59; output VAR52; output VAR26; output [VAR21-1:0]VAR62; output VAR35; output VAR24;
wire [VAR48-1:0]VAR58; wire VAR50; wire [VAR55-1:0]VAR12; wire [VAR48-1:0]VAR19; wire [127:0] VAR40; wire [6:0] VAR20; wire [6:0] VAR43; wire [6:0] VAR32; wire [6:0] VAR27; wire VAR51;
VAR42 VAR47 (
.VAR45(VAR45),
.VAR51 (VAR51),
.VAR40 (VAR40[127:0]),
.VAR20(VAR20[6:0]),
.VAR43(VAR43[6:0]),
.VAR32(VAR32[6:0]),
.VAR27(VAR27[6:0]),
.VAR19 (VAR19[VAR48-1:0]),
.VAR50 (VAR50),
.VAR58 (VAR58[VAR48-1:0]),
.VAR8(VAR8),
.VAR31(VAR31),
.VAR13(VAR13),
.VAR46(VAR46),
.VAR5(VAR5[VAR18-1:0]),
.VAR56(VAR56),
.VAR59(VAR59),
.VAR52(VAR52),
.VAR26(VAR26),
.VAR62(VAR62[VAR21-1:0]),
.VAR35(VAR35),
.VAR24 (VAR24),
.VAR6 (VAR6),
.VAR9 (VAR9),
.clk (clk),
.VAR36 (VAR36),
.VAR11(VAR11[3:0]),
.VAR7(VAR7[3:0]),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR44(VAR44[127:0]),
.VAR17(VAR17[VAR15:VAR30]),
.VAR10(VAR10),
.VAR54(VAR54[2:0]),
.VAR33 (VAR33),
.VAR23 (VAR23),
.VAR39(VAR39),
.VAR34(VAR34),
.VAR14(VAR14),
.VAR25(VAR25),
.VAR60 (VAR60),
.VAR38 (VAR38),
.VAR22 (VAR22),
.VAR53 (VAR53),
.VAR12 (VAR12[VAR55-1:0]),
.VAR41 (VAR41),
.VAR37 (VAR37),
.VAR16 (VAR16),
.VAR4 (VAR4));
VAR28 VAR57 (
.VAR12 (VAR12[VAR55-1:0]),
.clk (clk),
.VAR29 (VAR29),
.VAR49 (VAR49),
.VAR61 (VAR61),
.VAR2 (VAR2),
.VAR51 (VAR51),
.VAR50 (VAR50),
.VAR19 (VAR19[VAR48-1:0]),
.VAR58 (VAR58[VAR48-1:0]),
.VAR40 (VAR40[127:0]),
.VAR20(VAR20[6:0]),
.VAR43(VAR43[6:0]),
.VAR32(VAR32[6:0]),
.VAR27(VAR27[6:0]));
endmodule
|
gpl-2.0
|
asicguy/gplgpu
|
hdl/vga/final_cursor.v
| 4,049 |
module MODULE1
(
input VAR15,
input VAR1,
input VAR8, input VAR13, input VAR2, input VAR4, input VAR11, input VAR7, input VAR14,
output VAR16,
output MODULE1,
output VAR6
);
reg VAR9;
reg [2:0] VAR10;
reg VAR12;
reg [4:0] VAR3;
wire [2:0] VAR17; wire VAR5;
always @(posedge VAR1 or negedge VAR15)
if (!VAR15) VAR10 <= 3'b0;
else if (VAR8) VAR10 <= {VAR10[1:0], VAR13};
assign VAR17 = VAR10;
always @*
case({VAR2, VAR4})
2'b00: VAR9 = VAR13;
2'b01: VAR9 = VAR17[0];
2'b10: VAR9 = VAR17[1];
2'b11: VAR9 = VAR17[2];
endcase
always @(posedge VAR1 or negedge VAR15)
if (!VAR15) begin
VAR12 <= 1'b0;
VAR3 <= 5'b0;
end else begin
VAR12 <= VAR7;
if (VAR14)
VAR3 <= 5'b0;
end
else if (VAR7 && ~VAR12)
VAR3 <= VAR3 + 1'b1;
end
assign VAR16 = ~VAR3[3];
assign VAR6 = VAR3[4];
assign VAR5 = ~( ~VAR16 | (~VAR9) );
assign MODULE1 = (VAR5 & (~VAR11));
endmodule
|
gpl-3.0
|
iori-yja/ball_detector
|
sram.v
| 9,076 |
module MODULE1 (
VAR43,
VAR35,
VAR20,
VAR33,
VAR4,
VAR41);
input VAR43;
input [15:0] VAR35;
input [11:0] VAR20;
input [11:0] VAR33;
input VAR4;
output [15:0] VAR41;
tri1 VAR43;
tri0 VAR4;
wire [15:0] VAR11;
wire [15:0] VAR41 = VAR11[15:0];
VAR54 VAR23 (
.VAR32 (VAR33),
.VAR12 (VAR43),
.VAR55 (VAR35),
.VAR25 (VAR4),
.VAR48 (VAR20),
.VAR51 (VAR11),
.VAR10 (1'b0),
.VAR57 (1'b0),
.VAR7 (1'b0),
.VAR42 (1'b0),
.VAR59 (1'b1),
.VAR16 (1'b1),
.VAR17 (1'b1),
.VAR56 (1'b1),
.VAR60 (1'b1),
.VAR28 (1'b1),
.VAR44 (1'b1),
.VAR5 ({16{1'b1}}),
.VAR22 (),
.VAR14 (),
.VAR31 (1'b1),
.VAR39 (1'b1),
.VAR24 (1'b0));
VAR23.VAR29 = "VAR2",
VAR23.VAR47 = "VAR58",
VAR23.VAR36 = "VAR3",
VAR23.VAR13 = "VAR3",
VAR23.VAR50 = "VAR3",
VAR23.VAR26 = "VAR37 VAR8 VAR46",
VAR23.VAR21 = "VAR54",
VAR23.VAR6 = 4096,
VAR23.VAR19 = 4096,
VAR23.VAR15 = "VAR40",
VAR23.VAR30 = "VAR2",
VAR23.VAR1 = "VAR58",
VAR23.VAR49 = "VAR27",
VAR23.VAR34 = "VAR52",
VAR23.VAR18 = 12,
VAR23.VAR38 = 12,
VAR23.VAR9 = 16,
VAR23.VAR45 = 16,
VAR23.VAR53 = 1;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor3b/sky130_fd_sc_ls__nor3b_1.v
| 2,254 |
module MODULE2 (
VAR2 ,
VAR8 ,
VAR6 ,
VAR10 ,
VAR3,
VAR7,
VAR9 ,
VAR1
);
output VAR2 ;
input VAR8 ;
input VAR6 ;
input VAR10 ;
input VAR3;
input VAR7;
input VAR9 ;
input VAR1 ;
VAR4 VAR5 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR2 ,
VAR8 ,
VAR6 ,
VAR10
);
output VAR2 ;
input VAR8 ;
input VAR6 ;
input VAR10;
supply1 VAR3;
supply0 VAR7;
supply1 VAR9 ;
supply0 VAR1 ;
VAR4 VAR5 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR10(VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlygate4sd2/sky130_fd_sc_hs__dlygate4sd2.behavioral.v
| 1,724 |
module MODULE1 (
VAR10 ,
VAR2 ,
VAR9,
VAR5
);
output VAR10 ;
input VAR2 ;
input VAR9;
input VAR5;
wire VAR7 ;
wire VAR4;
buf VAR8 (VAR7 , VAR2 );
VAR3 VAR6 (VAR4, VAR7, VAR9, VAR5);
buf VAR1 (VAR10 , VAR4 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkbuf/sky130_fd_sc_ms__clkbuf.pp.symbol.v
| 1,262 |
module MODULE1 (
input VAR2 ,
output VAR6 ,
input VAR3 ,
input VAR1,
input VAR4,
input VAR5
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and4/sky130_fd_sc_hd__and4.pp.blackbox.v
| 1,314 |
module MODULE1 (
VAR5 ,
VAR7 ,
VAR9 ,
VAR8 ,
VAR4 ,
VAR1,
VAR3,
VAR2 ,
VAR6
);
output VAR5 ;
input VAR7 ;
input VAR9 ;
input VAR8 ;
input VAR4 ;
input VAR1;
input VAR3;
input VAR2 ;
input VAR6 ;
endmodule
|
apache-2.0
|
HighlandersFRC/fpga
|
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v
| 4,814 |
module MODULE1 #
(
parameter integer VAR3 = 1, parameter integer VAR5 = 1, parameter integer VAR4 = 1, parameter integer VAR6 = 0 )
(
input wire [VAR3-1:0] VAR12, input wire [VAR5-1:0] VAR14, input wire [VAR3*VAR4-1:0] VAR9, output wire [VAR4-1:0] VAR11 );
wire [VAR4*VAR3-1:0] VAR7;
genvar VAR13;
generate
if (VAR6 == 0) begin : VAR8
assign VAR7[VAR4-1:0] = {VAR4{(VAR14==0)?1'b1:1'b0}} & VAR9[VAR4-1:0];
for (VAR13=1;VAR13<VAR3;VAR13=VAR13+1) begin : VAR2
assign VAR7[(VAR13+1)*VAR4-1:VAR13*VAR4] =
VAR7[VAR13*VAR4-1:(VAR13-1)*VAR4] |
{VAR4{(VAR14==VAR13)?1'b1:1'b0}} & VAR9[(VAR13+1)*VAR4-1:VAR13*VAR4];
end
end else begin : VAR10
assign VAR7[VAR4-1:0] = {VAR4{VAR12[0]}} & VAR9[VAR4-1:0];
for (VAR13=1;VAR13<VAR3;VAR13=VAR13+1) begin : VAR1
assign VAR7[(VAR13+1)*VAR4-1:VAR13*VAR4] =
VAR7[VAR13*VAR4-1:(VAR13-1)*VAR4] |
{VAR4{VAR12[VAR13]}} & VAR9[(VAR13+1)*VAR4-1:VAR13*VAR4];
end
end
endgenerate
assign VAR11 = VAR7[VAR4*VAR3-1:
VAR4*(VAR3-1)];
endmodule
|
mit
|
KorotkiyEugene/LAG_sv_syn_quartus
|
LAG_matrix_arbiter.v
| 5,446 |
module MODULE1 (state, VAR15, VAR3);
parameter VAR2=4;
input [VAR2*VAR2-1:0] state;
input [VAR2-1:0] VAR15;
output [VAR2*VAR2-1:0] VAR3;
genvar VAR9,VAR1;
generate
for (VAR9=0; VAR9<VAR2; VAR9=VAR9+1) begin:VAR19
for (VAR1=0; VAR1<VAR2; VAR1=VAR1+1) begin:VAR16
assign VAR3[VAR1*VAR2+VAR9]= (state[VAR1*VAR2+VAR9]&&!VAR15[VAR1])||(VAR15[VAR9]);
end
end
endgenerate
endmodule
module MODULE2 (request, VAR15, VAR21, clk, VAR7);
parameter VAR2= 4;
parameter VAR20 = 0;
parameter VAR4 = 0;
input [VAR2-1:0] request;
output [VAR2-1:0] VAR15;
input VAR21;
input clk, VAR7;
genvar VAR9,VAR1;
logic [VAR2-1:0] req;
logic [VAR2-1:0] VAR12;
logic [VAR2*VAR2-1:0] VAR18, VAR5;
logic [VAR2-1:0] VAR17 [VAR2-1:0];
logic [VAR2*VAR2-1:0] VAR3;
logic [VAR2*VAR2-1:0] state;
logic VAR10;
genvar VAR8;
integer VAR13;
assign req=request;
generate
for (VAR9=0; VAR9<VAR2; VAR9=VAR9+1) begin:VAR6
for (VAR1=0; VAR1<VAR2; VAR1=VAR1+1) begin:VAR11
if (VAR1==VAR9)
assign VAR17[VAR9][VAR1]=req[VAR9];
end
else
if (VAR1>VAR9)
assign VAR17[VAR9][VAR1]=!(req[VAR1]&&state[VAR1*VAR2+VAR9]);
end
else
assign VAR17[VAR9][VAR1]=!(req[VAR1]&&!state[VAR9*VAR2+VAR1]);
end
assign VAR15[VAR9]=&VAR17[VAR9];
end
endgenerate
generate
if (VAR20==2) begin
assign state = VAR21 ? VAR18 : VAR5;
end else begin
assign state = VAR5;
end
endgenerate
MODULE1 #(VAR2) VAR14 (.*);
always@(posedge clk) begin
if (!VAR7) begin
VAR5 <= '1; VAR18<='1; end else begin
if (VAR20==2) begin
VAR10<=|req;
if (|req) begin
VAR18 <= VAR3;
end
if (VAR10) begin
VAR5 <= state;
end
end else begin
if ((VAR20==1)&!VAR21) begin
end else begin
if (|req) begin
VAR5<=VAR3;
end
end
end
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
models/udp_mux_4to2/sky130_fd_sc_ls__udp_mux_4to2.blackbox.v
| 1,298 |
module MODULE1 (
VAR6 ,
VAR2,
VAR4,
VAR3,
VAR7,
VAR5,
VAR1
);
output VAR6 ;
input VAR2;
input VAR4;
input VAR3;
input VAR7;
input VAR5;
input VAR1;
endmodule
|
apache-2.0
|
monotone-RK/FACE
|
IEICE-Trans/data_compression/8-way_2-tree/src/riffa/reset_extender.v
| 3,480 |
module MODULE1
(input VAR5,
input VAR14,
input VAR2,
output VAR20,
output VAR13);
localparam VAR21 = VAR6(VAR23);
localparam VAR10 = 1 << VAR21;
localparam VAR24 = 4;
wire [VAR21:0] VAR16;
wire [VAR24:0] VAR4;
assign VAR13 = VAR4 != 0;
assign VAR20 = VAR4[VAR24];
counter
.VAR22 (VAR10),
.VAR8 (VAR10 - VAR23)
)
VAR17
( .VAR1 (VAR16),
.VAR15 (1'b1),
.VAR19 (VAR14 | VAR2),
.VAR5 (VAR5));
VAR18
.VAR3 (1),
.VAR12 (0)
)
VAR7
( .VAR9 (VAR4),
.VAR19 (0),
.VAR11 (~VAR16[VAR21]),
.VAR5 (VAR5));
endmodule
|
mit
|
scalable-networks/ext
|
uhd/fpga/usrp2/control_lib/wb_ram_dist.v
| 1,405 |
module MODULE1
(input VAR10,
input VAR7,
input VAR6,
input [VAR8-1:0] VAR1,
input [31:0] VAR2,
input [3:0] VAR9,
output [31:0] VAR3,
output VAR5);
reg [31:0] VAR4 [0:1<<(VAR8-1)];
always @(posedge VAR10)
begin
if(VAR7 & VAR6 & VAR9[3])
VAR4[VAR1][31:24] <= VAR2[31:24];
if(VAR7 & VAR6 & VAR9[2])
VAR4[VAR1][24:16] <= VAR2[24:16];
if(VAR7 & VAR6 & VAR9[1])
VAR4[VAR1][15:8] <= VAR2[15:8];
if(VAR7 & VAR6 & VAR9[0])
VAR4[VAR1][7:0] <= VAR2[7:0];
end
assign VAR3 = VAR4[VAR1];
assign VAR5 = VAR7;
endmodule
|
gpl-2.0
|
seyedmaysamlavasani/GorillaPP
|
chisel/Gorilla++/verilogOrig/Offloaded.v
| 22,015 |
module MODULE2(input clk, input reset,
output VAR162,
input VAR202,
input [31:0] VAR157,
input VAR89,
output VAR111,
output[31:0] VAR122,
input VAR119,
input VAR88,
input [15:0] VAR128,
input [7:0] VAR153,
input [15:0] VAR200,
input [3:0] VAR57,
output VAR172,
output VAR72,
output[15:0] VAR124,
output[7:0] VAR192,
output[15:0] VAR28,
output[3:0] VAR64,
input VAR173,
output VAR143,
output[31:0] VAR90,
output VAR19,
input VAR30,
input [31:0] VAR189);
reg[0:0] VAR48;
wire VAR131;
wire VAR178;
wire VAR164;
wire VAR27;
wire VAR176;
wire VAR163;
wire VAR190;
reg[15:0] VAR108;
wire VAR10;
wire[15:0] VAR175;
wire VAR205;
reg[0:0] VAR43;
wire VAR12;
wire VAR23;
wire VAR92;
wire VAR145;
wire VAR158;
reg[3:0] VAR142;
wire VAR17;
wire[3:0] VAR25;
wire VAR69;
wire VAR56;
wire VAR118;
reg[2:0] state;
wire VAR191;
wire VAR76;
wire VAR114;
wire VAR196;
wire VAR87;
wire VAR150;
wire VAR194;
wire VAR38;
wire VAR149;
reg[0:0] VAR22;
wire VAR52;
wire VAR61;
wire VAR180;
wire VAR4;
wire VAR1;
wire VAR54;
wire VAR67;
wire VAR132;
wire VAR167;
wire VAR98;
wire VAR60;
wire VAR168;
wire VAR78;
wire VAR75;
wire VAR18;
wire VAR13;
wire[31:0] VAR126;
reg[31:0] counter;
wire VAR65;
wire VAR144;
wire VAR31;
wire VAR170;
wire VAR99;
wire[31:0] VAR193;
wire[31:0] VAR3;
wire[31:0] VAR36;
wire[31:0] VAR74;
wire VAR82;
wire VAR8;
wire VAR187;
wire VAR141;
wire[2:0] VAR148;
wire[2:0] VAR62;
wire[2:0] VAR139;
wire[2:0] VAR66;
wire[2:0] VAR95;
reg[7:0] VAR29;
wire VAR34;
wire[7:0] VAR186;
wire VAR96;
reg[15:0] VAR185;
wire VAR177;
wire[15:0] VAR81;
wire[15:0] VAR7;
wire[15:0] VAR160;
wire[15:0] VAR152;
wire[15:0] VAR26;
reg[15:0] VAR203;
wire VAR136;
wire VAR51;
wire VAR101;
wire VAR37;
wire VAR47;
wire VAR73;
wire VAR188;
wire VAR53;
wire VAR2;
wire[3:0] VAR41;
wire[15:0] VAR199;
wire[15:0] VAR33;
wire[15:0] VAR79;
wire VAR110;
wire[15:0] VAR80;
reg[15:0] VAR183;
wire VAR6;
wire VAR130;
wire VAR140;
wire VAR127;
wire VAR169;
wire VAR159;
wire VAR201;
wire VAR35;
wire[3:0] VAR94;
wire[15:0] VAR97;
wire[15:0] VAR151;
wire[15:0] VAR207;
wire VAR147;
wire[15:0] VAR20;
reg[15:0] VAR182;
wire VAR15;
wire VAR14;
wire VAR166;
wire VAR77;
wire VAR50;
wire VAR104;
wire VAR113;
wire[3:0] VAR44;
wire[15:0] VAR102;
wire[15:0] VAR24;
wire[15:0] VAR63;
wire VAR171;
wire[15:0] VAR109;
wire[31:0] VAR49;
wire[31:0] VAR40;
assign VAR72 = VAR48;
assign VAR131 = VAR164 || VAR178;
assign VAR178 = ! VAR164;
assign VAR164 = VAR27 && VAR88;
assign VAR27 = VAR119 && VAR176;
assign VAR176 = VAR128 == 16'h2;
assign VAR163 = VAR178 ? VAR88 : VAR190;
assign VAR190 = VAR164 ? 1'h0 : VAR48;
assign VAR124 = VAR108;
assign VAR10 = VAR164 || VAR178;
assign VAR175 = VAR205 ? VAR128 : VAR108;
assign VAR205 = VAR164 || VAR178;
assign VAR172 = VAR43;
assign VAR12 = VAR23 || VAR178;
assign VAR23 = VAR164 || VAR164;
assign VAR92 = VAR158 ? VAR119 : VAR145;
assign VAR145 = VAR164 ? 1'h1 : VAR43;
assign VAR158 = VAR164 || VAR178;
assign VAR64 = VAR142;
assign VAR17 = VAR164 || VAR178;
assign VAR25 = VAR69 ? VAR57 : VAR142;
assign VAR69 = VAR164 || VAR178;
assign VAR19 = VAR56;
assign VAR56 = VAR118;
assign VAR118 = state != 3'h4;
assign VAR191 = VAR75 || VAR76;
assign VAR76 = VAR87 && VAR114;
assign VAR114 = VAR196 && VAR89;
assign VAR196 = state == 3'h4;
assign VAR87 = ! VAR150;
assign VAR150 = VAR98 || VAR194;
assign VAR194 = VAR167 && VAR38;
assign VAR38 = VAR30;
assign VAR143 = VAR149;
assign VAR149 = VAR22;
assign VAR52 = VAR61 || VAR76;
assign VAR61 = VAR4 && VAR180;
assign VAR180 = state == 3'h1;
assign VAR4 = ! VAR1;
assign VAR1 = VAR54 && VAR202;
assign VAR54 = state == 3'h0;
assign VAR67 = VAR76 ? 1'h0 : VAR132;
assign VAR132 = VAR61 ? 1'h1 : VAR22;
assign VAR167 = state == 3'h3;
assign VAR98 = VAR78 || VAR60;
assign VAR60 = VAR168 && VAR38;
assign VAR168 = state == 3'h2;
assign VAR78 = VAR1 || VAR180;
assign VAR75 = VAR82 || VAR18;
assign VAR18 = VAR170 && VAR13;
assign VAR13 = counter == VAR126;
assign VAR126 = {31'h0, 1'h0};
assign VAR65 = VAR18 || VAR144;
assign VAR144 = VAR170 && VAR31;
assign VAR31 = ! VAR13;
assign VAR170 = VAR99 && VAR194;
assign VAR99 = ! VAR98;
assign VAR193 = VAR144 ? VAR36 : VAR3;
assign VAR3 = VAR18 ? 32'ha : counter;
assign VAR36 = counter - VAR74;
assign VAR74 = {31'h0, 1'h1};
assign VAR82 = VAR141 || VAR8;
assign VAR8 = VAR187 && VAR60;
assign VAR187 = ! VAR78;
assign VAR141 = VAR1 || VAR61;
assign VAR148 = VAR76 ? 3'h0 : VAR62;
assign VAR62 = VAR18 ? 3'h4 : VAR139;
assign VAR139 = VAR8 ? 3'h3 : VAR66;
assign VAR66 = VAR61 ? 3'h2 : VAR95;
assign VAR95 = VAR1 ? 3'h1 : state;
assign VAR192 = VAR29;
assign VAR34 = VAR164 || VAR178;
assign VAR186 = VAR96 ? VAR153 : VAR29;
assign VAR96 = VAR164 || VAR178;
assign VAR28 = VAR185;
assign VAR177 = VAR164 || VAR178;
assign VAR81 = VAR178 ? VAR200 : VAR7;
assign VAR7 = VAR164 ? VAR160 : VAR185;
assign VAR160 = VAR171 ? VAR182 : VAR152;
assign VAR152 = VAR147 ? VAR183 : VAR26;
assign VAR26 = VAR110 ? VAR203 : 16'h0;
assign VAR136 = VAR53 || VAR51;
assign VAR51 = VAR188 && VAR101;
assign VAR101 = VAR202 && VAR37;
assign VAR37 = ! VAR162;
assign VAR162 = VAR47;
assign VAR47 = VAR73 && VAR89;
assign VAR73 = state == 3'h4;
assign VAR188 = ! VAR53;
assign VAR53 = VAR88 && VAR2;
assign VAR2 = VAR57 == VAR41;
assign VAR41 = {2'h0, 2'h2};
assign VAR199 = VAR51 ? VAR79 : VAR33;
assign VAR33 = VAR53 ? 16'h0 : VAR203;
assign VAR79 = VAR203 + 16'h1;
assign VAR110 = VAR80 == 16'h1;
assign VAR80 = {8'h0, VAR153};
assign VAR6 = VAR201 || VAR130;
assign VAR130 = VAR159 && VAR140;
assign VAR140 = VAR111 && VAR127;
assign VAR127 = ! VAR89;
assign VAR111 = VAR169;
assign VAR169 = state == 3'h4;
assign VAR159 = ! VAR201;
assign VAR201 = VAR88 && VAR35;
assign VAR35 = VAR57 == VAR94;
assign VAR94 = {2'h0, 2'h2};
assign VAR97 = VAR130 ? VAR207 : VAR151;
assign VAR151 = VAR201 ? 16'h0 : VAR183;
assign VAR207 = VAR183 + 16'h1;
assign VAR147 = VAR20 == 16'h2;
assign VAR20 = {8'h0, VAR153};
assign VAR15 = VAR104 || VAR14;
assign VAR14 = VAR50 && VAR166;
assign VAR166 = VAR143 && VAR77;
assign VAR77 = ! VAR173;
assign VAR50 = ! VAR104;
assign VAR104 = VAR88 && VAR113;
assign VAR113 = VAR57 == VAR44;
assign VAR44 = {2'h0, 2'h2};
assign VAR102 = VAR14 ? VAR63 : VAR24;
assign VAR24 = VAR104 ? 16'h0 : VAR182;
assign VAR63 = VAR182 + 16'h1;
assign VAR171 = VAR109 == 16'h3;
assign VAR109 = {8'h0, VAR153};
assign VAR122 = VAR49;
assign VAR49 = VAR157 + VAR40;
assign VAR40 = VAR189;
always @(posedge clk) begin
if(reset) begin
VAR48 <= 1'h1;
end else if(VAR131) begin
VAR48 <= VAR163;
end
if(reset) begin
VAR108 <= 16'h0;
end else if(VAR10) begin
VAR108 <= VAR175;
end
if(reset) begin
VAR43 <= 1'h0;
end else if(VAR12) begin
VAR43 <= VAR92;
end
if(reset) begin
VAR142 <= 4'h0;
end else if(VAR17) begin
VAR142 <= VAR25;
end
if(reset) begin
state <= 3'h0;
end else if(VAR191) begin
state <= VAR148;
end
if(reset) begin
VAR22 <= 1'h0;
end else if(VAR52) begin
VAR22 <= VAR67;
end
if(reset) begin
counter <= 32'ha;
end else if(VAR65) begin
counter <= VAR193;
end
if(reset) begin
VAR29 <= 8'h0;
end else if(VAR34) begin
VAR29 <= VAR186;
end
if(reset) begin
VAR185 <= 16'h0;
end else if(VAR177) begin
VAR185 <= VAR81;
end
if(reset) begin
VAR203 <= 16'h0;
end else if(VAR136) begin
VAR203 <= VAR199;
end
if(reset) begin
VAR183 <= 16'h0;
end else if(VAR6) begin
VAR183 <= VAR97;
end
if(reset) begin
VAR182 <= 16'h0;
end else if(VAR15) begin
VAR182 <= VAR102;
end
end
endmodule
module MODULE3(input clk, input reset,
output VAR162,
input VAR202,
input [31:0] VAR157,
input VAR89,
output VAR111,
output[31:0] VAR122,
input VAR119,
input VAR88,
input [15:0] VAR128,
input [7:0] VAR153,
input [15:0] VAR200,
input [3:0] VAR57,
output VAR172,
output VAR72,
output[15:0] VAR124,
output[7:0] VAR192,
output[15:0] VAR28,
output[3:0] VAR64,
input VAR91,
output VAR46,
output[31:0] VAR9,
output VAR179,
input VAR133,
input [31:0] VAR45);
reg[3:0] VAR48;
wire VAR131;
wire VAR178;
wire VAR164;
wire VAR27;
wire VAR176;
wire[3:0] VAR163;
wire VAR190;
reg[15:0] VAR108;
wire VAR10;
wire[15:0] VAR175;
wire[15:0] VAR205;
wire[15:0] VAR165;
wire[15:0] VAR12;
wire[15:0] VAR23;
reg[15:0] VAR120;
wire VAR145;
wire VAR158;
wire VAR195;
wire VAR17;
wire VAR25;
reg[0:0] VAR105;
wire VAR69;
wire VAR118;
wire VAR191;
wire[3:0] VAR76;
wire[15:0] VAR114;
wire[15:0] VAR196;
wire[15:0] VAR87;
wire VAR150;
wire[15:0] VAR194;
reg[15:0] VAR42;
wire VAR61;
wire VAR180;
wire VAR4;
wire VAR1;
wire VAR54;
wire VAR67;
wire VAR132;
wire[3:0] VAR167;
wire[15:0] VAR98;
wire[15:0] VAR60;
wire[15:0] VAR168;
wire VAR78;
wire[15:0] VAR75;
reg[15:0] VAR106;
wire VAR13;
wire VAR126;
wire VAR65;
wire VAR144;
wire VAR31;
wire VAR170;
wire VAR99;
wire[3:0] VAR193;
wire[15:0] VAR3;
wire[15:0] VAR36;
wire[15:0] VAR74;
wire VAR82;
wire[15:0] VAR8;
reg[7:0] VAR85;
wire VAR141;
wire[7:0] VAR148;
wire VAR62;
reg[0:0] VAR11;
wire VAR66;
wire VAR95;
wire VAR138;
reg[15:0] VAR161;
wire VAR186;
wire[15:0] VAR96;
wire VAR184;
reg[0:0] VAR5;
wire VAR81;
wire VAR7;
wire VAR160;
wire VAR152;
wire VAR26;
reg[31:0] VAR55;
assign VAR64 = VAR48;
assign VAR131 = VAR164 || VAR178;
assign VAR178 = ! VAR164;
assign VAR164 = VAR27 && VAR88;
assign VAR27 = VAR119 && VAR176;
assign VAR176 = VAR128 == 16'h3;
assign VAR163 = VAR190 ? VAR57 : VAR48;
assign VAR190 = VAR164 || VAR178;
assign VAR28 = VAR108;
assign VAR10 = VAR164 || VAR178;
assign VAR175 = VAR178 ? VAR200 : VAR205;
assign VAR205 = VAR164 ? VAR165 : VAR108;
assign VAR165 = VAR82 ? VAR106 : VAR12;
assign VAR12 = VAR78 ? VAR42 : VAR23;
assign VAR23 = VAR150 ? VAR120 : 16'h0;
assign VAR145 = VAR118 || VAR158;
assign VAR158 = VAR69 && VAR195;
assign VAR195 = VAR202 && VAR17;
assign VAR17 = ! VAR162;
assign VAR162 = VAR89;
assign VAR111 = VAR25;
assign VAR25 = VAR105 && VAR89;
assign VAR69 = ! VAR118;
assign VAR118 = VAR88 && VAR191;
assign VAR191 = VAR57 == VAR76;
assign VAR76 = {2'h0, 2'h2};
assign VAR114 = VAR158 ? VAR87 : VAR196;
assign VAR196 = VAR118 ? 16'h0 : VAR120;
assign VAR87 = VAR120 + 16'h1;
assign VAR150 = VAR194 == 16'h1;
assign VAR194 = {8'h0, VAR153};
assign VAR61 = VAR67 || VAR180;
assign VAR180 = VAR54 && VAR4;
assign VAR4 = VAR111 && VAR1;
assign VAR1 = ! VAR89;
assign VAR54 = ! VAR67;
assign VAR67 = VAR88 && VAR132;
assign VAR132 = VAR57 == VAR167;
assign VAR167 = {2'h0, 2'h2};
assign VAR98 = VAR180 ? VAR168 : VAR60;
assign VAR60 = VAR67 ? 16'h0 : VAR42;
assign VAR168 = VAR42 + 16'h1;
assign VAR78 = VAR75 == 16'h2;
assign VAR75 = {8'h0, VAR153};
assign VAR13 = VAR170 || VAR126;
assign VAR126 = VAR31 && VAR65;
assign VAR65 = VAR46 && VAR144;
assign VAR144 = ! VAR91;
assign VAR31 = ! VAR170;
assign VAR170 = VAR88 && VAR99;
assign VAR99 = VAR57 == VAR193;
assign VAR193 = {2'h0, 2'h2};
assign VAR3 = VAR126 ? VAR74 : VAR36;
assign VAR36 = VAR170 ? 16'h0 : VAR106;
assign VAR74 = VAR106 + 16'h1;
assign VAR82 = VAR8 == 16'h3;
assign VAR8 = {8'h0, VAR153};
assign VAR192 = VAR85;
assign VAR141 = VAR164 || VAR178;
assign VAR148 = VAR62 ? VAR153 : VAR85;
assign VAR62 = VAR164 || VAR178;
assign VAR72 = VAR11;
assign VAR66 = VAR164 || VAR178;
assign VAR95 = VAR178 ? VAR88 : VAR138;
assign VAR138 = VAR164 ? 1'h0 : VAR11;
assign VAR124 = VAR161;
assign VAR186 = VAR164 || VAR178;
assign VAR96 = VAR184 ? VAR128 : VAR161;
assign VAR184 = VAR164 || VAR178;
assign VAR172 = VAR5;
assign VAR81 = VAR7 || VAR178;
assign VAR7 = VAR164 || VAR164;
assign VAR160 = VAR26 ? VAR119 : VAR152;
assign VAR152 = VAR164 ? 1'h1 : VAR5;
assign VAR26 = VAR164 || VAR178;
assign VAR122 = VAR55;
always @(posedge clk) begin
if(reset) begin
VAR48 <= 4'h0;
end else if(VAR131) begin
VAR48 <= VAR163;
end
if(reset) begin
VAR108 <= 16'h0;
end else if(VAR10) begin
VAR108 <= VAR175;
end
if(reset) begin
VAR120 <= 16'h0;
end else if(VAR145) begin
VAR120 <= VAR114;
end
VAR105 <= VAR202;
if(reset) begin
VAR42 <= 16'h0;
end else if(VAR61) begin
VAR42 <= VAR98;
end
if(reset) begin
VAR106 <= 16'h0;
end else if(VAR13) begin
VAR106 <= VAR3;
end
if(reset) begin
VAR85 <= 8'h0;
end else if(VAR141) begin
VAR85 <= VAR148;
end
if(reset) begin
VAR11 <= 1'h1;
end else if(VAR66) begin
VAR11 <= VAR95;
end
if(reset) begin
VAR161 <= 16'h0;
end else if(VAR186) begin
VAR161 <= VAR96;
end
if(reset) begin
VAR5 <= 1'h0;
end else if(VAR81) begin
VAR5 <= VAR160;
end
VAR55 <= 32'h2;
end
endmodule
module MODULE1(input clk, input reset,
output VAR162,
input VAR202,
input [31:0] VAR157,
input VAR89,
output VAR111,
output[31:0] VAR122,
input VAR119,
input VAR88,
input [15:0] VAR128,
input [7:0] VAR153,
input [15:0] VAR200,
input [3:0] VAR57,
output VAR172,
output VAR72,
output[15:0] VAR124,
output[7:0] VAR192,
output[15:0] VAR28,
output[3:0] VAR64,
input VAR146,
output VAR121,
output[31:0] VAR107,
output VAR137,
input VAR68,
input [31:0] VAR84);
wire[3:0] VAR100;
wire VAR83;
wire[15:0] VAR206;
wire VAR155;
wire[3:0] VAR174;
wire[15:0] VAR198;
wire VAR21;
wire VAR103;
wire VAR154;
wire[7:0] VAR112;
wire[15:0] VAR197;
wire VAR58;
wire[7:0] VAR115;
wire VAR135;
wire[15:0] VAR70;
wire VAR59;
wire[31:0] VAR117;
wire[31:0] VAR39;
wire VAR123;
wire VAR125;
assign VAR64 = VAR100;
assign VAR28 = VAR198;
assign VAR192 = VAR115;
assign VAR72 = VAR135;
assign VAR124 = VAR70;
assign VAR172 = VAR59;
assign VAR122 = VAR117;
assign VAR111 = VAR123;
assign VAR162 = VAR125;
MODULE2 VAR116(.clk(clk), .reset(reset),
.VAR162( VAR125 ),
.VAR202( VAR202 ),
.VAR157( VAR157 ),
.VAR89( VAR89 ),
.VAR111( VAR123 ),
.VAR122( VAR117 ),
.VAR119( VAR119 ),
.VAR88( VAR88 ),
.VAR128( VAR128 ),
.VAR153( VAR153 ),
.VAR200( VAR200 ),
.VAR57( VAR57 ),
.VAR172( VAR155 ),
.VAR72( VAR83 ),
.VAR124( VAR206 ),
.VAR192( VAR112 ),
.VAR28( VAR197 ),
.VAR64( VAR174 ),
.VAR173( VAR58 ),
.VAR143( VAR154 ),
.VAR90( ),
.VAR19( VAR21 ),
.VAR30( VAR103 ),
.VAR189( VAR39 ));
MODULE3 VAR156(.clk(clk), .reset(reset),
.VAR162( VAR58 ),
.VAR202( VAR154 ),
.VAR157( ),
.VAR89( VAR21 ),
.VAR111( VAR103 ),
.VAR122( VAR39 ),
.VAR119( VAR155 ),
.VAR88( VAR83 ),
.VAR128( VAR206 ),
.VAR153( VAR112 ),
.VAR200( VAR197 ),
.VAR57( VAR174 ),
.VAR172( VAR59 ),
.VAR72( VAR135 ),
.VAR124( VAR70 ),
.VAR192( VAR115 ),
.VAR28( VAR198 ),
.VAR64( VAR100 ),
.VAR91( ),
.VAR46( ),
.VAR9( ),
.VAR179( ),
.VAR133( ),
.VAR45( ));
endmodule
module MODULE4(input clk, input reset,
output VAR162,
input VAR202,
input [31:0] VAR157,
input VAR89,
output VAR111,
output[31:0] VAR122,
input VAR119,
input VAR88,
input [15:0] VAR128,
input [7:0] VAR153,
input [15:0] VAR200,
input [3:0] VAR57,
output VAR172,
output VAR72,
output[15:0] VAR124,
output[7:0] VAR192,
output[15:0] VAR28,
output[3:0] VAR64);
wire[3:0] VAR204;
wire[15:0] VAR129;
wire[7:0] VAR181;
wire VAR134;
wire[15:0] VAR86;
wire VAR93;
wire[31:0] VAR16;
wire VAR32;
wire VAR71;
assign VAR64 = VAR204;
assign VAR28 = VAR129;
assign VAR192 = VAR181;
assign VAR72 = VAR134;
assign VAR124 = VAR86;
assign VAR172 = VAR93;
assign VAR122 = VAR16;
assign VAR111 = VAR32;
assign VAR162 = VAR71;
MODULE1 MODULE1(.clk(clk), .reset(reset),
.VAR162( VAR71 ),
.VAR202( VAR202 ),
.VAR157( VAR157 ),
.VAR89( VAR89 ),
.VAR111( VAR32 ),
.VAR122( VAR16 ),
.VAR119( VAR119 ),
.VAR88( VAR88 ),
.VAR128( VAR128 ),
.VAR153( VAR153 ),
.VAR200( VAR200 ),
.VAR57( VAR57 ),
.VAR172( VAR93 ),
.VAR72( VAR134 ),
.VAR124( VAR86 ),
.VAR192( VAR181 ),
.VAR28( VAR129 ),
.VAR64( VAR204 ),
.VAR146( ),
.VAR121( ),
.VAR107( ),
.VAR137( ),
.VAR68( ),
.VAR84( ));
endmodule
|
bsd-3-clause
|
q3k/q3kmips
|
rtl/verilog/qm_alu.v
| 2,159 |
module MODULE1(
input wire [3:0] VAR1,
input wire [31:0] VAR2,
input wire [31:0] VAR3,
output reg [31:0] VAR4,
always @(VAR1, VAR2, VAR3) begin
case (VAR1)
endcase
end
);
endmodule
|
bsd-2-clause
|
rfotino/consolite-hardware
|
src/seg_status.v
| 1,812 |
module MODULE1
(
input VAR10, input VAR14, input VAR16, input VAR4, input VAR19, input VAR15, input VAR18, input [7:0] VAR1, input VAR9, input VAR11, input [7:0] VAR5, input [11:0] VAR17, output reg [11:0] VAR6
);
always @ (*) begin
if (!VAR14) begin
VAR6 = VAR3;
end else if (VAR16) begin
VAR6 = VAR12;
end else if (!VAR4) begin
VAR6 = VAR13;
end else if (VAR18) begin
VAR6 = VAR7;
end else if (VAR19 && !VAR15) begin
VAR6 = VAR8 | VAR1;
end else if (!VAR10) begin
VAR6 = VAR2 | VAR5;
end else begin
VAR6 = VAR17;
end
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/syn/verilog/convolve_kernel_fcud.v
| 2,142 |
module MODULE1
VAR3 = 2,
VAR27 = 5,
VAR4 = 32,
VAR2 = 32,
VAR16 = 32
)(
input wire clk,
input wire reset,
input wire VAR22,
input wire [VAR4-1:0] VAR23,
input wire [VAR2-1:0] VAR17,
output wire [VAR16-1:0] dout
);
wire VAR11;
wire VAR5;
wire VAR19;
wire [31:0] VAR28;
wire VAR24;
wire [31:0] VAR13;
wire VAR20;
wire [31:0] VAR14;
reg [VAR4-1:0] VAR1;
reg [VAR2-1:0] VAR18;
reg VAR10;
wire [VAR16-1:0] VAR21;
reg [VAR16-1:0] VAR15;
VAR8 VAR26 (
.VAR11 ( VAR11 ),
.VAR5 ( VAR5 ),
.VAR9 ( VAR19 ),
.VAR25 ( VAR28 ),
.VAR12 ( VAR24 ),
.VAR7 ( VAR13 ),
.VAR29 ( VAR20 ),
.VAR6 ( VAR14 )
);
assign VAR11 = clk;
assign VAR5 = VAR10;
assign VAR19 = 1'b1;
assign VAR28 = VAR1;
assign VAR24 = 1'b1;
assign VAR13 = VAR18;
assign VAR21 = VAR14;
always @(posedge clk) begin
if (VAR22) begin
VAR1 <= VAR23;
VAR18 <= VAR17;
end
end
always @ (posedge clk) begin
VAR10 <= VAR22;
end
always @ (posedge clk) begin
if (VAR10) begin
VAR15 <= VAR21;
end
end
assign dout = VAR10?VAR21:VAR15;
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nor4/gf180mcu_fd_sc_mcu7t5v0__nor4_2.behavioral.pp.v
| 1,402 |
module MODULE1( VAR6, VAR10, VAR2, VAR7, VAR4, VAR5, VAR1 );
input VAR4, VAR7, VAR10, VAR6;
inout VAR5, VAR1;
output VAR2;
VAR9 VAR8(.VAR6(VAR6),.VAR10(VAR10),.VAR2(VAR2),.VAR7(VAR7),.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1));
VAR9 VAR3(.VAR6(VAR6),.VAR10(VAR10),.VAR2(VAR2),.VAR7(VAR7),.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1));
|
apache-2.0
|
ptracton/Picoblaze
|
PicoBlaze_GPIO_Example/PicoBlaze_GPIO_Example.srcs/sources_1/imports/PicoBlaze_GPIO_Example/cpu.v
| 2,782 |
module MODULE1 (
VAR11, VAR17, VAR9, VAR4, VAR2,
clk, VAR16, interrupt, VAR3, VAR1
) ;
input clk;
input [7:0] VAR16;
output [7:0] VAR11;
output [7:0] VAR17;
output VAR9;
output VAR4;
input interrupt; output VAR2;
input VAR3;
input VAR1;
wire [11:0] address;
wire [17:0] VAR14;
wire [7:0] VAR17;
wire [7:0] VAR11;
wire VAR21;
wire VAR13;
wire VAR12;
wire VAR2;
wire VAR4;
wire VAR9;
wire VAR8;
VAR7 #(
.VAR5 (12'h3FF),
.VAR19(64),
.VAR18 (8'h00))
VAR20 (
.address (address),
.VAR14 (VAR14),
.VAR21 (VAR21),
.VAR11 (VAR11),
.VAR9 (VAR9),
.VAR13 (VAR13),
.VAR17 (VAR17),
.VAR4 (VAR4),
.VAR16 (VAR16),
.interrupt (interrupt),
.VAR2 (VAR2),
.reset (VAR12),
.VAR15 (VAR3),
.clk (clk));
VAR6
VAR10 ( .enable (VAR21),
.address (address),
.VAR14 (VAR14),
.clk (clk));
assign VAR12 = VAR1;
endmodule
|
mit
|
jmacneal/Design-Project
|
Display/avconf/avconf.v
| 5,376 |
module MODULE1 ( VAR6,
reset,
VAR36,
VAR4 );
input VAR6;
input reset;
output VAR36;
inout VAR4;
reg [15:0] VAR24;
reg [23:0] VAR28;
reg VAR31;
reg VAR14;
wire VAR32;
wire VAR2;
wire VAR25 = !reset;
reg [15:0] VAR22;
reg [5:0] VAR1;
reg [3:0] VAR9;
parameter VAR27 = 1'b0;
parameter VAR5 = 9'd24;
parameter VAR21 = 9'd24;
parameter VAR40 = 9'd119;
parameter VAR30 = 9'd119;
parameter VAR7 = 9'd17;
parameter VAR18 = 9'd6;
parameter VAR3 = 9'h000;
parameter VAR13 = 9'd77;
parameter VAR29 = 9'd0;
parameter VAR15 = 9'h001;
parameter VAR46 = 50000000; parameter VAR12 = 20000; parameter VAR34 = 50;
parameter VAR38 = 0;
parameter VAR39 = 1;
parameter VAR33 = 2;
parameter VAR35 = 3;
parameter VAR17 = 4;
parameter VAR11 = 5;
parameter VAR44 = 6;
parameter VAR10 = 7;
parameter VAR37 = 8;
parameter VAR42 = 9;
parameter VAR45 = 10;
always@(posedge VAR6 or negedge VAR25)
begin
if(!VAR25)
begin
VAR31 <= 0;
VAR24 <= 0;
end
else
begin
if( VAR24 < (VAR46/VAR12) )
VAR24 <= VAR24+1;
end
else
begin
VAR24 <= 0;
VAR31 <= ~VAR31;
end
end
end
VAR16 VAR23 ( .VAR43(VAR31), .VAR36(VAR36), .VAR4(VAR4), .VAR8(VAR28), .VAR20(VAR14), .VAR26(VAR32), .VAR19(VAR2), .VAR41(VAR25) );
always@(posedge VAR31 or negedge VAR25)
begin
if(!VAR25)
begin
VAR1 <= 0;
VAR9 <= 0;
VAR14 <= 0;
end
else
begin
if(VAR1<VAR34)
begin
case(VAR9)
0: begin
if(VAR1<VAR45)
VAR28 <= {8'h34,VAR22};
end
else
VAR28 <= {8'h40,VAR22};
VAR14 <= 1;
VAR9 <= 1;
end
1: begin
if(VAR32)
begin
if(!VAR2)
VAR9 <= 2;
end
else
VAR9 <= 0;
VAR14 <= 0;
end
end
2: begin
VAR1 <= VAR1+1;
VAR9 <= 0;
end
endcase
end
end
end
always
begin
case(VAR1)
VAR38 : VAR22 <= {7'h0, VAR5};
VAR39 : VAR22 <= {7'h1, VAR21};
VAR33 : VAR22 <= {7'h2, VAR40};
VAR35 : VAR22 <= {7'h3, VAR30};
VAR17 : VAR22 <= {7'h4, VAR7} + (16'h0004 * VAR27);
VAR11 : VAR22 <= {7'h5, VAR18};
VAR44 : VAR22 <= {7'h6, VAR3};
VAR10 : VAR22 <= {7'h7, VAR13};
VAR37 : VAR22 <= {7'h8, VAR29};
VAR42 : VAR22 <= {7'h9, VAR15};
VAR45+0 : VAR22 <= 16'h1500;
VAR45+1 : VAR22 <= 16'h1741;
VAR45+2 : VAR22 <= 16'h3a16;
VAR45+3 : VAR22 <= 16'h503f; VAR45+4 : VAR22 <= 16'hc305;
VAR45+5 : VAR22 <= 16'hc480;
VAR45+6 : VAR22 <= 16'h0e80;
VAR45+7 : VAR22 <= 16'h503f; VAR45+8 : VAR22 <= 16'h5218;
VAR45+9 : VAR22 <= 16'h58ed;
VAR45+10: VAR22 <= 16'h77c5;
VAR45+11: VAR22 <= 16'h7c93;
VAR45+12: VAR22 <= 16'h7d00;
VAR45+13: VAR22 <= 16'hd048;
VAR45+14: VAR22 <= 16'hd5a0;
VAR45+15: VAR22 <= 16'hd7ea;
VAR45+16: VAR22 <= 16'he43e;
VAR45+17: VAR22 <= 16'hea0f;
VAR45+18: VAR22 <= 16'h3112;
VAR45+19: VAR22 <= 16'h3281;
VAR45+20: VAR22 <= 16'h3384;
VAR45+21: VAR22 <= 16'h37A0;
VAR45+22: VAR22 <= 16'he580;
VAR45+23: VAR22 <= 16'he603;
VAR45+24: VAR22 <= 16'he785;
VAR45+25: VAR22 <= 16'h2778; VAR45+26: VAR22 <= 16'h5100;
VAR45+27: VAR22 <= 16'h0050;
VAR45+28: VAR22 <= 16'h1000;
VAR45+29: VAR22 <= 16'h0402;
VAR45+30: VAR22 <= 16'h0860;
VAR45+31: VAR22 <= 16'h0a18;
VAR45+32: VAR22 <= 16'h1100;
VAR45+33: VAR22 <= 16'h2b00;
VAR45+34: VAR22 <= 16'h2c8c;
VAR45+35: VAR22 <= 16'h2df8;
VAR45+36: VAR22 <= 16'h2eee;
VAR45+37: VAR22 <= 16'h2ff4;
VAR45+38: VAR22 <= 16'h30d2;
VAR45+39: VAR22 <= 16'h0e05;
endcase
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o311a/sky130_fd_sc_ms__o311a.behavioral.v
| 1,555 |
module MODULE1 (
VAR4 ,
VAR5,
VAR13,
VAR2,
VAR3,
VAR9
);
output VAR4 ;
input VAR5;
input VAR13;
input VAR2;
input VAR3;
input VAR9;
supply1 VAR1;
supply0 VAR8;
supply1 VAR12 ;
supply0 VAR7 ;
wire VAR6 ;
wire VAR11;
or VAR15 (VAR6 , VAR13, VAR5, VAR2 );
and VAR10 (VAR11, VAR6, VAR3, VAR9);
buf VAR14 (VAR4 , VAR11 );
endmodule
|
apache-2.0
|
Elphel/x393_sata
|
host/link.v
| 61,264 |
module MODULE1 #(
parameter VAR175 = 4,
parameter VAR85 = 100 else
parameter VAR85 = 252 VAR229
)
(
input wire rst,
input wire clk,
input wire [VAR175*8 - 1:0] VAR75,
input wire [VAR175/2 - 1:0] VAR62, output wire VAR126, input wire VAR135, input wire VAR54, output wire [VAR175*8 - 1:0] VAR47, output wire [VAR175/2 - 1:0] VAR17, output wire VAR24, input wire VAR178, output wire VAR63,
input wire VAR223, output wire VAR145, output wire VAR59, output wire VAR37, output wire VAR235, output wire VAR185,
output wire VAR19, output wire VAR168, output wire VAR70, output wire VAR256, input wire VAR138, input wire VAR90, input wire VAR78, input wire VAR112, output wire VAR79, input wire VAR83, output VAR197, output reg VAR86, input wire VAR114, input wire [VAR175*8 - 1:0] VAR154, input wire [VAR175 - 1:0] VAR68, input wire [VAR175 - 1:0] VAR116, output wire [VAR175*8 - 1:0] VAR73,
output wire [VAR175 - 1:0] VAR253, output reg VAR65, output reg VAR127, output [31:0] VAR171 );
reg [639:0] VAR215; reg [31:0] VAR108;
reg [VAR175*8 - 1:0] VAR139;
reg [VAR175 - 1:0] VAR66; reg [VAR175 - 1:0] VAR158; reg [VAR175*8 - 1:0] VAR212;
reg [VAR175 - 1:0] VAR8; reg [VAR175 - 1:0] VAR203;
reg [VAR175*8 - 1:0] VAR188; reg VAR89; wire VAR14; wire VAR103; wire VAR180;
wire VAR30;
wire [VAR175*8 - 1:0] VAR222;
wire VAR10; wire VAR165;
wire VAR163;
wire [31:0] VAR233;
assign VAR197 = VAR114;
localparam VAR77 = 16; wire [VAR77 - 1:0] VAR120; wire VAR81; wire VAR40; localparam VAR38 = 0; localparam VAR200 = 1; localparam VAR192 = 2; localparam VAR221 = 3; localparam VAR166 = 4; localparam VAR92 = 5; localparam VAR164 = 6; localparam VAR142 = 7; localparam VAR74 = 8; localparam VAR25 = 9; localparam VAR53 = 10; localparam VAR187 = 11; localparam VAR7 = 12; localparam VAR82 = 13; localparam VAR199 = 14; localparam VAR141 = 15;
always @ (posedge clk) begin
VAR212 <= VAR154;
VAR8 <= VAR68;
VAR203 <= VAR116;
if (VAR14) VAR188 <= VAR212;
if (rst || VAR14) VAR89 <= 0;
end
else if (VAR103) VAR89 <= 1;
if (VAR103 || (VAR89 && !(VAR14 || VAR180))) begin
VAR139 <= VAR188; VAR66 <= 1; end else begin
VAR139 <= VAR212; VAR66 <= VAR8; end
VAR158 <= VAR203;
end
wire VAR45 = !(VAR103 || (VAR89 && !(VAR14 || VAR180))) && !(|VAR8);
reg VAR28; wire VAR220 = VAR28 & ~VAR224;
always @ (posedge clk) begin
if (rst) VAR28 <= 0;
end
else if (VAR223) VAR28 <= 1;
else if (VAR224) VAR28 <= 0;
end
localparam VAR191 = 23;
wire VAR251;
reg VAR33; reg VAR31; reg VAR122; reg VAR26; reg VAR196; reg VAR76; reg VAR125; reg VAR238; reg VAR205; reg VAR240; reg VAR224; reg VAR113; reg VAR195; reg VAR244; reg VAR48; reg VAR34; reg VAR124; reg VAR249; reg VAR231; reg VAR241; reg VAR206; reg VAR107;
reg VAR84;
reg VAR44;
wire VAR94 = VAR138 || VAR84;
wire VAR255 = VAR90 || VAR44;
wire VAR252;
wire VAR61;
wire VAR1;
wire VAR29;
wire VAR230;
wire VAR104;
wire VAR32;
wire VAR18;
wire VAR119;
wire VAR228;
wire VAR162;
wire VAR58;
wire VAR140;
wire VAR4;
wire VAR159;
wire VAR102;
wire VAR72;
wire VAR153;
wire VAR194;
wire VAR160;
wire VAR87;
wire VAR80;
wire VAR247;
wire VAR50;
wire VAR3;
wire VAR207;
wire VAR189;
wire VAR225;
wire VAR41;
wire VAR16;
wire VAR216;
wire VAR115;
wire VAR95;
wire VAR152;
wire VAR96;
wire VAR57;
wire VAR137;
wire VAR60;
wire VAR234;
wire VAR121;
wire VAR21;
wire VAR214;
wire VAR198;
wire VAR91;
assign VAR251 = ~VAR33
& ~VAR31
& ~VAR122
& ~VAR26
& ~VAR196
& ~VAR76
& ~VAR125
& ~VAR238
& ~VAR205
& ~VAR240
& ~VAR224
& ~VAR113
& ~VAR195
& ~VAR244
& ~VAR48
& ~VAR34
& ~VAR124
& ~VAR249
& ~VAR231
& ~VAR241
& ~VAR206
& ~VAR107;
wire VAR123 = VAR81 & VAR120[VAR192]; reg VAR150; always @ (posedge clk) begin
VAR150 <= VAR88 && (VAR112 || VAR150);
end
assign VAR79 = VAR33;
reg VAR88; reg [8:0] VAR242;
reg VAR9;
always @ (posedge clk) begin
if (!VAR114 || VAR105[VAR221]) VAR242 <= VAR85;
end
else VAR242 <= VAR242 -1;
VAR9 <= VAR242 == 0;
VAR88 <= VAR114 && ((VAR242 == 0) || VAR9);
end
always @ (posedge clk) begin
VAR86 <= VAR231 & VAR163;
if (VAR138) VAR84 <= 1;
end
else if (!VAR241) VAR84 <= 0;
if (VAR90) VAR44 <= 1;
else if (!VAR241) VAR44 <= 0; end
assign VAR252 = VAR112 || VAR150; assign VAR61 = ~VAR114 & ~VAR122 & ~VAR196;
assign VAR1 = VAR31;
assign VAR29 = 0; assign VAR230 = VAR78;
assign VAR104 = VAR251 & VAR223;
assign VAR32 = VAR76 & VAR114 & VAR81 & VAR120[VAR53];
assign VAR18 = VAR125 & VAR114
| VAR205 & VAR220 & ~VAR10 & VAR40 & ~VAR120[VAR142] & ~VAR120[VAR192] & ~VAR120[VAR7]
| VAR240 & VAR220 & VAR54 & VAR40 & ~VAR120[VAR142] & ~VAR120[VAR192];
assign VAR119 = VAR238 & VAR220 & VAR54 & ~VAR135 & VAR81 & VAR120[VAR142]
| VAR240 & VAR220 & VAR54 & VAR81 & VAR120[VAR142];
assign VAR228 = VAR238 & VAR220 & ~VAR54 & VAR81 & ~VAR120[VAR192];
assign VAR162 = VAR238 & VAR220 & VAR54 & VAR135 & VAR81 & ~VAR120[VAR192]
| VAR238 & VAR81 & VAR120[VAR7];
assign VAR58 = VAR224 & VAR114 & VAR81 & ~VAR120[VAR192];
assign VAR140 = VAR113 & VAR114 & VAR81 & ~VAR120[VAR192];
assign VAR4 = VAR251 & VAR81 & VAR120[VAR166]
| VAR76 & VAR81 & VAR120[VAR166];
assign VAR159 = VAR244 & VAR81 & VAR120[VAR166] & ~VAR178;
assign VAR102 = VAR48 & VAR81 & VAR120[VAR92]
| VAR124 & VAR45 & ~VAR178
| VAR249 & VAR45 | VAR34 & VAR45; assign VAR72 = VAR34 & VAR81 & VAR120[VAR38] & VAR178;
assign VAR153 = VAR34 & VAR81 & (VAR120[VAR142] & ~VAR45)
| VAR124 & VAR81 & (VAR120[VAR142] & ~VAR45) & ~VAR178;
assign VAR194 = VAR34 & VAR81 & VAR120[VAR74]
| VAR124 & VAR81 & VAR120[VAR74]
| VAR249 & VAR81 & VAR120[VAR74];
assign VAR160 = VAR231 & VAR165;
assign VAR87 = VAR241& VAR94;
assign VAR80 = VAR34 & VAR81 & VAR120[VAR25] | VAR231 & VAR163 | VAR241& VAR255;
assign VAR247 = VAR61 | VAR230 | VAR81 & (VAR120[VAR53] | VAR120[VAR192]);
assign VAR50 = VAR230 | VAR1;
assign VAR3 = VAR230 | VAR29;
assign VAR207 = 0; assign VAR189 = ~VAR78;
assign VAR225 = VAR61 | VAR230 | VAR252 | VAR32 | VAR4;
assign VAR41 = VAR61 | VAR230 | VAR252 | VAR18; assign VAR16 = VAR61 | VAR230 | VAR252 | VAR119 | VAR228 | VAR162; assign VAR216 = VAR61 | VAR230 | VAR252 | VAR18 | VAR162; assign VAR115 = VAR61 | VAR230 | VAR252 | VAR18 | VAR119 | VAR162; assign VAR95 = VAR61 | VAR230 | VAR252 | VAR58; assign VAR152 = VAR61 | VAR230 | VAR252 | VAR140; assign VAR96 = VAR61 | VAR230 | VAR252 | VAR30;
assign VAR57 = VAR61 | VAR230 | VAR252 | (VAR40 & ~VAR120[VAR166]);
assign VAR137 = VAR61 | VAR230 | VAR252 | (VAR40 & ~VAR120[VAR166] & ~VAR120[VAR92]);
assign VAR60 = VAR61 | VAR230 | VAR252 | VAR80; assign VAR234 = VAR61 | VAR230 | VAR252 ; assign VAR121 = VAR61 | VAR230 | VAR252 ; assign VAR21 = VAR61 | VAR230 | VAR252 ;
assign VAR214 = VAR61 | VAR230 | VAR252 ;
assign VAR198 = VAR61 | VAR230 | VAR252; assign VAR91 = VAR61 | VAR230 | VAR252;
always @ (posedge clk)
begin
VAR33 <= (VAR33 | VAR252 & ~VAR88) & ~(VAR247 & ~VAR88) & ~rst;
VAR31 <= (VAR31 | VAR61 & ~VAR88) & ~(VAR50 & ~VAR88) & ~rst;
VAR122 <= (VAR122 | VAR1 & ~VAR88) & ~(VAR3 & ~VAR88) & ~rst;
VAR26 <= (VAR26 | VAR29 & ~VAR88) & ~(VAR207 & ~VAR88) & ~rst;
VAR196 <= (VAR196 | VAR230 ) & ~ VAR189 & ~rst;
VAR76 <= (VAR76 | VAR104 & ~VAR88) & ~(VAR225 & ~VAR88) & ~rst;
VAR125 <= (VAR125 | VAR32 & ~VAR88) & ~(VAR123 | (VAR41 & ~VAR88)) & ~rst;
VAR238 <= (VAR238 | VAR18 & ~VAR88) & ~(VAR123 | (VAR16 & ~VAR88)) & ~rst;
VAR205 <= (VAR205 | VAR119 & ~VAR88) & ~(VAR123 | (VAR216 & ~VAR88)) & ~rst;
VAR240 <= (VAR240 | VAR228 & ~VAR88) & ~(VAR123 | (VAR115 & ~VAR88)) & ~rst;
VAR224 <= (VAR224 | VAR162 & ~VAR88) & ~(VAR123 | (VAR95 & ~VAR88)) & ~rst;
VAR113 <= (VAR113 | VAR58 & ~VAR88) & ~(VAR123 | (VAR152 & ~VAR88)) & ~rst;
VAR195 <= (VAR195 | VAR140 & ~VAR88) & ~(VAR123 | (VAR96 & ~VAR88)) & ~rst;
VAR244 <= (VAR244 | (VAR4 & ~VAR88)) & ~(VAR159 | (VAR57 & ~VAR88)) & ~rst;
VAR48 <= (VAR48 | VAR159 ) & ~(VAR102 | (VAR137 & ~VAR88)) & ~rst;
VAR34 <= (VAR34 | VAR102 ) & ~(VAR153 |
VAR153 |
VAR194 |
VAR123 | (VAR60 & ~VAR88)) & ~rst;
VAR124 <= (VAR124 | VAR72 ) & ~(VAR102 |
VAR153 |
VAR194 |
VAR123 | (VAR234 & ~VAR88)) & ~rst;
VAR249 <= (VAR249 | VAR153 ) & ~(VAR102 |
VAR194 |
VAR123 | (VAR121 & ~VAR88)) & ~rst;
VAR231 <= (VAR231 | VAR194 ) & ~(VAR160 |
VAR107 |(VAR21 & ~VAR88)) & ~rst;
VAR241 <= (VAR241 | VAR160 ) & ~(VAR87 |
VAR80 |
VAR123 | (VAR214 & ~VAR88)) & ~rst;
VAR206 <= (VAR206 | VAR87 ) & ~(VAR123 | (VAR198 & ~VAR88)) & ~rst;
VAR107 <= (VAR107 | VAR80 ) & ~(VAR123 | (VAR91 & ~VAR88)) & ~rst;
end
reg VAR167;
always @ (posedge clk)
if (rst) VAR167 <= 0;
else if (VAR83) VAR167 <= 1;
else if (VAR168 | ~VAR145) VAR167 <= 0;
reg [VAR175*8 - 1:0] VAR55;
reg [VAR175 - 1:0] VAR211;
localparam [15:0] VAR149 = {3'd5, 5'd21, 3'd5, 5'd21};
localparam [15:0] VAR100 = {3'd4, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR110 = {3'd3, 5'd27, 3'd2, 5'd10};
localparam [15:0] VAR22 = {3'd2, 5'd10, 3'd5, 5'd28};
localparam [15:0] VAR98 = {3'd2, 5'd23, 3'd2, 5'd23};
localparam [15:0] VAR250 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR219 = {3'd1, 5'd23, 3'd1, 5'd23};
localparam [15:0] VAR132 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR71 = {3'd4, 5'd21, 3'd4, 5'd21};
localparam [15:0] VAR148 = {3'd5, 5'd10, 3'd3, 5'd28};
localparam [15:0] VAR46 = {3'd6, 5'd21, 3'd6, 5'd21};
localparam [15:0] VAR183 = {3'd5, 5'd10, 3'd3, 5'd28};
localparam [15:0] VAR202 = {3'd6, 5'd21, 3'd6, 5'd21};
localparam [15:0] VAR182 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR35 = {3'd2, 5'd24, 3'd2, 5'd24};
localparam [15:0] VAR239 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR13 = {3'd2, 5'd10, 3'd2, 5'd10};
localparam [15:0] VAR210 = {3'd4, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR128 = {3'd2, 5'd21, 3'd2, 5'd21};
localparam [15:0] VAR27 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR36 = {3'd1, 5'd22, 3'd1, 5'd22};
localparam [15:0] VAR217 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR131 = {3'd1, 5'd21, 3'd1, 5'd21};
localparam [15:0] VAR208 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR129 = {3'd2, 5'd22, 3'd2, 5'd22};
localparam [15:0] VAR157 = {3'd5, 5'd21, 3'd3, 5'd28};
localparam [15:0] VAR52 = {3'd4, 5'd25, 3'd4, 5'd25};
localparam [15:0] VAR209 = {3'd5, 5'd10, 3'd3, 5'd28};
wire [VAR175*8 - 1:0] VAR218 [VAR77 - 1:0];
generate
if (VAR175 == 2)
begin
reg VAR146; assign VAR218[VAR192] [15:0] = VAR146 ? VAR149 : VAR100;
assign VAR218[VAR221][15:0] = VAR146 ? VAR110 : VAR22;
assign VAR218[VAR166] [15:0] = VAR146 ? VAR98 : VAR250;
assign VAR218[VAR92] [15:0] = VAR146 ? VAR219 : VAR132;
assign VAR218[VAR38] [15:0] = VAR222[15:0];
assign VAR218[VAR164][15:0] = VAR146 ? VAR71 : VAR148;
assign VAR218[VAR142] [15:0] = VAR146 ? VAR46 : VAR183;
assign VAR218[VAR200] [15:0] = VAR222[15:0];
assign VAR218[VAR74] [15:0] = VAR146 ? VAR202 : VAR182;
assign VAR218[VAR25] [15:0] = VAR146 ? VAR35 : VAR239;
assign VAR218[VAR53] [15:0] = VAR146 ? VAR13 : VAR210;
assign VAR218[VAR187] [15:0] = VAR146 ? VAR128 : VAR27;
assign VAR218[VAR7] [15:0] = VAR146 ? VAR36 : VAR217;
assign VAR218[VAR82] [15:0] = VAR146 ? VAR131 : VAR208;
assign VAR218[VAR199] [15:0] = VAR146 ? VAR129 : VAR157;
assign VAR218[VAR141] [15:0] = VAR146 ? VAR52 : VAR209;
always @ (posedge clk)
begin
end
end
else
if (VAR175 == 4)
begin
assign VAR218[VAR192] = {VAR149 , VAR100};
assign VAR218[VAR221] = {VAR110 , VAR22};
assign VAR218[VAR166] = {VAR98 , VAR250};
assign VAR218[VAR92] = {VAR219 , VAR132};
assign VAR218[VAR38] = VAR222;
assign VAR218[VAR164] = {VAR71 , VAR148};
assign VAR218[VAR142] = {VAR46 , VAR183};
assign VAR218[VAR200] = VAR222;
assign VAR218[VAR74] = {VAR202 , VAR182};
assign VAR218[VAR25] = {VAR35 , VAR239};
assign VAR218[VAR53] = {VAR13 , VAR210};
assign VAR218[VAR187] = {VAR128 , VAR27};
assign VAR218[VAR7] = {VAR36 , VAR217};
assign VAR218[VAR82] = {VAR131 , VAR208};
assign VAR218[VAR199] = {VAR129 , VAR157};
assign VAR218[VAR141] = {VAR52 , VAR209};
end
else
begin
always @ (posedge clk)
begin
end
end
endgenerate
always @ (posedge clk) begin
VAR127 <= VAR120[VAR7];
end
wire [VAR77 - 1:0] VAR105;
assign VAR105[VAR192] = ~VAR88 & (VAR251 | VAR33 | VAR244 | VAR196);
assign VAR105[VAR221] = VAR88 | (VAR122 | VAR31 | VAR26);
assign VAR105[VAR166] = ~VAR88 & (VAR76);
assign VAR105[VAR92] = ~VAR88 & (VAR125);
assign VAR105[VAR38] = ~VAR88 & (VAR238 & ~VAR228); assign VAR105[VAR164] = ~VAR88 & (VAR205 | VAR249 & ~VAR167);
assign VAR105[VAR142] = ~VAR88 & (VAR240 | VAR124 | VAR238 & VAR228); assign VAR105[VAR200] = ~VAR88 & (VAR224);
assign VAR105[VAR74] = ~VAR88 & (VAR113);
assign VAR105[VAR25] = ~VAR88 & (VAR195);
assign VAR105[VAR53] = ~VAR88 & (VAR48);
assign VAR105[VAR187] = ~VAR88 & (VAR34 & ~VAR167 | VAR231 | VAR241);
assign VAR105[VAR7] = ~VAR88 & (VAR34 & VAR167 | VAR249 & VAR167);
assign VAR105[VAR82] = ~VAR88 & (VAR206);
assign VAR105[VAR199] = ~VAR88 & (VAR107);
always @ (posedge clk)
VAR55 <= rst ? {VAR175*8{1'b0}}:
{VAR175*8{VAR105[VAR192]}} & VAR218[VAR192] |
{VAR175*8{VAR105[VAR221]}} & VAR218[VAR221] |
{VAR175*8{VAR105[VAR53]}} & VAR218[VAR53] |
{VAR175*8{VAR105[VAR92]}} & VAR218[VAR92] |
{VAR175*8{VAR105[VAR164]}} & VAR218[VAR164] |
{VAR175*8{VAR105[VAR142]}} & VAR218[VAR142] |
{VAR175*8{VAR105[VAR74]}} & VAR218[VAR74] |
{VAR175*8{VAR105[VAR25]}} & VAR218[VAR25] |
{VAR175*8{VAR105[VAR166]}} & VAR218[VAR166] |
{VAR175*8{VAR105[VAR187]}} & VAR218[VAR187] |
{VAR175*8{VAR105[VAR7]}} & VAR218[VAR7] |
{VAR175*8{VAR105[VAR82]}} & VAR218[VAR82] |
{VAR175*8{VAR105[VAR199]}} & VAR218[VAR199] |
{VAR175*8{VAR105[VAR200]}} & VAR218[VAR200] |
{VAR175*8{VAR105[VAR38]}} & VAR218[VAR38];
always @ (posedge clk)
VAR65 <= VAR105[VAR38];
always @ (posedge clk)
VAR211 <= rst | ~VAR105[VAR38] & ~VAR105[VAR200] ? {{(VAR175 - 1){1'b0}}, 1'b1} : {VAR175{1'b0}} ;
wire VAR169;
assign VAR169 = VAR81 & VAR120[VAR38] & (VAR34 | VAR124);
VAR174 VAR174(
.rst (VAR105[VAR92] | VAR81 & VAR120[VAR92]),
.clk (clk),
.VAR181 (VAR105[VAR38] | VAR169 | VAR105[VAR200]),
.VAR75 (VAR233 & {VAR175*8{VAR105[VAR200]}} |
VAR75 & {VAR175*8{VAR105[VAR38]}} |
VAR139 & {VAR175*8{VAR169}}),
.VAR47 (VAR222)
);
VAR179 VAR179(
.clk (clk),
.rst (VAR105[VAR92] | VAR81 & VAR120[VAR92]),
.VAR181 (VAR105[VAR38] | VAR169),
.VAR75 (VAR75 & {VAR175*8{VAR105[VAR38]}} | VAR222 & {VAR175*8{VAR169}}),
.VAR184 (VAR233)
);
assign VAR165 = ~|VAR233 & VAR231;
assign VAR163 = |VAR233 & VAR231;
reg [31:0] VAR69;
reg VAR248;
reg [31:0] VAR176;
reg VAR134;
reg VAR136; always @ (posedge clk) begin
if (VAR81 & VAR120[VAR92]) VAR136 <= 0;
end
else if (VAR169) VAR136 <= 1;
if (VAR169) VAR69 <= VAR222;
if (VAR248) VAR176 <= VAR69;
VAR248 <= VAR169;
VAR134 <= VAR169 && VAR136;
end
assign VAR47 = VAR176;
assign VAR17 = 2'b11;assign VAR24 = VAR134;
assign VAR63 = VAR194;
assign VAR126 = VAR105[VAR38];
wire VAR246;
wire VAR118;
wire VAR39;
wire VAR130;
reg VAR245;
reg VAR42;
reg VAR56;
reg VAR5;
assign VAR37 = VAR246 && !VAR245;
assign VAR19 = VAR118 && ! VAR42;
assign VAR168 = VAR39 && ! VAR56;
assign VAR70 = VAR130 && ! VAR5;
always @ (posedge clk) begin
VAR245 <= VAR246;
VAR42 <= VAR118;
VAR56 <= VAR39;
VAR5 <= VAR130;
end
assign VAR73 = VAR55;
assign VAR253 = VAR211;
assign VAR145 = ~VAR251;
assign VAR59 = VAR125;
assign VAR246 = VAR4 & VAR76;
assign VAR118 = VAR4; assign VAR39 = VAR160; assign VAR130 = (VAR231 & VAR163) | (VAR34 & VAR81 & VAR120[VAR25]); assign VAR256 = (VAR244 | VAR48 | VAR34 | VAR124 |
VAR249 | VAR231 | VAR241) & VAR123;
assign VAR81 = |VAR120 & VAR114; assign VAR40 = |VAR120 & VAR114 & ~VAR120[VAR221]; assign VAR120[VAR38] = ~|VAR66;
assign VAR120[VAR200] = 1'b0;
assign VAR120[VAR192] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR192 ] == VAR139);
assign VAR120[VAR221] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR221] == VAR139);
assign VAR120[VAR166] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR166 ] == VAR139);
assign VAR120[VAR92] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR92 ] == VAR139);
assign VAR120[VAR164] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR164] == VAR139);
assign VAR120[VAR142] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR142 ] == VAR139);
assign VAR120[VAR74] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR74 ] == VAR139);
assign VAR120[VAR25] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR25 ] == VAR139);
assign VAR120[VAR53] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR53 ] == VAR139);
assign VAR120[VAR187] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR187 ] == VAR139);
assign VAR120[VAR7] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR7 ] == VAR139);
assign VAR120[VAR82] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR82 ] == VAR139);
assign VAR120[VAR199] = VAR66[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR199 ] == VAR139);
assign VAR120[VAR141] = VAR66[0] && ~(|VAR66[VAR175-1:1]) && (VAR218[VAR141 ] == VAR139);
assign VAR103 = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR141 ] == VAR212);
assign VAR180 = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR221 ] == VAR212);
assign VAR14 = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR141 ] != VAR212)
&& (VAR218[VAR221 ] != VAR212);
assign VAR10 = |VAR158;
assign VAR30 = VAR235 | VAR185;
assign VAR235 = VAR195 & VAR81 & VAR120[VAR82];
assign VAR185 = VAR195 & VAR81 & VAR120[VAR199];
wire [VAR77 - 1:0] VAR186;
assign VAR186[VAR38] = ~|VAR8;
assign VAR186[VAR200] = 1'b0;
assign VAR186[VAR192] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR192 ] == VAR212);
assign VAR186[VAR221] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR221] == VAR212);
assign VAR186[VAR166] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR166 ] == VAR212);
assign VAR186[VAR92] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR92 ] == VAR212);
assign VAR186[VAR164] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR164] == VAR212);
assign VAR186[VAR142] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR142 ] == VAR212);
assign VAR186[VAR74] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR74 ] == VAR212);
assign VAR186[VAR25] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR25 ] == VAR212);
assign VAR186[VAR53] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR53 ] == VAR212);
assign VAR186[VAR187] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR187 ] == VAR212);
assign VAR186[VAR7] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR7 ] == VAR212);
assign VAR186[VAR82] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR82 ] == VAR212);
assign VAR186[VAR199] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR199 ] == VAR212);
assign VAR186[VAR141] = VAR8[0] && !(|VAR8[VAR175-1:1]) && (VAR218[VAR141 ] == VAR212);
reg [VAR77 - 1:0] VAR226; reg VAR99;
reg VAR156;
reg VAR177;
reg VAR20;
reg VAR97;
reg [19:0] VAR173;
reg [15:0] VAR111;
reg [15:0] VAR243;
reg [15:0] VAR67;
reg VAR237;
reg [15:0] VAR64; reg [31:0] VAR12;
wire VAR147 = VAR8[0] && !(|VAR66[VAR175-1:1]) && (VAR218[VAR192 ] == VAR212);
wire [VAR191 - 1:0] VAR155 = {
VAR251
, VAR33
, VAR31
, VAR122
, VAR26
, VAR196
, VAR76
, VAR125
, VAR238
, VAR205
, VAR240
, VAR224
, VAR113
, VAR195
, VAR244
, VAR48
, VAR34
, VAR124
, VAR249
, VAR231
, VAR241
, VAR206
, VAR107
};
reg [4:0] VAR193;
reg [VAR191 - 1:0] VAR49;
always @ (posedge clk) begin
VAR237 <= VAR14 && !VAR147;
if (rst) VAR156 <= 0;
end
else if (VAR180) VAR156 <= 1;
if (rst) VAR177 <= 0;
else if (VAR147 && VAR156) VAR177 <= 1;
if (rst) VAR99 <= 0;
else if (VAR10 && VAR177) VAR99 <= 1;
if (rst) VAR20 <= 0;
else if (!VAR147 && VAR177) VAR20 <= 1;
if (rst) VAR97 <= 0;
else if ((VAR186 ==0) && VAR156) VAR97 <= 1;
if (rst) VAR173 <= 0;
else if (VAR156 && !VAR99) VAR173 <= VAR173 + 1;
if (rst) VAR111 <= 0;
else if (VAR156 && !VAR177) VAR111 <= VAR111 + 1;
if (rst) VAR243 <= 0;
else if (VAR177 && !VAR20) VAR243 <= VAR243 + 1;
if (rst) VAR67 <= 0;
else if (VAR20 && !VAR99 && VAR180) VAR67 <= VAR67 + 1;
if (rst) VAR64 <= 0;
else if (VAR20 && !VAR99 && VAR237) VAR64 <= VAR64 + 1;
if (rst) VAR12 <= 0;
else if ((VAR186 ==0) && VAR156 && !VAR97) VAR12 <= VAR212;
if (rst) VAR226 <= 0;
else if (VAR177 && !VAR99) VAR226 <= VAR226 | VAR186;
if (rst) VAR49 <= 0;
else VAR49 <= VAR49 | VAR155;
VAR193 <= { |VAR155[22:16],
|VAR155[15: 8],
(|VAR155[22:20]) | (|VAR155[15:12]) | (|VAR155[7:4]),
VAR155[22] | (|VAR155[19:18]) | (|VAR155[15:14]) |
(|VAR155[11:10]) | (|VAR155[7:6]) | (|VAR155[3:2]),
VAR155[21] | VAR155[19] | VAR155[17] | VAR155[15] |
VAR155[13] | VAR155[11] | VAR155[ 9] | VAR155[7] |
VAR155[ 5] | VAR155[ 3] | VAR155[ 1]};
end
reg [1:0] VAR190;
reg [1:0] VAR43;
reg [1:0] VAR213;
reg [1:0] VAR151;
reg [1:0] VAR117;
reg [1:0] VAR23;
reg [1:0] VAR204;
reg [1:0] VAR6;
reg VAR172;
reg VAR101;
reg VAR51;
reg VAR11;
reg VAR144;
reg VAR106;
always @(posedge clk) begin
if (VAR126) begin
VAR190 <= {VAR190[0],VAR135};
VAR43 <= {VAR43[0],VAR88};
VAR213 <= {VAR213[0],VAR238};
VAR151 <= {VAR151[0],VAR40};
VAR117 <= {VAR117[0],VAR120[VAR192]};
VAR23 <= {VAR23[0],VAR162};
VAR204 <= {VAR204[0],VAR54};
end
VAR51 <= VAR120[VAR199] | VAR120[VAR82];
if (VAR223) VAR6 <= 0;
end
else VAR6 <= VAR6 | {VAR120[VAR199], VAR120[VAR82]};
if (VAR223) VAR11 <= 0;
else VAR11 <= VAR11 | VAR195;
if (VAR195 && VAR96 && !VAR88) VAR144 <= VAR30;
if (VAR195 && VAR96 && !VAR88) VAR106 <= VAR123;
if ((VAR120[VAR199] || VAR120[VAR82]) && !VAR51) begin
VAR172 <= VAR195;
VAR101 <= VAR251;
end
end
assign VAR171[ 4: 0] = VAR193;
assign VAR171[7: 5] = {
VAR120[VAR192],
VAR120[VAR82],
VAR88};
assign VAR171[31] = VAR120[VAR221];
assign VAR171[30] = VAR32;
assign VAR171[29] = VAR225;
assign VAR171[28] = VAR76;
assign VAR171[27] = VAR125;
assign VAR171[26] = VAR251;
assign VAR171[25] = VAR238;
assign VAR171[24] = (VAR125 | VAR32 & ~VAR88);
assign VAR171[23] = (VAR41 & ~VAR88);
assign VAR171[22] = VAR4;
assign VAR171[21:16] = VAR226[5:0];
assign VAR171[15: 8] = {
VAR172, VAR101, VAR6[1:0],
VAR11,
VAR144,
VAR106,
~VAR117[1]};
always @ (posedge clk)
if (~|VAR120 & VAR114)
begin
end
reg [VAR191 - 1:0] VAR133;
always @ (posedge clk)
if (~rst)
if (( 32'h0
+ VAR251
+ VAR33
+ VAR31
+ VAR122
+ VAR26
+ VAR196
+ VAR76
+ VAR125
+ VAR238
+ VAR205
+ VAR240
+ VAR224
+ VAR113
+ VAR195
+ VAR244
+ VAR48
+ VAR34
+ VAR124
+ VAR249
+ VAR231
+ VAR241
+ VAR206
+ VAR107
) != 1)
begin
VAR133 = {
VAR251
, VAR33
, VAR31
, VAR122
, VAR26
, VAR196
, VAR76
, VAR125
, VAR238
, VAR205
, VAR240
, VAR224
, VAR113
, VAR195
, VAR244
, VAR48
, VAR34
, VAR124
, VAR249
, VAR231
, VAR241
, VAR206
, VAR107
};
end
integer VAR254;
always @ (posedge clk) begin
if (VAR19) begin
VAR215 = "VAR2 VAR15";
VAR254 = 0;
end
if (VAR24) begin
VAR215 = "VAR93 VAR161 - VAR143 VAR170";
VAR108 = VAR47;
@%VAR227", VAR215, VAR108, VAR254, );
VAR254 = VAR254 + 1;
end
if (VAR168) begin
VAR215 = "VAR2 end";
VAR254 = 0;
end
if (VAR70) begin
VAR215 = "VAR2 VAR109";
VAR254 = 0;
end
if (VAR256) begin
VAR215 = "VAR2 VAR201";
VAR254 = 0;
end
if (VAR138) begin
VAR215 = "VAR2 VAR236";
VAR254 = 0;
end
if (VAR90) begin
VAR215 = "VAR2 VAR232";
VAR254 = 0;
end
end
endmodule
|
gpl-3.0
|
grvmind/amber-cycloneiii
|
trunk/hw/vlog/amber25/a25_execute.v
| 34,097 |
module MODULE1 (
input VAR25,
input VAR193, input VAR174, output VAR32,
input [31:0] VAR29, input VAR113, input [10:0] VAR163,
input [31:0] VAR173, input VAR126, input VAR92, input [7:0] VAR185,
output reg [31:0] VAR151 = 'd0,
output reg [31:0] VAR37 = 'd0,
output reg [31:0] VAR143 = 32'hdeaddead,
output [31:0] VAR11, output reg VAR141 = 'd0, output reg [31:0] VAR104 = 32'h0, output [31:0] VAR46, output reg VAR102 = 'd0, output reg VAR101 = 'd0, output reg VAR31 = 'd0, output reg VAR48 = 'd0, output reg VAR132 = 'd0,
output reg [3:0] VAR70 = 'd0,
output reg [8:0] VAR30 = 'd0, output [31:0] VAR186, output VAR105,
input [1:0] VAR128,
input VAR154,
input VAR147,
input [31:0] VAR202,
input [4:0] VAR7,
input VAR62,
input [3:0] VAR117,
input VAR140,
input [3:0] VAR45,
input [3:0] VAR82,
input [3:0] VAR8,
input [1:0] VAR144,
input [1:0] VAR112,
input [1:0] VAR121,
input [8:0] VAR13,
input [1:0] VAR21,
input [2:0] VAR176,
input [3:0] VAR158,
input [3:0] VAR116,
input [2:0] VAR10,
input [1:0] VAR169,
input [2:0] VAR197,
input [2:0] VAR194,
input VAR115,
input VAR1,
input VAR124,
input VAR118, input VAR159,
input [14:0] VAR72,
input VAR66,
input VAR179,
input VAR183,
input VAR12,
input VAR170,
input VAR47,
input VAR24,
input VAR171,
input VAR168,
input VAR18
);
wire [31:0] VAR189;
wire [3:0] VAR190;
wire [31:0] VAR81;
wire [31:0] VAR67;
wire [31:0] VAR123;
wire [31:0] VAR191;
wire [31:0] VAR78;
wire [31:0] VAR160;
wire [3:0] VAR95;
wire [31:0] VAR155;
wire [31:0] VAR43;
wire [31:0] rd;
wire [31:0] VAR58;
wire [31:0] VAR49;
wire [31:0] VAR3;
wire [31:0] VAR149;
wire [31:0] VAR86;
wire [31:0] VAR20;
wire [31:0] VAR188;
wire [31:0] VAR135;
wire [7:0] VAR44;
wire [31:0] VAR165;
wire [31:0] VAR76;
wire VAR69;
wire VAR73;
wire [3:0] VAR56;
reg [3:0] VAR80 = 'd0;
wire [1:0] VAR129;
reg [1:0] VAR88 = VAR51;
wire [3:0] VAR14;
reg [3:0] VAR85 = 1'd1 << VAR42;
wire VAR153;
wire VAR181;
reg VAR63 = 1'd1;
wire VAR87;
reg VAR65 = 1'd1;
wire [8:0] VAR204;
wire VAR17; wire [31:0] VAR203;
wire VAR122;
wire [14:0] VAR84;
wire [31:0] VAR162;
wire [1:0] VAR199;
reg [31:0] VAR195 = 'd0; wire [31:0] VAR61;
wire [31:0] VAR114;
wire [31:0] VAR148;
reg [31:0] VAR130 = 'd0;
reg [3:0] VAR152 = 'd0;
wire [3:0] VAR108;
wire VAR77;
wire VAR131;
wire VAR139;
wire VAR41;
wire VAR156;
wire VAR97;
wire VAR79;
wire VAR75;
wire VAR161;
wire VAR96;
wire VAR28;
wire VAR166;
wire VAR142;
wire VAR164;
wire VAR198;
wire VAR52;
wire VAR89;
wire VAR150;
wire [31:0] VAR182;
wire VAR146;
wire [31:0] VAR60;
wire [31:0] VAR167;
wire VAR33;
wire VAR2;
wire [1:0] VAR23;
assign VAR23 = (VAR179 && VAR197 == 3'd1 && !VAR2) ?
VAR160[1:0] : VAR88 ;
assign VAR186 = { VAR80, VAR63, VAR65, 24'd0,
VAR23 };
assign VAR33 = VAR113 & ~VAR174 & VAR163[8];
assign VAR2 = VAR113 & ~VAR174 & VAR163[7];
assign VAR56 = VAR33 ? VAR114[31:28] :
VAR197 == 3'd0 ? VAR95 :
VAR197 == 3'd1 ? VAR160 [31:28] :
VAR197 == 3'd3 ? VAR173[31:28] :
{ VAR199, VAR80[1:0] } ;
assign VAR129 = VAR2 ? VAR114 [1:0] :
VAR197 == 3'd0 ? VAR128 :
VAR197 == 3'd1 ? VAR160 [1:0] :
VAR173 [1:0] ;
assign VAR14 = VAR115 ? 1'd1 << VAR178 :
VAR52 ? VAR196(VAR129) :
VAR196(VAR88) ;
assign VAR181 = VAR2 ? VAR114 [27] :
VAR197 == 3'd0 ? VAR154 :
VAR197 == 3'd1 ? VAR160 [27] :
VAR173 [27] ;
assign VAR87 = VAR2 ? VAR114 [26] :
VAR197 == 3'd0 ? VAR147 :
VAR197 == 3'd1 ? VAR160 [26] :
VAR173 [26] ;
assign VAR81 = VAR20 + 32'd4;
assign VAR67 = VAR20 - 32'd4;
assign VAR123 = VAR104 + 32'd4;
assign VAR191 = VAR160 + 32'd4;
assign VAR78 = VAR58 + 32'd4;
assign VAR44 = VAR144 == 2'd0 ? 8'd0 :
VAR144 == 2'd1 ? VAR43[7:0] :
{3'd0, VAR7 } ;
assign VAR165 = VAR112 == 2'd0 ? VAR202 : VAR155 ;
assign VAR135 = (VAR176 == 3'd0) ? 32'h00000000 :
(VAR176 == 3'd1) ? 32'h00000010 :
(VAR176 == 3'd2) ? 32'h0000001c :
(VAR176 == 3'd3) ? 32'h00000018 :
(VAR176 == 3'd5) ? 32'h0000000c :
(VAR176 == 3'd6) ? 32'h00000004 :
(VAR176 == 3'd7) ? 32'h00000008 :
32'h00000014 ;
assign VAR119 = VAR113 & ~VAR174 & VAR163[3:0] == 4'd15;
assign VAR182 = VAR122 && VAR10 == 3'd1 ? VAR107(VAR160) : VAR160;
assign VAR11 = (VAR119) ? VAR107(VAR114) :
(!VAR17) ? VAR81 :
(VAR158 == 4'd0) ? VAR81 :
(VAR158 == 4'd1) ? VAR182 :
(VAR158 == 4'd2) ? VAR135 :
VAR20 ;
assign VAR46 = (VAR116 == 4'd1) ? VAR182 :
(VAR116 == 4'd2) ? VAR135 :
(VAR116 == 4'd4) ? VAR58 :
(VAR116 == 4'd5) ? VAR123 : (VAR116 == 4'd6) ? VAR191 : VAR78 ;
assign VAR146 = |VAR11[31:26] && VAR126;
assign VAR61 = VAR163[10:9] == 2'd0 ? VAR29 :
VAR163[10:9] == 2'd1 ? {VAR29[7:0], VAR29[31:8]} :
VAR163[10:9] == 2'd2 ? {VAR29[15:0], VAR29[31:16]} :
{VAR29[23:0], VAR29[31:24]} ;
assign VAR114 = VAR163[4] ? {24'd0, VAR61[7:0]} : VAR61 ;
assign VAR188 = (!VAR17) ? VAR81 :
VAR10 == 3'd0 ? VAR81 :
VAR10 == 3'd1 ? VAR160 :
VAR10 == 3'd2 ? VAR135 :
VAR10 == 3'd3 ? VAR107(VAR114) :
VAR67 ;
assign VAR60 = { VAR80,
VAR63,
VAR65,
VAR20[25:2],
VAR88 };
assign VAR167 = { VAR80,
VAR63,
VAR65,
VAR67[25:2],
VAR88 };
assign VAR203 = VAR194 == 3'd0 ? VAR160 :
VAR194 == 3'd1 ? VAR167 :
VAR194 == 3'd2 ? VAR162 :
VAR194 == 3'd3 ? VAR186 :
VAR194 == 3'd5 ? VAR173 : VAR194 == 3'd6 ? VAR195 :
VAR60 ;
assign VAR190 = VAR169 == 2'd0 ? 4'b1111 : VAR169 == 2'd2 ? ( VAR46[1] == 1'd0 ? 4'b0011 :
4'b1100 ) :
VAR46[1:0] == 2'd0 ? 4'b0001 : VAR46[1:0] == 2'd1 ? 4'b0010 :
VAR46[1:0] == 2'd2 ? 4'b0100 :
4'b1000 ;
assign VAR189 = VAR169 == 2'd0 ? rd :
{4{rd[ 7:0]}} ;
assign VAR17 = VAR54 ( VAR117, VAR80 );
assign VAR122 = (VAR159 || !VAR17) && !VAR47;
assign VAR84 = {{15{VAR17}} & VAR72};
assign VAR41 = ( VAR179 ? VAR129 : VAR88 ) != VAR136 ;
assign VAR77 = VAR17 && VAR124;
assign VAR131 = VAR17 && VAR92 && !VAR193;
assign VAR139 = VAR126 || !VAR17;
assign VAR58 = VAR24 && VAR8 == VAR108 ? VAR148 : VAR49;
assign VAR155 = VAR171 && VAR45 == VAR108 ? VAR148 : VAR3;
assign VAR43 = VAR168 && VAR82 == VAR108 ? VAR148 : VAR149;
assign rd = VAR18 && VAR82 == VAR108 ? VAR148 : VAR86;
always@( posedge VAR25 )
if ( VAR113 )
begin
VAR130 <= VAR114;
VAR152 <= VAR163[3:0];
end
assign VAR148 = VAR113 ? VAR114 : VAR130;
assign VAR108 = VAR113 ? VAR163[3:0] : VAR152;
assign VAR204 = { VAR185[7:6],
VAR185[5] ? VAR136 : VAR88, VAR185[4:0] };
assign VAR32 = VAR73;
assign VAR79 = !VAR193;
assign VAR166 = !VAR193 && VAR17;
assign VAR156 = !VAR193;
assign VAR164 = !VAR193 && VAR17;
assign VAR142 = !VAR193;
assign VAR161 = !VAR193 && VAR17 && VAR124;
assign VAR28 = !VAR193 && VAR17 && VAR124;
assign VAR97 = VAR119 || (!VAR193 && !VAR47);
assign VAR96 = !VAR193 && VAR17 && VAR170;
assign VAR75 = !VAR193 && VAR17 && VAR118;
assign VAR198 = VAR33 || (!VAR193 && VAR17 && VAR66);
assign VAR52 = VAR2 || (!VAR193 && VAR17 && VAR179);
assign VAR153 = !VAR193;
assign VAR89 = VAR2 || (!VAR193 && VAR17 && VAR183);
assign VAR150 = VAR2 || (!VAR193 && VAR17 && VAR12);
always @( posedge VAR25 )
begin
VAR104 <= VAR79 ? VAR46 : VAR104;
VAR102 <= VAR79 ? VAR131 : VAR102;
VAR30 <= VAR166 ? VAR204 : VAR30;
VAR31 <= VAR156 ? VAR41 : VAR31;
VAR48 <= VAR164 ? VAR140 : VAR48;
VAR132 <= VAR142 ? VAR77 : VAR132;
VAR37 <= VAR161 ? VAR189 : VAR37;
VAR70 <= VAR28 ? VAR190 : VAR70;
VAR143 <= VAR97 ? VAR11 : VAR143;
VAR141 <= VAR97 ? VAR139 : VAR141;
VAR101 <= VAR97 ? VAR146 : VAR101;
VAR151 <= VAR96 ? VAR189 : VAR151;
VAR195 <= VAR75 ? VAR58 : VAR195;
VAR80 <= VAR198 ? VAR56 : VAR80;
VAR88 <= VAR52 ? VAR129 : VAR88;
VAR85 <= VAR153 ? VAR14 : VAR85;
VAR63 <= VAR89 ? VAR181 : VAR63;
VAR65 <= VAR150 ? VAR87 : VAR65;
end
VAR83 VAR90 (
.VAR25 ( VAR25 ),
.VAR15 ( VAR165 ),
.VAR120 ( VAR80[1] ),
.VAR103 ( VAR44 ),
.VAR62 ( VAR62 ),
.VAR111 ( VAR121 ),
.VAR137 ( VAR76 ),
.VAR187 ( VAR69 ),
.VAR59 ( VAR73 )
);
VAR55 VAR200 (
.VAR22 ( VAR58 ),
.VAR100 ( VAR76 ),
.VAR64 ( VAR69 ),
.VAR50 ( VAR80[1] ),
.VAR111 ( VAR13 ),
.VAR137 ( VAR160 ),
.VAR134 ( VAR95 )
);
VAR19 VAR9 (
.VAR25 ( VAR25 ),
.VAR193 ( VAR193 ),
.VAR22 ( VAR43 ),
.VAR100 ( VAR155 ),
.VAR111 ( VAR21 ),
.VAR35 ( VAR17 ),
.VAR137 ( VAR162 ),
.VAR134 ( VAR199 ), .VAR177 ( VAR105 )
);
VAR27 VAR74(
.VAR25 ( VAR25 ),
.VAR193 ( VAR193 ),
.VAR174 ( VAR174 ),
.VAR45 ( VAR45 ),
.VAR82 ( VAR82 ),
.VAR8 ( VAR8 ),
.VAR159 ( VAR122 ),
.VAR72 ( VAR84 ),
.VAR68 ( VAR188[25:2] ),
.VAR106 ( VAR203 ),
.VAR145 ( VAR128 ),
.VAR34 ( VAR88 ),
.VAR29 ( VAR114 ),
.VAR113 ( VAR113 ),
.VAR175 ( VAR163[3:0] ),
.VAR38 ( VAR163[6:5] ),
.VAR94 ( VAR80 ),
.VAR154 ( VAR63 ),
.VAR147 ( VAR65 ),
.VAR1 ( VAR1 ),
.VAR5 ( VAR85 ),
.VAR16 ( VAR3 ),
.VAR53 ( VAR149 ),
.VAR180 ( VAR86 ),
.VAR36 ( VAR49 ),
.VAR172 ( VAR20 )
);
wire [(2*8)-1:0] VAR109;
wire [(4*8)-1:0] VAR127;
assign VAR109 = VAR117 == VAR192 ? "VAR192" :
VAR117 == VAR125 ? "VAR125" :
VAR117 == VAR98 ? "VAR98" :
VAR117 == VAR39 ? "VAR39" :
VAR117 == VAR184 ? "VAR184" :
VAR117 == VAR40 ? "VAR40" :
VAR117 == VAR133 ? "VAR133" :
VAR117 == VAR91 ? "VAR91" :
VAR117 == VAR157 ? "VAR157" :
VAR117 == VAR110 ? "VAR110" :
VAR117 == VAR93 ? "VAR93" :
VAR117 == VAR99 ? "VAR99" :
VAR117 == VAR201 ? "VAR201" :
VAR117 == VAR6 ? "VAR6" :
VAR117 == VAR138 ? "VAR138" :
"VAR71 " ;
assign VAR127 = VAR88 == VAR51 ? "VAR51" :
VAR88 == VAR57 ? "VAR57" :
VAR88 == VAR26 ? "VAR26" :
VAR88 == VAR136 ? "VAR136" :
"VAR4" ;
endmodule
|
gpl-2.0
|
theapi/de0-nano
|
pong/score.v
| 2,211 |
module MODULE1 (
input wire clk,
input wire [10:0] VAR2, VAR10,
input wire [7:0] VAR9, VAR15,
output reg [2:0] VAR19
);
wire [10:0] VAR6;
reg [6:0] VAR13;
reg [3:0] VAR17;
reg [2:0] VAR8;
wire [7:0] VAR11;
wire VAR4;
wire VAR1, VAR3;
VAR5 VAR16
(.clk(clk), .addr(VAR6), .VAR12(VAR11));
reg [10:0] VAR7 = 11'd10;
reg [10:0] VAR14 = 11'd280;
reg [10:0] VAR18 = 11'd10;
reg [10:0] VAR20 = 11'd470;
assign VAR1 = (
VAR2 >= VAR14 && VAR2 <= VAR14 + (8'd7 << 2)
&& VAR10 >= VAR7 && VAR10 <= VAR7 + (8'd15 << 2)
);
assign VAR3 = (
VAR2 >= VAR20 && VAR2 <= VAR20 + (8'd7 << 2)
&& VAR10 >= VAR18 && VAR10 <= VAR18 + (8'd15 << 2)
);
always @* begin
VAR19 = 3'b000;
if (VAR1) begin
VAR13 = 7'h30 + VAR9;
VAR17 = (VAR10 - VAR7) >> 2;
VAR8 = (VAR2 - VAR14) >> 2;
if (VAR4)
VAR19 = 3'b111;
end else if (VAR3) begin
VAR13 = 7'h30 + VAR15;
VAR17 = (VAR10 - VAR18) >> 2;
VAR8 = (VAR2 - VAR20) >> 2;
if (VAR4)
VAR19 = 3'b111;
end else begin
VAR13 = 0;
VAR17 = 0;
VAR8 = 0;
end
end
assign VAR6 = {VAR13, VAR17};
assign VAR4 = VAR11[~VAR8];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and4bb/sky130_fd_sc_hd__and4bb_1.v
| 2,323 |
module MODULE2 (
VAR8 ,
VAR7 ,
VAR5 ,
VAR11 ,
VAR9 ,
VAR2,
VAR1,
VAR4 ,
VAR10
);
output VAR8 ;
input VAR7 ;
input VAR5 ;
input VAR11 ;
input VAR9 ;
input VAR2;
input VAR1;
input VAR4 ;
input VAR10 ;
VAR6 VAR3 (
.VAR8(VAR8),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR8 ,
VAR7,
VAR5,
VAR11 ,
VAR9
);
output VAR8 ;
input VAR7;
input VAR5;
input VAR11 ;
input VAR9 ;
supply1 VAR2;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR10 ;
VAR6 VAR3 (
.VAR8(VAR8),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a31oi/sky130_fd_sc_hdll__a31oi.functional.v
| 1,456 |
module MODULE1 (
VAR7 ,
VAR2,
VAR1,
VAR6,
VAR9
);
output VAR7 ;
input VAR2;
input VAR1;
input VAR6;
input VAR9;
wire VAR4 ;
wire VAR8;
and VAR3 (VAR4 , VAR6, VAR2, VAR1 );
nor VAR5 (VAR8, VAR9, VAR4 );
buf VAR10 (VAR7 , VAR8 );
endmodule
|
apache-2.0
|
sabertazimi/hust-lab
|
digitalLogic/design/washmach_design/src/timer.v
| 2,093 |
module MODULE1
( input [31:0]VAR9,
input VAR4,
input VAR14,
input [(VAR2-1):0]VAR7,
input VAR13,
output reg VAR8,
output [(VAR2-1):0] VAR10
);
reg VAR6;
wire VAR3;
wire [(VAR2-1):0] VAR12;
reg [(VAR2-1):0] VAR11;
reg [(VAR2-1):0] VAR1;
assign VAR12 = VAR7 * VAR5;
assign VAR10 = VAR11 / VAR5;
|
mit
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
Literature_KOA/ecp/pow4.v
| 8,701 |
module MODULE1(VAR1, VAR2);
input wire [232:0] VAR1;
output wire [232:0] VAR2;
assign VAR2[0] = VAR1[0] ^ VAR1[196] ^ VAR1[98];
assign VAR2[1] = VAR1[138] ^ VAR1[175];
assign VAR2[2] = VAR1[117] ^ VAR1[178] ^ VAR1[215];
assign VAR2[3] = VAR1[59] ^ VAR1[218];
assign VAR2[4] = VAR1[1] ^ VAR1[197] ^ VAR1[99];
assign VAR2[5] = VAR1[139] ^ VAR1[176];
assign VAR2[6] = VAR1[118] ^ VAR1[179] ^ VAR1[216];
assign VAR2[7] = VAR1[60] ^ VAR1[219];
assign VAR2[8] = VAR1[2] ^ VAR1[198] ^ VAR1[100];
assign VAR2[9] = VAR1[140] ^ VAR1[177];
assign VAR2[10] = VAR1[119] ^ VAR1[180] ^ VAR1[217];
assign VAR2[11] = VAR1[61] ^ VAR1[220];
assign VAR2[12] = VAR1[3] ^ VAR1[199] ^ VAR1[101];
assign VAR2[13] = VAR1[141] ^ VAR1[178];
assign VAR2[14] = VAR1[120] ^ VAR1[181] ^ VAR1[218];
assign VAR2[15] = VAR1[62] ^ VAR1[221];
assign VAR2[16] = VAR1[4] ^ VAR1[200] ^ VAR1[102];
assign VAR2[17] = VAR1[142] ^ VAR1[179];
assign VAR2[18] = VAR1[121] ^ VAR1[182] ^ VAR1[219];
assign VAR2[19] = VAR1[63] ^ VAR1[222];
assign VAR2[20] = VAR1[5] ^ VAR1[201] ^ VAR1[103];
assign VAR2[21] = VAR1[143] ^ VAR1[180];
assign VAR2[22] = VAR1[122] ^ VAR1[183] ^ VAR1[220];
assign VAR2[23] = VAR1[64] ^ VAR1[223];
assign VAR2[24] = VAR1[6] ^ VAR1[202] ^ VAR1[104];
assign VAR2[25] = VAR1[144] ^ VAR1[181];
assign VAR2[26] = VAR1[123] ^ VAR1[184] ^ VAR1[221];
assign VAR2[27] = VAR1[65] ^ VAR1[224];
assign VAR2[28] = VAR1[7] ^ VAR1[203] ^ VAR1[105];
assign VAR2[29] = VAR1[145] ^ VAR1[182];
assign VAR2[30] = VAR1[124] ^ VAR1[185] ^ VAR1[222];
assign VAR2[31] = VAR1[66] ^ VAR1[225];
assign VAR2[32] = VAR1[8] ^ VAR1[204] ^ VAR1[106];
assign VAR2[33] = VAR1[146] ^ VAR1[183];
assign VAR2[34] = VAR1[125] ^ VAR1[186] ^ VAR1[223];
assign VAR2[35] = VAR1[67] ^ VAR1[226];
assign VAR2[36] = VAR1[9] ^ VAR1[205] ^ VAR1[107];
assign VAR2[37] = VAR1[147] ^ VAR1[184];
assign VAR2[38] = VAR1[126] ^ VAR1[187] ^ VAR1[224];
assign VAR2[39] = VAR1[68] ^ VAR1[227];
assign VAR2[40] = VAR1[10] ^ VAR1[206] ^ VAR1[108];
assign VAR2[41] = VAR1[148] ^ VAR1[185];
assign VAR2[42] = VAR1[127] ^ VAR1[188] ^ VAR1[225];
assign VAR2[43] = VAR1[69] ^ VAR1[228];
assign VAR2[44] = VAR1[11] ^ VAR1[207] ^ VAR1[109];
assign VAR2[45] = VAR1[149] ^ VAR1[186];
assign VAR2[46] = VAR1[128] ^ VAR1[189] ^ VAR1[226];
assign VAR2[47] = VAR1[70] ^ VAR1[229];
assign VAR2[48] = VAR1[12] ^ VAR1[208] ^ VAR1[110];
assign VAR2[49] = VAR1[150] ^ VAR1[187];
assign VAR2[50] = VAR1[129] ^ VAR1[190] ^ VAR1[227];
assign VAR2[51] = VAR1[71] ^ VAR1[230];
assign VAR2[52] = VAR1[13] ^ VAR1[209] ^ VAR1[111];
assign VAR2[53] = VAR1[151] ^ VAR1[188];
assign VAR2[54] = VAR1[130] ^ VAR1[191] ^ VAR1[228];
assign VAR2[55] = VAR1[72] ^ VAR1[231];
assign VAR2[56] = VAR1[14] ^ VAR1[210] ^ VAR1[112];
assign VAR2[57] = VAR1[152] ^ VAR1[189];
assign VAR2[58] = VAR1[131] ^ VAR1[192] ^ VAR1[229];
assign VAR2[59] = VAR1[73] ^ VAR1[232];
assign VAR2[60] = VAR1[15] ^ VAR1[211] ^ VAR1[113];
assign VAR2[61] = VAR1[153] ^ VAR1[190];
assign VAR2[62] = VAR1[132] ^ VAR1[193] ^ VAR1[230];
assign VAR2[63] = VAR1[74];
assign VAR2[64] = VAR1[16] ^ VAR1[212] ^ VAR1[114];
assign VAR2[65] = VAR1[154] ^ VAR1[191];
assign VAR2[66] = VAR1[133] ^ VAR1[194] ^ VAR1[231];
assign VAR2[67] = VAR1[75];
assign VAR2[68] = VAR1[17] ^ VAR1[213] ^ VAR1[115];
assign VAR2[69] = VAR1[155] ^ VAR1[192];
assign VAR2[70] = VAR1[134] ^ VAR1[195] ^ VAR1[232];
assign VAR2[71] = VAR1[76];
assign VAR2[72] = VAR1[18] ^ VAR1[214] ^ VAR1[116];
assign VAR2[73] = VAR1[156] ^ VAR1[193];
assign VAR2[74] = VAR1[135] ^ VAR1[98];
assign VAR2[75] = VAR1[138] ^ VAR1[175] ^ VAR1[77];
assign VAR2[76] = VAR1[19] ^ VAR1[178];
assign VAR2[77] = VAR1[59] ^ VAR1[218] ^ VAR1[157] ^ VAR1[194];
assign VAR2[78] = VAR1[136] ^ VAR1[99];
assign VAR2[79] = VAR1[139] ^ VAR1[176] ^ VAR1[78];
assign VAR2[80] = VAR1[20] ^ VAR1[179];
assign VAR2[81] = VAR1[60] ^ VAR1[219] ^ VAR1[158] ^ VAR1[195];
assign VAR2[82] = VAR1[137] ^ VAR1[100];
assign VAR2[83] = VAR1[140] ^ VAR1[177] ^ VAR1[79];
assign VAR2[84] = VAR1[21] ^ VAR1[180];
assign VAR2[85] = VAR1[61] ^ VAR1[220] ^ VAR1[159] ^ VAR1[196];
assign VAR2[86] = VAR1[138] ^ VAR1[101];
assign VAR2[87] = VAR1[141] ^ VAR1[178] ^ VAR1[80];
assign VAR2[88] = VAR1[22] ^ VAR1[181];
assign VAR2[89] = VAR1[62] ^ VAR1[221] ^ VAR1[160] ^ VAR1[197];
assign VAR2[90] = VAR1[139] ^ VAR1[102];
assign VAR2[91] = VAR1[142] ^ VAR1[179] ^ VAR1[81];
assign VAR2[92] = VAR1[23] ^ VAR1[182];
assign VAR2[93] = VAR1[63] ^ VAR1[222] ^ VAR1[161] ^ VAR1[198];
assign VAR2[94] = VAR1[140] ^ VAR1[103];
assign VAR2[95] = VAR1[143] ^ VAR1[180] ^ VAR1[82];
assign VAR2[96] = VAR1[24] ^ VAR1[183];
assign VAR2[97] = VAR1[64] ^ VAR1[223] ^ VAR1[162] ^ VAR1[199];
assign VAR2[98] = VAR1[141] ^ VAR1[104];
assign VAR2[99] = VAR1[144] ^ VAR1[181] ^ VAR1[83];
assign VAR2[100] = VAR1[25] ^ VAR1[184];
assign VAR2[101] = VAR1[65] ^ VAR1[224] ^ VAR1[163] ^ VAR1[200];
assign VAR2[102] = VAR1[142] ^ VAR1[105];
assign VAR2[103] = VAR1[145] ^ VAR1[182] ^ VAR1[84];
assign VAR2[104] = VAR1[26] ^ VAR1[185];
assign VAR2[105] = VAR1[66] ^ VAR1[225] ^ VAR1[164] ^ VAR1[201];
assign VAR2[106] = VAR1[143] ^ VAR1[106];
assign VAR2[107] = VAR1[146] ^ VAR1[183] ^ VAR1[85];
assign VAR2[108] = VAR1[27] ^ VAR1[186];
assign VAR2[109] = VAR1[67] ^ VAR1[226] ^ VAR1[165] ^ VAR1[202];
assign VAR2[110] = VAR1[144] ^ VAR1[107];
assign VAR2[111] = VAR1[147] ^ VAR1[184] ^ VAR1[86];
assign VAR2[112] = VAR1[28] ^ VAR1[187];
assign VAR2[113] = VAR1[68] ^ VAR1[227] ^ VAR1[166] ^ VAR1[203];
assign VAR2[114] = VAR1[145] ^ VAR1[108];
assign VAR2[115] = VAR1[148] ^ VAR1[185] ^ VAR1[87];
assign VAR2[116] = VAR1[29] ^ VAR1[188];
assign VAR2[117] = VAR1[69] ^ VAR1[228] ^ VAR1[167] ^ VAR1[204];
assign VAR2[118] = VAR1[146] ^ VAR1[109];
assign VAR2[119] = VAR1[149] ^ VAR1[186] ^ VAR1[88];
assign VAR2[120] = VAR1[30] ^ VAR1[189];
assign VAR2[121] = VAR1[70] ^ VAR1[229] ^ VAR1[168] ^ VAR1[205];
assign VAR2[122] = VAR1[147] ^ VAR1[110];
assign VAR2[123] = VAR1[150] ^ VAR1[187] ^ VAR1[89];
assign VAR2[124] = VAR1[31] ^ VAR1[190];
assign VAR2[125] = VAR1[71] ^ VAR1[230] ^ VAR1[169] ^ VAR1[206];
assign VAR2[126] = VAR1[148] ^ VAR1[111];
assign VAR2[127] = VAR1[151] ^ VAR1[188] ^ VAR1[90];
assign VAR2[128] = VAR1[32] ^ VAR1[191];
assign VAR2[129] = VAR1[72] ^ VAR1[231] ^ VAR1[170] ^ VAR1[207];
assign VAR2[130] = VAR1[149] ^ VAR1[112];
assign VAR2[131] = VAR1[152] ^ VAR1[189] ^ VAR1[91];
assign VAR2[132] = VAR1[33] ^ VAR1[192];
assign VAR2[133] = VAR1[73] ^ VAR1[232] ^ VAR1[171] ^ VAR1[208];
assign VAR2[134] = VAR1[150] ^ VAR1[113];
assign VAR2[135] = VAR1[153] ^ VAR1[190] ^ VAR1[92];
assign VAR2[136] = VAR1[34] ^ VAR1[193];
assign VAR2[137] = VAR1[74] ^ VAR1[172] ^ VAR1[209];
assign VAR2[138] = VAR1[151] ^ VAR1[114];
assign VAR2[139] = VAR1[154] ^ VAR1[191] ^ VAR1[93];
assign VAR2[140] = VAR1[35] ^ VAR1[194];
assign VAR2[141] = VAR1[75] ^ VAR1[173] ^ VAR1[210];
assign VAR2[142] = VAR1[152] ^ VAR1[115];
assign VAR2[143] = VAR1[155] ^ VAR1[192] ^ VAR1[94];
assign VAR2[144] = VAR1[36] ^ VAR1[195];
assign VAR2[145] = VAR1[76] ^ VAR1[174] ^ VAR1[211];
assign VAR2[146] = VAR1[153] ^ VAR1[116];
assign VAR2[147] = VAR1[156] ^ VAR1[193] ^ VAR1[95];
assign VAR2[148] = VAR1[37] ^ VAR1[196];
assign VAR2[149] = VAR1[77] ^ VAR1[175] ^ VAR1[212];
assign VAR2[150] = VAR1[117] ^ VAR1[154];
assign VAR2[151] = VAR1[157] ^ VAR1[194] ^ VAR1[96];
assign VAR2[152] = VAR1[38] ^ VAR1[197];
assign VAR2[153] = VAR1[78] ^ VAR1[176] ^ VAR1[213];
assign VAR2[154] = VAR1[118] ^ VAR1[155];
assign VAR2[155] = VAR1[158] ^ VAR1[195] ^ VAR1[97];
assign VAR2[156] = VAR1[39] ^ VAR1[198];
assign VAR2[157] = VAR1[79] ^ VAR1[177] ^ VAR1[214];
assign VAR2[158] = VAR1[119] ^ VAR1[156];
assign VAR2[159] = VAR1[159] ^ VAR1[196] ^ VAR1[98];
assign VAR2[160] = VAR1[40] ^ VAR1[199];
assign VAR2[161] = VAR1[80] ^ VAR1[178] ^ VAR1[215];
assign VAR2[162] = VAR1[120] ^ VAR1[157];
assign VAR2[163] = VAR1[160] ^ VAR1[197] ^ VAR1[99];
assign VAR2[164] = VAR1[41] ^ VAR1[200];
assign VAR2[165] = VAR1[81] ^ VAR1[179] ^ VAR1[216];
assign VAR2[166] = VAR1[121] ^ VAR1[158];
assign VAR2[167] = VAR1[161] ^ VAR1[198] ^ VAR1[100];
assign VAR2[168] = VAR1[42] ^ VAR1[201];
assign VAR2[169] = VAR1[82] ^ VAR1[180] ^ VAR1[217];
assign VAR2[170] = VAR1[122] ^ VAR1[159];
assign VAR2[171] = VAR1[162] ^ VAR1[199] ^ VAR1[101];
assign VAR2[172] = VAR1[43] ^ VAR1[202];
assign VAR2[173] = VAR1[83] ^ VAR1[181] ^ VAR1[218];
assign VAR2[174] = VAR1[123] ^ VAR1[160];
assign VAR2[175] = VAR1[163] ^ VAR1[200] ^ VAR1[102];
assign VAR2[176] = VAR1[44] ^ VAR1[203];
assign VAR2[177] = VAR1[84] ^ VAR1[182] ^ VAR1[219];
assign VAR2[178] = VAR1[124] ^ VAR1[161];
assign VAR2[179] = VAR1[164] ^ VAR1[201] ^ VAR1[103];
assign VAR2[180] = VAR1[45] ^ VAR1[204];
assign VAR2[181] = VAR1[85] ^ VAR1[183] ^ VAR1[220];
assign VAR2[182] = VAR1[125] ^ VAR1[162];
assign VAR2[183] = VAR1[165] ^ VAR1[202] ^ VAR1[104];
assign VAR2[184] = VAR1[46] ^ VAR1[205];
assign VAR2[185] = VAR1[86] ^ VAR1[184] ^ VAR1[221];
assign VAR2[186] = VAR1[126] ^ VAR1[163];
assign VAR2[187] = VAR1[166] ^ VAR1[203] ^ VAR1[105];
assign VAR2[188] = VAR1[47] ^ VAR1[206];
assign VAR2[189] = VAR1[87] ^ VAR1[185] ^ VAR1[222];
assign VAR2[190] = VAR1[127] ^ VAR1[164];
assign VAR2[191] = VAR1[167] ^ VAR1[204] ^ VAR1[106];
assign VAR2[192] = VAR1[48] ^ VAR1[207];
assign VAR2[193] = VAR1[88] ^ VAR1[186] ^ VAR1[223];
assign VAR2[194] = VAR1[128] ^ VAR1[165];
assign VAR2[195] = VAR1[168] ^ VAR1[205] ^ VAR1[107];
assign VAR2[196] = VAR1[49] ^ VAR1[208];
assign VAR2[197] = VAR1[89] ^ VAR1[187] ^ VAR1[224];
assign VAR2[198] = VAR1[129] ^ VAR1[166];
assign VAR2[199] = VAR1[169] ^ VAR1[206] ^ VAR1[108];
assign VAR2[200] = VAR1[50] ^ VAR1[209];
assign VAR2[201] = VAR1[90] ^ VAR1[188] ^ VAR1[225];
assign VAR2[202] = VAR1[130] ^ VAR1[167];
assign VAR2[203] = VAR1[170] ^ VAR1[207] ^ VAR1[109];
assign VAR2[204] = VAR1[51] ^ VAR1[210];
assign VAR2[205] = VAR1[91] ^ VAR1[189] ^ VAR1[226];
assign VAR2[206] = VAR1[131] ^ VAR1[168];
assign VAR2[207] = VAR1[171] ^ VAR1[208] ^ VAR1[110];
assign VAR2[208] = VAR1[52] ^ VAR1[211];
assign VAR2[209] = VAR1[92] ^ VAR1[190] ^ VAR1[227];
assign VAR2[210] = VAR1[132] ^ VAR1[169];
assign VAR2[211] = VAR1[172] ^ VAR1[209] ^ VAR1[111];
assign VAR2[212] = VAR1[53] ^ VAR1[212];
assign VAR2[213] = VAR1[93] ^ VAR1[191] ^ VAR1[228];
assign VAR2[214] = VAR1[133] ^ VAR1[170];
assign VAR2[215] = VAR1[173] ^ VAR1[210] ^ VAR1[112];
assign VAR2[216] = VAR1[54] ^ VAR1[213];
assign VAR2[217] = VAR1[94] ^ VAR1[192] ^ VAR1[229];
assign VAR2[218] = VAR1[134] ^ VAR1[171];
assign VAR2[219] = VAR1[174] ^ VAR1[211] ^ VAR1[113];
assign VAR2[220] = VAR1[55] ^ VAR1[214];
assign VAR2[221] = VAR1[95] ^ VAR1[193] ^ VAR1[230];
assign VAR2[222] = VAR1[135] ^ VAR1[172];
assign VAR2[223] = VAR1[175] ^ VAR1[212] ^ VAR1[114];
assign VAR2[224] = VAR1[56] ^ VAR1[215];
assign VAR2[225] = VAR1[96] ^ VAR1[194] ^ VAR1[231];
assign VAR2[226] = VAR1[136] ^ VAR1[173];
assign VAR2[227] = VAR1[176] ^ VAR1[213] ^ VAR1[115];
assign VAR2[228] = VAR1[57] ^ VAR1[216];
assign VAR2[229] = VAR1[97] ^ VAR1[195] ^ VAR1[232];
assign VAR2[230] = VAR1[137] ^ VAR1[174];
assign VAR2[231] = VAR1[177] ^ VAR1[214] ^ VAR1[116];
assign VAR2[232] = VAR1[58] ^ VAR1[217];
endmodule
|
gpl-3.0
|
ShirmanXia/EE469SPRING16
|
lab4/nios_system/synthesis/submodules/nios_system_charFromReceiver.v
| 1,965 |
module MODULE1 (
address,
clk,
VAR4,
VAR3,
VAR1
)
;
output [ 31: 0] VAR1;
input [ 1: 0] address;
input clk;
input [ 7: 0] VAR4;
input VAR3;
wire VAR6;
wire [ 7: 0] VAR2;
wire [ 7: 0] VAR5;
reg [ 31: 0] VAR1;
assign VAR6 = 1;
assign VAR5 = {8 {(address == 0)}} & VAR2;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR1 <= 0;
end
else if (VAR6)
VAR1 <= {32'b0 | VAR5};
end
assign VAR2 = VAR4;
endmodule
|
gpl-3.0
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/rtl/uart/uart_ctrl.v
| 4,260 |
module MODULE1 (
input wire clk,
input wire reset,
input wire VAR9,
input wire VAR11,
input wire VAR35,
input wire [VAR16] addr,
input wire [VAR21] VAR22,
output reg [VAR21] VAR32,
output reg VAR6,
output reg VAR2,
output reg VAR8,
input wire VAR26,
input wire VAR10,
input wire [VAR24] VAR28,
input wire VAR29,
input wire VAR12,
output reg VAR19,
output reg [VAR24] VAR5
);
reg [VAR24] VAR15;
always @(posedge clk or VAR1 reset) begin
if (reset == VAR14) begin
VAR32 <= VAR3'h0;
VAR6 <= VAR23;
VAR2 <= VAR34;
VAR8 <= VAR34;
VAR15 <= VAR4'h0;
VAR19 <= VAR34;
VAR5 <= VAR4'h0;
end else begin
if ((VAR9 == VAR7) && (VAR11 == VAR7)) begin
VAR6 <= VAR7;
end else begin
VAR6 <= VAR23;
end
if ((VAR9 == VAR7) && (VAR11 == VAR7) && (VAR35 == VAR17)) begin
case (addr)
VAR32 <= {{VAR3-4{1'b0}},
VAR29, VAR26, VAR8, VAR2};
end
VAR32 <= {{VAR4*2{1'b0}}, VAR15};
end
endcase
end else begin
VAR32 <= VAR3'h0;
end
if (VAR12 == VAR25) begin
VAR8<= VAR25;
end else if ((VAR9 == VAR7) && (VAR11 == VAR7) &&
(VAR35 == VAR27) && (addr == VAR31)) begin
VAR8<= VAR22[VAR18];
end
if (VAR10 == VAR25) begin
VAR2<= VAR25;
end else if ((VAR9 == VAR7) && (VAR11 == VAR7) &&
(VAR35 == VAR27) && (addr == VAR31)) begin
VAR2<= VAR22[VAR30];
end
if ((VAR9 == VAR7) && (VAR11 == VAR7) &&
(VAR35 == VAR27) && (addr == VAR13)) begin
VAR19 <= VAR25;
VAR5 <= VAR22[VAR20:VAR33];
end else begin
VAR19 <= VAR34;
VAR5 <= VAR4'h0;
end
if (VAR10 == VAR25) begin
VAR15 <= VAR28;
end
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nand2b/sky130_fd_sc_lp__nand2b.pp.blackbox.v
| 1,293 |
module MODULE1 (
VAR2 ,
VAR3 ,
VAR1 ,
VAR4,
VAR5,
VAR7 ,
VAR6
);
output VAR2 ;
input VAR3 ;
input VAR1 ;
input VAR4;
input VAR5;
input VAR7 ;
input VAR6 ;
endmodule
|
apache-2.0
|
dawsonjon/FPGA-TX
|
synthesis/cmod_a7_15/tx/user_design.v
| 2,673 |
module MODULE1(clk, rst, VAR14, VAR26, VAR4, VAR15, VAR16, VAR19, VAR12, VAR25, VAR33, VAR34, VAR39, VAR9, VAR36, VAR3, VAR40, VAR28, VAR8, VAR11, VAR35, VAR7, VAR21, VAR30, VAR32, VAR37, VAR2, VAR17, VAR22, VAR27);
input clk;
input rst;
output VAR14;
input [31:0] VAR26;
input VAR16;
output VAR25;
input [31:0] VAR4;
input VAR19;
output VAR33;
input [31:0] VAR15;
input VAR12;
output VAR34;
output [31:0] VAR39;
output VAR8;
input VAR32;
output [31:0] VAR9;
output VAR11;
input VAR37;
output [31:0] VAR36;
output VAR35;
input VAR2;
output [31:0] VAR3;
output VAR7;
input VAR17;
output [31:0] VAR40;
output VAR21;
input VAR22;
output [31:0] VAR28;
output VAR30;
input VAR27;
wire VAR31;
VAR1 VAR24(
.clk(clk),
.rst(rst),
.VAR14(VAR31),
.VAR15(VAR15),
.VAR12(VAR12),
.VAR34(VAR34),
.VAR4(VAR4),
.VAR19(VAR19),
.VAR33(VAR33),
.VAR26(VAR26),
.VAR16(VAR16),
.VAR25(VAR25),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR37(VAR37),
.VAR36(VAR36),
.VAR35(VAR35),
.VAR2(VAR2),
.VAR29(VAR40),
.VAR5(VAR21),
.VAR18(VAR22),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR17(VAR17),
.VAR10(VAR39),
.VAR6(VAR8),
.VAR23(VAR32),
.VAR13(VAR28),
.VAR20(VAR30),
.VAR38(VAR27));
assign VAR14 = VAR31;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/lsbuflv2hv_clkiso_hlkg/sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg.pp.symbol.v
| 1,577 |
module MODULE1 (
input VAR1 ,
output VAR7 ,
input VAR3,
input VAR5 ,
input VAR8 ,
input VAR2 ,
input VAR4 ,
input VAR6
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o22ai/sky130_fd_sc_hs__o22ai_4.v
| 2,225 |
module MODULE2 (
VAR5 ,
VAR2 ,
VAR6 ,
VAR7 ,
VAR8 ,
VAR9,
VAR1
);
output VAR5 ;
input VAR2 ;
input VAR6 ;
input VAR7 ;
input VAR8 ;
input VAR9;
input VAR1;
VAR3 VAR4 (
.VAR5(VAR5),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR5 ,
VAR2,
VAR6,
VAR7,
VAR8
);
output VAR5 ;
input VAR2;
input VAR6;
input VAR7;
input VAR8;
supply1 VAR9;
supply0 VAR1;
VAR3 VAR4 (
.VAR5(VAR5),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_exu_oitf.v
| 7,177 |
module MODULE1 (
output VAR54,
input VAR31,
input VAR50,
output [VAR49-1:0] VAR66,
output [VAR49-1:0] VAR53,
output [VAR12-1:0] VAR8,
output VAR6,
output VAR48,
output [VAR59-1:0] VAR71,
input VAR62,
input VAR44,
input VAR27,
input VAR64,
input VAR46,
input VAR10,
input VAR5,
input VAR36,
input [VAR12-1:0] VAR14,
input [VAR12-1:0] VAR56,
input [VAR12-1:0] VAR57,
input [VAR12-1:0] VAR25,
input [VAR59 -1:0] VAR15,
output VAR68,
output VAR22,
output VAR39,
output VAR20,
output VAR58,
input clk,
input VAR28
);
wire [VAR73-1:0] VAR47;
wire [VAR73-1:0] VAR38;
wire [VAR73-1:0] VAR51;
wire [VAR73-1:0] VAR42;
wire [VAR73-1:0] VAR35;
wire [VAR73-1:0] VAR72;
wire [VAR73-1:0] VAR3;
wire [VAR12-1:0] VAR21[VAR73-1:0];
wire [VAR59-1:0] VAR30[VAR73-1:0];
wire VAR33 = VAR31;
wire VAR65 = VAR50;
wire VAR60 ;
wire [VAR49-1:0] VAR37;
wire [VAR49-1:0] VAR55;
generate
if(VAR73 > 1) begin: VAR52 wire VAR1;
wire VAR70 = ~VAR1;
wire VAR23 = (VAR37 == ((VAR73-1))) & VAR33;
VAR9 #(1) VAR24(VAR23, VAR70, VAR1, clk, VAR28);
wire [VAR49-1:0] VAR19;
assign VAR19 = VAR23 ? VAR49'b0 : (VAR37 + 1'b1);
VAR9 #(VAR49) VAR26(VAR33, VAR19, VAR37, clk, VAR28);
wire VAR61;
wire VAR29 = ~VAR61;
wire VAR40 = (VAR55 == ((VAR73-1))) & VAR65;
VAR9 #(1) VAR67(VAR40, VAR29, VAR61, clk, VAR28);
wire [VAR49-1:0] VAR2;
assign VAR2 = VAR40 ? VAR49'b0 : (VAR55 + 1'b1);
VAR9 #(VAR49) VAR4(VAR65, VAR2, VAR55, clk, VAR28);
assign VAR58 = (VAR55 == VAR37) & (VAR61 == VAR1);
assign VAR60 = (VAR55 == VAR37) & (~(VAR61 == VAR1));
end else begin: VAR7 assign VAR37 =1'b0;
assign VAR55 =1'b0;
assign VAR58 = ~VAR35[0];
assign VAR60 = VAR35[0];
end endgenerate
assign VAR53 = VAR55;
assign VAR66 = VAR37;
assign VAR54 = (~VAR60);
wire [VAR73-1:0] VAR16;
wire [VAR73-1:0] VAR17;
wire [VAR73-1:0] VAR41;
wire [VAR73-1:0] VAR63;
genvar VAR18;
generate for (VAR18=0; VAR18<VAR73; VAR18=VAR18+1) begin:VAR11
assign VAR47[VAR18] = VAR33 & (VAR37 == VAR18);
assign VAR38[VAR18] = VAR65 & (VAR55 == VAR18);
assign VAR51[VAR18] = VAR47[VAR18] | VAR38[VAR18];
assign VAR42[VAR18] = VAR47[VAR18] | (~VAR38[VAR18]);
VAR9 #(1) VAR32(VAR51[VAR18], VAR42[VAR18], VAR35[VAR18], clk, VAR28);
VAR69 #(VAR12) VAR45(VAR47[VAR18], VAR25, VAR21[VAR18], clk);
VAR69 #(VAR59 ) VAR34 (VAR47[VAR18], VAR15 , VAR30[VAR18] , clk);
VAR69 #(1) VAR43(VAR47[VAR18], VAR64, VAR72[VAR18], clk);
VAR69 #(1) VAR13(VAR47[VAR18], VAR36, VAR3[VAR18], clk);
assign VAR16[VAR18] = VAR35[VAR18] & VAR72[VAR18] & VAR62 & (VAR3[VAR18] == VAR46) & (VAR21[VAR18] == VAR14);
assign VAR17[VAR18] = VAR35[VAR18] & VAR72[VAR18] & VAR44 & (VAR3[VAR18] == VAR10) & (VAR21[VAR18] == VAR56);
assign VAR41[VAR18] = VAR35[VAR18] & VAR72[VAR18] & VAR27 & (VAR3[VAR18] == VAR5) & (VAR21[VAR18] == VAR57);
assign VAR63 [VAR18] = VAR35[VAR18] & VAR72[VAR18] & VAR64 & (VAR3[VAR18] == VAR36 ) & (VAR21[VAR18] == VAR25 );
end endgenerate
assign VAR68 = |VAR16;
assign VAR22 = |VAR17;
assign VAR39 = |VAR41;
assign VAR20 = |VAR63 ;
assign VAR8 = VAR21[VAR53];
assign VAR71 = VAR30 [VAR53];
assign VAR6 = VAR72[VAR53];
assign VAR48 = VAR3[VAR53];
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o311a/sky130_fd_sc_lp__o311a_4.v
| 2,422 |
module MODULE1 (
VAR8 ,
VAR9 ,
VAR2 ,
VAR11 ,
VAR12 ,
VAR4 ,
VAR10,
VAR7,
VAR5 ,
VAR6
);
output VAR8 ;
input VAR9 ;
input VAR2 ;
input VAR11 ;
input VAR12 ;
input VAR4 ;
input VAR10;
input VAR7;
input VAR5 ;
input VAR6 ;
VAR3 VAR1 (
.VAR8(VAR8),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR8 ,
VAR9,
VAR2,
VAR11,
VAR12,
VAR4
);
output VAR8 ;
input VAR9;
input VAR2;
input VAR11;
input VAR12;
input VAR4;
supply1 VAR10;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR6 ;
VAR3 VAR1 (
.VAR8(VAR8),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR12(VAR12),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
ineganov/cpu_4
|
hw_de0/wiz/vji.v
| 7,106 |
module MODULE1 (
VAR31,
VAR39,
VAR49,
VAR1,
VAR14,
VAR21,
VAR46,
VAR47,
VAR18,
VAR8,
VAR11,
VAR9,
VAR20);
input [1:0] VAR31;
input VAR39;
output [1:0] VAR49;
output VAR1;
output VAR14;
output VAR21;
output VAR46;
output VAR47;
output VAR18;
output VAR8;
output VAR11;
output VAR9;
output VAR20;
wire VAR34;
wire VAR41;
wire [1:0] VAR43;
wire VAR35;
wire VAR45;
wire VAR25;
wire VAR7;
wire VAR50;
wire VAR28;
wire VAR40;
wire VAR44;
wire VAR46 = VAR34;
wire VAR8 = VAR41;
wire [1:0] VAR49 = VAR43[1:0];
wire VAR14 = VAR35;
wire VAR9 = VAR45;
wire VAR1 = VAR25;
wire VAR47 = VAR7;
wire VAR20 = VAR50;
wire VAR21 = VAR28;
wire VAR18 = VAR40;
wire VAR11 = VAR44;
VAR17 VAR12 (
.VAR31 (VAR31),
.VAR39 (VAR39),
.VAR46 (VAR34),
.VAR8 (VAR41),
.VAR49 (VAR43),
.VAR14 (VAR35),
.VAR9 (VAR45),
.VAR1 (VAR25),
.VAR47 (VAR7),
.VAR20 (VAR50),
.VAR21 (VAR28),
.VAR18 (VAR40),
.VAR11 (VAR44)
,
.VAR2 (),
.VAR4 (),
.VAR42 (),
.VAR33 (),
.VAR13 (),
.VAR15 (),
.VAR26 (),
.VAR29 (),
.VAR48 (),
.VAR6 (),
.VAR16 (),
.VAR36 (),
.VAR19 (),
.VAR27 (),
.VAR22 (),
.VAR30 (),
.VAR32 ()
);
VAR12.VAR3 = "VAR38",
VAR12.VAR23 = 0,
VAR12.VAR24 = 2,
VAR12.VAR5 = "",
VAR12.VAR37 = 0,
VAR12.VAR10 = 0;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a22o/sky130_fd_sc_hdll__a22o.behavioral.v
| 1,615 |
module MODULE1 (
VAR14 ,
VAR16,
VAR9,
VAR11,
VAR10
);
output VAR14 ;
input VAR16;
input VAR9;
input VAR11;
input VAR10;
supply1 VAR4;
supply0 VAR2;
supply1 VAR3 ;
supply0 VAR12 ;
wire VAR1 ;
wire VAR15 ;
wire VAR5;
and VAR8 (VAR1 , VAR11, VAR10 );
and VAR7 (VAR15 , VAR16, VAR9 );
or VAR13 (VAR5, VAR15, VAR1);
buf VAR6 (VAR14 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o21bai/sky130_fd_sc_lp__o21bai_4.v
| 2,329 |
module MODULE2 (
VAR5 ,
VAR8 ,
VAR4 ,
VAR3,
VAR7,
VAR10,
VAR6 ,
VAR9
);
output VAR5 ;
input VAR8 ;
input VAR4 ;
input VAR3;
input VAR7;
input VAR10;
input VAR6 ;
input VAR9 ;
VAR2 VAR1 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR5 ,
VAR8 ,
VAR4 ,
VAR3
);
output VAR5 ;
input VAR8 ;
input VAR4 ;
input VAR3;
supply1 VAR7;
supply0 VAR10;
supply1 VAR6 ;
supply0 VAR9 ;
VAR2 VAR1 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_trn_v5_gtx_x8_125/endpoint_blk_plus_v1_14_bb.v
| 10,093 |
module MODULE1 (
VAR12,
VAR35,
VAR29,
VAR24,
VAR45,
VAR25,
VAR31,
VAR38,
VAR57,
VAR56,
VAR36,
VAR39,
VAR53,
VAR51,
VAR17,
VAR16,
VAR14,
VAR49,
VAR19,
VAR9,
VAR33,
VAR70,
VAR37,
VAR30,
VAR48,
VAR42,
VAR62,
VAR32,
VAR18,
VAR10,
VAR71,
VAR66,
VAR13,
VAR20,
VAR61,
VAR74,
VAR69,
VAR6,
VAR22,
VAR34,
VAR54,
VAR41,
VAR5,
VAR1,
VAR65,
VAR8,
VAR3,
VAR26,
VAR15,
VAR67,
VAR59,
VAR43,
VAR4,
VAR21,
VAR11,
VAR50,
VAR7,
VAR58,
VAR60,
VAR40,
VAR72,
VAR68,
VAR52,
VAR23,
VAR46,
VAR2,
VAR63,
VAR27,
VAR64,
VAR28,
VAR55,
VAR47,
VAR44,
VAR73
);
output [(8 - 1):0] VAR12;
output [(8 - 1):0] VAR35;
input [(8 - 1):0] VAR29;
input [(8 - 1):0] VAR24;
output VAR45;
output VAR25;
output VAR31;
input [(64 - 1):0] VAR38;
input [(8 - 1):0] VAR57;
input VAR56;
input VAR36;
input VAR39;
output VAR53;
output VAR51;
input VAR17;
input VAR16;
output [(4 - 1):0] VAR14;
output [(64 - 1):0] VAR49;
output [(8 - 1):0] VAR19;
output VAR9;
output VAR33;
output VAR70;
output VAR37;
input VAR30;
output VAR48;
input VAR42;
output [(7 - 1):0] VAR62;
output [(8 - 1):0] VAR32;
output [(12 - 1):0] VAR18;
output [(8 - 1):0] VAR10;
output [(12 - 1):0] VAR71;
input VAR66;
output [(32 - 1):0] VAR13;
output VAR20;
input [(32 - 1):0] VAR61;
input [(32/8 - 1):0] VAR74;
input [(10 - 1):0] VAR69;
input VAR6;
input VAR22;
input VAR34;
output VAR54;
input VAR41;
input VAR5;
input VAR1;
input VAR65;
input VAR8;
input VAR3;
input VAR15;
input [(48 - 1):0] VAR26;
input VAR67;
output VAR59;
input VAR43;
input [7:0] VAR4;
output [7:0] VAR21;
output [2:0] VAR11;
output VAR50;
output VAR7;
input VAR58;
output [(3 - 1):0] VAR60;
input VAR40;
input [(64 - 1):0] VAR72;
output [(8 - 1):0] VAR68;
output [(5 - 1):0] VAR52;
output [(3 - 1):0] VAR23;
output [(16 - 1):0] VAR46;
output [(16 - 1):0] VAR2;
output [(16 - 1):0] VAR63;
output [(16 - 1):0] VAR27;
output [(16 - 1):0] VAR64;
output [(16 - 1):0] VAR28;
input VAR55;
input VAR47;
input VAR44;
output VAR73;
endmodule
|
lgpl-3.0
|
plindstroem/oh
|
memory/hdl/fifo_async.v
| 3,161 |
module MODULE1
(
VAR15, VAR11, dout, VAR6, valid,
VAR9, VAR5, VAR14, VAR8, VAR10, din, VAR16
);
parameter VAR4 = 104; parameter VAR7 = 16;
input VAR9; input VAR5; input VAR14; input VAR8;
input VAR10;
input [VAR4-1:0] din;
output VAR15;
output VAR11;
input VAR16;
output [VAR4-1:0] dout;
output VAR6;
output valid;
VAR13 VAR2 (.VAR15 (),
.VAR11 (VAR11),
.VAR12 (VAR15),
.dout (dout[VAR4-1:0]),
.VAR6 (VAR6),
.valid (valid),
.VAR9 (VAR9),
.VAR5 (VAR5),
.VAR14 (VAR14),
.VAR8 (VAR8),
.VAR10 (VAR10),
.din (din[VAR4-1:0]),
.VAR16 (VAR16));
generate
if((VAR4==104) & (VAR7==16))
begin
VAR1 VAR1 (.VAR15 (),
.VAR11 (VAR11),
.VAR12 (VAR15),
.dout (dout[VAR4-1:0]),
.VAR6 (VAR6),
.valid (valid),
.VAR9 (VAR9),
.VAR5 (VAR5),
.VAR14 (VAR14),
.VAR8 (VAR8),
.VAR10 (VAR10),
.din (din[VAR4-1:0]),
.VAR16 (VAR16));
end
else if((VAR4==104) & (VAR7==32))
begin
VAR3 VAR3 (.VAR15 (),
.VAR11 (VAR11),
.VAR12 (VAR15),
.dout (dout[VAR4-1:0]),
.VAR6 (VAR6),
.valid (valid),
.VAR9 (VAR9),
.VAR5 (VAR5),
.VAR14 (VAR14),
.VAR8 (VAR8),
.VAR10 (VAR10),
.din (din[VAR4-1:0]),
.VAR16 (VAR16));
end
endgenerate
endmodule
|
gpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_datafmt.v
| 3,915 |
module MODULE1 (
clk,
valid,
VAR7,
VAR9,
VAR11,
VAR6,
VAR10,
VAR2);
parameter VAR8 = 16;
localparam VAR5 = VAR8 - 1;
input clk;
input valid;
input [VAR5:0] VAR7;
output VAR9;
output [15:0] VAR11;
input VAR6;
input VAR10;
input VAR2;
reg VAR9 = 'd0;
reg [15:0] VAR11 = 'd0;
wire VAR12;
wire VAR3;
wire [VAR5:0] VAR13;
wire [23:0] VAR1;
wire [23:0] VAR4;
assign VAR12 = VAR6 & VAR10;
assign VAR3 = VAR6 & VAR2;
assign VAR13 = (VAR12 == 1'b1) ? {~VAR7[VAR5], VAR7[(VAR5-1):0]} : VAR7;
assign VAR1 = (VAR3 == 1'b1) ? {{24{VAR13[VAR5]}}} : 24'd0;
assign VAR4 = {VAR1[23:(VAR5+1)], VAR13};
always @(posedge clk) begin
VAR9 <= valid;
VAR11 <= VAR4[15:0];
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/bufbuf/sky130_fd_sc_lp__bufbuf.pp.symbol.v
| 1,258 |
module MODULE1 (
input VAR5 ,
output VAR2 ,
input VAR6 ,
input VAR1,
input VAR4,
input VAR3
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/or2/gf180mcu_fd_sc_mcu7t5v0__or2_4.behavioral.v
| 1,173 |
module MODULE1( VAR4, VAR5, VAR6 );
input VAR5, VAR4;
output VAR6;
VAR3 VAR2(.VAR4(VAR4),.VAR5(VAR5),.VAR6(VAR6));
VAR3 VAR1(.VAR4(VAR4),.VAR5(VAR5),.VAR6(VAR6));
|
apache-2.0
|
alexforencich/verilog-ethernet
|
rtl/mii_phy_if.v
| 3,760 |
module MODULE1 #
(
parameter VAR16 = "VAR34",
parameter VAR36 = "VAR28"
)
(
input wire rst,
output wire VAR17,
output wire VAR35,
output wire [3:0] VAR13,
output wire VAR15,
output wire VAR32,
output wire VAR8,
output wire VAR20,
input wire [3:0] VAR12,
input wire VAR18,
input wire VAR4,
input wire VAR21,
input wire [3:0] VAR5,
input wire VAR27,
input wire VAR2,
input wire VAR26,
output wire [3:0] VAR19,
output wire VAR1,
output wire VAR3
);
VAR39 #
(
.VAR16(VAR16),
.VAR36(VAR36),
.VAR37(6)
)
VAR22 (
.VAR33(VAR21),
.VAR6({VAR5, VAR27, VAR2}),
.VAR23(VAR17),
.VAR38({VAR13, VAR15, VAR32})
);
reg [3:0] VAR9 = 4'd0;
reg VAR25 = 1'b0, VAR31 = 1'b0;
assign VAR19 = VAR9;
assign VAR1 = VAR25;
assign VAR3 = VAR31;
always @(posedge VAR8) begin
VAR9 <= VAR12;
VAR25 <= VAR18;
VAR31 <= VAR4;
end
generate
if (VAR16 == "VAR14") begin
VAR11
VAR29 (
.VAR7(VAR26),
.VAR10(VAR8)
);
end else begin
assign VAR8 = VAR26;
end
endgenerate
reg [3:0] VAR24 = 4'hf;
assign VAR20 = VAR24[0];
always @(posedge VAR8 or posedge rst) begin
if (rst) begin
VAR24 <= 4'hf;
end else begin
VAR24 <= {1'b0, VAR24[3:1]};
end
end
reg [3:0] VAR30 = 4'hf;
assign VAR35 = VAR30[0];
always @(posedge VAR17 or posedge rst) begin
if (rst) begin
VAR30 <= 4'hf;
end else begin
VAR30 <= {1'b0, VAR30[3:1]};
end
end
endmodule
|
mit
|
aquaxis/FPGAMAG18
|
fmrv32im-artya7.nonos/fmrv32im-artya7.srcs/sources_1/bd/fmrv32im_artya7/ipshared/5d65/src/fmrv32im_mul.v
| 1,510 |
module MODULE1
(
input VAR13,
input VAR17,
input VAR2,
input VAR12,
input VAR11,
input VAR19,
input [31:0] VAR4,
input [31:0] VAR16,
output VAR10,
output VAR8,
output [31:0] VAR14
);
wire VAR9, VAR18;
wire VAR20, VAR6;
reg [32:0] VAR5, VAR15;
reg [63:0] VAR3;
reg VAR1, VAR7;
assign VAR9 = VAR2 | VAR12 | VAR11 | VAR19;
assign VAR18 = VAR12 | VAR11 | VAR19;
assign VAR20 = VAR12 | VAR11;
assign VAR6 = VAR12;
always @(*) begin
if(VAR20) begin
VAR5 <= (VAR4);
end else begin
VAR5 <= (VAR4);
end
if(VAR6) begin
VAR15 <= (VAR16);
end else begin
VAR15 <= (VAR16);
end
end
always @(posedge VAR17) begin
if(!VAR13) begin
VAR1 <= 0;
VAR7 <= 0;
end else begin
VAR1 <= VAR18;
VAR7 <= VAR9;
end
end
always @(posedge VAR17) begin
if(!VAR13) begin
VAR3 <= 0;
end else begin
VAR3 <= (VAR5) * (VAR15);
end
end
assign VAR14 = (VAR1)?VAR3[63:32]:VAR3[31:0];
assign VAR8 = VAR7;
assign VAR10 = 0;
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_axi_basic_rx.v
| 8,469 |
module MODULE1 #(
parameter VAR32 = 128, parameter VAR29 = "VAR2", parameter VAR14 = "VAR3", parameter VAR24 = "VAR3", parameter VAR37 = 1,
parameter VAR30 = (VAR32 == 128) ? 2 : 1, parameter VAR7 = VAR32 / 8 ) (
output [VAR32-1:0] VAR36, output VAR23, input VAR20, output [VAR7-1:0] VAR17, output VAR16, output [21:0] VAR11,
input [VAR32-1:0] VAR34, input VAR4, input VAR1, input VAR13, output VAR19, input VAR12, input [VAR30-1:0] VAR8, input VAR10, input [6:0] VAR22, input VAR25,
output [2:0] VAR26, input VAR27, input VAR18 );
wire VAR35;
wire VAR6;
wire [VAR7-1:0] VAR31;
wire VAR9;
wire [4:0] VAR28;
VAR21 #(
.VAR32( VAR32 ),
.VAR29( VAR29 ),
.VAR37( VAR37 ),
.VAR30( VAR30 ),
.VAR7( VAR7 )
) VAR5 (
.VAR36( VAR36 ),
.VAR23( VAR23 ),
.VAR20( VAR20 ),
.VAR17( VAR17 ),
.VAR16( VAR16 ),
.VAR11( VAR11 ),
.VAR34( VAR34 ),
.VAR4( VAR4 ),
.VAR1( VAR1 ),
.VAR13( VAR13 ),
.VAR19( VAR19 ),
.VAR12( VAR12 ),
.VAR8( VAR8 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR25( VAR25 ),
.VAR35( VAR35 ),
.VAR6( VAR6 ),
.VAR31( VAR31 ),
.VAR9( VAR9 ),
.VAR28( VAR28 ),
.VAR26( VAR26 ),
.VAR27( VAR27 ),
.VAR18( VAR18 )
);
VAR33 #(
.VAR32( VAR32 ),
.VAR37( VAR37 ),
.VAR7( VAR7 )
) VAR15 (
.VAR36( VAR36 ),
.VAR23( VAR23 ),
.VAR20( VAR20 ),
.VAR16( VAR16 ),
.VAR11( VAR11 ),
.VAR35( VAR35 ),
.VAR6( VAR6 ),
.VAR31( VAR31 ),
.VAR9( VAR9 ),
.VAR28( VAR28 ),
.VAR27( VAR27 ),
.VAR18( VAR18 )
);
endmodule
|
lgpl-3.0
|
dvanmali/Superscalar_Pipeline_Processor
|
aludec.v
| 2,364 |
module MODULE1(VAR2, VAR5, VAR3, VAR4, VAR6);
input [5:0] VAR2;
input [3:0] VAR5;
output reg [2:0] VAR3;
output reg VAR4, VAR6;
always @(*) begin
case(VAR5)
4'b0000: begin VAR3 <= 3'b010; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0010: begin
case(VAR2) 6'b100000: begin VAR3 <= 3'b010; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b100001: begin VAR3 <= 3'b010; VAR4 <= 1'b0; VAR6 <= 1'b0; end 6'b100010: begin VAR3 <= 3'b110; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b100011: begin VAR3 <= 3'b110; VAR4 <= 1'b0; VAR6 <= 1'b0; end 6'b100100: begin VAR3 <= 3'b000; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b100101: begin VAR3 <= 3'b001; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b101010: begin VAR3 <= 3'b111; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b101011: begin VAR3 <= 3'b111; VAR4 <= 1'b0; VAR6 <= 1'b0; end 6'b100110: begin VAR3 <= 3'b011; VAR4 <= 1'b1; VAR6 <= 1'b0; end 6'b011000: begin VAR3 <= 3'VAR1; VAR4 <= 1'b1; VAR6 <= 1'b1; end 6'b011001: begin VAR3 <= 3'VAR1; VAR4 <= 1'b0; VAR6 <= 1'b1; end default: begin VAR3 <= 3'VAR1; VAR4 <= 1'b0; VAR6 <= 1'b0; end endcase
end
4'b0001: begin VAR3 <= 3'b110; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0011: begin VAR3 <= 3'b001; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0100: begin VAR3 <= 3'b000; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0101: begin VAR3 <= 3'b011; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0110: begin VAR3 <= 3'b111; VAR4 <= 1'b1; VAR6 <= 1'b0; end 4'b0111: begin VAR3 <= 3'b011; VAR4 <= 1'b0; VAR6 <= 1'b0; end 4'b1000: begin VAR3 <= 3'b111; VAR4 <= 1'b0; VAR6 <= 1'b0; end default: begin VAR3 <= 3'VAR1; VAR4 <= 1'b0; VAR6 <= 1'b0; end
endcase
end
endmodule
|
apache-2.0
|
gtaylormb/fpga_nes
|
hw/src/cpu/apu/apu_div.v
| 3,018 |
module MODULE1
parameter VAR7 = 16
)
(
input wire VAR4, input wire VAR2, input wire VAR9, input wire VAR5, input wire [VAR7-1:0] VAR3, output wire VAR1 );
reg [VAR7-1:0] VAR8;
wire [VAR7-1:0] VAR6;
always @(posedge VAR4)
begin
if (VAR2)
VAR8 <= 0;
end
else
VAR8 <= VAR6;
end
assign VAR6 = (VAR5 || (VAR9 && (VAR8 == 0))) ? VAR3 :
(VAR9) ? VAR8 - 1'h1 : VAR8;
assign VAR1 = VAR9 && (VAR8 == 0);
endmodule
|
bsd-2-clause
|
SWORDfpga/ComputerOrganizationDesign
|
labs/lab03/lab03/ipcore_dir/ROM_D.v
| 3,815 |
module MODULE1(
VAR18,
VAR22
);
input [9 : 0] VAR18;
output [31 : 0] VAR22;
VAR10 #(
.VAR31(10),
.VAR43("0"),
.VAR48(1024),
.VAR52("VAR11"),
.VAR55(0),
.VAR38(0),
.VAR7(0),
.VAR45(0),
.VAR16(0),
.VAR49(0),
.VAR54(0),
.VAR1(0),
.VAR32(0),
.VAR3(0),
.VAR26(0),
.VAR9(0),
.VAR53(0),
.VAR28(0),
.VAR33(1),
.VAR19(0),
.VAR36(0),
.VAR13("MODULE1.VAR8"),
.VAR23(0),
.VAR27(1),
.VAR20(0),
.VAR30(0),
.VAR29(0),
.VAR44(1),
.VAR37(0),
.VAR47(0),
.VAR2(1),
.VAR15(32)
)
VAR4 (
.VAR50(VAR18),
.VAR39(VAR22),
.VAR35(),
.VAR42(),
.VAR25(),
.VAR46(),
.VAR34(),
.VAR17(),
.VAR51(),
.VAR14(),
.VAR12(),
.VAR5(),
.VAR41(),
.VAR40(),
.VAR6(),
.VAR21(),
.VAR24(),
.VAR56()
);
endmodule
|
gpl-3.0
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_afi_slave.v
| 36,409 |
module MODULE1 (
VAR153,
VAR148,
VAR146,
VAR184,
VAR180,
VAR47,
VAR31,
VAR85,
VAR58,
VAR107,
VAR61,
VAR181,
VAR112,
VAR25,
VAR81,
VAR161,
VAR55,
VAR100,
VAR138,
VAR159,
VAR35,
VAR111,
VAR77,
VAR133,
VAR115,
VAR175,
VAR172,
VAR54,
VAR129,
VAR108,
VAR15,
VAR4,
VAR98,
VAR48,
VAR37,
VAR14,
VAR26,
VAR56,
VAR88,
VAR80,
VAR8,
VAR63,
VAR139,
VAR3,
VAR16,
VAR6,
VAR117,
VAR30,
VAR83,
VAR140,
VAR11,
VAR123,
VAR119,
VAR177,
VAR142,
VAR132,
VAR173,
VAR45,
VAR113,
VAR94,
VAR170,
VAR69,
VAR92,
VAR96
);
parameter VAR89 = 0;
parameter VAR52 = "VAR126";
parameter VAR128 = 32;
parameter VAR59 = 32;
parameter VAR105 = 6;
parameter VAR150 = 0;
parameter VAR131 = 4;
parameter VAR124 = 8;
parameter VAR57 = 0;
parameter VAR166 = VAR99(VAR124)+1;
parameter VAR171 = VAR40+VAR105;
parameter VAR162 = 0;
parameter VAR28 = VAR40-1;
parameter VAR122 = VAR28 + 1;
parameter VAR134 = VAR122 + VAR105-1;
input VAR153;
output VAR148;
output VAR146;
output VAR184;
output VAR180;
output VAR47;
output VAR31;
output [VAR40-1:0] VAR85;
output [VAR40-1:0] VAR58;
output [VAR128-1:0] VAR107;
output [VAR105-1:0] VAR61;
output [VAR105-1:0] VAR181;
input VAR112;
input VAR25;
input VAR81;
input VAR161;
input VAR55;
input VAR100;
input VAR138;
input [VAR24-1:0] VAR159;
input [VAR185-1:0] VAR35;
input [VAR23-1:0] VAR111;
input [VAR24-1:0] VAR77;
input [VAR185-1:0] VAR133;
input [VAR23-1:0] VAR115;
input [VAR104-1:0] VAR175;
input [VAR104-1:0] VAR172;
input [VAR59-1:0] VAR54;
input [VAR59-1:0] VAR129;
input [VAR128-1:0] VAR108;
input [VAR141-1:0] VAR15;
input [VAR141-1:0] VAR4;
input [VAR79-1:0] VAR80;
input [VAR141-1:0] VAR98;
input [VAR84-1:0] VAR48;
input [VAR79-1:0] VAR88;
input [(VAR128/8)-1:0] VAR37;
input [VAR105-1:0] VAR14;
input [VAR105-1:0] VAR26;
input [VAR105-1:0] VAR56;
input VAR8;
input VAR139, VAR63;
output VAR30, VAR117;
output [VAR9-1:0] VAR16;
output [VAR157-1:0] VAR3;
output [VAR183:0] VAR6;
output reg VAR11, VAR140;
output reg [VAR157-1:0] VAR123;
input [VAR9-1:0] VAR177,VAR119;
output reg[VAR183:0] VAR142;
input VAR173,VAR45;
output [VAR79-1:0] VAR83;
output reg [VAR79-1:0] VAR132;
input VAR113;
input VAR94;
output [7:0] VAR170;
output [7:0] VAR69;
output [2:0] VAR92;
output [5:0] VAR96;
wire VAR121;
wire VAR87;
wire VAR95;
real VAR102;
VAR168 #(VAR52,
VAR128,
VAR59,
VAR105,
VAR150,
(VAR131- VAR150),
VAR124,
0, VAR57)
VAR164 (.VAR97 (VAR112),
.VAR179 (VAR153), .VAR114 (VAR26),
.VAR82 (VAR129),
.VAR38 (VAR48),
.VAR178 (VAR115),
.VAR86 (VAR77),
.VAR74 (VAR133),
.VAR151 (VAR98),
.VAR91 (VAR172),
.VAR22 (VAR87),
.VAR101 (VAR146),
.VAR29 (VAR56),
.VAR75 (VAR108),
.VAR103 (VAR37),
.VAR2 (VAR100),
.VAR53 (VAR95),
.VAR71 (VAR31),
.VAR27 (VAR61),
.VAR169 (VAR85),
.VAR43 (VAR184),
.VAR93 (VAR161),
.VAR118 (VAR14),
.VAR149 (VAR54),
.VAR116 (VAR4),
.VAR67 (VAR111),
.VAR50 (VAR159),
.VAR5 (VAR35),
.VAR152 (VAR15),
.VAR160 (VAR175),
.VAR130 (VAR121),
.VAR137 (VAR148),
.VAR136 (VAR181),
.VAR21 (VAR107),
.VAR41 (VAR58),
.VAR19 (VAR180),
.VAR68 (VAR47),
.VAR163 (VAR55));
wire VAR64;
reg VAR90;
VAR76 VAR158(VAR8, VAR153, VAR64, VAR63, VAR139, VAR3, VAR16, VAR6, VAR83, VAR117, VAR30);
always@(posedge VAR112) VAR90 = VAR64;
reg[1:0] VAR135 = VAR174;
reg VAR72 = 1;
reg VAR62 = 1'b1;
reg [VAR165-1:0] VAR186 [0:VAR124-1];
reg [VAR166-1:0] VAR144 = 0, VAR66 = 0;
wire VAR147;
reg [7:0] VAR182 = 0,VAR167 = 0;
real VAR42[0:VAR124]; reg VAR32[0:VAR124];
reg[VAR166-1:0] VAR33 = 0;
reg [VAR23-1:0] VAR125 [0:VAR124-1];
reg [VAR104-1:0] VAR110 [0:VAR124-1];
reg [VAR185-1:0] VAR154 [0:VAR124-1];
reg [VAR141-1:0] VAR51 [0:VAR124-1];
reg [VAR24-1:0] VAR145 [0:VAR124-1];
reg [VAR84-1:0] VAR109 [0:VAR124-1];
reg VAR20 [0:VAR124-1];
reg [VAR157-1:0] VAR13 [0:VAR124-1];
reg [VAR105-1:0] VAR65 [0:VAR124-1];
reg [VAR79-1:0] VAR1 [0:VAR124-1];
wire VAR36;
reg [(VAR128*VAR78)-1:0] VAR7 [0:VAR124-1];
reg [VAR12:0] VAR49 [0:VAR124-1]; reg VAR143 [0:VAR124-1]; wire VAR70;
reg [VAR166-1:0] VAR176 = 0;
reg [(VAR128*VAR78)-1:0] VAR18;
reg [VAR157-1:0] VAR44;
reg [VAR12:0] VAR73;
reg [VAR166-1:0] VAR10 = 0;
reg [VAR40-1:0] VAR156;
reg [VAR171-1:0] VAR34 [0:VAR124-1]; reg VAR39;
reg [VAR166-1:0] VAR60 = 0;
integer VAR17;
reg VAR155;
wire VAR106;
reg[7:0] VAR127;
reg[5:0] VAR120;
reg [VAR79-1:0] VAR46, VAR1;
begin
begin
end
begin
end
begin
end
begin
begin
begin
begin
end
begin
end
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
end
begin
end
begin
begin
begin
begin
begin
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dlyb/gf180mcu_fd_sc_mcu9t5v0__dlyb_1.behavioral.v
| 1,098 |
module MODULE1( VAR3, VAR1 );
input VAR3;
output VAR1;
VAR5 VAR2(.VAR3(VAR3),.VAR1(VAR1));
VAR5 VAR4(.VAR3(VAR3),.VAR1(VAR1));
|
apache-2.0
|
Marcoslz22/Tercer_Proyecto
|
Control_de_Tiempos.v
| 8,492 |
module MODULE1(
input VAR4,
input VAR8,
input clk,
input VAR10,
input [3:0] VAR1,
input [2:0] VAR7,
output reg VAR6,
output reg VAR5,
output reg VAR13,
output reg VAR12,
output reg VAR9,
output reg VAR11,
output reg VAR2,
output reg VAR3
);
always @(posedge clk)
begin
if (VAR8 || VAR4 )
begin
case (VAR1)
4'd0: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd1: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd2: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd3: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd4: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 0;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd5: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd6: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd7: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd8: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd9: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 0;
VAR9 <= 0;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd10: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd11: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 1;
VAR3 <= 0;
end
default: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
endcase
end
else
if (VAR10)
begin
if (VAR7 == 3'd1)
begin
case (VAR1)
4'd0: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd1: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd2: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd3: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd4: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 0;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd5: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd6: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd7: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd8: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd9: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd10: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd11: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 1;
VAR3 <= 0;
end
default: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
endcase
end
else
begin
case (VAR1)
4'd0: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd1: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd2: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd3: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd4: begin
VAR5 <= 0;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 0;
VAR9 <= 0;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd5: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 0;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
4'd6: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd7: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd8: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd9: begin
VAR5 <= 0;
VAR13 <= 0;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 1;
end
4'd10: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 0;
VAR3 <= 0;
end
4'd11: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 1;
VAR2 <= 1;
VAR3 <= 0;
end
default: begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
endcase
end
end
else
begin
VAR5 <= 1;
VAR13 <= 1;
VAR6 <= 1;
VAR12 <= 1;
VAR9 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= 0;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a211oi/sky130_fd_sc_hs__a211oi.behavioral.pp.v
| 1,945 |
module MODULE1 (
VAR8,
VAR7,
VAR5 ,
VAR2 ,
VAR10 ,
VAR13 ,
VAR1
);
input VAR8;
input VAR7;
output VAR5 ;
input VAR2 ;
input VAR10 ;
input VAR13 ;
input VAR1 ;
wire VAR1 VAR9 ;
wire VAR11 ;
wire VAR12;
and VAR4 (VAR9 , VAR2, VAR10 );
nor VAR6 (VAR11 , VAR9, VAR13, VAR1 );
VAR14 VAR3 (VAR12, VAR11, VAR8, VAR7);
buf VAR15 (VAR5 , VAR12 );
endmodule
|
apache-2.0
|
ServerTech/neptune
|
code/bcd_converter.v
| 3,387 |
module MODULE1(clk, wr, VAR10, VAR13);
parameter VAR11 = 'd16;
input wire clk , wr ;
input wire [VAR11-1:0] VAR10 ;
output wire [20:0] VAR13 ;
reg VAR4 ;
reg [1:0] VAR5 ;
reg [4:0] VAR6 ;
reg [3:0] VAR7, VAR8, VAR3, VAR12, VAR2; reg [VAR11-1:0] VAR9 ;
reg [20:0] VAR1 ;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdfrtp/sky130_fd_sc_lp__sdfrtp.blackbox.v
| 1,444 |
module MODULE1 (
VAR7 ,
VAR1 ,
VAR10 ,
VAR4 ,
VAR6 ,
VAR8
);
output VAR7 ;
input VAR1 ;
input VAR10 ;
input VAR4 ;
input VAR6 ;
input VAR8;
supply1 VAR9;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/invlp/sky130_fd_sc_lp__invlp_8.v
| 2,025 |
module MODULE2 (
VAR2 ,
VAR7 ,
VAR8,
VAR6,
VAR5 ,
VAR3
);
output VAR2 ;
input VAR7 ;
input VAR8;
input VAR6;
input VAR5 ;
input VAR3 ;
VAR4 VAR1 (
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR2,
VAR7
);
output VAR2;
input VAR7;
supply1 VAR8;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR3 ;
VAR4 VAR1 (
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sedfxbp/sky130_fd_sc_hs__sedfxbp.pp.symbol.v
| 1,485 |
module MODULE1 (
input VAR7 ,
output VAR6 ,
output VAR8 ,
input VAR5 ,
input VAR2 ,
input VAR3 ,
input VAR4 ,
input VAR1,
input VAR9
);
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.