repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/busdrivernovlp/sky130_fd_sc_lp__busdrivernovlp_20.v
2,286
module MODULE1 ( VAR7 , VAR4 , VAR9, VAR3, VAR2, VAR6 , VAR8 ); output VAR7 ; input VAR4 ; input VAR9; input VAR3; input VAR2; input VAR6 ; input VAR8 ; VAR1 VAR5 ( .VAR7(VAR7), .VAR4(VAR4), .VAR9(VAR9), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR7 , VAR4 , VAR9 ); output VAR7 ; input VAR4 ; input VAR9; supply1 VAR3; supply0 VAR2; supply1 VAR6 ; supply0 VAR8 ; VAR1 VAR5 ( .VAR7(VAR7), .VAR4(VAR4), .VAR9(VAR9) ); endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_onchip_memory2_0/synth/pr_region_default_onchip_memory2_0.v
1,524
module MODULE1 ( input wire clk, input wire reset, input wire VAR5, input wire [6:0] address, input wire VAR9, input wire VAR2, input wire write, output wire [31:0] VAR4, input wire [31:0] VAR1, input wire [3:0] VAR3 ); VAR8 VAR6 ( .clk (clk), .address (address), .VAR9 (VAR9), .VAR2 (VAR2), .write (write), .VAR4 (VAR4), .VAR1 (VAR1), .VAR3 (VAR3), .reset (reset), .VAR5 (VAR5), .VAR7 (1'b0) ); endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/models/cpld_model.v
2,594
module MODULE1 (input VAR1, input VAR17, input VAR2, input VAR18, output dout, output reg VAR11, output VAR10); reg [7:0] VAR7[0:65535]; reg [15:0] addr; reg [7:0] VAR12; assign dout = VAR12[7]; reg [2:0] state, VAR3; localparam VAR13 = 3'd0; localparam VAR14 = 3'd1; localparam VAR6 = 3'd2; localparam VAR5 = 3'd3; localparam VAR16 = 3'd4; localparam VAR8 = 3'd5; localparam VAR9 = 3'd7; integer VAR4; reg [1023:0] VAR15; begin begin end begin begin end begin end
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai33/gf180mcu_fd_sc_mcu7t5v0__oai33_2.functional.pp.v
1,864
module MODULE1( VAR22, VAR20, VAR26, VAR10, VAR6, VAR24, VAR2, VAR21, VAR17 ); input VAR2, VAR24, VAR6, VAR10, VAR20, VAR22; inout VAR21, VAR17; output VAR26; wire VAR16; not VAR25( VAR16, VAR2 ); wire VAR13; not VAR8( VAR13, VAR24 ); wire VAR12; not VAR11( VAR12, VAR6 ); wire VAR7; and VAR5( VAR7, VAR16, VAR13, VAR12 ); wire VAR1; not VAR9( VAR1, VAR10 ); wire VAR19; not VAR14( VAR19, VAR20 ); wire VAR15; not VAR3( VAR15, VAR22 ); wire VAR4; and VAR18( VAR4, VAR1, VAR19, VAR15 ); or VAR23( VAR26, VAR7, VAR4 ); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bjx1c32b1/DecOp3_0.v
34,096
module MODULE1( clk, VAR146, VAR326, VAR143, VAR247, VAR13, VAR106, VAR38, VAR277, VAR50 ); parameter VAR338 = 0; parameter VAR37 = 0; input clk; input[47:0] VAR146; input[15:0] VAR326; output[6:0] VAR143; output[6:0] VAR247; output[6:0] VAR13; output[31:0] VAR106; output[3:0] VAR38; output[3:0] VAR277; output[7:0] VAR50; reg VAR303; reg VAR340; reg VAR306; reg VAR310; reg VAR185; reg VAR159; reg[3:0] VAR253; reg VAR26; reg VAR160; reg VAR133; reg VAR114; reg VAR1; reg[7:0] VAR62; reg[15:0] VAR224; reg[6:0] VAR118; reg[6:0] VAR300; reg[6:0] VAR117; reg[31:0] VAR209; reg[7:0] VAR177; reg[3:0] VAR290; reg[3:0] VAR103; assign VAR143 = VAR118; assign VAR247 = VAR300; assign VAR13 = VAR117; assign VAR106 = VAR209; assign VAR50 = VAR177; assign VAR38 = VAR290; assign VAR277 = VAR103; reg[4:0] VAR64; reg[3:0] VAR262; reg[7:0] VAR195; always @* begin VAR290 = 2; VAR62=0; VAR224=0; VAR118=0; VAR300=0; VAR117=0; VAR177=VAR138; VAR209=0; VAR303=0; VAR340=0; VAR306=0; VAR310=0; VAR185=0; VAR159=0; VAR253=0; VAR1=1; VAR118=VAR153; VAR300=VAR153; VAR117=VAR153; VAR64=VAR35; VAR262=VAR31; if(VAR338 && VAR326[5]) begin VAR114=1; VAR26=VAR326[6]; VAR160=VAR26; VAR133=0; end else begin VAR114=0; VAR26=0; VAR160=0; VAR133=0; end case(VAR146[15:8]) 8'h8A: begin VAR303=1; VAR224=VAR146[15:0]; VAR195=VAR146[47:40]; VAR209[31:24]=VAR146[7]?8'hFF:8'h00; VAR209[23:16]=VAR146[7:0]; VAR209[15: 0]=VAR146[31:16]; VAR118=VAR116; VAR177=VAR323; VAR64=VAR35; VAR1=0; end 8'h8E: begin VAR303=1; VAR340=1; VAR310=1; VAR62=VAR146[7:0]; VAR224=VAR146[31:16]; VAR195=VAR146[47:40]; end 8'hCC: begin if(VAR114 && VAR37) begin VAR303=1; VAR306=0; VAR310=0; VAR185 = (VAR146[7:4]==4'h0); VAR159 = (VAR146[7:4]==4'h3); VAR253=VAR146[3:0]; VAR26=VAR146[3]; VAR160=0; VAR133=VAR26; VAR62=0; VAR224=VAR146[31:16]; VAR195=VAR146[47:40]; end else begin VAR224=VAR146[15:0]; VAR195=VAR146[31:24]; end end 8'hCE: begin if(VAR114 && VAR37) begin VAR303=1; VAR306=1; VAR310=1; VAR62=VAR146[7:0]; VAR224=VAR146[31:16]; VAR195=VAR146[47:40]; VAR26=VAR146[7]; VAR160=0; VAR133=0; end else begin VAR224=VAR146[15:0]; VAR195=VAR146[31:24]; end end default: begin VAR224=VAR146[15:0]; VAR195=VAR146[31:24]; end endcase if(VAR303) VAR290 = 4; case(VAR195) 8'h8A: VAR103 = 4; 8'h8C: VAR103 = 4; 8'h8E: VAR103 = 4; 8'hCC: VAR103 = (VAR114 && VAR37) ? 4 : 2; 8'hCE: VAR103 = (VAR114 && VAR37) ? 4 : 2; default: VAR103 = 2; endcase if(VAR159) begin casez(VAR224[15:12]) 4'h0: VAR177=VAR324; 4'h1: VAR177=VAR100; 4'h2: VAR177=VAR331; 4'h3: VAR177=VAR47; 4'h4: VAR177=VAR296; 4'h5: VAR177=VAR245; 4'h6: VAR177=VAR253[3] ? VAR166 : VAR284; 4'h7: VAR177=VAR253[3] ? VAR334 : VAR189; 4'h8: VAR177=VAR253[3] ? VAR139 : VAR275; 4'h9: VAR177=VAR253[3] ? VAR304 : VAR243; 4'hC: VAR177=VAR102; 4'hD: VAR177=VAR2; 4'hE: VAR177=VAR271; default: begin end endcase if(VAR224[15:14]==2'h3) begin VAR118={2'h2, VAR253[2], VAR224[11:8]}; VAR300={2'h2, VAR253[1], VAR224[ 7:4]}; VAR117={2'h2, VAR253[0], VAR224[ 3:0]}; end else begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; VAR117={2'h0, VAR253[0], VAR224[ 3:0]}; end VAR64=VAR35; end if(VAR1) casez(VAR224[15:0]) 16'VAR42: begin end 16'VAR216: begin end 16'VAR291: begin VAR177=VAR332; VAR64=VAR83; VAR262=VAR227; end 16'VAR73: begin VAR177=VAR321; VAR64=VAR121; end 16'VAR49: begin VAR177 = VAR160 ? VAR235 : VAR190; VAR64=VAR121; end 16'VAR248: begin VAR177 = VAR133 ? VAR235 : VAR200; VAR64=VAR121; end 16'VAR5: begin if(VAR310) begin VAR177=VAR235; VAR64=VAR121; end else begin VAR177=VAR20; VAR64=VAR142; end end 16'VAR181: begin VAR177=VAR7; VAR209[7:0]=VAR226; VAR64=VAR35; end 16'VAR316: begin VAR177=VAR7; VAR209[7:0]=VAR299; VAR64=VAR35; end 16'VAR175: begin VAR177=VAR7; VAR209[7:0]=VAR269; VAR64=VAR35; end 16'VAR346: begin VAR177=VAR7; VAR209[7:0]=VAR58; VAR64=VAR35; end 16'VAR89: begin VAR177=VAR7; VAR209[7:0]=VAR79; VAR64=VAR35; end 16'VAR176: begin VAR177=VAR7; VAR209[7:0]=VAR246; VAR64=VAR35; end 16'VAR161: begin VAR177=VAR7; VAR209[7:0]=VAR88; VAR64=VAR35; end 16'VAR272: begin VAR177=VAR347; VAR64=VAR213; end 16'VAR311: begin VAR177=VAR7; VAR209[7:0]=VAR119; VAR64=VAR35; end 16'VAR233: begin VAR177=VAR184; VAR64=VAR309; end 16'VAR314: begin VAR177=VAR342; VAR64=VAR309; end 16'VAR113: begin VAR177=VAR332; VAR64=VAR83; VAR262=VAR255; end 16'VAR260: begin VAR177=VAR109; VAR64=VAR213; end 16'VAR66: begin VAR177=VAR7; VAR209[7:0]=VAR194; VAR64=VAR35; end 16'VAR198: begin VAR177=VAR208; VAR64=VAR213; end 16'VAR147: begin VAR177=VAR138; VAR64=VAR213; VAR209=1; if(VAR224[11:8]==4'hF) begin VAR177=VAR347; VAR290=0; end end 16'VAR135: begin VAR177=VAR231; VAR64=VAR213; end 16'VAR327: begin VAR177=VAR154; VAR64=VAR121; end 16'VAR258: begin VAR177 = VAR160 ? VAR203 : VAR97; VAR64=VAR121; end 16'VAR169: begin VAR177 = VAR133 ? VAR203 : VAR319; VAR64=VAR121; end 16'VAR206: begin end 16'VAR107: begin VAR177 = VAR133 ? VAR235 : VAR200; VAR64=VAR329; end 16'VAR126: begin VAR177=VAR321; VAR64=VAR229; end 16'VAR75: begin VAR177 = VAR160 ? VAR235 : VAR190; VAR64=VAR229; end 16'VAR266: begin VAR177 = VAR133 ? VAR235 : VAR200; VAR64=VAR229; end 16'VAR249: begin VAR177=VAR172; VAR64=VAR121; end 16'VAR192: begin VAR177=VAR321; VAR64=VAR81; VAR262=VAR78; end 16'VAR6: begin VAR177 = VAR160 ? VAR235 : VAR190; VAR64=VAR81; VAR262=VAR78; end 16'VAR219: begin VAR177 = VAR133 ? VAR235 : VAR200; VAR64=VAR81; VAR262=VAR78; end 16'VAR72: begin VAR177=VAR82; VAR64=VAR142; end 16'VAR65: begin VAR177=VAR128; VAR64=VAR285; VAR262=VAR140; end 16'VAR174: begin VAR177=VAR47; VAR64=VAR155; VAR262=VAR140; end 16'VAR101: begin VAR177=VAR245; VAR64=VAR155; VAR262=VAR140; end 16'VAR238: begin VAR177=VAR296; VAR64=VAR155; VAR262=VAR140; end 16'VAR305: begin VAR177=VAR335; VAR64=VAR155; end 16'VAR84: begin VAR177=VAR129; VAR64=VAR155; end 16'VAR307: begin VAR177=VAR104; VAR64=VAR142; end 16'VAR22: begin VAR177=VAR293; VAR64=VAR142; end 16'VAR33: begin VAR177=VAR26 ? VAR217 : VAR86; VAR64=VAR285; end 16'VAR250: begin end 16'VAR230: begin VAR177=VAR26 ? VAR179 : VAR29; VAR64=VAR285; end 16'VAR53: begin VAR177=VAR26 ? VAR268 : VAR254; VAR64=VAR285; end 16'VAR178: begin VAR177=VAR144; VAR64=VAR155; end 16'VAR99: begin VAR177=VAR125; VAR64=VAR142; end 16'VAR322: begin VAR177=VAR26 ? VAR108 : VAR280; VAR64=VAR285; end 16'VAR41: begin VAR177=VAR26 ? VAR337 : VAR95; VAR64=VAR285; end 16'VAR297: begin VAR177=VAR100; VAR64=VAR155; VAR262=VAR140; end 16'VAR308: begin end 16'VAR294: begin VAR177=VAR281; VAR64=VAR155; VAR262=VAR140; end 16'VAR180: begin VAR177=VAR4; VAR64=VAR155; VAR262=VAR140; end 16'VAR152: begin VAR177=VAR324; VAR64=VAR155; VAR262=VAR140; end 16'VAR298: begin VAR177=VAR20; VAR64=VAR142; end 16'VAR157: begin VAR177=VAR24; VAR64=VAR155; end 16'VAR199: begin VAR177=VAR273; VAR64=VAR155; end 16'VAR57: begin VAR177=VAR15; VAR64=VAR309; end 16'VAR339: begin VAR177=VAR52; VAR64=VAR309; end 16'VAR61: begin VAR177=VAR68; VAR64=VAR309; end 16'VAR70: begin VAR177=VAR279; VAR64=VAR309; end 16'VAR134: begin VAR177=VAR26 ? VAR268 : VAR254; VAR64=VAR39; end 16'VAR43: begin VAR177=VAR317; VAR64=VAR309; end 16'VAR92: begin VAR177 = VAR114 ? VAR235 : VAR200; VAR64=VAR81; VAR262=VAR255; end 16'VAR54: begin if(VAR310) begin case(VAR224[7:4]) 4'h0: begin VAR177=VAR324; VAR64=VAR112; end 4'h1: begin VAR177=VAR100; VAR64=VAR112; end 4'h2: begin VAR177=VAR331; VAR64=VAR112; end 4'h3: begin VAR177=VAR47; VAR64=VAR112; end 4'h4: begin VAR177=VAR296; VAR64=VAR112; end 4'h5: begin VAR177=VAR245; VAR64=VAR112; end 4'h6: begin VAR177=VAR284; VAR64=VAR112; end 4'h7: begin VAR177=VAR189; VAR64=VAR112; end 4'h8: begin VAR177=VAR275; VAR64=VAR112; end 4'h9: begin VAR177=VAR243; VAR64=VAR112; end 4'hC: begin VAR177=VAR102; VAR64=VAR112; VAR262=VAR223; end 4'hD: begin VAR177=VAR2; VAR64=VAR112; VAR262=VAR223; end 4'hE: begin VAR177=VAR271; VAR64=VAR112; VAR262=VAR223; end default: begin end endcase end else begin VAR177 = VAR114 ? VAR235 : VAR200; VAR64=VAR81; VAR262=VAR227; end end 16'VAR202: begin VAR177=VAR318; VAR64=VAR309; end 16'VAR67: begin end 16'VAR283: begin VAR177=VAR234; VAR64=VAR309; end 16'VAR14: begin VAR177=VAR292; VAR64=VAR309; end 16'VAR18: begin VAR177=VAR26 ? VAR337 : VAR95; VAR64=VAR39; end 16'VAR127: begin VAR177=VAR3; VAR64=VAR309; end 16'VAR218: begin VAR177 = VAR114 ? VAR203 : VAR319; VAR64=VAR81; VAR262=VAR165; end 16'VAR191: begin VAR177 = VAR114 ? VAR235 : VAR319; VAR64=VAR81; VAR262=VAR282; end 16'VAR21: begin VAR177=VAR284; VAR64=VAR36; VAR209=2; end 16'VAR168: begin VAR177=VAR284; VAR64=VAR36; VAR209=8; end 16'VAR302: begin VAR177=VAR284; VAR64=VAR36; VAR209=16; end 16'VAR151: begin VAR177=VAR284; VAR64=VAR36; VAR209=-2; end 16'VAR236: begin VAR177=VAR284; VAR64=VAR36; VAR209=-8; end 16'VAR244: begin VAR177=VAR284; VAR64=VAR36; VAR209=-16; end 16'VAR215: begin VAR177=VAR332; VAR64=VAR83; VAR262=VAR165; end 16'VAR221: begin VAR177=VAR345; VAR64=VAR39; end 16'VAR261: begin VAR177=VAR11; VAR64=VAR39; end 16'VAR91: begin end 16'VAR315: begin VAR177=VAR96; VAR64=VAR39; end 16'VAR132: begin VAR177=VAR26 ? VAR139 : VAR275; VAR64=VAR155; VAR262=VAR31; end 16'VAR241: begin VAR177=VAR26 ? VAR166 : VAR284; VAR64=VAR155; VAR262=VAR31; end 16'VAR150: begin if(VAR310) begin case(VAR224[7:4]) 4'h0: begin VAR177=VAR137; VAR64=VAR112; end 4'h1: begin VAR177=VAR131; VAR64=VAR112; end default: begin end endcase end else begin VAR177=VAR332; VAR64=VAR83; VAR262=VAR282; end end 16'VAR257: begin VAR177 = VAR133 ? VAR203 : VAR319; VAR64=VAR329; end 16'VAR105: begin VAR177=VAR154; VAR64=VAR229; end 16'VAR288: begin VAR177 = VAR160 ? VAR203 : VAR97; VAR64=VAR229; end 16'VAR193: begin VAR177 = VAR133 ? VAR203 : VAR319; VAR64=VAR229; end 16'VAR164: begin if(VAR310) begin VAR177=VAR203; VAR64=VAR229; end else begin VAR177=VAR332; VAR64=VAR83; end end 16'VAR270: begin if(VAR310) begin VAR177=VAR301; VAR64=VAR121; end else begin VAR177=VAR154; VAR64=VAR81; VAR262=VAR94; end end 16'VAR8: begin if(VAR310) begin VAR177=VAR123; VAR64=VAR121; end else begin VAR177 = VAR160 ? VAR203 : VAR97; VAR64=VAR81; VAR262=VAR94; end end 16'VAR239: begin if(VAR310) begin VAR177=VAR344; VAR64=VAR121; end else begin VAR177 = VAR133 ? VAR203 : VAR319; VAR64=VAR81; VAR262=VAR94; end end 16'VAR263: begin if(VAR310) begin VAR177=VAR205; VAR64=VAR121; end else begin VAR177=VAR44; VAR64=VAR83; end end 16'VAR69: begin if(VAR310) begin VAR177=VAR301; VAR64=VAR229; end else begin VAR177=VAR276; VAR64=VAR83; end end 16'VAR51: begin if(VAR310) begin VAR177=VAR123; VAR64=VAR229; end else begin VAR177=VAR214; VAR64=VAR83; end end 16'VAR187: begin if(VAR310) begin VAR177=VAR344; VAR64=VAR229; end else begin VAR177=VAR148; VAR64=VAR83; end end 16'VAR264: begin if(VAR310) begin VAR177=VAR205; VAR64=VAR229; end else begin VAR177=VAR28; VAR64=VAR83; end end 16'VAR237: begin if(VAR310) begin VAR177=VAR137; VAR64=VAR229; end else begin VAR177=VAR80; VAR64=VAR83; end end 16'VAR60: begin if(VAR310) begin VAR177=VAR131; VAR64=VAR229; end else begin VAR177=VAR110; VAR64=VAR83; end end 16'VAR256: begin if(VAR310) begin VAR177=VAR278; VAR64=VAR229; end else begin VAR177=VAR25; VAR64=VAR83; end end 16'VAR210: begin if(VAR310) begin VAR177=VAR203; VAR64=VAR229; end else begin VAR177=VAR267; VAR64=VAR83; end end 16'VAR63: begin VAR177=VAR324; VAR64=VAR76; end 16'VAR136: begin VAR177=VAR321; VAR64=VAR30; VAR262=VAR173; end 16'VAR232: begin VAR177=VAR190; VAR64=VAR30; VAR262=VAR173; end 16'VAR295: begin VAR177=VAR251; VAR64=VAR12; end 16'VAR9: begin if(VAR310) begin VAR177=VAR333; VAR64=VAR12; end else begin VAR64=VAR30; if(VAR224[7]) begin VAR177=VAR319; VAR262=VAR145; end else begin VAR177=VAR200; VAR262=VAR156; end end end 16'VAR167: begin VAR177=VAR154; VAR64=VAR30; VAR262=VAR111; end 16'VAR188: begin VAR177=VAR97; VAR64=VAR30; VAR262=VAR111; end 16'VAR313: begin if(VAR310) begin end else begin VAR64=VAR30; if(VAR224[7]) begin VAR177=VAR319; VAR262=VAR274; end else begin VAR177=VAR200; VAR262=VAR158; end end end 16'VAR17: begin end 16'VAR48: begin VAR177=VAR86; VAR64=VAR170; end 16'VAR325: begin VAR177=VAR87; VAR64=VAR12; end 16'VAR59: begin if(VAR310) begin end else begin VAR118=VAR116; VAR177=VAR323; VAR64=VAR35; end end 16'VAR122: begin VAR177=VAR90; VAR64=VAR12; end 16'VAR186: begin end 16'VAR16: begin VAR177=VAR197; VAR64=VAR12; end 16'VAR55: begin end 16'VAR19: begin VAR177=VAR265; VAR64=VAR12; end 16'VAR330: begin if(VAR310) begin VAR177=VAR124; VAR64=VAR76; end else begin VAR118[3:0]=VAR224[11:8]; VAR300=VAR27; VAR117=VAR153; VAR209[7:0]=VAR224[ 7:0]; VAR177=VAR97; VAR64=VAR35; end end 16'VAR120: begin VAR177=VAR240; VAR64=VAR211; end 16'VAR328: begin VAR177=VAR23; VAR64=VAR211; end 16'VAR336: begin if(VAR114) begin VAR177=VAR235; VAR64=VAR30; VAR262=VAR320; end else begin VAR177=VAR321; VAR64=VAR74; VAR262=VAR320; end end 16'VAR77: begin if(VAR114) begin end else begin VAR177=VAR190; VAR64=VAR74; VAR262=VAR320; end end 16'VAR212: begin if(VAR114) begin end else begin VAR177=VAR200; VAR64=VAR74; VAR262=VAR320; end end 16'VAR252: begin if(VAR114) begin VAR177=VAR203; VAR64=VAR30; VAR262=VAR201; end else begin VAR177=VAR154; VAR64=VAR74; VAR262=VAR201; end end 16'VAR130: begin if(VAR114) begin end else begin VAR177=VAR97; VAR64=VAR74; VAR262=VAR201; end end 16'VAR225: begin if(VAR114) begin end else begin VAR177=VAR319; VAR64=VAR74; VAR262=VAR201; end end 16'VAR56: begin VAR177=VAR26 ? VAR141 : VAR128; VAR64=VAR220; end 16'VAR115: begin VAR177=VAR47; VAR64=VAR170; end 16'VAR182: begin VAR177=VAR245; VAR64=VAR170; end 16'VAR71: begin VAR177=VAR296; VAR64=VAR170; end 16'VAR40: begin VAR118[3:0]=VAR224[11:8]; VAR300=VAR183; VAR117=VAR153; VAR209[7:0]=VAR224[ 7:0]; VAR177=VAR319; VAR64=VAR35; end 16'VAR98: begin VAR177=VAR323; VAR64=VAR76; end 16'VAR242: begin VAR177=VAR102; VAR64=VAR83; VAR262=VAR223; end 16'VAR34: begin VAR177=VAR2; VAR64=VAR83; VAR262=VAR223; end 16'VAR85: begin VAR177=VAR271; VAR64=VAR83; VAR262=VAR223; end 16'VAR32: begin VAR177=VAR171; VAR64=VAR83; VAR262=VAR223; end 16'VAR289: begin VAR177=VAR46; VAR64=VAR83; VAR262=VAR223; end 16'VAR222: begin VAR177=VAR319; VAR64=VAR121; VAR262=VAR45; end 16'VAR343: begin VAR177=VAR200; VAR64=VAR121; VAR262=VAR204; end 16'VAR196: begin VAR177=VAR319; VAR64=VAR229; VAR262=VAR45; end 16'VAR286: begin VAR177=VAR319; VAR64=VAR81; VAR262=VAR341; end 16'VAR163: begin VAR177=VAR200; VAR64=VAR229; VAR262=VAR204; end 16'VAR10: begin VAR177=VAR200; VAR64=VAR81; VAR262=VAR228; end default: begin end endcase case(VAR64) VAR35: begin end VAR309: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300=VAR118; end VAR229: begin if(VAR306) begin VAR118 = {2'h0, VAR62[7], VAR224[11:8]}; VAR300 = {2'h0, VAR62[6], VAR224[ 7:4]}; VAR209 = {26'h0, VAR62 [ 5:0]}; end else if(VAR340) begin VAR118 = {3'h0, VAR224[11:8]}; VAR300 = {3'h0, VAR224[ 7:4]}; VAR209 = {VAR62[7] ? 24'hF : 24'h0, VAR62 [ 7:0]}; end else begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; end if(VAR262==VAR45) VAR118[6:5]=2'b10; if(VAR262==VAR204) VAR300[6:5]=2'b10; end VAR121: begin if(VAR306) begin VAR118={2'h0, VAR62[6], VAR224[11:8]}; VAR300={2'h0, VAR62[5], VAR224[ 7:4]}; VAR117={2'h0, VAR62[4], VAR62 [ 3:0]}; end else if(VAR340) begin VAR118={3'h0, VAR224[11:8]}; VAR300={3'h0, VAR224[ 7:4]}; VAR117={3'h0, VAR62 [ 7:4]}; VAR209={28'h0, VAR62 [ 3:0]}; end else begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; VAR117=VAR116; end if(VAR262==VAR45) VAR118[6:5]=2'b10; if(VAR262==VAR204) VAR300[6:5]=2'b10; end VAR329: begin if(VAR306) begin VAR118 = {2'h0, VAR62[6], VAR224[11:8]}; VAR300 = {2'h0, VAR62[5], VAR224[ 7:4]}; VAR117 = {2'h0, VAR62[4], VAR224[ 3:0]}; VAR209 = {28'h0, VAR62[ 3:0]}; end else if(VAR340) begin VAR118 = {3'h0, VAR224[11:8]}; VAR300 = {3'h0, VAR224[ 7:4]}; VAR117 = {3'h0, VAR224[ 3:0]}; VAR209 = {VAR62[7] ? 24'hF : 24'h0, VAR62 [ 7:0]}; end else begin VAR118 = { 2'h0, VAR253[2], VAR224[11:8]}; VAR300 = { 2'h0, VAR253[1], VAR224[ 7:4]}; VAR209 = {28'h0, VAR224[ 3:0]}; end if(VAR262==VAR45) VAR118[6:5]=2'b10; if(VAR262==VAR204) VAR300[6:5]=2'b10; end VAR81: begin case(VAR262) VAR78: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; VAR117=VAR287; end VAR94: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; VAR117=VAR259; end VAR228: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h2, VAR253[1], VAR224[ 7:4]}; VAR117=VAR287; end VAR341: begin VAR118={2'h2, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; VAR117=VAR259; end VAR165: begin VAR118={3'h6, VAR224[ 7:4]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; VAR117=VAR259; end VAR282: begin if(VAR224[11]) VAR118={3'h2, 1'b0, VAR224[6:4]}; end else VAR118={3'h7, VAR224[7:4]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; VAR117=VAR259; end VAR255: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={3'h6, VAR224[ 7:4]}; VAR117=VAR287; end VAR227: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; if(VAR224[11]) VAR300={3'h2, 1'b0, VAR224[6:4]}; end else VAR300={3'h7, VAR224[7:4]}; VAR117=VAR287; end default: begin end endcase end VAR83: begin case(VAR262) VAR162: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; end VAR223: begin VAR118={2'h2, VAR253[2], VAR224[11:8]}; VAR300={2'h2, VAR253[1], VAR224[ 7:4]}; end VAR165: begin VAR118={3'h6, VAR224[ 7:4]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; end VAR282: begin if(VAR224[11]) VAR118={3'h2, 1'b0, VAR224[6:4]}; end else VAR118={3'h7, VAR224[7:4]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; end VAR255: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={3'h6, VAR224[ 7:4]}; end VAR227: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; if(VAR224[11]) VAR300={3'h2, 1'b0, VAR224[6:4]}; end else VAR300={3'h7, VAR224[7:4]}; end default: begin end endcase end VAR155: begin if(VAR306) begin VAR118={2'h0, VAR62[7], VAR224[11:8]}; VAR300={2'h0, VAR62[6], VAR224[ 7:4]}; VAR117=VAR312; VAR209[5:0]=VAR62 [ 5:0]; case(VAR262) VAR31: VAR209[31:6]=VAR62[5] ? 26'h3FFFFFF : 26'h0000000; VAR140: VAR209[31:6]=0; VAR93: VAR209[31:6]=26'h3FFFFFF; default: begin end endcase end else if(VAR340) begin VAR118={3'h0, VAR224[11:8]}; VAR300={3'h0, VAR224[ 7:4]}; VAR117=VAR312; VAR209[7:0]=VAR62 [ 7:0]; case(VAR262) VAR31: VAR209[31:8]=VAR62[7]?24'hFFFFFF:24'h000000; VAR140: VAR209[31:8]=0; VAR93: VAR209[31:8]=24'hFFFFFF; default: begin end endcase end else begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; VAR117={2'h0, VAR253[1], VAR224[ 7:4]}; end end VAR285: begin if(VAR340) begin VAR300={3'h0, VAR224[11:8]}; VAR117=VAR312; VAR209[11:4]=VAR62 [ 7:0]; VAR209[ 3:0]=VAR224[ 7:4]; VAR209[31:12]=VAR62[7]?20'hFFFFF:20'h00000; end else begin VAR300={2'h0, VAR253[2], VAR224[11:8]}; VAR117={2'h0, VAR253[1], VAR224[ 7:4]}; end end VAR142: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[1], VAR224[ 7:4]}; end VAR76: begin if(VAR310) begin VAR118={2'h0, VAR306, VAR224[11:8]}; VAR300=VAR118; VAR117=VAR312; VAR209[15:8]=VAR62 [ 7:0]; VAR209[ 7:0]=VAR224[ 7:0]; VAR209[31:16]=VAR62[7]?16'hFFFF:16'h0000; end else begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300={2'h0, VAR253[2], VAR224[11:8]}; VAR117=VAR312; VAR209[7:0]=VAR224[ 7:0]; VAR209[31:8]=VAR224[7]?24'hFFFFFF:24'h000000; end end VAR12: begin if(VAR310) begin VAR209[15:8]=VAR62 [7:0]; VAR209[ 7:0]=VAR224[7:0]; VAR209[31:16]=VAR62[7]?16'hFFFF:16'h0000; end else begin VAR209[7:0]=VAR224[7:0]; VAR209[31:8]=VAR224[7]?24'hFFFFFF:24'h000000; end end VAR211: begin if(VAR310) begin VAR209[19:12]=VAR62 [ 7:0]; VAR209[11: 0]=VAR224[11:0]; VAR209[31:20]=VAR62[7]?12'hFFF:12'h000; end else begin VAR209[11: 0]=VAR224[11:0]; VAR209[31:12]=VAR224[11]?20'hFFFFF:20'h00000; end end VAR170: begin if(VAR310) begin VAR118={3'h0, VAR224[7:4]}; VAR300=VAR118; VAR117=VAR312; VAR209[11:4]=VAR62 [7:0]; VAR209[ 3:0]=VAR224[3:0]; VAR209[31:12]=0; end else begin VAR118=VAR116; VAR300=VAR116; VAR117=VAR312; VAR209[7:0]=VAR224[7:0]; VAR209[31:8]=0; end end VAR36: begin VAR118={2'h0, VAR253[2], VAR224[11:8]}; VAR300=VAR118; VAR117=VAR312; end VAR74: begin case(VAR262) VAR320: begin VAR118=VAR207; VAR300=VAR116; VAR117=VAR312; VAR209[7:0]=VAR224[7:0]; VAR209[31:8]=0; end VAR201: begin VAR118=VAR116; VAR300=VAR207; VAR117=VAR312; VAR209[7:0]=VAR224[7:0]; VAR209[31:8]=0; end default: begin end endcase end VAR30: begin case(VAR262) VAR320: begin VAR118=VAR149; VAR300={3'h0, VAR224[7:4]}; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end VAR201: begin VAR118={3'h0, VAR224[7:4]}; VAR300=VAR149; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end VAR156: begin VAR118=VAR149; VAR300={3'h0, 1'b1, VAR224[6:4]}; if(VAR300==VAR149) VAR300=VAR116; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=1; end VAR145: begin VAR118={3'h0, 1'b1, VAR224[6:4]}; if(VAR118==VAR149) VAR118=VAR116; VAR300=VAR149; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=1; end VAR158: begin VAR118=VAR149; VAR300={3'h4, 1'b1, VAR224[6:4]}; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end VAR274: begin VAR118={3'h4, 1'b1, VAR224[6:4]}; VAR300=VAR149; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end VAR173: begin VAR118={3'h0, VAR224[7:4]}; VAR300=VAR116; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end VAR111: begin VAR118=VAR116; VAR300={3'h0, VAR224[7:4]}; VAR117=VAR312; VAR209[3:0]=VAR224[3:0]; VAR209[31:4]=0; end default: begin end endcase end VAR112: begin case(VAR262) VAR162: begin VAR118={3'h0, VAR224[11:8]}; VAR300={3'h0, VAR62 [ 7:4]}; VAR117={3'h0, VAR62 [ 3:0]}; end VAR223: begin VAR118={3'h4, VAR224[11:8]}; VAR300={3'h4, VAR62 [ 7:4]}; VAR117={3'h4, VAR62 [ 3:0]}; end default: begin end endcase end default: begin end endcase end endmodule
mit
sehugg/8bitworkshop
presets/verilog-vga/ram.v
1,889
module MODULE1(clk, addr, din, dout, VAR6); parameter VAR2 = 10; parameter VAR3 = 8; input clk; input [VAR2-1:0] addr; input [VAR3-1:0] din; output [VAR3-1:0] dout; input VAR6; reg [VAR3-1:0] VAR5 [0:(1<<VAR2)-1]; always @(posedge clk) begin if (VAR6) VAR5[addr] <= din; dout <= VAR5[addr]; end endmodule module MODULE2(clk, addr, din, dout, VAR6); parameter VAR2 = 10; parameter VAR3 = 8; input clk; input [VAR2-1:0] addr; input [VAR3-1:0] din; output [VAR3-1:0] dout; input VAR6; reg [VAR3-1:0] VAR5 [0:(1<<VAR2)-1]; always @(posedge clk) begin if (VAR6) VAR5[addr] <= din; end assign dout = VAR5[addr]; endmodule module MODULE3(clk, addr, VAR4, VAR6); parameter VAR2 = 10; parameter VAR3 = 8; input clk; input [VAR2-1:0] addr; inout [VAR3-1:0] VAR4; input VAR6; reg [VAR3-1:0] VAR5 [0:(1<<VAR2)-1]; always @(posedge clk) begin if (VAR6) VAR5[addr] <= VAR4; end assign VAR4 = !VAR6 ? VAR5[addr] : {VAR3{1'VAR1}}; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sleep_sergate_plv/sky130_fd_sc_lp__sleep_sergate_plv.symbol.v
1,333
module MODULE1 ( input VAR1 , output VAR2 ); supply1 VAR3; supply1 VAR4 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o2bb2ai/sky130_fd_sc_hs__o2bb2ai_2.v
2,284
module MODULE1 ( VAR4 , VAR3, VAR6, VAR5 , VAR8 , VAR9, VAR2 ); output VAR4 ; input VAR3; input VAR6; input VAR5 ; input VAR8 ; input VAR9; input VAR2; VAR7 VAR1 ( .VAR4(VAR4), .VAR3(VAR3), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8), .VAR9(VAR9), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR4 , VAR3, VAR6, VAR5 , VAR8 ); output VAR4 ; input VAR3; input VAR6; input VAR5 ; input VAR8 ; supply1 VAR9; supply0 VAR2; VAR7 VAR1 ( .VAR4(VAR4), .VAR3(VAR3), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
alexforencich/xfcp
lib/eth/rtl/eth_mac_1g.v
5,324
module MODULE1 # ( parameter VAR11 = 8, parameter VAR38 = 1, parameter VAR64 = 64, parameter VAR28 = 0, parameter VAR62 = 96, parameter VAR1 = VAR28, parameter VAR42 = 16, parameter VAR4 = 0, parameter VAR51 = 96, parameter VAR59 = (VAR1 ? VAR42 : 0) + 1, parameter VAR43 = (VAR4 ? VAR51 : 0) + 1 ) ( input wire VAR18, input wire VAR2, input wire VAR39, input wire VAR56, input wire [VAR11-1:0] VAR54, input wire VAR58, output wire VAR57, input wire VAR7, input wire [VAR59-1:0] VAR26, output wire [VAR11-1:0] VAR35, output wire VAR68, output wire VAR40, output wire [VAR43-1:0] VAR63, input wire [VAR11-1:0] VAR29, input wire VAR45, input wire VAR20, output wire [VAR11-1:0] VAR25, output wire VAR37, output wire VAR23, input wire [VAR62-1:0] VAR31, input wire [VAR51-1:0] VAR27, output wire [VAR62-1:0] VAR3, output wire [VAR42-1:0] VAR15, output wire VAR69, input wire VAR44, input wire VAR71, input wire VAR55, input wire VAR48, output wire VAR30, output wire VAR34, output wire VAR32, output wire VAR61, output wire VAR9, input wire [7:0] VAR24 ); VAR5 #( .VAR11(VAR11), .VAR16(VAR4), .VAR49(VAR51), .VAR10(VAR43) ) VAR60 ( .clk(VAR18), .rst(VAR2), .VAR29(VAR29), .VAR45(VAR45), .VAR20(VAR20), .VAR12(VAR35), .VAR72(VAR68), .VAR66(VAR40), .VAR52(VAR63), .VAR21(VAR27), .VAR22(VAR44), .VAR13(VAR55), .VAR46(VAR32), .VAR19(VAR61), .VAR50(VAR9) ); VAR70 #( .VAR11(VAR11), .VAR38(VAR38), .VAR64(VAR64), .VAR16(VAR28), .VAR49(VAR62), .VAR73(VAR1), .VAR6(VAR42), .VAR10(VAR59) ) VAR14 ( .clk(VAR39), .rst(VAR56), .VAR53(VAR54), .VAR65(VAR58), .VAR67(VAR57), .VAR41(VAR7), .VAR33(VAR26), .VAR25(VAR25), .VAR37(VAR37), .VAR23(VAR23), .VAR21(VAR31), .VAR17(VAR3), .VAR47(VAR15), .VAR8(VAR69), .VAR22(VAR71), .VAR13(VAR48), .VAR24(VAR24), .VAR46(VAR30), .VAR36(VAR34) ); endmodule
mit
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/IP/ADDSUBWIDE/ADDSUBWIDE_bb.v
4,025
module MODULE1 ( VAR3, VAR4, VAR1, VAR2); input VAR3; input [25:0] VAR4; input [25:0] VAR1; output [25:0] VAR2; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfbbp/sky130_fd_sc_lp__dfbbp.functional.pp.v
2,310
module MODULE1 ( VAR9 , VAR10 , VAR13 , VAR5 , VAR15 , VAR16, VAR14 , VAR1 , VAR6 , VAR3 ); output VAR9 ; output VAR10 ; input VAR13 ; input VAR5 ; input VAR15 ; input VAR16; input VAR14 ; input VAR1 ; input VAR6 ; input VAR3 ; wire VAR19 ; wire VAR18 ; wire VAR11 ; wire VAR17 ; wire VAR4; wire VAR12 ; not VAR2 (VAR19 , VAR16 ); not VAR23 (VAR18 , VAR15 ); VAR7 VAR8 VAR20 (VAR11 , VAR18, VAR19, VAR5, VAR13, , VAR14, VAR1); buf VAR21 (VAR9 , VAR11 ); not VAR22 (VAR10 , VAR11 ); endmodule
apache-2.0
aospan/NetUP_Dual_Universal_CI-fpga
ip_compiler_for_pci_express-library/altpcie_pll_100_250.v
10,313
module MODULE1 ( VAR39, VAR14, VAR41); input VAR39; input VAR14; output VAR41; wire [5:0] VAR36; wire [0:0] VAR10 = 1'h0; wire [0:0] VAR47 = 1'h1; wire [0:0] VAR1 = VAR36[0:0]; wire VAR41 = VAR1; wire [5:0] VAR37 = {VAR10, VAR10, VAR10, VAR10, VAR10, VAR47}; wire VAR11 = VAR14; wire [1:0] VAR18 = {VAR10, VAR11}; wire [3:0] VAR17 = {VAR10, VAR10, VAR10, VAR10}; VAR5 VAR13 ( .VAR26 (VAR37), .VAR40 (VAR18), .VAR28 (VAR17), .VAR39 (VAR39), .clk (VAR36) , .VAR35 (), .VAR30 (), .VAR7 (), .VAR22 (), .VAR31 (), .VAR32 (), .VAR51 (), .VAR55 (), .VAR46 (), .VAR6 (), .VAR21 (), .VAR49 (), .VAR48 (), .VAR16 (), .VAR33 (), .VAR12 (), .VAR2 (), .VAR43 (), .VAR50 (), .VAR44 () ); VAR13.VAR29 = 500000, VAR13.VAR19 = "VAR9", VAR13.VAR15 = 2, VAR13.VAR38 = 50, VAR13.VAR54 = 5, VAR13.VAR34 = "0", VAR13.VAR20 = "VAR24", VAR13.VAR53 = 10000, VAR13.VAR52 = "VAR4 VAR3", VAR13.VAR27 = "VAR5", VAR13.VAR25 = "VAR8", VAR13.VAR42 = "VAR45", VAR13.VAR23 = 0; endmodule
gpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/nf10_axis_converter.v
12,985
module MODULE1 parameter VAR20=64, parameter VAR15=256, parameter VAR19=128, parameter VAR52=16, parameter VAR9=8, parameter VAR39=8, parameter VAR8=0, parameter VAR30=0, parameter VAR75=0 ) ( input VAR37, input VAR56, output reg [VAR20 - 1:0] VAR3, output reg [((VAR20 / 8)) - 1:0] VAR54, output [VAR19-1:0] VAR43, output reg VAR59, input VAR32, output reg VAR16, input [VAR15 - 1:0] VAR64, input [((VAR15 / 8)) - 1:0] VAR31, input [VAR19-1:0] VAR66, input VAR36, output VAR13, input VAR48 ); function integer VAR50; input integer VAR71; begin VAR50=0; while(2**VAR50<VAR71) begin VAR50=VAR50+1; end end endfunction localparam VAR60 = 1600; localparam VAR44 = VAR50(VAR15 / 8); localparam VAR40 = VAR50(VAR60/(VAR15 / 8)); localparam VAR4 = VAR20 / VAR15; localparam VAR25 = VAR15 / VAR20; wire VAR14; reg VAR68; wire VAR24; wire [VAR15 - 1:0] VAR63; wire [((VAR15 / 8)) - 1:0] VAR67; wire VAR23; reg VAR42; reg VAR11; wire VAR5; wire VAR1; reg [VAR52 - 1:0] VAR53; reg [VAR52 - 1:0] VAR22, VAR6; reg [VAR44:0] VAR62; reg [VAR20 - 1:0] VAR69, VAR17; reg [((VAR20 / 8)) - 1:0] VAR45, VAR2; reg [7:0] counter, VAR35; reg VAR18, VAR74; integer VAR58, VAR29, VAR47; wire [VAR52 - 1:0] VAR7; wire [VAR9 - 1:0] VAR33 = VAR30; wire [VAR39 - 1:0] VAR12 = VAR75; reg VAR57, VAR51; localparam VAR55 = 0; localparam VAR72 = 1; VAR10 # (.VAR49(VAR15+VAR15 / 8+1), .VAR70(VAR40) ) VAR61 (.din ({VAR48, VAR31, VAR64}), .VAR26 (VAR36 & ~VAR14), .VAR34 (VAR68), .dout ({VAR23, VAR67, VAR63}), .VAR73 (), .VAR41 (VAR14), .VAR21 (), .VAR27 (VAR24), .reset (~VAR56), .clk (VAR37) ); generate if(VAR8) begin: VAR46 VAR10 # (.VAR49(VAR52), .VAR70(5)) VAR28 (.din (VAR53), .VAR26 (VAR42), .VAR34 (VAR11), .dout (VAR7), .VAR73 (), .VAR41 (VAR1), .VAR21 (), .VAR27 (VAR5), .reset (~VAR56), .clk (VAR37) ); assign VAR13 = ~VAR14 & ~VAR1; always @ begin VAR42 = 1'b0; VAR6 = VAR22; VAR53 = VAR22 + VAR62; if(VAR36 & VAR13) begin VAR6 = VAR22 + VAR62; if(VAR48) begin VAR42 = 1'b1; VAR6 = 0; end end end assign VAR43 = {96'b0, VAR12, VAR33, VAR7}; end else begin: VAR38 always @ begin VAR68 = 1'b0; VAR11 = 1'b0; VAR3 = VAR69; VAR54 = VAR45; VAR16 = 1'b0; VAR17 = VAR69; VAR2 = VAR45; VAR35 = counter; VAR74 = VAR18; VAR59 = 1'b0; for(VAR29=0;VAR29<VAR15;VAR29=VAR29+1) VAR3[VAR15*counter+VAR29] = VAR63[VAR29]; for(VAR47=0;VAR47<VAR15/8;VAR47=VAR47+1) VAR54[VAR15/8*counter+VAR47] = VAR67[VAR47]; if(~VAR24) begin if(counter == VAR4 - 1) begin if(VAR18) begin if(~VAR5) begin VAR59 = 1'b1; if(VAR32) begin VAR68 = 1'b1; VAR11 = 1'b1; VAR35 = 0; VAR74 = 1'b0; VAR17 = {VAR20{1'b0}}; VAR2 = {VAR20/8{1'b0}}; end end end else begin VAR59 = 1'b1; if(VAR32) begin VAR35 = 0; VAR17 = {VAR20{1'b0}}; VAR2 = {VAR20/8{1'b0}}; VAR68 = 1'b1; if(VAR23) begin VAR74 = 1'b1; VAR16 = 1'b1; end end end end else begin if(VAR23) begin VAR59 = 1'b1; VAR16 = 1'b1; if(VAR32) begin VAR68 = 1'b1; VAR35 = 0; VAR17 = {VAR20{1'b0}}; VAR2 = {VAR20/8{1'b0}}; VAR74 = 1'b1; end end else begin if(VAR32) begin VAR68 = 1'b1; VAR35 = counter + 1'b1; VAR17 = VAR3; VAR2 = VAR54; end end end end end always @(posedge VAR37) begin if (~VAR56) begin counter <= 0; VAR18 <= 1'b1; VAR22 <= 1'b0; VAR69 <= {VAR20{1'b0}}; VAR45 <= {VAR20/8{1'b0}}; end else begin counter <= VAR35; VAR18 <= VAR74; VAR22 <= VAR6; VAR69 <= VAR17; VAR45 <= VAR2; end end end else begin: VAR65 always @(*) begin VAR68 = 1'b0; VAR11 = 1'b0; VAR3 = VAR63[VAR20 * (counter) +: VAR20]; VAR54 = VAR67[VAR20/8 * (counter) +: VAR20/8]; VAR16 = 1'b0; VAR35 = counter; VAR74 = VAR18; VAR59 = 1'b0; if(~VAR24) begin if(VAR18) begin if(~VAR5) begin VAR59 = 1'b1; if(VAR32) begin VAR11 = 1'b1; VAR74 = 1'b0; VAR35 = counter + 1'b1; end end end else begin VAR59 = 1'b1; if(VAR23) begin if(~|VAR67[VAR20/8 * (counter+1) +: VAR20/8]) begin VAR16 = 1'b1; end end if(VAR32) begin VAR35 = counter + 1'b1; if(counter == VAR25 - 1) begin VAR68 = 1'b1; VAR35 = 0; end if(VAR23) begin if(~|VAR67[VAR20/8 * (counter+1) +: VAR20/8]) begin VAR35 = 0; VAR74 = 1'b1; VAR68 = 1'b1; end end end end end end always @(posedge VAR37) begin if (~VAR56) begin counter <= 0; VAR18 <= 1'b1; VAR22 <= 1'b0; end else begin counter <= VAR35; VAR18 <= VAR74; VAR22 <= VAR6; end end end endgenerate endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a222oi/sky130_fd_sc_hdll__a222oi.blackbox.v
1,435
module MODULE1 ( VAR6 , VAR2, VAR4, VAR9, VAR5, VAR1, VAR10 ); output VAR6 ; input VAR2; input VAR4; input VAR9; input VAR5; input VAR1; input VAR10; supply1 VAR3; supply0 VAR8; supply1 VAR11 ; supply0 VAR7 ; endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.cache/ip/2017.2/93e5591f9289143f/zynq_design_1_axi_gpio_0_0_stub.v
2,331
module MODULE1(VAR9, VAR5, VAR14, VAR15, VAR1, VAR19, VAR17, VAR12, VAR7, VAR3, VAR4, VAR2, VAR6, VAR20, VAR13, VAR16, VAR11, VAR8, VAR10, VAR18) ; input VAR9; input VAR5; input [8:0]VAR14; input VAR15; output VAR1; input [31:0]VAR19; input [3:0]VAR17; input VAR12; output VAR7; output [1:0]VAR3; output VAR4; input VAR2; input [8:0]VAR6; input VAR20; output VAR13; output [31:0]VAR16; output [1:0]VAR11; output VAR8; input VAR10; output [7:0]VAR18; endmodule
mit
eda-globetrotter/PicenoDecoders
extra_credit/syn/netlist/spare/encoder.syn.v
1,230
module MODULE1 ( VAR6, VAR4 ); input [10:0] VAR6; output [14:0] VAR4; wire VAR7, VAR37, VAR31, VAR19, VAR1, VAR30, VAR13, VAR32, VAR34, VAR2, VAR36, VAR18, VAR27, VAR10; assign VAR4[14] = VAR6[10]; assign VAR4[13] = VAR6[9]; assign VAR4[12] = VAR6[8]; assign VAR4[11] = VAR6[7]; assign VAR4[10] = VAR6[6]; assign VAR4[9] = VAR6[5]; assign VAR4[8] = VAR6[4]; assign VAR4[6] = VAR6[3]; assign VAR4[5] = VAR6[2]; assign VAR4[4] = VAR6[1]; assign VAR4[2] = VAR6[0]; VAR24 VAR23 ( .VAR11(VAR7), .VAR3(VAR37), .VAR12(VAR4[7]) ); VAR24 VAR20 ( .VAR11(VAR6[7]), .VAR3(VAR31), .VAR12(VAR37) ); VAR24 VAR21 ( .VAR11(VAR19), .VAR3(VAR1), .VAR12(VAR4[3]) ); VAR24 VAR39 ( .VAR11(VAR30), .VAR3(VAR13), .VAR12(VAR1) ); VAR24 VAR15 ( .VAR11(VAR6[2]), .VAR3(VAR6[10]), .VAR12(VAR13) ); VAR24 VAR16 ( .VAR11(VAR6[7]), .VAR3(VAR32), .VAR12(VAR19) ); VAR24 VAR29 ( .VAR11(VAR6[9]), .VAR3(VAR6[8]), .VAR12(VAR32) ); VAR24 VAR5 ( .VAR11(VAR34), .VAR3(VAR2), .VAR12(VAR4[1]) ); VAR24 VAR35 ( .VAR11(VAR31), .VAR3(VAR36), .VAR12(VAR2) ); VAR24 VAR22 ( .VAR11(VAR6[9]), .VAR3(VAR6[5]), .VAR12(VAR31) ); VAR24 VAR9 ( .VAR11(VAR6[0]), .VAR3(VAR18), .VAR12(VAR34) ); VAR24 VAR28 ( .VAR11(VAR6[3]), .VAR3(VAR6[2]), .VAR12(VAR18) ); VAR24 VAR8 ( .VAR11(VAR30), .VAR3(VAR27), .VAR12(VAR4[0]) ); VAR24 VAR14 ( .VAR11(VAR6[0]), .VAR3(VAR7), .VAR12(VAR27) ); VAR25 VAR38 ( .VAR11(VAR10), .VAR3(VAR36), .VAR12(VAR7) ); VAR24 VAR33 ( .VAR11(VAR6[10]), .VAR3(VAR6[6]), .VAR12(VAR36) ); VAR25 VAR17 ( .VAR11(VAR6[8]), .VAR3(VAR6[4]), .VAR12(VAR10) ); VAR24 VAR26 ( .VAR11(VAR6[3]), .VAR3(VAR6[1]), .VAR12(VAR30) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfrbp/sky130_fd_sc_hs__sdfrbp.pp.blackbox.v
1,450
module MODULE1 ( VAR2, VAR6 , VAR1 , VAR7 , VAR9 , VAR5 , VAR4 , VAR3 , VAR8 ); input VAR2; input VAR6 ; input VAR1 ; output VAR7 ; output VAR9 ; input VAR5 ; input VAR4 ; input VAR3 ; input VAR8 ; endmodule
apache-2.0
rurume/openrisc_vision_hardware
ISE/or1200_du.v
45,213
module MODULE1( clk, rst, VAR164, VAR22, VAR44, VAR104, VAR19, VAR59, VAR105, VAR66, VAR30, VAR189, VAR34, VAR123, VAR91, VAR176, VAR131, VAR3, VAR173, VAR115, VAR157, VAR48, VAR43, VAR170, VAR85, VAR114, VAR50, VAR12, VAR40, VAR21, VAR182, VAR181, VAR78, VAR68, VAR7, VAR10, VAR167, VAR124, VAR128, VAR147 ); parameter VAR195 = VAR38; parameter VAR70 = VAR38; input clk; input rst; input VAR164; input VAR22; input [31:0] VAR44; input [31:0] VAR104; input [31:0] VAR19; input [VAR218-1:0] VAR59; input VAR105; input [VAR102-1:0] VAR66; input [VAR195-1:0] VAR30; input [31:0] VAR189; input [31:0] VAR34; input [31:0] VAR123; output [VAR108-1:0] VAR91; output VAR176; output [VAR70-1:0] VAR131; input [VAR195-1:0] VAR3; output [VAR195-1:0] VAR173; output VAR115; output VAR157; input [12:0] VAR48; output VAR43; input VAR170; input VAR85; input [VAR70-1:0] VAR114; input [VAR195-1:0] VAR50; output [VAR195-1:0] VAR12; input VAR40; input VAR21; output [3:0] VAR182; output [1:0] VAR181; output [10:0] VAR78; output VAR68; input VAR7; input VAR10; input [VAR70-1:0] VAR167; input [VAR195-1:0] VAR124; output [VAR195-1:0] VAR128; output VAR147; assign VAR182 = 4'b0000; reg [1:0] VAR181; always @(posedge clk or posedge rst) if (rst) VAR181 <= 2'b00; else if (!VAR105 & ~((VAR30[31:26] == VAR62) & VAR30[16])) VAR181 <= ~VAR181; assign VAR181 = 2'b00; assign VAR182 = VAR164 ? {VAR22, 3'b000} : 4'b0000; assign VAR181 = {1'b0, VAR59}; assign VAR78 = 11'b00000000000; assign VAR128 = VAR3; assign VAR176 = VAR40; assign VAR131 = VAR167; assign VAR173 = VAR124; assign VAR115 = VAR7 && !VAR10; assign VAR157 = VAR7 && VAR10; reg VAR147; always @(posedge clk or posedge rst) if (rst) VAR147 <= 1'b0; else VAR147 <= VAR7; reg [24:0] VAR154; else wire [24:0] VAR154; VAR2 reg [23:0] VAR113; else wire [23:0] VAR113; VAR2 reg [VAR108-1:0] VAR101; else wire [VAR108-1:0] VAR101; VAR2 reg [13:0] VAR63; else wire [13:0] VAR63; VAR2 reg [31:0] VAR61; wire [31:0] VAR61; reg [31:0] VAR156; wire [31:0] VAR156; reg [31:0] VAR152; wire [31:0] VAR152; reg [31:0] VAR116; wire [31:0] VAR116; reg [31:0] VAR133; wire [31:0] VAR133; reg [31:0] VAR99; wire [31:0] VAR99; reg [31:0] VAR94; wire [31:0] VAR94; reg [31:0] VAR166; wire [31:0] VAR166; reg [7:0] VAR69; wire [7:0] VAR69; reg [7:0] VAR137; wire [7:0] VAR137; reg [7:0] VAR177; wire [7:0] VAR177; reg [7:0] VAR125; wire [7:0] VAR125; reg [7:0] VAR197; wire [7:0] VAR197; reg [7:0] VAR174; wire [7:0] VAR174; reg [7:0] VAR146; wire [7:0] VAR146; reg [7:0] VAR204; wire [7:0] VAR204; reg [31:0] VAR150; wire [31:0] VAR150; reg [31:0] VAR188; wire [31:0] VAR188; wire VAR213; wire VAR96; wire VAR200; wire VAR74; wire VAR88, VAR106, VAR148, VAR178, VAR214, VAR5, VAR180, VAR11; wire VAR163, VAR185, VAR142, VAR37, VAR112, VAR184, VAR107, VAR217; wire VAR27, VAR132; reg VAR97; reg [31:0] VAR199; reg [31:0] VAR194; reg [31:0] VAR65; reg [31:0] VAR140; reg [31:0] VAR17; reg [31:0] VAR111; reg [31:0] VAR29; reg [31:0] VAR134; reg VAR39; reg VAR71; reg VAR84; reg VAR169; reg VAR172; reg VAR46; reg VAR149; reg VAR175; reg VAR93; reg VAR145; reg VAR90; reg VAR6; reg VAR86; reg VAR87; reg VAR117; reg VAR55; reg VAR89; reg VAR73; reg VAR4; reg VAR9; reg [10:0] VAR208; wire VAR43; reg [31:0] VAR12; reg [13:0] VAR8; VAR119 VAR83 wire VAR127; reg [7:0] VAR210; reg [31:0] VAR158; wire [31:0] VAR159; wire [31:0] VAR198; wire [31:0] VAR206; wire [31:0] VAR153; assign VAR213 = (VAR170 && (VAR114[VAR130] == VAR141)); assign VAR96 = (VAR170 && (VAR114[VAR130] == VAR45)); assign VAR200 = (VAR170 && (VAR114[VAR130] == VAR193)); assign VAR74 = (VAR170 && (VAR114[VAR130] == VAR24)); assign VAR88 = (VAR170 && (VAR114[VAR130] == VAR56)); assign VAR106 = (VAR170 && (VAR114[VAR130] == VAR161)); assign VAR148 = (VAR170 && (VAR114[VAR130] == VAR192)); assign VAR178 = (VAR170 && (VAR114[VAR130] == VAR135)); assign VAR214 = (VAR170 && (VAR114[VAR130] == VAR205)); assign VAR5 = (VAR170 && (VAR114[VAR130] == VAR165)); assign VAR180 = (VAR170 && (VAR114[VAR130] == VAR144)); assign VAR11 = (VAR170 && (VAR114[VAR130] == VAR35)); assign VAR163 = (VAR170 && (VAR114[VAR130] == VAR82)); assign VAR185 = (VAR170 && (VAR114[VAR130] == VAR183)); assign VAR142 = (VAR170 && (VAR114[VAR130] == VAR139)); assign VAR37 = (VAR170 && (VAR114[VAR130] == VAR51)); assign VAR112 = (VAR170 && (VAR114[VAR130] == VAR72)); assign VAR184 = (VAR170 && (VAR114[VAR130] == VAR168)); assign VAR107 = (VAR170 && (VAR114[VAR130] == VAR118)); assign VAR217 = (VAR170 && (VAR114[VAR130] == VAR151)); assign VAR27 = (VAR170 && (VAR114[VAR130] == VAR77)); assign VAR132 = (VAR170 && (VAR114[VAR130] == VAR92)); always @(VAR48) begin VAR8 = 14'b000000000000; casex (VAR48) 13'VAR109: VAR8[VAR160] = 1'b1; 13'VAR20: begin VAR8[VAR103] = 1'b1; end 13'VAR42: begin VAR8[VAR186] = 1'b1; end 13'VAR202: VAR8[VAR143] = 1'b1; 13'VAR79: begin VAR8[VAR80] = 1'b1; end 13'VAR121: VAR8[VAR201] = 1'b1; 13'VAR98: begin VAR8[VAR15] = 1'b1; end 13'VAR190: begin VAR8[VAR26] = 1'b1; end 13'VAR75: VAR8[VAR209] = 1'b1; 13'VAR126: VAR8[VAR80] = 1'b1; 13'VAR23: begin VAR8[VAR171] = 1'b1; end 13'VAR179: begin VAR8[VAR95] = 1'b1; end 13'b0000000000001: VAR8[VAR136] = 1'b1; default: VAR8 = 14'b000000000000; endcase end assign VAR68 = VAR97; always @(posedge clk or posedge rst) if (rst) VAR97 <= 1'b0; else if (!VAR105) VAR97 <= |VAR8 | ~((VAR30[31:26] == VAR62) & VAR30[16]) & VAR154[VAR215] | (VAR66 != VAR47) & VAR154[VAR155] ; else VAR97 <= |VAR8; always @(posedge clk or posedge rst) if (rst) VAR154 <= 25'h0000000; else if (VAR213 && VAR85) VAR154 <= VAR50[24:0]; VAR154 <= {1'b0, VAR50[23:22], 22'h000000}; assign VAR154 = 25'h0000000; always @(posedge clk or posedge rst) if (rst) VAR113 <= 24'h000000; else if (VAR96 && VAR85) VAR113 <= VAR50[23:0]; assign VAR113 = 24'h000000; always @(posedge clk or posedge rst) if (rst) VAR101 <= {VAR108{1'b0}}; else if (VAR200 && VAR85) VAR101 <= VAR50[VAR108-1:0]; assign VAR101 = {VAR108{1'b0}}; always @(posedge clk or posedge rst) if (rst) VAR63 <= 14'b0; else if (VAR74 && VAR85) VAR63 <= VAR50[13:0]; else VAR63 <= VAR63 | VAR8; assign VAR63 = 14'b0; always @(posedge clk or posedge rst) if (rst) VAR61 <= 32'h00000000; else if (VAR88 && VAR85) VAR61 <= VAR50[31:0]; assign VAR61 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR156 <= 32'h00000000; else if (VAR106 && VAR85) VAR156 <= VAR50[31:0]; assign VAR156 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR152 <= 32'h00000000; else if (VAR148 && VAR85) VAR152 <= VAR50[31:0]; assign VAR152 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR116 <= 32'h00000000; else if (VAR178 && VAR85) VAR116 <= VAR50[31:0]; assign VAR116 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR133 <= 32'h00000000; else if (VAR214 && VAR85) VAR133 <= VAR50[31:0]; assign VAR133 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR99 <= 32'h00000000; else if (VAR5 && VAR85) VAR99 <= VAR50[31:0]; assign VAR99 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR94 <= 32'h00000000; else if (VAR180 && VAR85) VAR94 <= VAR50[31:0]; assign VAR94 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR166 <= 32'h00000000; else if (VAR11 && VAR85) VAR166 <= VAR50[31:0]; assign VAR166 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR69 <= 8'h00; else if (VAR163 && VAR85) VAR69 <= VAR50[7:0]; assign VAR69 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR137 <= 8'h00; else if (VAR185 && VAR85) VAR137 <= VAR50[7:0]; assign VAR137 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR177 <= 8'h00; else if (VAR142 && VAR85) VAR177 <= VAR50[7:0]; assign VAR177 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR125 <= 8'h00; else if (VAR37 && VAR85) VAR125 <= VAR50[7:0]; assign VAR125 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR197 <= 8'h00; else if (VAR112 && VAR85) VAR197 <= VAR50[7:0]; assign VAR197 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR174 <= 8'h00; else if (VAR184 && VAR85) VAR174 <= VAR50[7:0]; assign VAR174 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR146 <= 8'h00; else if (VAR107 && VAR85) VAR146 <= VAR50[7:0]; assign VAR146 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR204 <= 8'h00; else if (VAR217 && VAR85) VAR204 <= VAR50[7:0]; assign VAR204 = 8'h00; always @(posedge clk or posedge rst) if (rst) VAR150 <= 32'h00000000; else if (VAR27 && VAR85) VAR150 <= VAR50[31:0]; else if (VAR4) VAR150[VAR52] <= VAR150[VAR52] + 16'h0001; assign VAR150 = 32'h00000000; always @(posedge clk or posedge rst) if (rst) VAR188 <= 32'h00000000; else if (VAR132 && VAR85) VAR188 <= VAR50[31:0]; else if (VAR9) VAR188[VAR52] <= VAR188[VAR52] + 16'h0001; assign VAR188 = 32'h00000000; always @(VAR114 or VAR101 or VAR63 or VAR154 or VAR113 or VAR61 or VAR156 or VAR152 or VAR116 or VAR133 or VAR99 or VAR94 or VAR166 or VAR69 or VAR137 or VAR177 or VAR125 or VAR197 or VAR174 or VAR146 or VAR204 or VAR150 or VAR188 or VAR210 or VAR159 or VAR198 or VAR206 or VAR153 ) casex (VAR114[VAR130]) VAR119 VAR56 VAR12 = VAR61; VAR12 = VAR156; VAR12 = VAR152; VAR12 = VAR116; VAR12 = VAR133; VAR12 = VAR99; VAR12 = VAR94; VAR12 = VAR166; VAR12 = {24'h000000, VAR69}; VAR12 = {24'h000000, VAR137}; VAR12 = {24'h000000, VAR177}; VAR12 = {24'h000000, VAR125}; VAR12 = {24'h000000, VAR197}; VAR12 = {24'h000000, VAR174}; VAR12 = {24'h000000, VAR146}; VAR12 = {24'h000000, VAR204}; VAR12 = {7'h00, VAR154}; VAR12 = {8'h00, VAR113}; VAR12 = VAR150; VAR12 = VAR188; VAR12 = {18'b0, VAR101}; VAR12 = {18'b0, VAR63}; VAR12 = {24'h000000, VAR210}; VAR12 = VAR159; VAR12 = VAR198; VAR12 = VAR206; VAR12 = VAR153; default: VAR12 = 32'h00000000; endcase assign VAR91 = VAR101; always @(VAR69 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR69[VAR64]) 3'b001: VAR199 = VAR189; 3'b010: VAR199 = VAR44; 3'b011: VAR199 = VAR44; 3'b100: VAR199 = VAR19; 3'b101: VAR199 = VAR104; 3'b110: VAR199 = VAR44; default:VAR199 = VAR22 ? VAR104 : VAR19; endcase always @(VAR69 or VAR164) case (VAR69[VAR64]) 3'b000: VAR39 = 1'b0; 3'b001: VAR39 = 1'b1; default:VAR39 = VAR164; endcase always @(VAR39 or VAR69 or VAR61 or VAR199) casex ({VAR39, VAR69[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR93 = 1'b0; 4'b1001: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) == (VAR61[31] ^ VAR69[VAR49])); 4'b1010: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) < (VAR61[31] ^ VAR69[VAR49])); 4'b1011: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) <= (VAR61[31] ^ VAR69[VAR49])); 4'b1100: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) > (VAR61[31] ^ VAR69[VAR49])); 4'b1101: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) >= (VAR61[31] ^ VAR69[VAR49])); 4'b1110: VAR93 = ((VAR199[31] ^ VAR69[VAR49]) != (VAR61[31] ^ VAR69[VAR49])); endcase always @(VAR154 or VAR93) case (VAR154[VAR54]) 2'b00: VAR208[0] = VAR93; 2'b01: VAR208[0] = VAR93; 2'b10: VAR208[0] = VAR93; 2'b11: VAR208[0] = 1'b0; endcase always @(VAR137 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR137[VAR64]) 3'b001: VAR194 = VAR189; 3'b010: VAR194 = VAR44; 3'b011: VAR194 = VAR44; 3'b100: VAR194 = VAR19; 3'b101: VAR194 = VAR104; 3'b110: VAR194 = VAR44; default:VAR194 = VAR22 ? VAR104 : VAR19; endcase always @(VAR137 or VAR164) case (VAR137[VAR64]) 3'b000: VAR71 = 1'b0; 3'b001: VAR71 = 1'b1; default:VAR71 = VAR164; endcase always @(VAR71 or VAR137 or VAR156 or VAR194) casex ({VAR71, VAR137[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR145 = 1'b0; 4'b1001: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) == (VAR156[31] ^ VAR137[VAR49])); 4'b1010: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) < (VAR156[31] ^ VAR137[VAR49])); 4'b1011: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) <= (VAR156[31] ^ VAR137[VAR49])); 4'b1100: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) > (VAR156[31] ^ VAR137[VAR49])); 4'b1101: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) >= (VAR156[31] ^ VAR137[VAR49])); 4'b1110: VAR145 = ((VAR194[31] ^ VAR137[VAR49]) != (VAR156[31] ^ VAR137[VAR49])); endcase always @(VAR154 or VAR145 or VAR208) case (VAR154[VAR1]) 2'b00: VAR208[1] = VAR145; 2'b01: VAR208[1] = VAR145 & VAR208[0]; 2'b10: VAR208[1] = VAR145 | VAR208[0]; 2'b11: VAR208[1] = 1'b0; endcase always @(VAR177 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR177[VAR64]) 3'b001: VAR65 = VAR189; 3'b010: VAR65 = VAR44; 3'b011: VAR65 = VAR44; 3'b100: VAR65 = VAR19; 3'b101: VAR65 = VAR104; 3'b110: VAR65 = VAR44; default:VAR65 = VAR22 ? VAR104 : VAR19; endcase always @(VAR177 or VAR164) case (VAR177[VAR64]) 3'b000: VAR84 = 1'b0; 3'b001: VAR84 = 1'b1; default:VAR84 = VAR164; endcase always @(VAR84 or VAR177 or VAR152 or VAR65) casex ({VAR84, VAR177[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR90 = 1'b0; 4'b1001: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) == (VAR152[31] ^ VAR177[VAR49])); 4'b1010: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) < (VAR152[31] ^ VAR177[VAR49])); 4'b1011: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) <= (VAR152[31] ^ VAR177[VAR49])); 4'b1100: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) > (VAR152[31] ^ VAR177[VAR49])); 4'b1101: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) >= (VAR152[31] ^ VAR177[VAR49])); 4'b1110: VAR90 = ((VAR65[31] ^ VAR177[VAR49]) != (VAR152[31] ^ VAR177[VAR49])); endcase always @(VAR154 or VAR90 or VAR208) case (VAR154[VAR212]) 2'b00: VAR208[2] = VAR90; 2'b01: VAR208[2] = VAR90 & VAR208[1]; 2'b10: VAR208[2] = VAR90 | VAR208[1]; 2'b11: VAR208[2] = 1'b0; endcase always @(VAR125 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR125[VAR64]) 3'b001: VAR140 = VAR189; 3'b010: VAR140 = VAR44; 3'b011: VAR140 = VAR44; 3'b100: VAR140 = VAR19; 3'b101: VAR140 = VAR104; 3'b110: VAR140 = VAR44; default:VAR140 = VAR22 ? VAR104 : VAR19; endcase always @(VAR125 or VAR164) case (VAR125[VAR64]) 3'b000: VAR169 = 1'b0; 3'b001: VAR169 = 1'b1; default:VAR169 = VAR164; endcase always @(VAR169 or VAR125 or VAR116 or VAR140) casex ({VAR169, VAR125[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR6 = 1'b0; 4'b1001: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) == (VAR116[31] ^ VAR125[VAR49])); 4'b1010: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) < (VAR116[31] ^ VAR125[VAR49])); 4'b1011: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) <= (VAR116[31] ^ VAR125[VAR49])); 4'b1100: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) > (VAR116[31] ^ VAR125[VAR49])); 4'b1101: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) >= (VAR116[31] ^ VAR125[VAR49])); 4'b1110: VAR6 = ((VAR140[31] ^ VAR125[VAR49]) != (VAR116[31] ^ VAR125[VAR49])); endcase always @(VAR154 or VAR6 or VAR208) case (VAR154[VAR100]) 2'b00: VAR208[3] = VAR6; 2'b01: VAR208[3] = VAR6 & VAR208[2]; 2'b10: VAR208[3] = VAR6 | VAR208[2]; 2'b11: VAR208[3] = 1'b0; endcase always @(VAR197 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR197[VAR64]) 3'b001: VAR17 = VAR189; 3'b010: VAR17 = VAR44; 3'b011: VAR17 = VAR44; 3'b100: VAR17 = VAR19; 3'b101: VAR17 = VAR104; 3'b110: VAR17 = VAR44; default:VAR17 = VAR22 ? VAR104 : VAR19; endcase always @(VAR197 or VAR164) case (VAR197[VAR64]) 3'b000: VAR172 = 1'b0; 3'b001: VAR172 = 1'b1; default:VAR172 = VAR164; endcase always @(VAR172 or VAR197 or VAR133 or VAR17) casex ({VAR172, VAR197[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR86 = 1'b0; 4'b1001: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) == (VAR133[31] ^ VAR197[VAR49])); 4'b1010: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) < (VAR133[31] ^ VAR197[VAR49])); 4'b1011: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) <= (VAR133[31] ^ VAR197[VAR49])); 4'b1100: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) > (VAR133[31] ^ VAR197[VAR49])); 4'b1101: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) >= (VAR133[31] ^ VAR197[VAR49])); 4'b1110: VAR86 = ((VAR17[31] ^ VAR197[VAR49]) != (VAR133[31] ^ VAR197[VAR49])); endcase always @(VAR154 or VAR86 or VAR208) case (VAR154[VAR120]) 2'b00: VAR208[4] = VAR86; 2'b01: VAR208[4] = VAR86 & VAR208[3]; 2'b10: VAR208[4] = VAR86 | VAR208[3]; 2'b11: VAR208[4] = 1'b0; endcase always @(VAR174 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR174[VAR64]) 3'b001: VAR111 = VAR189; 3'b010: VAR111 = VAR44; 3'b011: VAR111 = VAR44; 3'b100: VAR111 = VAR19; 3'b101: VAR111 = VAR104; 3'b110: VAR111 = VAR44; default:VAR111 = VAR22 ? VAR104 : VAR19; endcase always @(VAR174 or VAR164) case (VAR174[VAR64]) 3'b000: VAR46 = 1'b0; 3'b001: VAR46 = 1'b1; default:VAR46 = VAR164; endcase always @(VAR46 or VAR174 or VAR99 or VAR111) casex ({VAR46, VAR174[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR87 = 1'b0; 4'b1001: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) == (VAR99[31] ^ VAR174[VAR49])); 4'b1010: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) < (VAR99[31] ^ VAR174[VAR49])); 4'b1011: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) <= (VAR99[31] ^ VAR174[VAR49])); 4'b1100: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) > (VAR99[31] ^ VAR174[VAR49])); 4'b1101: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) >= (VAR99[31] ^ VAR174[VAR49])); 4'b1110: VAR87 = ((VAR111[31] ^ VAR174[VAR49]) != (VAR99[31] ^ VAR174[VAR49])); endcase always @(VAR154 or VAR87 or VAR208) case (VAR154[VAR211]) 2'b00: VAR208[5] = VAR87; 2'b01: VAR208[5] = VAR87 & VAR208[4]; 2'b10: VAR208[5] = VAR87 | VAR208[4]; 2'b11: VAR208[5] = 1'b0; endcase always @(VAR146 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR146[VAR64]) 3'b001: VAR29 = VAR189; 3'b010: VAR29 = VAR44; 3'b011: VAR29 = VAR44; 3'b100: VAR29 = VAR19; 3'b101: VAR29 = VAR104; 3'b110: VAR29 = VAR44; default:VAR29 = VAR22 ? VAR104 : VAR19; endcase always @(VAR146 or VAR164) case (VAR146[VAR64]) 3'b000: VAR149 = 1'b0; 3'b001: VAR149 = 1'b1; default:VAR149 = VAR164; endcase always @(VAR149 or VAR146 or VAR94 or VAR29) casex ({VAR149, VAR146[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR117 = 1'b0; 4'b1001: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) == (VAR94[31] ^ VAR146[VAR49])); 4'b1010: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) < (VAR94[31] ^ VAR146[VAR49])); 4'b1011: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) <= (VAR94[31] ^ VAR146[VAR49])); 4'b1100: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) > (VAR94[31] ^ VAR146[VAR49])); 4'b1101: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) >= (VAR94[31] ^ VAR146[VAR49])); 4'b1110: VAR117 = ((VAR29[31] ^ VAR146[VAR49]) != (VAR94[31] ^ VAR146[VAR49])); endcase always @(VAR154 or VAR117 or VAR208) case (VAR154[VAR33]) 2'b00: VAR208[6] = VAR117; 2'b01: VAR208[6] = VAR117 & VAR208[5]; 2'b10: VAR208[6] = VAR117 | VAR208[5]; 2'b11: VAR208[6] = 1'b0; endcase always @(VAR204 or VAR189 or VAR44 or VAR19 or VAR104 or VAR22) case (VAR204[VAR64]) 3'b001: VAR134 = VAR189; 3'b010: VAR134 = VAR44; 3'b011: VAR134 = VAR44; 3'b100: VAR134 = VAR19; 3'b101: VAR134 = VAR104; 3'b110: VAR134 = VAR44; default:VAR134 = VAR22 ? VAR104 : VAR19; endcase always @(VAR204 or VAR164) case (VAR204[VAR64]) 3'b000: VAR175 = 1'b0; 3'b001: VAR175 = 1'b1; default:VAR175 = VAR164; endcase always @(VAR175 or VAR204 or VAR166 or VAR134) casex ({VAR175, VAR204[VAR25]}) 4'VAR57, 4'b1000, 4'b1111: VAR55 = 1'b0; 4'b1001: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) == (VAR166[31] ^ VAR204[VAR49])); 4'b1010: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) < (VAR166[31] ^ VAR204[VAR49])); 4'b1011: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) <= (VAR166[31] ^ VAR204[VAR49])); 4'b1100: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) > (VAR166[31] ^ VAR204[VAR49])); 4'b1101: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) >= (VAR166[31] ^ VAR204[VAR49])); 4'b1110: VAR55 = ((VAR134[31] ^ VAR204[VAR49]) != (VAR166[31] ^ VAR204[VAR49])); endcase always @(VAR154 or VAR55 or VAR208) case (VAR154[VAR129]) 2'b00: VAR208[7] = VAR55; 2'b01: VAR208[7] = VAR55 & VAR208[6]; 2'b10: VAR208[7] = VAR55 | VAR208[6]; 2'b11: VAR208[7] = 1'b0; endcase always @(VAR208 or VAR113) if (VAR113[VAR28]) VAR4 = |(VAR208 & ~VAR113[VAR191]); else VAR4 = 1'b0; always @(VAR150) if (VAR150[VAR162] == VAR150[VAR52]) VAR89 = 1'b1; else VAR89 = 1'b0; always @(VAR154 or VAR89 or VAR208) case (VAR154[VAR13]) 2'b00: VAR208[8] = VAR89; 2'b01: VAR208[8] = VAR89 & VAR208[7]; 2'b10: VAR208[8] = VAR89 | VAR208[7]; 2'b11: VAR208[8] = 1'b0; endcase always @(VAR208 or VAR113) if (VAR113[VAR41]) VAR9 = |(VAR208 & VAR113[VAR191]); else VAR9 = 1'b0; always @(VAR188) if (VAR188[VAR162] == VAR188[VAR52]) VAR73 = 1'b1; else VAR73 = 1'b0; always @(VAR154 or VAR73 or VAR208) case (VAR154[VAR138]) 2'b00: VAR208[9] = VAR73; 2'b01: VAR208[9] = VAR73 & VAR208[8]; 2'b10: VAR208[9] = VAR73 | VAR208[8]; 2'b11: VAR208[9] = 1'b0; endcase always @(VAR154 or VAR21 or VAR208) case (VAR154[VAR32]) 2'b00: VAR208[10] = VAR21; 2'b01: VAR208[10] = VAR21 & VAR208[9]; 2'b10: VAR208[10] = VAR21 | VAR208[9]; 2'b11: VAR208[10] = 1'b0; endcase assign VAR43 = |(VAR208 & VAR113[VAR216]); assign VAR43 = 1'b0; assign VAR127 = ~VAR105 & ~((VAR30[31:26] == VAR62) & VAR30[16]); always @(posedge clk or posedge rst) if (rst) VAR210 <= 8'h00; else if (VAR127) VAR210 <= VAR210 + 8'd1; always @(posedge clk or posedge rst) if (rst) VAR158 <= 32'h00000000; else if (!VAR97) VAR158 <= VAR158 + 32'd1; VAR16 VAR67( .VAR110(clk), .VAR196(rst), .VAR81(VAR114[7:0]), .VAR58(1'b1), .VAR187(1'b1), .VAR76(VAR159), .VAR203(clk), .VAR53(rst), .VAR60(VAR210), .VAR18(VAR34), .VAR36(1'b1), .VAR207(VAR127) ); VAR16 VAR122( .VAR110(clk), .VAR196(rst), .VAR81(VAR114[7:0]), .VAR58(1'b1), .VAR187(1'b1), .VAR76(VAR198), .VAR203(clk), .VAR53(rst), .VAR60(VAR210), .VAR18(VAR30), .VAR36(1'b1), .VAR207(VAR127) ); VAR16 VAR31( .VAR110(clk), .VAR196(rst), .VAR81(VAR114[7:0]), .VAR58(1'b1), .VAR187(1'b1), .VAR76(VAR206), .VAR203(clk), .VAR53(rst), .VAR60(VAR210), .VAR18(VAR123), .VAR36(1'b1), .VAR207(VAR127) ); VAR16 VAR14( .VAR110(clk), .VAR196(rst), .VAR81(VAR114[7:0]), .VAR58(1'b1), .VAR187(1'b1), .VAR76(VAR153), .VAR203(clk), .VAR53(rst), .VAR60(VAR210), .VAR18(VAR158), .VAR36(1'b1), .VAR207(VAR127) ); assign VAR159 = 32'h00000000; assign VAR198 = 32'h00000000; assign VAR206 = 32'h00000000; assign VAR153 = 32'h00000000; assign VAR68 = 1'b0; assign VAR91 = {VAR108{1'b0}}; assign VAR43 = 1'b0; assign VAR12 = 32'h00000000; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/invz/gf180mcu_fd_sc_mcu7t5v0__invz_1.behavioral.pp.v
1,246
module MODULE1( VAR1, VAR5, VAR8, VAR2, VAR6 ); input VAR1, VAR8; inout VAR2, VAR6; output VAR5; VAR3 VAR7(.VAR1(VAR1),.VAR5(VAR5),.VAR8(VAR8),.VAR2(VAR2),.VAR6(VAR6)); VAR3 VAR4(.VAR1(VAR1),.VAR5(VAR5),.VAR8(VAR8),.VAR2(VAR2),.VAR6(VAR6));
apache-2.0
duttondj/DigitalDesignI-P4
vendingmachine.v
11,275
module MODULE1(VAR16, enable, reset, VAR21, VAR28, VAR9, VAR18, VAR23, VAR4, VAR31, VAR5, VAR32); input VAR16; input enable; input reset; input[1:0] VAR21; input VAR28; output reg [7:0] VAR9, VAR18, VAR23; output reg VAR31, VAR5, VAR32; output VAR4; reg [7:0] VAR24; reg [27:0] VAR29; reg VAR30, VAR1, VAR26, VAR27, VAR6, VAR7, VAR10, VAR20; reg VAR17; reg VAR19, VAR14, VAR8; reg VAR22; reg VAR13, VAR2, VAR12, VAR15, VAR3, VAR25, VAR11; always @(posedge VAR16 or negedge reset) begin if(!reset) VAR23 <= 8'd0; end else if(VAR19) VAR23 <= VAR23 + 8'd1; else if(VAR2) VAR23 <= VAR23 - 8'd1; else if(VAR12) VAR23 <= VAR23 - 8'd2; else if(VAR15) VAR23 <= VAR23 - 8'd3; else if(VAR3) VAR23 <= VAR23 - 8'd4; else VAR23 <= VAR23; end always @(posedge VAR16 or negedge reset) begin if(!reset) VAR18 <= 8'd0; end else if(VAR14) VAR18 <= VAR18 + 8'd1; else if(VAR25) VAR18 <= VAR18 - 8'd1; else if(VAR11) VAR18 <= VAR18 - 8'd2; else VAR18 <= VAR18; end always @(posedge VAR16 or negedge reset) begin if(!reset) VAR9 <= 8'd0; end else if(VAR8) VAR9 <= VAR9 + 8'd1; else VAR9 <= VAR9; end always @(posedge VAR16 or negedge reset) begin if(!reset) VAR24 <= 8'd0; end else if(VAR17) VAR24 <= 8'd0; else if(VAR19 && VAR28) VAR24 <= VAR24 + 8'd5; else if(VAR14 && VAR28) VAR24 <= VAR24 + 8'd10; else if(VAR8 && VAR28) VAR24 <= VAR24 + 8'd25; else if(VAR13) begin if(VAR25 && VAR2) VAR24 <= VAR24 - 8'd75; end else if(VAR25 && VAR12) VAR24 <= VAR24 - 8'd80; else if(VAR2) VAR24 <= VAR24 - 8'd65; else if(VAR12) VAR24 <= VAR24 - 8'd70; else if(VAR25) VAR24 <= VAR24 - 8'd70; else if(VAR15) VAR24 <= VAR24 - 8'd75; else if(VAR11) VAR24 <= VAR24 - 8'd80; else if(VAR3) VAR24 <= VAR24 - 8'd80; else begin VAR24 <= VAR24 - 8'd60; end end else VAR24 <= VAR24; end always @(posedge VAR16) begin if(enable) begin case(VAR21) 2'b01: begin VAR19 <= 1'b1; end 2'b10: begin VAR14 <= 1'b1; end 2'b11: begin VAR8 <= 1'b1; end default: begin VAR19 <= 1'b0; VAR14 <= 1'b0; VAR8 <= 1'b0; end endcase end else begin VAR19 <= 1'b0; VAR14 <= 1'b0; VAR8 <= 1'b0; end if(VAR24 > 60) begin VAR22 <= 1; end else begin VAR22 <= 0; end end always @(VAR22) begin if(VAR24 == 60) begin VAR13 <= 1'b1; VAR25 <= 1'b0; VAR11 <= 1'b0; VAR2 <= 1'b0; VAR12 <= 1'b0; VAR15 <= 1'b0; VAR3 <= 1'b0; VAR22 <= 0; end else if(VAR24 > 60) begin VAR13 <= 1'b1; VAR22 <= 0; if((VAR24 == 65) && (VAR23 > 0)) VAR2 <= 1'b1; if(VAR24 == 70) begin if(VAR18 > 0) VAR25 <= 1'b1; end else if(VAR23 > 1) VAR12 <= 1'b1; end else if(VAR23 == 1) VAR2 <= 1'b1; end if(VAR24 == 75) begin if(VAR18 > 0) begin VAR25 <= 1'b1; if(VAR23 > 0) VAR2 <= 1'b1; end else if(VAR23 > 2) VAR15 <= 1'b1; end else if(VAR23 > 1) VAR12 <= 1'b1; else if(VAR23 == 1) VAR2 <= 1'b1; end if(VAR24 == 80) begin if(VAR18 > 1) VAR11 <= 1'b1; end else if(VAR18 > 0) begin VAR25 <= 1'b1; if(VAR23 > 1) VAR12 <= 1'b1; end else if(VAR23 > 0) VAR2 <= 1'b1; end else if(VAR23 > 1) VAR3 <= 1'b1; else if(VAR23 > 1) VAR12 <= 1'b1; else if(VAR23 == 1) VAR2 <= 1'b1; end end else begin VAR25 <= 1'b0; VAR11 <= 1'b0; VAR2 <= 1'b0; VAR12 <= 1'b0; VAR15 <= 1'b0; VAR3 <= 1'b0; VAR13 <= 1'b0; end end always @(posedge VAR16) begin if(VAR2 || VAR12 || VAR15 || VAR3 || VAR25 || VAR11 || VAR13) begin if(VAR2 || VAR12 || VAR15 || VAR3) begin if(VAR2) VAR30 <= 1; end else if(VAR12) VAR1 <= 1; end else if(VAR15) VAR26 <= 1; end else if(VAR3) VAR27 <= 1; end if(VAR25) VAR6 <= 1; if(VAR11) VAR7 <= 1; if(VAR13) VAR10 <= 1; VAR17 <= 1; end else if(VAR20) begin VAR30 <= VAR30; VAR1 <= VAR1; VAR26 <= VAR26; VAR27 <= VAR27; VAR6 <= VAR6; VAR10 <= VAR10; VAR29 <= VAR29 + 28'd1; end else begin VAR30 <= 0; VAR1 <= 0; VAR26 <= 0; VAR27 <= 0; VAR6 <= 0; VAR7 <= 0; VAR10 <= 0; VAR29 = 28'd0; VAR17 <= 0; end end always @(VAR30 or VAR1 or VAR26 or VAR27 or VAR6 or VAR7 or VAR10 or VAR29 or VAR20) begin VAR20 = 1; if(VAR7) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR31 = 1; if(VAR29 >= 150000000) begin VAR31 = 0; VAR20 = 0; end end end else if(VAR6 && VAR1) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR31 = 1; if(VAR29 >= 100000000) begin VAR31 = 0; VAR5 = 1; if(VAR29 >= 200000000) begin VAR5 = 0; VAR20 = 0; end end end end else if(VAR6 && VAR30) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR31 = 1; if(VAR29 >= 100000000) begin VAR31 = 0; VAR5 = 1; if(VAR29 >= 150000000) begin VAR5 = 0; VAR20 = 0; end end end end else if(VAR6) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR31 = 1; if(VAR29 >= 100000000) begin VAR31 = 0; VAR20 = 0; end end end else if(VAR27) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR5 = 1; if(VAR29 >= 250000000) begin VAR5 = 0; VAR20 = 0; end end end else if(VAR26) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR5 = 1; if(VAR29 >= 200000000) begin VAR5 = 0; VAR20 = 0; end end end else if(VAR1) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR5 = 1; if(VAR29 == 150000000) begin VAR5 = 0; VAR20 = 0; end end end else if(VAR30) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR5 = 1; if(VAR29 >= 100000000) begin VAR5 = 0; VAR20 = 0; end end end else if(VAR10) begin VAR32 = 1; if(VAR29 >= 50000000) begin VAR32 = 0; VAR20 = 0; end end else begin VAR5 = 0; VAR31 = 0; VAR32 = 0; VAR20 = 0; end end assign VAR4 = (VAR18 == 0) && (VAR23 == 0); endmodule
mit
vipinkmenon/fpgadriver
src/hw/fpga/source/switch_top.v
27,520
module MODULE1 # ( parameter VAR259 = 1, parameter VAR48 = 3, parameter VAR124 = 1, parameter VAR29 = 1, parameter VAR375 = 10, parameter VAR342 = 1, parameter VAR1 = 8, parameter VAR315 = 64, parameter VAR455 = 8, parameter VAR50 = 14, parameter VAR248 = 4, parameter VAR88 = 4, parameter VAR45 = 1, parameter VAR98 = 1, parameter VAR383 = 1 ) ( input VAR428, input VAR427, inout [VAR315-1:0] VAR108, output [VAR50-1:0] VAR61, output [VAR48-1:0]VAR257, output VAR171, output VAR449, output VAR139, output VAR75, output [(VAR342*VAR259)-1:0] VAR83, output [(VAR342*VAR259)-1:0] VAR396, output [VAR29-1:0] VAR254, output [VAR1-1:0] VAR5, inout [VAR455-1:0] VAR28, inout [VAR455-1:0] VAR341, output [VAR124-1:0] VAR400, output [VAR124-1:0] VAR16, output VAR130, output VAR394, output VAR23, output [3:0] VAR314, output [3:0] VAR292, input [3:0] VAR8, input [3:0] VAR434, input VAR94, input VAR110, input VAR336, output VAR53, output VAR239, output [7:0] VAR27, output VAR312, output VAR65, output VAR346, input [7:0] VAR197, input VAR353, input VAR155, input VAR118, input VAR318, input VAR56, input VAR235, output VAR103, input VAR419, output VAR360, output VAR149, input VAR7, input VAR435, output VAR271, output VAR44, input VAR388, input VAR62, output VAR202, output VAR186, output VAR369, output VAR361, output VAR373, output VAR47, output VAR49, output [31:0] VAR34, output [19:0] VAR193, output VAR122, input [31:0] VAR10, input VAR410, output VAR189, input [255:0] VAR389, input [31:0] VAR433, input VAR64, input [31:0] VAR42, input VAR352, output [255:0] VAR371, output VAR11, output VAR164, output VAR441, input VAR349, output VAR51, output VAR323, input VAR220, output [63:0] VAR97, input VAR159, output VAR274, input [63:0] VAR289, output VAR304, input VAR178, output [63:0] VAR152, input VAR234, output VAR181, input [63:0] VAR127, output VAR20, input VAR203, output [63:0] VAR251, input VAR325, output VAR436, input [63:0] VAR232, output VAR302, input VAR227, output [63:0] VAR334, input VAR238, output VAR350, input [63:0] VAR3, input VAR37, output VAR67, input [63:0] VAR305, output VAR151, input VAR377, output [63:0] VAR82, input VAR93, output VAR269, input [63:0] VAR111, output VAR46, input VAR22, output [63:0] VAR32, input VAR275, output VAR332, input [63:0] VAR452, output VAR255, input VAR87, output [63:0] VAR192, input VAR71, output VAR252, input [63:0] VAR240, output VAR258, input VAR153, output [63:0] VAR109 ); wire VAR414; wire VAR357; wire VAR276; wire [255:0] VAR84; wire [31:0] VAR157; wire [31:0] VAR392; wire [255:0] VAR263; wire [255:0] VAR340; wire [255:0] VAR247; wire [2:0] VAR368; wire [31:0] VAR180; wire [31:0] VAR429; wire VAR426; wire VAR176; wire VAR175; wire VAR128; wire [255:0] VAR411; wire [31:0] VAR440; wire [31:0] VAR213; wire [31:0] VAR331; wire [31:0] VAR355; wire [31:0] VAR430; wire [31:0] VAR262; wire [255:0] VAR442; wire [31:0] VAR222; wire [31:0] VAR294; wire [31:0] VAR141; wire [31:0] VAR91; wire [31:0] VAR385; wire [255:0] VAR413; wire [31:0] VAR158; wire [31:0] VAR273; wire [31:0] VAR282; wire [31:0] VAR132; wire [31:0] VAR177; wire [255:0] VAR293; wire [31:0] VAR210; wire [31:0] VAR367; wire [31:0] VAR59; wire [31:0] VAR148; wire [31:0] VAR119; wire [255:0] VAR102; wire [31:0] VAR403; wire [255:0] VAR356; wire [31:0] VAR57; wire [255:0] VAR168; wire [255:0] VAR319; wire [255:0] VAR283; wire [31:0] VAR358; wire [31:0] VAR105; wire [31:0] VAR456; wire [31:0] VAR96; wire [31:0] VAR54; wire [31:0] VAR131; wire [31:0] VAR363; wire VAR249; wire VAR21; wire [31:0] VAR33; wire [31:0] VAR89; wire VAR38; wire VAR261; wire VAR73; wire VAR393; wire VAR196; wire VAR113; wire VAR221; wire VAR242; wire VAR204; wire VAR424; wire VAR14; wire VAR13; wire VAR382; wire VAR272; wire VAR100; wire VAR115; wire VAR366; wire VAR104; wire VAR224; wire VAR444; wire VAR99; wire VAR106; wire VAR265; wire VAR316; wire VAR140; wire VAR226; wire VAR200; wire VAR408; wire VAR126; wire VAR443; wire VAR345; wire VAR447; wire VAR337; wire VAR125; wire VAR407; wire VAR387; wire VAR348; wire VAR169; wire VAR117; wire VAR386; wire VAR417; wire VAR418; wire VAR60; wire VAR322; wire VAR150; wire VAR320; wire VAR286; wire VAR246; wire VAR390; wire [31:0] VAR40; wire [31:0] VAR201; wire [31:0] VAR74; wire [31:0] VAR351; wire [31:0] VAR69; wire [31:0] VAR241; wire [31:0] VAR451; wire [31:0] VAR306; wire VAR285; wire VAR343; wire VAR409; wire VAR120; wire VAR372; wire VAR317; wire VAR379; wire VAR211; assign VAR361 = VAR414; assign VAR47 = VAR426; assign VAR49 = VAR128; VAR370 #( .VAR248(VAR248), .VAR98(VAR98), .VAR383(VAR383) ) VAR370 ( .VAR314(VAR314), .VAR292(VAR292), .VAR8(VAR8), .VAR434(VAR434), .VAR94(VAR94), .VAR110(VAR110), .VAR336(VAR336), .VAR209(VAR414), .VAR445(VAR357), .VAR279(VAR84), .VAR190(VAR180), .VAR344(VAR276), .VAR327(VAR157), .VAR12(VAR214), .VAR43(VAR296), .VAR391(VAR356), .VAR264(VAR176), .VAR2(VAR373), .VAR307(VAR369), .VAR187(VAR128), .VAR309(VAR34), .VAR160(VAR193), .VAR448(VAR122), .VAR217(VAR10), .VAR420(VAR410), .VAR199(VAR189), .VAR439(VAR349), .VAR147(VAR51), .VAR323(VAR323), .VAR220(VAR220), .VAR97(VAR97), .VAR159(VAR159), .VAR274(VAR274), .VAR289(VAR289), .VAR304(VAR304), .VAR178(VAR178), .VAR152(VAR152), .VAR234(VAR234), .VAR181(VAR181), .VAR127(VAR127), .VAR20(VAR20), .VAR203(VAR203), .VAR251(VAR251), .VAR325(VAR325), .VAR436(VAR436), .VAR232(VAR232), .VAR302(VAR302), .VAR227(VAR227), .VAR334(VAR334), .VAR238(VAR238), .VAR350(VAR350), .VAR3(VAR3), .VAR137(VAR226), .VAR406(VAR443), .VAR165(VAR125), .VAR80(VAR355), .VAR324(VAR430), .VAR310(VAR169), .VAR92(VAR418), .VAR278(VAR320), .VAR423(VAR40), .VAR243(VAR69), .VAR207(VAR141), .VAR438(VAR91), .VAR19(VAR200), .VAR288(VAR345), .VAR412(VAR407), .VAR219(VAR117), .VAR297(VAR60), .VAR216(VAR286), .VAR250(VAR201), .VAR338(VAR241), .VAR63(VAR282), .VAR270(VAR132), .VAR179(VAR408), .VAR281(VAR447), .VAR133(VAR387), .VAR376(VAR386), .VAR166(VAR322), .VAR223(VAR246), .VAR380(VAR74), .VAR245(VAR451), .VAR6(VAR59), .VAR185(VAR148), .VAR208(VAR126), .VAR266(VAR337), .VAR41(VAR348), .VAR79(VAR417), .VAR268(VAR150), .VAR215(VAR390), .VAR311(VAR351), .VAR230(VAR306), .VAR53(VAR53), .VAR143(VAR130), .VAR95(VAR186), .VAR174(VAR123), .VAR267(VAR285), .VAR308(VAR343), .VAR198(VAR446), .VAR218(VAR96), .VAR182(VAR54), .VAR138(VAR131), .VAR384(VAR363), .VAR236(VAR89), .VAR395(VAR33), .VAR298(VAR249), .VAR156(VAR21) ); VAR421 VAR421( .VAR428(VAR428), .VAR427(VAR427), .VAR108(VAR108), .VAR61(VAR61), .VAR257(VAR257), .VAR171(VAR171), .VAR449(VAR449), .VAR139(VAR139), .VAR75(VAR75), .VAR83(VAR83), .VAR396(VAR396), .VAR254(VAR254), .VAR5(VAR5), .VAR28(VAR28), .VAR341(VAR341), .VAR400(VAR400), .VAR16(VAR16), .VAR130(VAR130), .VAR394(VAR394), .VAR23(VAR23), .VAR161(!VAR336), .VAR426(VAR426), .VAR77(VAR414), .VAR68(VAR175), .VAR25(VAR25), .VAR263(VAR263), .VAR429(VAR429), .VAR129(VAR129), .VAR392(VAR392), .VAR368(VAR368), .VAR253(VAR253), .VAR144(VAR144), .VAR72(VAR72), .VAR340(VAR340), .VAR76(VAR76), .VAR66(VAR66), .VAR123(VAR123) ); generate if(VAR45 == 1) begin:VAR231 VAR86 VAR146( .VAR432(VAR175), .VAR374(VAR285), .VAR85(VAR414), .VAR239(VAR239), .VAR27(VAR27), .VAR312(VAR312), .VAR65(VAR65), .VAR346(VAR346), .VAR197(VAR197), .VAR353(VAR353), .VAR155(VAR155), .VAR118(VAR118), .VAR318(VAR318), .VAR56(VAR56), .VAR235(VAR235), .VAR7(VAR7), .VAR435(VAR435), .VAR271(VAR271), .VAR44(VAR44), .VAR388(VAR388), .VAR62(VAR62), .VAR202(VAR202), .VAR186(VAR186), .VAR103(VAR103), .VAR419(VAR419), .VAR360(VAR360), .VAR149(VAR149), .VAR457(VAR343), .VAR295(VAR446), .VAR135(VAR131), .VAR31(VAR363), .VAR136(VAR54), .VAR431(VAR96), .VAR290(VAR89), .VAR142(VAR33), .VAR228(VAR249), .VAR121(VAR21), .VAR445(VAR120), .VAR188(VAR372), .VAR279(VAR283), .VAR190(VAR456), .VAR35(VAR358), .VAR422(VAR105), .VAR321(VAR319), .VAR344(VAR317), .VAR107(VAR379), .VAR116(VAR211) ); end endgenerate VAR9 VAR398 ( .VAR326(VAR414), .VAR432(VAR175), .VAR25(VAR25), .VAR263(VAR263), .VAR429(VAR429), .VAR129(VAR129), .VAR392(VAR392), .VAR368(VAR368), .VAR253(VAR253), .VAR144(VAR144), .VAR72(VAR72), .VAR340(VAR340), .VAR76(VAR76), .VAR66(VAR66), .VAR300(VAR84), .VAR170(VAR357), .VAR225(VAR180), .VAR453(VAR157), .VAR4(VAR157), .VAR154(VAR276), .VAR399(VAR214), .VAR233(VAR356), .VAR24(VAR296), .VAR173(VAR176), .VAR112(VAR168), .VAR55(VAR57), .VAR359(VAR316), .VAR405(VAR440), .VAR333(VAR99), .VAR244(VAR247), .VAR277(VAR265), .VAR365(VAR213), .VAR70(VAR140), .VAR301(VAR106), .VAR101(VAR389), .VAR416(VAR433), .VAR39(VAR64), .VAR378(VAR42), .VAR454(VAR42), .VAR299(VAR352), .VAR354(VAR371), .VAR194(VAR11), .VAR397(VAR164), .VAR260(VAR441), .VAR425(VAR283), .VAR330(VAR456), .VAR58(VAR120), .VAR26(VAR358), .VAR280(VAR105), .VAR191(VAR372), .VAR437(VAR319), .VAR163(VAR211), .VAR36(VAR317), .VAR183(VAR379) ); generate if(VAR88 >= 1) begin:VAR415 VAR78 VAR401 ( .VAR209(VAR414), .VAR329(VAR373), .VAR18(~VAR175), .VAR184(VAR226), .VAR195(VAR443), .VAR172(VAR125), .VAR335(VAR355), .VAR381(VAR430), .VAR167(VAR169), .VAR328(VAR418), .VAR364(VAR320), .VAR237(VAR40), .VAR205(VAR69), .VAR188(VAR38), .VAR107(VAR196), .VAR422(VAR331), .VAR116(VAR366), .VAR321(VAR411), .VAR402(VAR151), .VAR287(VAR377), .VAR134(VAR82), .VAR445(VAR204), .VAR344(VAR382), .VAR35(VAR262), .VAR279(VAR442), .VAR81(VAR222), .VAR114(VAR37), .VAR90(VAR67), .VAR303(VAR305) ); end if(VAR88 >= 2) begin:VAR145 VAR78 VAR229 ( .VAR209(VAR414), .VAR329(VAR373), .VAR18(~VAR175), .VAR184(VAR200), .VAR195(VAR345), .VAR172(VAR407), .VAR335(VAR141), .VAR381(VAR91), .VAR167(VAR117), .VAR328(VAR60), .VAR364(VAR286), .VAR237(VAR201), .VAR205(VAR241), .VAR188(VAR261), .VAR107(VAR113), .VAR422(VAR294), .VAR116(VAR104), .VAR321(VAR411), .VAR402(VAR46), .VAR287(VAR22), .VAR134(VAR32), .VAR445(VAR424), .VAR344(VAR272), .VAR35(VAR385), .VAR279(VAR413), .VAR81(VAR158), .VAR114(VAR93), .VAR90(VAR269), .VAR303(VAR111) ); end if(VAR88 >= 3) begin:VAR15 VAR78 VAR256 ( .VAR209(VAR414), .VAR329(VAR373), .VAR18(~VAR175), .VAR184(VAR408), .VAR195(VAR447), .VAR172(VAR387), .VAR335(VAR282), .VAR381(VAR132), .VAR167(VAR386), .VAR328(VAR322), .VAR364(VAR246), .VAR237(VAR74), .VAR205(VAR451), .VAR188(VAR73), .VAR107(VAR221), .VAR422(VAR273), .VAR116(VAR224), .VAR321(VAR411), .VAR402(VAR255), .VAR287(VAR87), .VAR134(VAR192), .VAR445(VAR14), .VAR344(VAR100), .VAR35(VAR177), .VAR279(VAR293), .VAR81(VAR210), .VAR114(VAR275), .VAR90(VAR332), .VAR303(VAR452) ); end if(VAR88 >= 4) begin:VAR284 VAR78 VAR313 ( .VAR209(VAR414), .VAR329(VAR373), .VAR18(~VAR175), .VAR184(VAR126), .VAR195(VAR337), .VAR172(VAR348), .VAR335(VAR59), .VAR381(VAR148), .VAR167(VAR417), .VAR328(VAR150), .VAR364(VAR390), .VAR237(VAR351), .VAR205(VAR306), .VAR188(VAR393), .VAR107(VAR242), .VAR422(VAR367), .VAR116(VAR444), .VAR321(VAR411), .VAR402(VAR258), .VAR287(VAR153), .VAR134(VAR109), .VAR445(VAR13), .VAR344(VAR115), .VAR35(VAR119), .VAR279(VAR102), .VAR81(VAR403), .VAR114(VAR71), .VAR90(VAR252), .VAR303(VAR240) ); end if(VAR88 >= 1) begin:VAR404 VAR17 #( .VAR162(VAR88) ) VAR339 ( .VAR326(VAR414), .VAR18(~VAR175), .VAR52({VAR393,VAR73,VAR261,VAR38}), .VAR441({VAR242,VAR221,VAR113,VAR196}), .VAR450({VAR367,VAR273,VAR294,VAR331}), .VAR11({VAR444,VAR224,VAR104,VAR366}), .VAR371(VAR411), .VAR206({VAR13,VAR14,VAR424,VAR204}), .VAR164({VAR115,VAR100,VAR272,VAR382}), .VAR291({VAR119,VAR177,VAR385,VAR262}), .VAR389({VAR102,VAR293,VAR413,VAR442}), .VAR347({VAR403,VAR210,VAR158,VAR222}), .VAR188(VAR99), .VAR107(VAR106), .VAR362(VAR247), .VAR116(VAR265), .VAR35(VAR440), .VAR422(VAR213), .VAR445(VAR316), .VAR344(VAR140), .VAR30(VAR168), .VAR212(VAR57) ); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o41a/sky130_fd_sc_ms__o41a.functional.pp.v
2,047
module MODULE1 ( VAR14 , VAR1 , VAR12 , VAR7 , VAR17 , VAR13 , VAR3, VAR2, VAR8 , VAR15 ); output VAR14 ; input VAR1 ; input VAR12 ; input VAR7 ; input VAR17 ; input VAR13 ; input VAR3; input VAR2; input VAR8 ; input VAR15 ; wire VAR18 ; wire VAR10 ; wire VAR6; or VAR9 (VAR18 , VAR17, VAR7, VAR12, VAR1 ); and VAR4 (VAR10 , VAR18, VAR13 ); VAR16 VAR5 (VAR6, VAR10, VAR3, VAR2); buf VAR11 (VAR14 , VAR6 ); endmodule
apache-2.0
CatherineH/QubitekkCC
CC1/src/DE0Nano/verilog/four_bit_counter_enable.v
4,620
module MODULE1 ( VAR20, VAR14, VAR23, VAR21); input VAR20; input VAR14; input VAR23; output [3:0] VAR21; wire [3:0] VAR13; wire [3:0] VAR21 = VAR13[3:0]; VAR2 VAR6 ( .VAR20 (VAR20), .VAR14 (VAR14), .VAR23 (VAR23), .VAR21 (VAR13), .VAR16 (1'b0), .VAR8 (1'b0), .VAR25 (1'b1), .VAR5 (1'b1), .VAR1 (), .VAR19 ({4{1'b0}}), .VAR12 (), .VAR22 (1'b0), .VAR9 (1'b0), .VAR17 (1'b0), .VAR10 (1'b1)); VAR6.VAR24 = "VAR15", VAR6.VAR4 = "VAR11", VAR6.VAR18 = "VAR3", VAR6.VAR7 = 4; endmodule
mit
mbus/mbus
m3_mbus_releases/r04p2g/source/lname_mbus_member_ctrl.tps65.v
9,473
module MODULE1 ( input VAR69, input VAR33, input VAR2, input VAR89, input VAR3, output VAR94, output VAR9, input VAR113, input VAR104, output VAR13, output VAR74, output VAR116, output VAR48, output VAR123, output VAR126, input VAR81, output VAR49, input VAR30, input VAR60, input [3:0] VAR51, output [3:0] VAR77, output VAR124, input VAR32, input VAR98 ); wire VAR68; wire VAR103; wire VAR129; wire VAR46; wire VAR73; wire VAR118; wire VAR25; wire VAR119; wire VAR22; wire VAR1; wire VAR19; wire VAR97; wire VAR29; wire VAR57; wire VAR67; wire VAR52; wire VAR128; wire VAR40; wire VAR80; wire VAR93; wire VAR108; wire VAR88; wire VAR66; wire VAR125; wire VAR50; wire VAR12; wire VAR4; wire VAR91; wire VAR31; wire [3:0] VAR75; wire VAR39; wire VAR14; VAR44 VAR58 (.VAR109(VAR68), .VAR79(VAR33)); VAR47 VAR23 (.VAR109(VAR103), .VAR79(VAR68)); VAR44 VAR84 (.VAR109(VAR129), .VAR79(VAR19)); VAR59 VAR7 (.VAR109(VAR123), .VAR79(VAR129)); VAR59 VAR70 (.VAR109(VAR126), .VAR79(VAR19)); VAR44 VAR92 (.VAR109(VAR46), .VAR79(VAR1)); VAR59 VAR83 (.VAR109(VAR13), .VAR79(VAR46)); VAR59 VAR54 (.VAR109(VAR74), .VAR79(VAR1)); VAR44 VAR43 (.VAR109(VAR73), .VAR79(VAR29)); VAR59 VAR87 (.VAR109(VAR116), .VAR79(VAR73)); VAR59 VAR78 (.VAR109(VAR48), .VAR79(VAR29)); VAR44 VAR15 (.VAR109(VAR118), .VAR79(VAR25)); VAR61 VAR115 (.VAR86(VAR97), .VAR53(VAR119), .VAR79(VAR19), .VAR109(VAR25)); VAR44 VAR16 (.VAR109(VAR119), .VAR79(VAR22)); VAR20 VAR17 (.VAR109(VAR22), .VAR79(VAR113), .VAR53(VAR46)); VAR114 VAR6 (.VAR112(VAR69), .VAR56(1'b1), .VAR82(VAR103), .VAR8(VAR1), .VAR100(), .VAR121(VAR118)); VAR114 VAR95 (.VAR112(VAR69), .VAR56(1'b1), .VAR82(VAR103), .VAR8(VAR19), .VAR100(), .VAR121(VAR97)); VAR114 VAR71 (.VAR112(1'b1), .VAR56(VAR69), .VAR82(VAR103), .VAR8(VAR97), .VAR100(), .VAR121(VAR119)); VAR114 VAR18 (.VAR112(VAR69), .VAR56(1'b1), .VAR82(VAR68), .VAR8(VAR29), .VAR100(), .VAR121(VAR1)); VAR72 VAR42 (.VAR109(VAR57), .VAR79(VAR74), .VAR53(VAR81)); VAR44 VAR76 (.VAR109(VAR67), .VAR79(VAR57)); VAR72 VAR10 (.VAR109(VAR52), .VAR79(VAR33), .VAR53(VAR69)); VAR72 VAR122 (.VAR109(VAR128), .VAR79(VAR67), .VAR53(VAR69)); VAR20 VAR107 (.VAR79(VAR98), .VAR53(VAR73), .VAR109(VAR40)); VAR99 VAR101 (.VAR79(VAR104), .VAR53(VAR40), .VAR86(VAR32), .VAR109(VAR93)); VAR47 VAR45 (.VAR109(VAR80), .VAR79(VAR93)); VAR65 VAR35 (.VAR56(VAR52), .VAR82(VAR80), .VAR8(VAR108), .VAR100(), .VAR121(1'b1)); VAR65 VAR105 (.VAR56(VAR128), .VAR82(VAR80), .VAR8(VAR49), .VAR100(), .VAR121(1'b1)); VAR5 VAR55 (.VAR109(VAR88), .VAR79(VAR89), .VAR53(VAR13)); VAR38 VAR21 (.VAR64(VAR13), .VAR79(VAR88), .VAR109(VAR66), .VAR53(VAR33)); VAR38 VAR62 (.VAR64(VAR69), .VAR79(1'b1), .VAR109(VAR125), .VAR53(VAR66)); VAR26 VAR127 (.VAR79(VAR125), .VAR109(VAR94)); VAR5 VAR41 (.VAR109(VAR50), .VAR79(VAR3), .VAR53(VAR13)); VAR38 VAR110 (.VAR64(VAR13), .VAR79(VAR50), .VAR109(VAR12), .VAR53(VAR2)); VAR38 VAR37 (.VAR64(VAR108), .VAR79(VAR12), .VAR109(VAR4), .VAR53(1'b0)); VAR38 VAR90 (.VAR64(VAR69), .VAR79(1'b1), .VAR109(VAR91), .VAR53(VAR4)); VAR26 VAR85 (.VAR79(VAR91), .VAR109(VAR9)); VAR5 VAR120 (.VAR79(VAR13), .VAR53(VAR60), .VAR109(VAR31)); VAR72 VAR28 (.VAR79(VAR74), .VAR53(VAR51[0]), .VAR109(VAR75[0])); VAR72 VAR11 (.VAR79(VAR74), .VAR53(VAR51[1]), .VAR109(VAR75[1])); VAR72 VAR63 (.VAR79(VAR74), .VAR53(VAR51[2]), .VAR109(VAR75[2])); VAR72 VAR102 (.VAR79(VAR74), .VAR53(VAR51[3]), .VAR109(VAR75[3])); VAR72 VAR24 (.VAR79(VAR69), .VAR53(VAR31), .VAR109(VAR39)); VAR72 VAR36 (.VAR79(VAR74), .VAR53(VAR30), .VAR109(VAR14)); VAR96 VAR27 (.VAR82(VAR14), .VAR121(VAR75[0]), .VAR112(VAR39), .VAR8(VAR77[0]), .VAR100()); VAR96 VAR34 (.VAR82(VAR14), .VAR121(VAR75[1]), .VAR112(VAR39), .VAR8(VAR77[1]), .VAR100()); VAR96 VAR117 (.VAR82(VAR14), .VAR121(VAR75[2]), .VAR112(VAR39), .VAR8(VAR77[2]), .VAR100()); VAR96 VAR106 (.VAR82(VAR14), .VAR121(VAR75[3]), .VAR112(VAR39), .VAR8(VAR77[3]), .VAR100()); VAR65 VAR111 (.VAR82(VAR14), .VAR121(1'b1), .VAR56(VAR39), .VAR8(VAR124), .VAR100()); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_cpack/util_cpack_mux.v
109,615
module MODULE1 ( VAR28, VAR55, VAR33, VAR30, VAR25, VAR22, VAR54, VAR29, VAR51, VAR46, VAR48, VAR62, VAR41, VAR59, VAR9, VAR2, VAR40, VAR47, VAR27, VAR31, VAR7); input VAR28; input VAR55; input [ 7:0] VAR33; input [127:0] VAR30; output VAR25; output VAR22; output [ 15:0] VAR54; output VAR29; output [ 31:0] VAR51; output VAR46; output [ 47:0] VAR48; output VAR62; output [ 63:0] VAR41; output VAR59; output [ 79:0] VAR9; output VAR2; output [ 95:0] VAR40; output VAR47; output [111:0] VAR27; output VAR31; output [127:0] VAR7; reg VAR16 = 'd0; reg VAR25 = 'd0; reg VAR22 = 'd0; reg VAR29 = 'd0; reg VAR46 = 'd0; reg VAR62 = 'd0; reg VAR59 = 'd0; reg VAR2 = 'd0; reg VAR47 = 'd0; reg VAR31 = 'd0; reg [ 15:0] VAR54 = 'd0; reg [ 31:0] VAR51 = 'd0; reg [ 47:0] VAR48 = 'd0; reg [ 63:0] VAR41 = 'd0; reg [ 79:0] VAR9 = 'd0; reg [ 95:0] VAR40 = 'd0; reg [111:0] VAR27 = 'd0; reg [127:0] VAR7 = 'd0; reg VAR52 = 'd0; reg [ 15:0] VAR13 = 'd0; reg VAR35 = 'd0; reg [ 31:0] VAR49 = 'd0; reg VAR17 = 'd0; reg [ 31:0] VAR36 = 'd0; reg VAR56 = 'd0; reg [ 47:0] VAR38 = 'd0; reg VAR45 = 'd0; reg [ 47:0] VAR60 = 'd0; reg VAR8 = 'd0; reg [ 47:0] VAR10 = 'd0; reg VAR50 = 'd0; reg [ 47:0] VAR19 = 'd0; reg VAR24 = 'd0; reg [ 63:0] VAR18 = 'd0; reg VAR42 = 'd0; reg [ 63:0] VAR11 = 'd0; reg VAR15 = 'd0; reg [ 63:0] VAR20 = 'd0; reg VAR44 = 'd0; reg [ 63:0] VAR26 = 'd0; reg VAR12 = 'd0; reg [ 63:0] VAR6 = 'd0; reg VAR37 = 'd0; reg [ 79:0] VAR57 = 'd0; reg VAR53 = 'd0; reg [ 79:0] VAR21 = 'd0; reg VAR58 = 'd0; reg [ 79:0] VAR1 = 'd0; reg VAR32 = 'd0; reg [ 79:0] VAR34 = 'd0; reg VAR4 = 'd0; reg [ 95:0] VAR43 = 'd0; reg VAR39 = 'd0; reg [ 95:0] VAR61 = 'd0; reg VAR14 = 'd0; reg [111:0] VAR23 = 'd0; reg VAR3 = 'd0; reg [127:0] VAR5 = 'd0; always @(posedge VAR28) begin VAR16 <= VAR55; VAR25 <= VAR16; end always @(posedge VAR28) begin VAR22 <= VAR52; VAR29 <= VAR35 | VAR17; VAR46 <= VAR56 | VAR45 | VAR8 | VAR50; VAR62 <= VAR24 | VAR42 | VAR15 | VAR44 | VAR12; VAR59 <= VAR37 | VAR53 | VAR58 | VAR32; VAR2 <= VAR4 | VAR39; VAR47 <= VAR14; VAR31 <= VAR3; end always @(posedge VAR28) begin VAR54 <= VAR13; VAR51 <= VAR49 | VAR36; VAR48 <= VAR38 | VAR60 | VAR10 | VAR19; VAR41 <= VAR18 | VAR11 | VAR20 | VAR26 | VAR6; VAR9 <= VAR57 | VAR21 | VAR1 | VAR34; VAR40 <= VAR43 | VAR61; VAR27 <= VAR23; VAR7 <= VAR5; end always @(posedge VAR28) begin case (VAR33) 8'b00000001: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; end 8'b00000010: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; end 8'b00000100: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; end 8'b00001000: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00010000: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00100000: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01000000: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10000000: begin VAR52 <= 1'b1; VAR13[((16*0)+15):(16*0)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR52 <= 'd0; VAR13 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00000011: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; end 8'b00000101: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; end 8'b00000110: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; end 8'b00001001: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00001010: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00001100: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00010001: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00010010: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00010100: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011000: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00100001: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00100010: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00100100: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101000: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110000: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01000001: begin VAR35 <= 1'b1; VAR49[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR49[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end default: begin VAR35 <= 'd0; VAR49 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01000010: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01000100: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*5)+15):(16*5)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10000001: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10000010: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10000100: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*5)+15):(16*5)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000000: begin VAR17 <= 1'b1; VAR36[((16*0)+15):(16*0)] <= VAR30[((16*6)+15):(16*6)]; VAR36[((16*1)+15):(16*1)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR17 <= 'd0; VAR36 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00000111: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; end 8'b00001011: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00001101: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00001110: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00010011: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00010101: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00010110: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011001: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011010: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011100: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00100011: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00100101: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00100110: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101001: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101010: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101100: begin VAR56 <= 1'b1; VAR38[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR38[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR38[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end default: begin VAR56 <= 'd0; VAR38 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00110001: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110010: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110100: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111000: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01000011: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01000101: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01000110: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001001: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001010: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001100: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010001: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010010: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010100: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011000: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100001: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100010: begin VAR45 <= 1'b1; VAR60[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR60[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR60[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end default: begin VAR45 <= 'd0; VAR60 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01100100: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101000: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110000: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10000011: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10000101: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10000110: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001001: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001010: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001100: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010001: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010010: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010100: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011000: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100001: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100010: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100100: begin VAR8 <= 1'b1; VAR10[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR10[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR10[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR8 <= 'd0; VAR10 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b10101000: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110000: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000001: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000010: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000100: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001000: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010000: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100000: begin VAR50 <= 1'b1; VAR19[((16*0)+15):(16*0)] <= VAR30[((16*5)+15):(16*5)]; VAR19[((16*1)+15):(16*1)] <= VAR30[((16*6)+15):(16*6)]; VAR19[((16*2)+15):(16*2)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR50 <= 'd0; VAR19 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00001111: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; end 8'b00010111: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011011: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011101: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00011110: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00100111: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101011: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101101: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00101110: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110011: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110101: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110110: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111001: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111010: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111100: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01000111: begin VAR24 <= 1'b1; VAR18[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR18[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR18[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR18[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end default: begin VAR24 <= 'd0; VAR18 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01001011: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001101: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01001110: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010011: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010101: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010110: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011001: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011010: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011100: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100011: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100101: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100110: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101001: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101010: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101100: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110001: begin VAR42 <= 1'b1; VAR11[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR11[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR11[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR11[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end default: begin VAR42 <= 'd0; VAR11 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01110010: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110100: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111000: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10000111: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001011: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001101: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10001110: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010011: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010101: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010110: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011001: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011010: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011100: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100011: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100101: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100110: begin VAR15 <= 1'b1; VAR20[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR20[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR20[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR20[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR15 <= 'd0; VAR20 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b10101001: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101010: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101100: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110001: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110010: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110100: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111000: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000011: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000101: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000110: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001001: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001010: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001100: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010001: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010010: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010100: begin VAR44 <= 1'b1; VAR26[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR26[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR26[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR26[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR44 <= 'd0; VAR26 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b11011000: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100001: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100010: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100100: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101000: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110000: begin VAR12 <= 1'b1; VAR6[((16*0)+15):(16*0)] <= VAR30[((16*4)+15):(16*4)]; VAR6[((16*1)+15):(16*1)] <= VAR30[((16*5)+15):(16*5)]; VAR6[((16*2)+15):(16*2)] <= VAR30[((16*6)+15):(16*6)]; VAR6[((16*3)+15):(16*3)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR12 <= 'd0; VAR6 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00011111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; end 8'b00101111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00110111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111011: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111101: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; end 8'b00111110: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01001111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01010111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011011: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011101: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01011110: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01100111: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101011: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101101: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101110: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110011: begin VAR37 <= 1'b1; VAR57[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR57[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR57[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR57[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR57[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end default: begin VAR37 <= 'd0; VAR57 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01110101: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110110: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111001: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111010: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111100: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10001111: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10010111: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011011: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011101: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10011110: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10100111: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101011: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101101: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101110: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110011: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110101: begin VAR53 <= 1'b1; VAR21[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR21[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR21[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR21[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR21[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR53 <= 'd0; VAR21 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b10110110: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111001: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111010: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111100: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11000111: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001011: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001101: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001110: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010011: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010101: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010110: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011001: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011010: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011100: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100011: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100101: begin VAR58 <= 1'b1; VAR1[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR1[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR1[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR1[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR1[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR58 <= 'd0; VAR1 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b11100110: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101001: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101010: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101100: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110001: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110010: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110100: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111000: begin VAR32 <= 1'b1; VAR34[((16*0)+15):(16*0)] <= VAR30[((16*3)+15):(16*3)]; VAR34[((16*1)+15):(16*1)] <= VAR30[((16*4)+15):(16*4)]; VAR34[((16*2)+15):(16*2)] <= VAR30[((16*5)+15):(16*5)]; VAR34[((16*3)+15):(16*3)] <= VAR30[((16*6)+15):(16*6)]; VAR34[((16*4)+15):(16*4)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR32 <= 'd0; VAR34 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b00111111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*5)+15):(16*5)]; end 8'b01011111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01101111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01110111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111011: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111101: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b01111110: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10011111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10101111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10110111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111011: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111101: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b10111110: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11001111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11010111: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011011: begin VAR4 <= 1'b1; VAR43[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR43[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR43[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR43[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR43[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR43[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR4 <= 'd0; VAR43 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b11011101: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011110: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11100111: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101011: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101101: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101110: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110011: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110101: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110110: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111001: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111010: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111100: begin VAR39 <= 1'b1; VAR61[((16*0)+15):(16*0)] <= VAR30[((16*2)+15):(16*2)]; VAR61[((16*1)+15):(16*1)] <= VAR30[((16*3)+15):(16*3)]; VAR61[((16*2)+15):(16*2)] <= VAR30[((16*4)+15):(16*4)]; VAR61[((16*3)+15):(16*3)] <= VAR30[((16*5)+15):(16*5)]; VAR61[((16*4)+15):(16*4)] <= VAR30[((16*6)+15):(16*6)]; VAR61[((16*5)+15):(16*5)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR39 <= 'd0; VAR61 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b01111111: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*6)+15):(16*6)]; end 8'b10111111: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11011111: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11101111: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11110111: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111011: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111101: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end 8'b11111110: begin VAR14 <= 1'b1; VAR23[((16*0)+15):(16*0)] <= VAR30[((16*1)+15):(16*1)]; VAR23[((16*1)+15):(16*1)] <= VAR30[((16*2)+15):(16*2)]; VAR23[((16*2)+15):(16*2)] <= VAR30[((16*3)+15):(16*3)]; VAR23[((16*3)+15):(16*3)] <= VAR30[((16*4)+15):(16*4)]; VAR23[((16*4)+15):(16*4)] <= VAR30[((16*5)+15):(16*5)]; VAR23[((16*5)+15):(16*5)] <= VAR30[((16*6)+15):(16*6)]; VAR23[((16*6)+15):(16*6)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR14 <= 'd0; VAR23 <= 'd0; end endcase end always @(posedge VAR28) begin case (VAR33) 8'b11111111: begin VAR3 <= 1'b1; VAR5[((16*0)+15):(16*0)] <= VAR30[((16*0)+15):(16*0)]; VAR5[((16*1)+15):(16*1)] <= VAR30[((16*1)+15):(16*1)]; VAR5[((16*2)+15):(16*2)] <= VAR30[((16*2)+15):(16*2)]; VAR5[((16*3)+15):(16*3)] <= VAR30[((16*3)+15):(16*3)]; VAR5[((16*4)+15):(16*4)] <= VAR30[((16*4)+15):(16*4)]; VAR5[((16*5)+15):(16*5)] <= VAR30[((16*5)+15):(16*5)]; VAR5[((16*6)+15):(16*6)] <= VAR30[((16*6)+15):(16*6)]; VAR5[((16*7)+15):(16*7)] <= VAR30[((16*7)+15):(16*7)]; end default: begin VAR3 <= 'd0; VAR5 <= 'd0; end endcase end endmodule
gpl-3.0
fpgasystems/caribou
hw/src/net/tx_interface.v
8,989
module MODULE1 #( parameter VAR51 = 11 ) ( output [63:0] VAR26, output [7:0] VAR25, output VAR53, output VAR10, output VAR7, input VAR12, input [63:0] VAR19, input [7:0] VAR45, input VAR15, output VAR18, input VAR24, input VAR2, input reset ); reg VAR35; reg VAR33; reg VAR6; reg VAR42; reg VAR36; reg VAR5; wire VAR54; wire VAR52; wire [VAR51-1:0] VAR50 ; wire [VAR51-1:0] VAR46; localparam VAR30 = 0; localparam VAR34 = 1; localparam VAR27 = 1; wire VAR14; wire VAR49; wire VAR41; wire[63:0] VAR11; wire[7:0] VAR23; wire VAR29; wire VAR17; wire VAR37; wire[63:0] VAR56; wire[7:0] VAR20; assign VAR18 = (!VAR54) & VAR29; assign VAR17 = VAR15 & VAR18; assign VAR37 = VAR24; assign VAR56 = VAR19; assign VAR20 = VAR45; assign VAR14 = VAR12 & VAR6; assign VAR53 = VAR49 & VAR6; assign VAR10 = VAR41; assign VAR26 = VAR11; assign VAR25 = VAR23; assign VAR7 = 1'b0; assign VAR46 = {1'b1,{(VAR51-1){1'b0}}} - VAR50[VAR51-1:0]; always @(posedge VAR2) begin if (reset == 1) begin VAR36 <= 1'b0; VAR42 <= 1'b0; VAR35 <= VAR30; end else begin case (VAR35) VAR30: begin VAR42 <= 1'b0; VAR36 <= 1'b0; if (VAR17) begin VAR35 <= VAR34; end end VAR34: begin VAR36 <= 1'b0; if (VAR37 & VAR17) begin if (!VAR54 && VAR29) begin VAR42 <= 1'b1; VAR36 <= 1'b1; VAR35 <= VAR30; end end end endcase end end always @(posedge VAR2) begin if (reset == 1) begin VAR33 <= VAR30; VAR6 <= 1'b0; VAR5 <= 1'b0; end else begin case (VAR33) VAR30: begin VAR6 <= 1'b0; VAR5 <= 1'b0; if (!VAR52) begin VAR6 <= 1'b1; VAR5 <= 1'b1; VAR33 <= VAR27; end end VAR27: begin VAR6 <= 1'b1; VAR5 <= 1'b0; if (VAR41 & VAR14 & VAR49) begin VAR6 <= 1'b0; VAR33 <= VAR30; end end endcase end end VAR43 VAR21 ( .VAR22 (VAR14 ), .VAR13 (~reset ), .VAR9 (VAR29 ), .VAR38 (VAR2 ), .VAR55 (VAR17 ), .VAR57 (VAR49 ), .VAR31 (VAR41 ), .VAR4 (VAR37 ), .VAR44 (VAR56 ), .VAR47 (VAR11 ), .VAR16 (VAR20 ), .VAR1 (VAR23 ), .VAR32 (VAR50 ) ); VAR3 VAR28 ( .clk(VAR2), .rst(reset), .din(VAR42), .VAR39(VAR36), .VAR8(VAR5), .dout(), .VAR40(VAR54), .VAR48(VAR52) ); endmodule
gpl-3.0
HarmonInstruments/verilog
primitives/icape2.v
1,225
module MODULE1(input VAR12, VAR3, input [31:0] VAR5); wire [31:0] VAR1; genvar VAR11; generate for(VAR11=0; VAR11<32; VAR11=VAR11+1) begin : VAR14 assign VAR1[VAR11] = VAR5[31-VAR11]; end endgenerate VAR7 #(.VAR10("VAR4")) VAR13 (.VAR15(), .VAR8(VAR12), .VAR9(~VAR3), .VAR2({VAR1[7:0], VAR1[15:8], VAR1[23:16], VAR1[31:24]}), .VAR6(1'b0)); endmodule
gpl-3.0
parallella/oh
common/hdl/oh_csa62.v
1,688
module MODULE1 #(parameter VAR24 = 1 ) ( input [VAR24-1:0] VAR9, input [VAR24-1:0] VAR12, input [VAR24-1:0] VAR8, input [VAR24-1:0] VAR15, input [VAR24-1:0] VAR5, input [VAR24-1:0] VAR14, input [VAR24-1:0] VAR13, input [VAR24-1:0] VAR23, input [VAR24-1:0] VAR2, output [VAR24-1:0] VAR7, output [VAR24-1:0] VAR19, output [VAR24-1:0] VAR20, output [VAR24-1:0] VAR4, output [VAR24-1:0] VAR11 ); wire [VAR24-1:0] VAR21; wire [VAR24-1:0] VAR1; VAR22 #(.VAR24(VAR24)) VAR10 (.VAR9(VAR9[VAR24-1:0]), .VAR12(VAR12[VAR24-1:0]), .VAR8(VAR8[VAR24-1:0]), .VAR19(VAR20[VAR24-1:0]), .VAR7(VAR21[VAR24-1:0])); VAR22 #(.VAR24(VAR24)) VAR16 (.VAR9(VAR15[VAR24-1:0]), .VAR12(VAR5[VAR24-1:0]), .VAR8(VAR14[VAR24-1:0]), .VAR19(VAR4[VAR24-1:0]), .VAR7(VAR1[VAR24-1:0])); VAR17 #(.VAR24(VAR24)) VAR6 (.VAR9(VAR21[VAR24-1:0]), .VAR12(VAR1[VAR24-1:0]), .VAR8(VAR13[VAR24-1:0]), .VAR15(VAR23[VAR24-1:0]), .VAR3(VAR2[VAR24-1:0]), .VAR18(VAR11[VAR24-1:0]), .VAR19(VAR19[VAR24-1:0]), .VAR7(VAR7[VAR24-1:0])); endmodule
mit
mistryalok/Zedboard
learning/training/MSD/s07/mycore/ip_repo/sample_generator_1.0/hdl/sample_generator_v1_0_M_AXIS.v
14,410
module MODULE1 # ( parameter integer VAR17 = 32, parameter integer VAR5 = 32 ) ( input wire VAR1, input wire VAR20, output wire VAR16, output wire [VAR17-1 : 0] VAR9, output wire [(VAR17/8)-1 : 0] VAR6, output wire VAR26, input wire VAR15 ); localparam VAR2 = 8; function integer VAR7 (input integer VAR13); begin for(VAR7=0; VAR13>0; VAR7=VAR7+1) VAR13 = VAR13 >> 1; end endfunction localparam integer VAR27 = VAR7(VAR5-1); localparam VAR12 = VAR7(VAR2); parameter [1:0] VAR11 = 2'b00, VAR25 = 2'b01, VAR23 = 2'b10; reg [1:0] VAR8; reg [VAR12-1:0] VAR14; reg [VAR27-1 : 0] VAR3; wire VAR21; reg VAR18; wire VAR24; reg VAR22; reg [VAR17-1 : 0] VAR19; wire VAR4; reg VAR10; assign VAR16 = VAR18; assign VAR9 = VAR19; assign VAR26 = VAR22; assign VAR6 = {(VAR17/8){1'b1}}; always @(posedge VAR1) begin if (!VAR20) begin VAR8 <= VAR11; VAR3 <= 0; end else case (VAR8) VAR11: VAR8 <= VAR25; VAR25: if ( VAR3 == VAR5 - 1 ) begin VAR8 <= VAR23; end else begin VAR3 <= VAR3 + 1; VAR8 <= VAR25; end VAR23: if (VAR10) begin VAR8 <= VAR11; end else begin VAR8 <= VAR23; end endcase end assign VAR21 = ((VAR8 == VAR23) && (VAR14 < VAR2)); assign VAR24 = (VAR14 == VAR2-1); always @(posedge VAR1) begin if (!VAR20) begin VAR18 <= 1'b0; VAR22 <= 1'b0; end else begin VAR18 <= VAR21; VAR22 <= VAR24; end end always@(posedge VAR1) begin if(!VAR20) begin VAR14 <= 0; VAR10 <= 1'b0; end else if (VAR14 <= VAR2-1) begin if (VAR4) begin VAR14 <= VAR14 + 1; VAR10 <= 1'b0; end end else if (VAR14 == VAR2) begin VAR10 <= 1'b1; end end assign VAR4 = VAR15 && VAR21; always @( posedge VAR1 ) begin if(!VAR20) begin VAR19 <= 1; end else if (VAR4) begin VAR19 <= VAR14 + 32'b1; end end endmodule
gpl-3.0
cafe-alpha/wascafe
v10/fpga_firmware/wasca/synthesis/submodules/wasca_nios2_gen2_0_cpu_debug_slave_sysclk.v
6,123
module MODULE1 ( clk, VAR8, VAR30, VAR28, VAR1, VAR9, VAR18, VAR2, VAR17, VAR4, VAR26, VAR5, VAR15, VAR3, VAR6, VAR19 ) ; output [ 37: 0] VAR9; output VAR18; output VAR2; output VAR17; output VAR4; output VAR26; output VAR5; output VAR15; output VAR3; output VAR6; output VAR19; input clk; input [ 1: 0] VAR8; input [ 37: 0] VAR30; input VAR28; input VAR1; reg VAR10 ; reg [ 1: 0] VAR21 ; reg [ 37: 0] VAR9 ; reg VAR22 ; reg VAR25 ; reg VAR11 ; wire VAR14; wire VAR7; wire VAR18; wire VAR2; wire VAR17; wire VAR4; wire VAR26; wire VAR5; wire VAR15; wire VAR3; wire VAR6; wire VAR19; wire VAR12; wire VAR27; reg VAR24 ; assign VAR12 = 1'b1; VAR16 VAR23 ( .clk (clk), .din (VAR28), .dout (VAR14), .VAR29 (VAR12) ); assign VAR27 = 1'b1; VAR16 VAR13 ( .clk (clk), .din (VAR1), .dout (VAR7), .VAR29 (VAR27) ); always @(posedge clk) begin VAR25 <= VAR14; VAR24 <= VAR14 & ~VAR25; VAR10 <= VAR24; VAR11 <= VAR7; VAR22 <= VAR7 & ~VAR11; end assign VAR4 = VAR10 && (VAR21 == 2'b00) && ~VAR9[35] && VAR9[34]; assign VAR19 = VAR10 && (VAR21 == 2'b00) && ~VAR9[35] && ~VAR9[34]; assign VAR26 = VAR10 && (VAR21 == 2'b00) && VAR9[35]; assign VAR18 = VAR10 && (VAR21 == 2'b10) && ~VAR9[36] && VAR9[37]; assign VAR15 = VAR10 && (VAR21 == 2'b10) && ~VAR9[36] && ~VAR9[37]; assign VAR2 = VAR10 && (VAR21 == 2'b10) && VAR9[36] && ~VAR9[35] && VAR9[37]; assign VAR3 = VAR10 && (VAR21 == 2'b10) && VAR9[36] && ~VAR9[35] && ~VAR9[37]; assign VAR17 = VAR10 && (VAR21 == 2'b10) && VAR9[36] && VAR9[35] && VAR9[37]; assign VAR6 = VAR10 && (VAR21 == 2'b10) && VAR9[36] && VAR9[35] && ~VAR9[37]; assign VAR5 = VAR10 && (VAR21 == 2'b11) && VAR9[15]; always @(posedge clk) begin if (VAR22) VAR21 <= VAR8; if (VAR24) VAR9 <= VAR30; end endmodule
gpl-2.0
hitomi2500/wasca
fpga_firmware/wasca/synthesis/submodules/altera_std_synchronizer_nocut.v
6,568
module MODULE1 ( clk, VAR4, din, dout ); parameter VAR1 = 3; parameter VAR3 = 0; input clk; input VAR4; input din; output dout; reg VAR5; reg [VAR1-2:0] VAR2;
gpl-2.0
csturton/wirepatch
system/hardware/cores/fabric/ovl_next_wrapped.v
3,245
module MODULE1( clk, rst, enable, VAR5, VAR8, VAR7, VAR10, out ); parameter VAR11 = 7; parameter VAR6 = 3; input clk; input rst; input enable; input [VAR6-1:0] VAR5; input VAR8; input VAR7; input VAR10; output out; wire [2:0] VAR4; wire [2:0] VAR1; VAR2 VAR2 (.VAR11(7), .VAR6(3), .VAR12(clk), .reset(rst), .enable(enable), .VAR5(VAR5), .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR4), .VAR9(VAR1) ); .VAR11(7), .VAR6(3) ) VAR2( .VAR12(clk), .reset(rst), .enable(enable), .VAR5(VAR5), .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR4), .VAR9(VAR1) ); assign out = VAR1[0] & ~VAR10; endmodule
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_serdes_out.v
6,594
module MODULE1 ( rst, clk, VAR84, VAR60, VAR86, VAR5, VAR34, VAR30, VAR6, VAR51, VAR54, VAR28, VAR25); parameter VAR73 = 0; parameter VAR17 = 1; parameter VAR81 = 16; localparam VAR22 = 1; localparam VAR68 = 0; localparam VAR13 = VAR81 - 1; input rst; input clk; input VAR84; input [VAR13:0] VAR60; input [VAR13:0] VAR86; input [VAR13:0] VAR5; input [VAR13:0] VAR34; input [VAR13:0] VAR30; input [VAR13:0] VAR6; input [VAR13:0] VAR51; input [VAR13:0] VAR54; output [VAR13:0] VAR28; output [VAR13:0] VAR25; wire [VAR13:0] VAR77; wire [VAR13:0] VAR10; wire [VAR13:0] VAR44; genvar VAR69; generate for (VAR69 = 0; VAR69 <= VAR13; VAR69 = VAR69 + 1) begin: VAR27 if (VAR17 == 0) begin VAR35 #( .VAR4 ("VAR82"), .VAR62 (1'b0), .VAR61 ("VAR23")) VAR46 ( .VAR3 (1'b0), .VAR72 (1'b1), .VAR74 (rst), .VAR26 (clk), .VAR15 (VAR60[VAR69]), .VAR56 (VAR86[VAR69]), .VAR70 (VAR77[VAR69])); end if ((VAR17 == 1) && (VAR73 == VAR68)) begin VAR52 #( .VAR65 ("VAR50"), .VAR63 ("VAR43"), .VAR81 (8), .VAR64 (1), .VAR33 ("VAR18")) VAR67 ( .VAR15 (VAR60[VAR69]), .VAR56 (VAR86[VAR69]), .VAR14 (VAR5[VAR69]), .VAR71 (VAR34[VAR69]), .VAR78 (VAR30[VAR69]), .VAR45 (VAR6[VAR69]), .VAR1 (VAR51[VAR69]), .VAR2 (VAR54[VAR69]), .VAR16 (1'b0), .VAR59 (1'b0), .VAR29 (1'b0), .VAR32 (1'b0), .VAR41 (1'b0), .VAR12 (1'b0), .VAR19 (), .VAR40 (), .VAR79 (1'b1), .VAR37 (clk), .VAR49 (VAR84), .VAR8 (VAR77[VAR69]), .VAR55 (), .VAR53 (), .VAR76 (), .VAR39 (1'b0), .VAR85 (), .VAR21 (1'b0), .VAR42 (rst)); end if ((VAR17 == 1) && (VAR73 == VAR22)) begin VAR47 #( .VAR65 ("VAR50"), .VAR63 ("VAR43"), .VAR81 (8), .VAR31 ("VAR58"), .VAR64 (1), .VAR33 ("VAR18")) VAR9 ( .VAR15 (VAR60[VAR69]), .VAR56 (VAR86[VAR69]), .VAR14 (VAR5[VAR69]), .VAR71 (VAR34[VAR69]), .VAR78 (VAR30[VAR69]), .VAR45 (VAR6[VAR69]), .VAR16 (1'b0), .VAR59 (1'b0), .VAR29 (1'b0), .VAR32 (1'b0), .VAR41 (VAR10[VAR69]), .VAR12 (VAR44[VAR69]), .VAR19 (), .VAR40 (), .VAR79 (1'b1), .VAR37 (clk), .VAR49 (VAR84), .VAR20 (1'b0), .VAR75 (1'b0), .VAR80 (1'b0), .VAR83 (1'b0), .VAR8 (VAR77[VAR69]), .VAR55 (), .VAR24 (), .VAR53 (), .VAR76 (), .VAR21 (1'b0), .VAR42 (rst)); VAR47 #( .VAR65 ("VAR50"), .VAR63 ("VAR43"), .VAR81 (8), .VAR31 ("VAR58"), .VAR64 (1), .VAR33 ("VAR66")) VAR38 ( .VAR15 (1'b0), .VAR56 (1'b0), .VAR14 (VAR51[VAR69]), .VAR71 (VAR54[VAR69]), .VAR78 (1'b0), .VAR45 (1'b0), .VAR16 (1'b0), .VAR59 (1'b0), .VAR29 (1'b0), .VAR32 (1'b0), .VAR41 (1'b0), .VAR12 (1'b0), .VAR19 (VAR10[VAR69]), .VAR40 (VAR44[VAR69]), .VAR79 (1'b1), .VAR37 (clk), .VAR49 (VAR84), .VAR20 (1'b0), .VAR75 (1'b0), .VAR80 (1'b0), .VAR83 (1'b0), .VAR8 (), .VAR55 (), .VAR24 (), .VAR53 (), .VAR76 (), .VAR21 (1'b0), .VAR42 (rst)); end VAR57 VAR36 ( .VAR7 (VAR77[VAR69]), .VAR11 (VAR28[VAR69]), .VAR48 (VAR25[VAR69])); end endgenerate endmodule
mit
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/register.v
2,681
module MODULE1 parameter VAR6 = 0 ) (input VAR7, input VAR8, output [VAR5-1:0] VAR3, input [VAR5-1:0] VAR1, input VAR4 ); reg [VAR5-1:0] VAR2; assign VAR3 = VAR2; always @(posedge VAR7) begin if(VAR8) begin VAR2 <= VAR6; end else if(VAR4) begin VAR2 <= VAR1; end end endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/scdata/rtl/scdata_efuse_hdr.v
9,910
module MODULE1 ( VAR61, VAR68, VAR9, VAR65, VAR30, VAR4, VAR28, VAR14, VAR21, VAR58, VAR1, VAR60, VAR46, VAR53, VAR22, VAR41, VAR2, VAR27, VAR44, VAR33 ); input VAR58, VAR1, VAR60; output VAR61; input VAR46; input VAR53; input VAR22; input VAR41; input VAR2; input VAR27; input VAR44; input VAR33; output VAR68; output VAR9; output [5:0] VAR65; output [5:0] VAR30; output [5:0] VAR4; output [5:0] VAR28; output [2:0] VAR14; output VAR21; wire VAR15; wire VAR48; wire VAR38; assign VAR15 = VAR33 ? VAR46 : VAR58; assign VAR48 = VAR33 ? VAR53 : VAR58; VAR20 VAR59(.VAR61(VAR38), .VAR8(VAR60), .VAR57(VAR58), .VAR1(VAR1)); wire [8:0] VAR64; wire [8:0] VAR36; wire VAR23; wire VAR12; wire VAR35; wire VAR51; VAR62 #(9) VAR19 (.din(VAR64), .VAR45(VAR36), .clk(VAR56), .VAR1(VAR1), .VAR60(), .VAR61()); VAR42 VAR49 (.clk(VAR56), .VAR58(VAR15), .VAR10(~VAR23), .VAR3(VAR33)); VAR62 #(3) VAR5 (.din(VAR36[3:1]), .VAR45(VAR14[2:0]), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); assign VAR12 = VAR36[0]; VAR62 #(1) VAR16 (.din(VAR2), .VAR45(VAR35), .clk(VAR15), .VAR1(VAR1), .VAR60(), .VAR61()); VAR62 #(1) VAR26 (.din(VAR35), .VAR45(VAR9), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); VAR62 #(1) VAR18 (.din(VAR27), .VAR45(VAR51), .clk(VAR15), .VAR1(VAR1), .VAR60(), .VAR61()); VAR62 #(1) VAR34 (.din(VAR51), .VAR45(VAR68), .clk(VAR15), .VAR1(VAR1), .VAR60(), .VAR61()); wire VAR37; wire VAR39; wire VAR6; wire VAR31; wire [5:0] VAR70; wire [5:0] VAR50; wire [5:0] VAR13; wire [5:0] VAR29; wire [5:0] VAR32; wire [5:0] VAR55; wire [5:0] VAR63; wire [5:0] VAR54; wire VAR40; assign VAR23 = VAR22; assign VAR64 = {VAR36[7:0], VAR2}; VAR52 #(1) VAR11 (.din(VAR41), .VAR45(VAR37), .VAR7(VAR44), .clk(VAR15), .VAR1(VAR1), .VAR60(), .VAR61()); VAR52 #(1) VAR69 (.din(VAR37), .VAR45(VAR39), .VAR7(VAR44), .clk(VAR15), .VAR1(VAR1), .VAR60(), .VAR61()); assign VAR6 = (VAR37 || VAR39) && VAR12; assign VAR31 = VAR37 && !VAR12; VAR52 #(6) VAR66 (.din(VAR70[5:0]), .VAR45(VAR32[5:0]), .VAR7(VAR44), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); VAR52 #(6) VAR47 (.din(VAR50[5:0]), .VAR45(VAR55[5:0]), .VAR7(VAR44), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); VAR52 #(6) VAR17 (.din(VAR13[5:0]), .VAR45(VAR63[5:0]), .VAR7(VAR44), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); VAR52 #(6) VAR24 (.din(VAR29[5:0]), .VAR45(VAR54[5:0]), .VAR7(VAR44), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); VAR52 #(1) VAR25 (.din(VAR31), .VAR45(VAR40), .VAR7(VAR44), .clk(VAR48), .VAR1(VAR1), .VAR60(), .VAR61()); assign VAR65[5:0] = VAR32[5:0] & {6{VAR33}}; assign VAR30[5:0] = VAR55[5:0] & {6{VAR33}}; assign VAR4[5:0] = VAR63[5:0] & {6{VAR33}}; assign VAR28[5:0] = VAR54[5:0] & {6{VAR33}}; assign VAR21 = VAR40 & VAR33; wire [3:0] VAR43; assign VAR43[0] = ~VAR36[8] && ~VAR36[7]; assign VAR43[1] = ~VAR36[8] && VAR36[7]; assign VAR43[2] = VAR36[8] && ~VAR36[7]; assign VAR43[3] = VAR36[8] && VAR36[7]; wire [5:0] VAR67; assign VAR67[0] = ~VAR36[6] && ~VAR36[5] && ~VAR36[4]; assign VAR67[1] = ~VAR36[6] && ~VAR36[5] && VAR36[4]; assign VAR67[2] = ~VAR36[6] && VAR36[5] && ~VAR36[4]; assign VAR67[3] = ~VAR36[6] && VAR36[5] && VAR36[4]; assign VAR67[4] = VAR36[6] && ~VAR36[5] && ~VAR36[4]; assign VAR67[5] = VAR36[6] && ~VAR36[5] && VAR36[4]; assign VAR70[0] = VAR6 && VAR43[0] && VAR67[0]; assign VAR70[1] = VAR6 && VAR43[0] && VAR67[1]; assign VAR70[2] = VAR6 && VAR43[0] && VAR67[2]; assign VAR70[3] = VAR6 && VAR43[0] && VAR67[3]; assign VAR70[4] = VAR6 && VAR43[0] && VAR67[4]; assign VAR70[5] = VAR6 && VAR43[0] && VAR67[5]; assign VAR50[0] = VAR6 && VAR43[1] && VAR67[0]; assign VAR50[1] = VAR6 && VAR43[1] && VAR67[1]; assign VAR50[2] = VAR6 && VAR43[1] && VAR67[2]; assign VAR50[3] = VAR6 && VAR43[1] && VAR67[3]; assign VAR50[4] = VAR6 && VAR43[1] && VAR67[4]; assign VAR50[5] = VAR6 && VAR43[1] && VAR67[5]; assign VAR13[0] = VAR6 && VAR43[2] && VAR67[0]; assign VAR13[1] = VAR6 && VAR43[2] && VAR67[1]; assign VAR13[2] = VAR6 && VAR43[2] && VAR67[2]; assign VAR13[3] = VAR6 && VAR43[2] && VAR67[3]; assign VAR13[4] = VAR6 && VAR43[2] && VAR67[4]; assign VAR13[5] = VAR6 && VAR43[2] && VAR67[5]; assign VAR29[0] = VAR6 && VAR43[3] && VAR67[0]; assign VAR29[1] = VAR6 && VAR43[3] && VAR67[1]; assign VAR29[2] = VAR6 && VAR43[3] && VAR67[2]; assign VAR29[3] = VAR6 && VAR43[3] && VAR67[3]; assign VAR29[4] = VAR6 && VAR43[3] && VAR67[4]; assign VAR29[5] = VAR6 && VAR43[3] && VAR67[5]; endmodule
gpl-2.0
mballance/wb_dma
rtl/wb_dma_de.v
19,654
module MODULE1(clk, rst, VAR65, VAR52, VAR5, VAR53, VAR54, VAR100, VAR97, VAR38, VAR57, VAR27, VAR39, VAR43, VAR70, VAR89, VAR64, VAR98, VAR67, VAR69, VAR25, VAR81, VAR41, VAR84, VAR62, VAR94, VAR71, VAR77, VAR1, VAR45, VAR76, VAR12, VAR95, VAR31, VAR75, VAR15, VAR7, VAR56, VAR72, VAR99, VAR26, VAR49, VAR61, VAR10, VAR46, VAR96, VAR73 ); input clk, rst; output VAR65; output VAR52; output [31:0] VAR5; input [31:0] VAR53; output [31:0] VAR54; input VAR100; input VAR97; output VAR38; output VAR57; output VAR27; output [31:0] VAR39; input [31:0] VAR43; output [31:0] VAR70; input VAR89; input VAR64; output VAR98; input VAR67; input VAR69; input [31:0] VAR25; input [31:0] VAR81; input [31:0] VAR41; input [31:0] VAR84; input [31:0] VAR62, VAR94; input [31:0] VAR71, VAR77; output VAR1; output VAR45; output VAR76; output VAR12; output VAR95; output [31:0] VAR31; output [11:0] VAR75; output [31:0] VAR15; output [31:0] VAR7; output VAR56; output VAR72; output VAR99; input VAR61; input VAR26; output VAR49; output VAR10, VAR46, VAR96, VAR73; parameter [10:0] VAR101 = 11'b00000000001, VAR79 = 11'b00000000010, VAR28 = 11'b00000000100, VAR66 = 11'b00000001000, VAR44 = 11'b00000010000, VAR37 = 11'b00000100000, VAR6 = 11'b00001000000, VAR2 = 11'b00010000000, VAR48 = 11'b00100000000, VAR86 = 11'b01000000000, VAR74 = 11'b10000000000; reg [10:0] state, VAR3; reg [31:0] VAR5, VAR39; reg [29:0] VAR93, VAR17; wire [29:0] VAR14, VAR82; wire [29:0] VAR42, VAR68; reg VAR47, VAR19; reg [8:0] VAR50; reg VAR24; reg [11:0] VAR13; reg VAR16; reg VAR45; reg VAR1; reg VAR76; reg VAR12; reg VAR51; wire VAR18; reg VAR92; wire VAR87; reg VAR20; reg read, write; reg VAR63, VAR34; wire VAR58, VAR40; reg VAR90; reg VAR59; wire VAR21; reg VAR29; reg VAR36; reg VAR85; reg VAR72; wire VAR91, VAR33; reg VAR32; reg [1:0] VAR22; reg VAR30; reg VAR80; reg VAR95; wire VAR35 = VAR25[4]; wire VAR8 = VAR25[3]; wire VAR9 = VAR81[0]; wire VAR88 = VAR25[VAR60]; reg VAR23; reg VAR49; reg VAR56; always @(posedge clk) VAR36 <= VAR96; always @(posedge clk) if(VAR67 | VAR95) VAR93 <= VAR62[31:2]; else if(VAR47 & VAR35) VAR93 <= VAR14; VAR55 VAR11( .clk( clk ), .in( VAR93 ), .out( VAR42 ) ); assign VAR14[1:0] = VAR42[1:0]; assign VAR14[2] = VAR71[4] ? VAR42[2] : VAR93[2]; assign VAR14[3] = VAR71[5] ? VAR42[3] : VAR93[3]; assign VAR14[4] = VAR71[6] ? VAR42[4] : VAR93[4]; assign VAR14[5] = VAR71[7] ? VAR42[5] : VAR93[5]; assign VAR14[6] = VAR71[8] ? VAR42[6] : VAR93[6]; assign VAR14[7] = VAR71[9] ? VAR42[7] : VAR93[7]; assign VAR14[8] = VAR71[10] ? VAR42[8] : VAR93[8]; assign VAR14[9] = VAR71[11] ? VAR42[9] : VAR93[9]; assign VAR14[10] = VAR71[12] ? VAR42[10] : VAR93[10]; assign VAR14[11] = VAR71[13] ? VAR42[11] : VAR93[11]; assign VAR14[12] = VAR71[14] ? VAR42[12] : VAR93[12]; assign VAR14[13] = VAR71[15] ? VAR42[13] : VAR93[13]; assign VAR14[14] = VAR71[16] ? VAR42[14] : VAR93[14]; assign VAR14[15] = VAR71[17] ? VAR42[15] : VAR93[15]; assign VAR14[16] = VAR71[18] ? VAR42[16] : VAR93[16]; assign VAR14[17] = VAR71[19] ? VAR42[17] : VAR93[17]; assign VAR14[18] = VAR71[20] ? VAR42[18] : VAR93[18]; assign VAR14[19] = VAR71[21] ? VAR42[19] : VAR93[19]; assign VAR14[20] = VAR71[22] ? VAR42[20] : VAR93[20]; assign VAR14[21] = VAR71[23] ? VAR42[21] : VAR93[21]; assign VAR14[22] = VAR71[24] ? VAR42[22] : VAR93[22]; assign VAR14[23] = VAR71[25] ? VAR42[23] : VAR93[23]; assign VAR14[24] = VAR71[26] ? VAR42[24] : VAR93[24]; assign VAR14[25] = VAR71[27] ? VAR42[25] : VAR93[25]; assign VAR14[26] = VAR71[28] ? VAR42[26] : VAR93[26]; assign VAR14[27] = VAR71[29] ? VAR42[27] : VAR93[27]; assign VAR14[28] = VAR71[30] ? VAR42[28] : VAR93[28]; assign VAR14[29] = VAR71[31] ? VAR42[29] : VAR93[29]; always @(posedge clk) if(VAR67 | VAR95) VAR17 <= VAR94[31:2]; else if(VAR19 & VAR8) VAR17 <= VAR82; VAR55 VAR83( .clk( clk ), .in( VAR17 ), .out( VAR68 ) ); assign VAR82[1:0] = VAR68[1:0]; assign VAR82[2] = VAR77[4] ? VAR68[2] : VAR17[2]; assign VAR82[3] = VAR77[5] ? VAR68[3] : VAR17[3]; assign VAR82[4] = VAR77[6] ? VAR68[4] : VAR17[4]; assign VAR82[5] = VAR77[7] ? VAR68[5] : VAR17[5]; assign VAR82[6] = VAR77[8] ? VAR68[6] : VAR17[6]; assign VAR82[7] = VAR77[9] ? VAR68[7] : VAR17[7]; assign VAR82[8] = VAR77[10] ? VAR68[8] : VAR17[8]; assign VAR82[9] = VAR77[11] ? VAR68[9] : VAR17[9]; assign VAR82[10] = VAR77[12] ? VAR68[10] : VAR17[10]; assign VAR82[11] = VAR77[13] ? VAR68[11] : VAR17[11]; assign VAR82[12] = VAR77[14] ? VAR68[12] : VAR17[12]; assign VAR82[13] = VAR77[15] ? VAR68[13] : VAR17[13]; assign VAR82[14] = VAR77[16] ? VAR68[14] : VAR17[14]; assign VAR82[15] = VAR77[17] ? VAR68[15] : VAR17[15]; assign VAR82[16] = VAR77[18] ? VAR68[16] : VAR17[16]; assign VAR82[17] = VAR77[19] ? VAR68[17] : VAR17[17]; assign VAR82[18] = VAR77[20] ? VAR68[18] : VAR17[18]; assign VAR82[19] = VAR77[21] ? VAR68[19] : VAR17[19]; assign VAR82[20] = VAR77[22] ? VAR68[20] : VAR17[20]; assign VAR82[21] = VAR77[23] ? VAR68[21] : VAR17[21]; assign VAR82[22] = VAR77[24] ? VAR68[22] : VAR17[22]; assign VAR82[23] = VAR77[25] ? VAR68[23] : VAR17[23]; assign VAR82[24] = VAR77[26] ? VAR68[24] : VAR17[24]; assign VAR82[25] = VAR77[27] ? VAR68[25] : VAR17[25]; assign VAR82[26] = VAR77[28] ? VAR68[26] : VAR17[26]; assign VAR82[27] = VAR77[29] ? VAR68[27] : VAR17[27]; assign VAR82[28] = VAR77[30] ? VAR68[28] : VAR17[28]; assign VAR82[29] = VAR77[31] ? VAR68[29] : VAR17[29]; always @(posedge clk) if(VAR67) VAR50 <= VAR84[24:16]; else if(VAR24 & !VAR92) VAR50 <= VAR50 - 9'h1; assign VAR18 = (VAR50 == 9'h0); always @(posedge clk) VAR92 <= VAR18; always @(posedge clk) if(VAR67 | VAR95) VAR13 <= VAR84[11:0]; else if(VAR16 & !VAR20) VAR13 <= VAR13 - 12'h1; assign VAR87 = (VAR13 == 12'h0) & !VAR84[15]; always @(posedge clk) VAR20 <= VAR87; always @(posedge clk) VAR24 <= read & !VAR63; always @(posedge clk) VAR16 <= read & !VAR63; always @(VAR58 or VAR63) VAR47 = VAR58 & VAR63; always @(VAR40 or VAR34) VAR19 = VAR40 & VAR34; always @(posedge clk) VAR59 <= (VAR84[24:16] == 9'h0); assign VAR21 = VAR59 ? VAR87 : (VAR87 | VAR18); assign VAR96 = VAR29 & VAR21; assign VAR73 = VAR29 & (VAR20 | (VAR69 & VAR18)); always @(posedge clk) VAR72 <= VAR96; assign VAR75 = VAR51 ? VAR53[11:0] : VAR13; assign VAR15 = VAR51 ? VAR53 : {VAR93, 2'b00}; assign VAR7 = VAR51 ? VAR53 : {VAR17, 2'b00}; assign VAR31 = VAR53; always @(posedge clk) VAR85 <= VAR61 | VAR100 | VAR89; assign VAR46 = VAR85; assign VAR10 = (state != VAR101); always @(posedge clk) VAR63 <= read; always @(posedge clk) VAR34 <= write; always @(posedge clk) VAR90 <= VAR63; assign VAR54 = VAR80 ? {20'h0, VAR13} : VAR25[2] ? VAR43 : VAR53; assign VAR70 = VAR25[2] ? VAR43 : VAR53; always @(posedge clk) VAR5 <= VAR30 ? (VAR80 ? VAR41 : {VAR81[31:4], VAR22, 2'b00}) : read ? {VAR93, 2'b00} : {VAR17, 2'b00}; VAR5 <= VAR30 ? (VAR80 ? VAR41 : {VAR81[31:2] + VAR22, 2'b00}) : read ? {VAR93, 2'b00} : {VAR17, 2'b00}; always @(posedge clk) VAR39 <= read ? {VAR93, 2'b00} : {VAR17, 2'b00}; assign VAR33 = (read | write) & VAR32; always @(posedge clk) VAR32 <= read | write; assign VAR91 = VAR21 ? read : (read | write); assign VAR65 = (!VAR25[2] & VAR91) | (!VAR25[1] & VAR33) | VAR30; assign VAR57 = ( VAR25[2] & VAR91) | ( VAR25[1] & VAR33); assign VAR52 = VAR30 ? VAR80 : (!VAR25[1] & write); assign VAR27 = VAR25[1] & write; assign VAR58 = (VAR25[2] ? VAR64 : VAR97); assign VAR40 = (VAR25[1] ? VAR64 : VAR97); assign VAR38 = !((!VAR25[2] & read) | (!VAR25[1] & write)) & !VAR30; assign VAR98 = !(( VAR25[2] & read) | ( VAR25[1] & write)); always @(posedge clk) VAR23 <= VAR97; assign VAR99 = VAR96; always @(posedge clk or negedge rst) if(!rst) state <= VAR101; else state <= VAR3; always @(state or VAR26 or VAR85 or VAR67 or VAR58 or VAR40 or VAR21 or VAR9 or VAR88 or VAR97 or VAR23 or VAR25 or VAR69) begin VAR3 = state; read = 1'b0; write = 1'b0; VAR29 = 1'b0; VAR1 = 1'b0; VAR45 = 1'b0; VAR76 = 1'b0; VAR12 = 1'b0; VAR56 = 1'b0; VAR30 = 1'b0; VAR80 = 1'b0; VAR22 = 2'h0; VAR95 = 1'b0; VAR51 = 1'b0; VAR49 = 1'b0; case(state) VAR101: begin if(VAR26) VAR3 = VAR74; end else if(VAR67 & !VAR25[VAR4]) begin if(VAR88 & !VAR9) VAR3 = VAR44; end else VAR3 = VAR79; end end VAR74: begin VAR49 = 1'b1; if(!VAR26) VAR3 = VAR101; end VAR79: begin if(VAR85) VAR3 = VAR66; end else if(!VAR58) read = 1'b1; else begin write = 1'b1; VAR3 = VAR28; end end VAR28: begin if(VAR85) VAR3 = VAR66; end else if(!VAR40) write = 1'b1; else begin if(VAR21) VAR3 = VAR66; end else begin read = 1'b1; VAR3 = VAR79; end end end VAR66: begin VAR29 = 1'b1; VAR45 = 1'b1; VAR76 = 1'b1; VAR12 = 1'b1; if(VAR88 & VAR25[VAR78] & VAR69) begin VAR80 = 1'b1; VAR30 = 1'b1; VAR3 = VAR86; end else VAR3 = VAR101; end VAR86: begin VAR80 = 1'b1; if(VAR97) begin VAR3 = VAR101; end else VAR30 = 1'b1; end VAR44: begin VAR22 = 2'h0; VAR51 = 1'b1; VAR30 = 1'b1; VAR1 = 1'b1; VAR45 = 1'b1; VAR56 = 1'b1; if(VAR97) begin VAR22 = 2'h1; VAR3 = VAR37; end end VAR37: begin VAR56 = 1'b1; if(VAR23) VAR1 = 1'b1; if(VAR23) VAR45 = 1'b1; VAR22 = 2'h1; VAR51 = 1'b1; VAR30 = 1'b1; if(VAR97) begin VAR22 = 2'h2; VAR3 = VAR6; end end VAR6: begin VAR56 = 1'b1; if(VAR23) VAR76 = 1'b1; VAR22 = 2'h2; VAR51 = 1'b1; VAR30 = 1'b1; if(VAR97) begin VAR22 = 2'h3; VAR3 = VAR2; end end VAR2: begin VAR56 = 1'b1; if(VAR23) VAR12 = 1'b1; VAR22 = 2'h3; VAR51 = 1'b1; if(VAR97) begin VAR3 = VAR48; end else VAR30 = 1'b1; end VAR48: begin VAR56 = 1'b1; VAR95 = 1'b1; VAR3 = VAR79; end endcase end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/diode/sky130_fd_sc_hs__diode.symbol.v
1,245
module MODULE1 ( input VAR1 ); supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR5 ; endmodule
apache-2.0
wendlers/lattice-logic-sniffer
logic/top.v
7,859
module MODULE1 ( input clk , input VAR1 , input [7:0] VAR6 , output VAR5 , output [7:0] VAR34 , output VAR31 , output [7:0] VAR35 , output VAR52 ); parameter VAR14 = 1'b1; parameter VAR27 = 1'b0; parameter VAR29 = 2'b0; parameter VAR42 = 2'b1; parameter VAR50 = 7'h0; parameter VAR48 = 7'h1; parameter VAR12 = 7'h2; parameter VAR44 = 7'h3; parameter VAR49 = 7'h4; parameter VAR19 = 7'h5; parameter VAR22 = 7'h6; parameter VAR28 = 7'h7; parameter VAR11 = 7'h8; parameter VAR9 = 7'h9; parameter VAR24 = 7'hA; reg rst = VAR14; reg VAR51 = VAR27; reg [7:0] VAR55; reg [6:0] register; reg [7:0] VAR37; reg [2:0] state = 2'b0; reg [7:0] VAR23 = 8'b0; reg [13:0] VAR38 = 13'h0; reg [13:0] VAR32 = 13'h0; reg VAR15 = VAR27; reg [7:0] VAR4; wire [7:0] VAR53; reg [7:0] VAR43 = 10'h0; reg [7:0] VAR36 = 8'h0; reg [7:0] VAR2 = 8'h0; reg [15:0] VAR46 = 16'h1; reg [7:0] VAR39 = 8'b0; wire VAR18; wire [7:0] VAR33; wire VAR54; wire VAR21; wire VAR3; wire VAR40; wire VAR16; wire VAR25; wire VAR8; VAR45 VAR10 ( clk, VAR40, VAR16, VAR25, VAR8 ); reg VAR13 = VAR27; VAR46 VAR7 ( VAR13, VAR40, VAR46, VAR52 ); VAR41 VAR30 ( VAR32[13:0] - 1'b1, VAR38[13:0], VAR4[7:0], VAR15, VAR40, VAR14, rst, VAR40, VAR14, VAR53[7:0] ); VAR17 #(26) VAR26 ( VAR40, rst, VAR1, VAR5, VAR51, VAR55, VAR18, VAR33, VAR54, VAR21, VAR47 ); always @(posedge VAR40) begin if(rst) begin rst <= VAR27; end else if(VAR18) begin case(state) VAR29: begin register[6:0] = VAR33[6:0]; if(VAR33[7] == 0) begin case(register) VAR22 : VAR55[7:0] = VAR39[7:0]; VAR50 : VAR55[7:0] = VAR23[7:0]; VAR48 : VAR55[7:0] = VAR38[7:0]; VAR12 : VAR55[7:0] = VAR38[13:8]; VAR44 : VAR55[7:0] = VAR32[7:0]; VAR49 : VAR55[7:0] = VAR32[13:8]; VAR19 : state <= VAR42; VAR28 : VAR55[7:0] = VAR36[7:0]; VAR11 : VAR55[7:0] = VAR2[7:0]; VAR9 : VAR55[7:0] = VAR46[7:0]; VAR24 : VAR55[7:0] = VAR46[15:8]; default : VAR55[7:0] = 8'hff; endcase if(register != VAR19) begin VAR51 <= VAR14; end end else begin state <= VAR42; end end VAR42: begin VAR37[7:0] = VAR33[7:0]; case(register) VAR22 : begin VAR39[0] = VAR37[0]; VAR39[2] = VAR37[2]; end VAR50 : VAR23[7:0] = VAR37[7:0]; VAR48 : VAR38[7:0] = VAR37[7:0]; VAR12 : VAR38[13:8] = VAR37[5:0]; VAR19 : VAR43[7:0] = VAR37[7:0]; VAR28 : VAR36[7:0] = VAR37[7:0]; VAR11 : VAR2[7:0] = VAR37[7:0]; VAR9 : VAR46[7:0] = VAR37[7:0]; VAR24 : VAR46[15:8] = VAR37[7:0]; endcase if(register == VAR9 || register == VAR24) begin VAR13 = VAR14; end state <= VAR29; end endcase end else if(VAR21) begin VAR51 <= VAR27; end else if(!VAR51 && VAR43) begin VAR55[7:0] = VAR53[7:0]; VAR38 = VAR38 + 1; VAR43 = VAR43 - 1; VAR51 <= VAR14; end else if(VAR39[1] && VAR39[0]) begin VAR39[0] = 1'b0; end else begin VAR13 = VAR27; end end always @(posedge VAR52) begin VAR15 = VAR27; if(VAR39[0] && !VAR39[1] && (!VAR39[2] || (VAR39[2] && (VAR6[7:0] & VAR36[7:0]) == VAR2[7:0]))) begin VAR32 = 14'h00; VAR39[1] = VAR14; end else if(VAR39[1]) begin VAR4[7:0] = VAR6[7:0]; VAR32 = VAR32 + 1; VAR15 = VAR14; if(!VAR32) begin VAR39[1] = VAR27; end end end reg [7:0] VAR20 = 8'h0; always @(posedge VAR25) begin VAR20 <= VAR20 + 1; end assign VAR31 = 1'b1; assign VAR34[7:0] = ~VAR23[7:0]; assign VAR35 = VAR20; endmodule
mit
Murailab-arch/magukara
cores/asfifo/rtl/asfifo.v
4,164
module MODULE1 VAR27 = 4, VAR20 = (1 << VAR27)) (output wire [VAR5-1:0] dout, output reg VAR15, input wire VAR11, input wire VAR14, input wire [VAR5-1:0] din, output reg VAR16, input wire VAR7, input wire VAR2, input wire rst); reg [VAR5-1:0] VAR3 [VAR20-1:0]; wire [VAR27-1:0] VAR8, VAR19; wire VAR22; wire VAR24, VAR9; wire VAR6, VAR18; reg VAR26; wire VAR17, VAR23; assign dout = VAR3[VAR19]; always @ (posedge VAR2) if (VAR7 & !VAR16) VAR3[VAR8] <= din; assign VAR24 = VAR7 & ~VAR16; assign VAR9 = VAR11 & ~VAR15; VAR4 #( .VAR21( VAR27 ) ) VAR1 ( .VAR13(VAR8), .VAR12(VAR24), .rst(rst), .VAR25(VAR2) ); VAR4 #( .VAR21( VAR27 ) ) VAR10 ( .VAR13(VAR19), .VAR12(VAR9), .rst(rst), .VAR25(VAR14) ); assign VAR22 = (VAR8 == VAR19); assign VAR6 = (VAR8[VAR27-2] ~^ VAR19[VAR27-1]) & (VAR8[VAR27-1] ^ VAR19[VAR27-2]); assign VAR18 = (VAR8[VAR27-2] ^ VAR19[VAR27-1]) & (VAR8[VAR27-1] ~^ VAR19[VAR27-2]); always @ (VAR6, VAR18, rst) if (VAR18 | rst) VAR26 = 0; else if (VAR6) VAR26 = 1; assign VAR17 = VAR26 & VAR22; always @ (posedge VAR2, posedge VAR17) if (VAR17) VAR16 <= 1; else VAR16 <= 0; assign VAR23 = ~VAR26 & VAR22; always @ (posedge VAR14, posedge VAR23) if (VAR23) VAR15 <= 1; else VAR15 <= 0; endmodule
gpl-3.0
bluespec/Flute
builds/Flute_RV64GC_MSU_WB_L1_L2_verilator_tohost/Verilog_RTL/mkFBox_Top.v
5,303
module MODULE1(VAR5, VAR1, VAR2, VAR8, VAR34, VAR25, VAR21, VAR40, VAR23, VAR24, VAR17, VAR31, VAR29, VAR14, VAR38, valid, VAR10, VAR22); input [3 : 0] VAR5; input VAR1; input VAR2; input VAR8; output VAR34; input VAR25; output VAR21; input [6 : 0] VAR40; input [6 : 0] VAR23; input [2 : 0] VAR24; input [4 : 0] VAR17; input [63 : 0] VAR31; input [63 : 0] VAR29; input [63 : 0] VAR14; input VAR38; output valid; output [63 : 0] VAR10; output [4 : 0] VAR22; wire [63 : 0] VAR10; wire [4 : 0] VAR22; wire VAR34, VAR21, valid; wire [63 : 0] VAR27, VAR12, VAR18, VAR33; wire [6 : 0] VAR37, VAR9; wire [4 : 0] VAR36, VAR3; wire [2 : 0] VAR39; wire VAR6, VAR7, VAR26, VAR30, VAR4, VAR13; wire VAR16, VAR11, VAR32, VAR19, VAR20, VAR35; assign VAR34 = VAR30 ; assign VAR11 = VAR30 ; assign VAR20 = VAR8 ; assign VAR21 = VAR4 ; assign VAR32 = VAR4 ; assign VAR35 = VAR25 ; assign VAR16 = 1'd1 ; assign VAR19 = VAR38 ; assign valid = VAR13 ; assign VAR10 = VAR33 ; assign VAR22 = VAR3 ; VAR28 VAR15(.VAR5(VAR5), .VAR1(VAR1), .VAR2(VAR2), .VAR23(VAR37), .VAR40(VAR9), .VAR24(VAR39), .VAR17(VAR36), .VAR31(VAR27), .VAR29(VAR12), .VAR14(VAR18), .VAR8(VAR7), .VAR25(VAR26), .VAR38(VAR6), .VAR34(VAR30), .VAR21(VAR4), .valid(VAR13), .VAR10(VAR33), .VAR22(VAR3)); assign VAR37 = VAR23 ; assign VAR9 = VAR40 ; assign VAR39 = VAR24 ; assign VAR36 = VAR17 ; assign VAR27 = VAR31 ; assign VAR12 = VAR29 ; assign VAR18 = VAR14 ; assign VAR7 = VAR8 ; assign VAR26 = VAR25 ; assign VAR6 = VAR38 ; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
verif/env/cmp/pc_cmp.v
49,037
module MODULE1( clk, VAR16 ); input clk; input VAR16; reg [31:0] VAR2, VAR15; reg [39:0] VAR27[VAR45-1:0]; reg [39:0] VAR42 [VAR45-1:0]; reg [31:0] VAR10, VAR49, VAR57; reg [31:0] VAR36, VAR24; reg [7:0] VAR48; reg VAR7; reg VAR1; integer VAR29, VAR35, VAR37; wire [1:0] VAR5; wire [63:0] VAR17; wire [1:0] VAR53; wire [63:0] VAR8; wire [1:0] VAR26; wire [63:0] VAR38; wire [1:0] VAR11; wire [63:0] VAR54; wire [1:0] VAR47; wire [63:0] VAR39; wire [1:0] VAR22; wire [63:0] VAR3; wire [1:0] VAR23; wire [63:0] VAR44; wire [1:0] VAR55; wire [63:0] VAR12; wire VAR34, VAR6, VAR13, VAR18, VAR46, VAR14, VAR40, VAR4; reg VAR21, VAR41, VAR33, VAR28, VAR32, VAR56, VAR50, VAR43; reg VAR19; reg VAR25; reg [4:0] VAR51[31:0]; integer VAR9; integer VAR30; reg [7:0] VAR52; reg [7:0] VAR31; reg VAR20; begin end begin begin begin begin begin begin begin begin begin begin begin end
gpl-2.0
zYeoman/32BIT-MIPS-CPU
pipeline/EX2MEM.v
1,597
module MODULE1( input clk, rst, input VAR1, VAR5, VAR16, input [31:0] VAR2, VAR8, VAR10, input [4:0] VAR7, input [1:0] VAR11, output reg VAR13, VAR15, VAR14, output reg [31:0] VAR12, VAR3, VAR6, output reg [4:0] VAR9, output reg [1:0] VAR4 ); always @(posedge clk or posedge rst) begin if (rst) begin VAR13 <= 0; VAR15 <= 0; VAR14 <= 0; VAR12 <= 0; VAR3 <= 0; VAR9 <= 0; VAR4 <= 0; VAR6 <= 0; end else begin VAR13 <= VAR1; VAR15 <= VAR5; VAR14 <= VAR16; VAR12 <= VAR2; VAR3 <= VAR8; VAR9 <= VAR7; VAR4 <= VAR11; VAR6 <= VAR10; end end endmodule
gpl-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig34/v6_mig33_bb.v
13,676
module MODULE1 # ( parameter VAR88 = 200, parameter VAR114 = "VAR101", parameter VAR82 = 6, parameter VAR3 = 1, parameter VAR44 = 3, parameter VAR108 = 2, parameter VAR48 = 2500, parameter VAR31 = "VAR30", parameter VAR50 = "VAR63", parameter VAR9 = "VAR63", parameter VAR61 = 1, parameter VAR34 = 3, parameter VAR36 = 1, parameter VAR83 = 3, parameter VAR62 = 1, parameter VAR35 = 1, parameter VAR42 = 10, parameter VAR102 = 1, parameter VAR91 = 8, parameter VAR77 = 64, parameter VAR55 = 8, parameter VAR58 = 13, parameter VAR76 = "8", parameter VAR11 = 2, parameter VAR70 = "VAR8", parameter VAR107 = "VAR51", parameter VAR12 = "VAR30", parameter VAR87 = "VAR30", parameter VAR27 = "40", parameter VAR79 = "VAR33", parameter VAR106 = "VAR73", parameter VAR60 = "VAR33", parameter VAR78 = 3, parameter VAR45 = 5, parameter VAR93 = 0, parameter VAR98 = 0, parameter VAR52 = 24'h020100, parameter VAR81 = 40'h0706050403, parameter VAR117 = 0, parameter VAR21 = 0, parameter VAR49 = "VAR30", parameter VAR17 = 27, parameter VAR75 = "VAR33", parameter VAR109 = 100, parameter VAR95 = 64, parameter VAR97 = (VAR75 == "VAR33") ? VAR95 : VAR77, parameter VAR20 = 0, parameter VAR29 = "VAR23", parameter VAR110 = 2 ) ( input VAR32, input VAR103, input VAR59, inout [VAR77-1:0] VAR38, output [VAR58-1:0] VAR4, output [VAR83-1:0] VAR46, output VAR116, output VAR47, output VAR15, output VAR99, output [(VAR102*VAR61)-1:0] VAR111, output [(VAR102*VAR61)-1:0] VAR85, output [VAR102-1:0] VAR84, output [VAR91-1:0] VAR7, inout [VAR55-1:0] VAR24, inout [VAR55-1:0] VAR115, output [VAR62-1:0] VAR43, output [VAR62-1:0] VAR69, inout VAR74, output VAR66, input VAR18, input [(4*VAR97)-1:0] VAR86, input [(4*VAR97)/8-1:0] VAR37, input VAR40, input [VAR17-1:0] VAR112, input [2:0] VAR26, input VAR92, output VAR41, output VAR10, output [(4*VAR97)-1:0] VAR64, output VAR54, output VAR104, output VAR94, output VAR13, output VAR105, output [VAR55-1:0] VAR57, output [2*VAR55-1:0] VAR28, output [5*VAR55-1:0] VAR100, output [5*VAR55-1:0] VAR56, output [1:0] VAR16, output [1:0] VAR19, output [5*VAR55-1:0] VAR1, output [5*VAR55-1:0] VAR39, output [5*VAR55-1:0] VAR5, output [3*VAR55-1:0] VAR113, output [2*VAR55-1:0] VAR67, output [4:0] VAR68, input VAR96, input VAR22, input VAR80, input VAR53, input VAR89, input VAR6, input VAR90, input VAR25, input [VAR34-1:0] VAR71, output [5*VAR55-1:0] VAR72, output [5*VAR55-1:0] VAR14, output [5*VAR55-1:0] VAR65, output [4*VAR77-1:0] VAR2 ); endmodule
lgpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/synth/design_1_auto_pc_1.v
13,151
module MODULE1 ( VAR107, VAR8, VAR46, VAR43, VAR37, VAR88, VAR72, VAR100, VAR79, VAR1, VAR58, VAR106, VAR42, VAR101, VAR90, VAR19, VAR39, VAR44, VAR102, VAR89, VAR29, VAR6, VAR112, VAR56, VAR2, VAR14, VAR66, VAR53, VAR110, VAR80, VAR96, VAR78, VAR76, VAR83, VAR62, VAR68, VAR36, VAR91, VAR34, VAR5, VAR67, VAR32, VAR63, VAR65, VAR73, VAR55, VAR81, VAR54, VAR45, VAR20, VAR82, VAR71, VAR84, VAR21, VAR74, VAR108, VAR41, VAR113, VAR27 ); input wire VAR107; input wire VAR8; input wire [11 : 0] VAR46; input wire [31 : 0] VAR43; input wire [3 : 0] VAR37; input wire [2 : 0] VAR88; input wire [1 : 0] VAR72; input wire [1 : 0] VAR100; input wire [3 : 0] VAR79; input wire [2 : 0] VAR1; input wire [3 : 0] VAR58; input wire VAR106; output wire VAR42; input wire [11 : 0] VAR101; input wire [31 : 0] VAR90; input wire [3 : 0] VAR19; input wire VAR39; input wire VAR44; output wire VAR102; output wire [11 : 0] VAR89; output wire [1 : 0] VAR29; output wire VAR6; input wire VAR112; input wire [11 : 0] VAR56; input wire [31 : 0] VAR2; input wire [3 : 0] VAR14; input wire [2 : 0] VAR66; input wire [1 : 0] VAR53; input wire [1 : 0] VAR110; input wire [3 : 0] VAR80; input wire [2 : 0] VAR96; input wire [3 : 0] VAR78; input wire VAR76; output wire VAR83; output wire [11 : 0] VAR62; output wire [31 : 0] VAR68; output wire [1 : 0] VAR36; output wire VAR91; output wire VAR34; input wire VAR5; output wire [31 : 0] VAR67; output wire [2 : 0] VAR32; output wire VAR63; input wire VAR65; output wire [31 : 0] VAR73; output wire [3 : 0] VAR55; output wire VAR81; input wire VAR54; input wire [1 : 0] VAR45; input wire VAR20; output wire VAR82; output wire [31 : 0] VAR71; output wire [2 : 0] VAR84; output wire VAR21; input wire VAR74; input wire [31 : 0] VAR108; input wire [1 : 0] VAR41; input wire VAR113; output wire VAR27; VAR4 #( .VAR3("VAR11"), .VAR9(2), .VAR51(1), .VAR87(0), .VAR50(12), .VAR33(32), .VAR38(32), .VAR17(1), .VAR7(1), .VAR105(0), .VAR24(1), .VAR13(1), .VAR109(1), .VAR48(1), .VAR23(1), .VAR49(2) ) VAR31 ( .VAR107(VAR107), .VAR8(VAR8), .VAR46(VAR46), .VAR43(VAR43), .VAR37(VAR37), .VAR88(VAR88), .VAR72(VAR72), .VAR100(VAR100), .VAR79(VAR79), .VAR1(VAR1), .VAR60(4'VAR104), .VAR58(VAR58), .VAR114(1'VAR104), .VAR106(VAR106), .VAR42(VAR42), .VAR101(VAR101), .VAR90(VAR90), .VAR19(VAR19), .VAR39(VAR39), .VAR30(1'VAR104), .VAR44(VAR44), .VAR102(VAR102), .VAR89(VAR89), .VAR29(VAR29), .VAR12(), .VAR6(VAR6), .VAR112(VAR112), .VAR56(VAR56), .VAR2(VAR2), .VAR14(VAR14), .VAR66(VAR66), .VAR53(VAR53), .VAR110(VAR110), .VAR80(VAR80), .VAR96(VAR96), .VAR22(4'VAR104), .VAR78(VAR78), .VAR64(1'VAR104), .VAR76(VAR76), .VAR83(VAR83), .VAR62(VAR62), .VAR68(VAR68), .VAR36(VAR36), .VAR91(VAR91), .VAR16(), .VAR34(VAR34), .VAR5(VAR5), .VAR15(), .VAR67(VAR67), .VAR10(), .VAR40(), .VAR52(), .VAR47(), .VAR28(), .VAR32(VAR32), .VAR26(), .VAR86(), .VAR95(), .VAR63(VAR63), .VAR65(VAR65), .VAR61(), .VAR73(VAR73), .VAR55(VAR55), .VAR99(), .VAR18(), .VAR81(VAR81), .VAR54(VAR54), .VAR69(12'VAR57), .VAR45(VAR45), .VAR111(1'VAR104), .VAR20(VAR20), .VAR82(VAR82), .VAR70(), .VAR71(VAR71), .VAR35(), .VAR59(), .VAR98(), .VAR93(), .VAR94(), .VAR84(VAR84), .VAR25(), .VAR97(), .VAR92(), .VAR21(VAR21), .VAR74(VAR74), .VAR77(12'VAR57), .VAR108(VAR108), .VAR41(VAR41), .VAR85(1'VAR75), .VAR103(1'VAR104), .VAR113(VAR113), .VAR27(VAR27) ); endmodule
gpl-3.0
tommythorn/yari
shared/rtl/target/ML401/dpram.v
1,884
module MODULE1 (VAR20, VAR15, VAR1, VAR13, VAR8, VAR12, VAR10, VAR18, VAR14, VAR6, VAR2); parameter VAR5 = 32; parameter VAR7 = 7; parameter VAR11 = "VAR16"; input VAR20; input [VAR7-1:0] VAR15; input [VAR5/8-1:0] VAR1; input [VAR5-1:0] VAR13; input VAR8; output [VAR5-1:0] VAR12; input [VAR7-1:0] VAR10; input [VAR5-1:0] VAR14; input [VAR5/8-1:0] VAR18; input VAR6; output [VAR5-1:0] VAR2; VAR4 VAR19(VAR20, VAR15, VAR13[ 7: 0], VAR1[0] & VAR8, VAR12[ 7: 0], VAR10, VAR14[ 7: 0], VAR18[0] & VAR6, VAR2[ 7: 0]); VAR19.VAR5 = VAR5; VAR4 VAR9(VAR20, VAR15, VAR13[15: 8], VAR1[1] & VAR8, VAR12[15: 8], VAR10, VAR14[15: 8], VAR18[1] & VAR6, VAR2[15: 8]); VAR9.VAR5 = VAR5; VAR4 VAR17(VAR20, VAR15, VAR13[23:16], VAR1[2] & VAR8, VAR12[23:16], VAR10, VAR14[23:16], VAR18[2] & VAR6, VAR2[23:16]); VAR17.VAR5 = VAR5; VAR4 VAR3(VAR20, VAR15, VAR13[31:24], VAR1[3] & VAR8, VAR12[31:24], VAR10, VAR14[31:24], VAR18[3] & VAR6, VAR2[31:24]); VAR3.VAR5 = VAR5; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dlatch_p_pp_pkg_s/sky130_fd_sc_hs__udp_dlatch_p_pp_pkg_s.symbol.v
1,459
module MODULE1 ( input VAR3 , output VAR7 , input VAR6 , input VAR5, input VAR2 , input VAR4 , input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o211ai/sky130_fd_sc_lp__o211ai_0.v
2,361
module MODULE2 ( VAR5 , VAR3 , VAR2 , VAR6 , VAR11 , VAR10, VAR1, VAR4 , VAR8 ); output VAR5 ; input VAR3 ; input VAR2 ; input VAR6 ; input VAR11 ; input VAR10; input VAR1; input VAR4 ; input VAR8 ; VAR9 VAR7 ( .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR11(VAR11), .VAR10(VAR10), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR5 , VAR3, VAR2, VAR6, VAR11 ); output VAR5 ; input VAR3; input VAR2; input VAR6; input VAR11; supply1 VAR10; supply0 VAR1; supply1 VAR4 ; supply0 VAR8 ; VAR9 VAR7 ( .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR11(VAR11) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/icgtp/gf180mcu_fd_sc_mcu7t5v0__icgtp_4.behavioral.pp.v
2,782
module MODULE1( VAR11, VAR18, VAR9, VAR20, VAR5, VAR23 ); input VAR9, VAR18, VAR11; inout VAR5, VAR23; output VAR20; reg VAR2; VAR3 VAR13(.VAR11(VAR11),.VAR18(VAR18),.VAR9(VAR9),.VAR20(VAR20),.VAR5(VAR5),.VAR23(VAR23),.VAR2(VAR2)); VAR3 VAR16(.VAR11(VAR11),.VAR18(VAR18),.VAR9(VAR9),.VAR20(VAR20),.VAR5(VAR5),.VAR23(VAR23),.VAR2(VAR2)); not VAR22(VAR6,VAR18); not VAR8(VAR17,VAR11); and VAR10(VAR7,VAR17,VAR6); not VAR14(VAR24,VAR18); and VAR15(VAR25,VAR11,VAR24); not VAR19(VAR21,VAR11); and VAR1(VAR12,VAR21,VAR18); and VAR26(VAR4,VAR11,VAR18);
apache-2.0
hydai/Verilog-Practice
HardwareLab/rrab/rrab.v
1,181
module MODULE1 ( output reg [1:0] VAR7, input [1:0] request, input clk, input VAR3 ); parameter VAR9 = 2'b00; parameter VAR6 = 2'b01; parameter VAR5 = 2'b10; parameter VAR8 = 2'b11; reg [1:0] VAR1; reg VAR2, VAR4; always @(posedge clk or negedge VAR3) begin if (!VAR3) begin VAR2 <= 1'b0; VAR7 <= VAR9; end else begin VAR2 <= VAR4; VAR7 <= VAR1; end end always @ begin if (VAR1 == VAR9) begin VAR4 = VAR2; end else begin VAR4 = VAR1[1]; end end endmodule
mit
JakeMercer/mac
tx.v
8,522
module MODULE1 #( parameter VAR37 = 4'h0, parameter VAR35 = 4'h1, parameter VAR17 = 4'h2, parameter VAR2 = 4'h3, parameter VAR51 = 4'h4, parameter VAR1 = 4'h5, parameter VAR36 = 4'h6, parameter VAR32 = 4'h7, parameter VAR15 = 4'h8, parameter VAR26 = 4'h9, parameter VAR47 = 4'hA, parameter VAR18 = 4'hB )( input wire reset, input wire VAR43, input wire [7:0] VAR48, output reg VAR5, input wire VAR14, input wire VAR31, input wire VAR30, output reg VAR10, input wire VAR29, input wire VAR49, input wire VAR20, output reg VAR16, output reg [7:0] VAR11 ); localparam VAR12 = 0; localparam VAR44 = 1; reg [3:0] state; reg [3:0] VAR19; reg [7:0] VAR42; reg [5:0] VAR21; reg [4:0] VAR33; reg [3:0] VAR40; reg [3:0] VAR25; reg [3:0] VAR4; reg VAR46; reg VAR13; wire [31:0] VAR7; integer VAR27; wire [31:0] VAR6; reg VAR50; wire VAR9; always @(posedge VAR43) if (reset) state <= VAR37; else state <= VAR19; always @ if (state == VAR1 || state == VAR47) VAR5 = 1; else VAR5 = 0; always @ if (state == VAR2 || state == VAR51 || state == VAR1 || state == VAR26 || state == VAR36 || state == VAR32 ) VAR16 <= 1; else VAR16 <= 0; always @ if (state == VAR51) VAR46 = 1; else VAR46 = 0; always @ if (state == VAR32 && VAR19 == VAR15) VAR50 = 1; else VAR50 = 0; VAR41 #( .VAR34(32'h04C11DB7), .VAR3(8), .VAR39(32), .VAR38(32'hFFFFFFFF)) VAR8( .reset(reset), .VAR43(VAR43), .VAR23(VAR46), .VAR28(VAR11), .VAR45(VAR13), .VAR7(VAR7) ); VAR22 VAR52( .reset(reset), .VAR43(VAR43), .VAR23(VAR50), .VAR4(VAR4), .VAR24(VAR9) ); endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/rssi.v
1,512
module MODULE1 (input VAR6, input reset, input enable, input [11:0] VAR9, output [15:0] MODULE1, output [15:0] VAR4); wire VAR8 = (VAR9 == 12'h7FF); wire VAR1 = (VAR9 == 12'h800); wire VAR2 = VAR8 | VAR1; reg [25:0] VAR7; always @(posedge VAR6) if(reset | ~enable) VAR7 <= 26'd0; else VAR7 <= VAR7 + (VAR2 ? 26'd65535 : 26'd0) - VAR7[25:10]; assign VAR4 = VAR7[25:10]; wire [11:0] VAR5 = VAR9[11] ? ~VAR9 : VAR9; reg [25:0] VAR3; always @(posedge VAR6) if(reset | ~enable) VAR3 <= 26'd0; else VAR3 <= VAR3 + VAR5 - VAR3[25:10]; assign MODULE1 = VAR3[25:10]; endmodule
gpl-2.0
SymbiFlow/fpga-tool-perf
third_party/jpeg-qnr/div_su.v
4,686
module MODULE1(clk, VAR19, VAR3, VAR6, VAR16, VAR9, VAR11, VAR12); parameter VAR15 = 16; parameter VAR8 = VAR15 /2; input clk; input VAR19; input [VAR15-1:0] VAR3; input [VAR8-1:0] VAR6; output [VAR8 :0] VAR16; output [VAR8-1:0] VAR9; output VAR11; output VAR12; reg [VAR8 :0] VAR16; reg [VAR8-1:0] VAR9; reg VAR11; reg VAR12; reg [VAR15 -1:0] VAR18; reg [VAR8 -1:0] VAR5; reg [VAR8 +1:0] VAR4; wire [VAR8 -1:0] VAR2, VAR13; wire VAR17, VAR1; always @(posedge clk) if (VAR19) VAR5 <= VAR6; always @(posedge clk) if (VAR19) if (VAR3[VAR15-1]) VAR18 <= ~VAR3 +1'h1; else VAR18 <= VAR3; integer VAR7; always @(posedge clk) if(VAR19) begin VAR4[0] <= VAR3[VAR15-1]; for(VAR7=1; VAR7 <= VAR8+1; VAR7=VAR7+1) VAR4[VAR7] <= VAR4[VAR7-1]; end VAR14 #(VAR15, VAR8) VAR10 ( .clk(clk), .VAR19(VAR19), .VAR3(VAR18), .VAR6(VAR5), .VAR16(VAR2), .VAR9(VAR13), .VAR11(VAR17), .VAR12(VAR1) ); always @(posedge clk) if(VAR19) if(VAR4[VAR8+1]) begin VAR16 <= (~VAR2) + 1'h1; VAR9 <= (~VAR13) + 1'h1; end else begin VAR16 <= {1'b0, VAR2}; VAR9 <= {1'b0, VAR13}; end always @(posedge clk) if(VAR19) begin VAR11 <= VAR17; VAR12 <= VAR1; end endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand4/sky130_fd_sc_hs__nand4_1.v
2,126
module MODULE2 ( VAR3 , VAR5 , VAR6 , VAR9 , VAR1 , VAR8, VAR2 ); output VAR3 ; input VAR5 ; input VAR6 ; input VAR9 ; input VAR1 ; input VAR8; input VAR2; VAR4 VAR7 ( .VAR3(VAR3), .VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR9), .VAR1(VAR1), .VAR8(VAR8), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR3, VAR5, VAR6, VAR9, VAR1 ); output VAR3; input VAR5; input VAR6; input VAR9; input VAR1; supply1 VAR8; supply0 VAR2; VAR4 VAR7 ( .VAR3(VAR3), .VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR9), .VAR1(VAR1) ); endmodule
apache-2.0
jeremycw/tetris-verilog
tetris_blocks.v
3,747
module MODULE7(input[2:0] type, input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR1, VAR4, VAR31, VAR26, VAR22, VAR11, VAR14; MODULE4 MODULE6(VAR3, VAR1); MODULE1 MODULE2(VAR3, VAR4); MODULE3 MODULE5(VAR3, VAR31); MODULE8 MODULE7(VAR3, VAR26); MODULE6 MODULE1(VAR3, VAR22); MODULE2 MODULE4(VAR3, VAR11); MODULE5 MODULE3(VAR3, VAR14); always @* begin case(type) 0: VAR6 = VAR1; 1: VAR6 = VAR4; 2: VAR6 = VAR31; 3: VAR6 = VAR26; 4: VAR6 = VAR22; 5: VAR6 = VAR11; 6: VAR6 = VAR14; default: VAR6 = 0; endcase end endmodule module MODULE4(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR16 = 16'b1110010000000000; wire[0:15] VAR33 = 16'b0010011000100000; wire[0:15] VAR7 = 16'b0000010011100000; wire[0:15] VAR34 = 16'b1000110010000000; always @* begin case(VAR3) 0: VAR6 = VAR16; 1: VAR6 = VAR33; 2: VAR6 = VAR7; 3: VAR6 = VAR34; default: VAR6 = VAR16; endcase end endmodule module MODULE1(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR25 = 16'b1100011000000000; wire[0:15] VAR38 = 16'b0010011001000000; wire[0:15] VAR2 = 16'b0000110001100000; wire[0:15] VAR24 = 16'b0100110010000000; always @* begin case(VAR3) 0: VAR6 = VAR25; 1: VAR6 = VAR38; 2: VAR6 = VAR2; 3: VAR6 = VAR24; default: VAR6 = VAR25; endcase end endmodule module MODULE3(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR8 = 16'b0110110000000000; wire[0:15] VAR28 = 16'b0100011000100000; wire[0:15] VAR20 = 16'b0000011011000000; wire[0:15] VAR21 = 16'b1000110001000000; always @* begin case(VAR3) 0: VAR6 = VAR8; 1: VAR6 = VAR28; 2: VAR6 = VAR20; 3: VAR6 = VAR21; default: VAR6 = VAR8; endcase end endmodule module MODULE8(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR17 = 16'b0100010011000000; wire[0:15] VAR23 = 16'b1000111000000000; wire[0:15] VAR15 = 16'b0110010001000000; wire[0:15] VAR9 = 16'b0000111000100000; always @* begin case(VAR3) 0: VAR6 = VAR17; 1: VAR6 = VAR23; 2: VAR6 = VAR15; 3: VAR6 = VAR9; default: VAR6 = VAR17; endcase end endmodule module MODULE6(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR10 = 16'b0100010011000000; wire[0:15] VAR36 = 16'b0000111010000000; wire[0:15] VAR37 = 16'b1100010001000000; wire[0:15] VAR27 = 16'b0010111000000000; always @* begin case(VAR3) 0: VAR6 = VAR10; 1: VAR6 = VAR36; 2: VAR6 = VAR37; 3: VAR6 = VAR27; default: VAR6 = VAR10; endcase end endmodule module MODULE2(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] o0 = 16'b1100110000000000; always @* begin case(VAR3) default: VAR6 = o0; endcase end endmodule module MODULE5(input[1:0] VAR3, output reg[0:15] VAR6); wire[0:15] VAR18 = 16'b1000100010001000; wire[0:15] VAR32 = 16'b0000000011110000; always @* begin case(VAR3) 0: VAR6 = VAR18; 1: VAR6 = VAR32; 2: VAR6 = VAR18; 3: VAR6 = VAR32; default: VAR6 = VAR18; endcase end endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/edk/pcores/ccx2mb_v1_00_a/hdl/verilog/pcx2mb.v
14,110
module MODULE1 ( VAR78, VAR59, VAR4, VAR79, VAR11, VAR22, VAR26, VAR29, VAR74, VAR84 ); parameter VAR25 = 5; parameter VAR25 = 2; parameter VAR80 = (((VAR53+VAR25)/VAR35)+1); parameter VAR23 = (VAR35 * VAR80) - (VAR53+VAR25+1); output [4:0] VAR78; output VAR59; output [VAR35-1:0] VAR4; output VAR79; input VAR11; input VAR22; input [VAR53-1:0] VAR26; input VAR29; input [4:0] VAR74; input VAR84; wire [4:0] VAR78; wire VAR59; wire [VAR35-1:0] VAR4; wire VAR79; wire VAR2; wire VAR32; reg VAR49; wire [4:0] VAR10; reg [4:0] VAR68; wire [4:0] VAR16; reg [4:0] VAR1; reg VAR69; reg VAR86; wire [4:0] VAR57; VAR82 VAR40 ( .VAR57(VAR57[0]), .VAR11(VAR11), .VAR22(VAR22), .VAR87(VAR16[0]), .VAR88(VAR1[0]), .VAR70(VAR86), .VAR28(VAR78[0]) ); VAR82 VAR50 ( .VAR57(VAR57[1]), .VAR11(VAR11), .VAR22(VAR22), .VAR87(VAR16[1]), .VAR88(VAR1[1]), .VAR70(VAR86), .VAR28(VAR78[1]) ); VAR82 VAR61 ( .VAR57(VAR57[2]), .VAR11(VAR11), .VAR22(VAR22), .VAR87(VAR16[2]), .VAR88(VAR1[2]), .VAR70(VAR86), .VAR28(VAR78[2]) ); VAR82 VAR66 ( .VAR57(VAR57[3]), .VAR11(VAR11), .VAR22(VAR22), .VAR87(VAR16[3]), .VAR88(VAR1[3]), .VAR70(VAR86), .VAR28(VAR78[3]) ); VAR82 VAR18 ( .VAR57(VAR57[4]), .VAR11(VAR11), .VAR22(VAR22), .VAR87(VAR16[4]), .VAR88(VAR1[4]), .VAR70(VAR86), .VAR28(VAR78[4]) ); assign VAR2 = | (VAR74 & ~(VAR57 & ~VAR78)); assign VAR10 = VAR74; assign VAR16 = VAR68 & ~VAR57; assign VAR32 = | VAR16; always @ (posedge VAR11) begin VAR68 <= VAR10; VAR69 <= VAR29; VAR49 <= VAR32; VAR1 <= VAR16; VAR86 <= VAR69; end wire [VAR53+VAR25:0] VAR38; wire VAR62; wire [4:0] VAR42; wire VAR76; assign VAR76 = VAR38[VAR53]; wire [VAR53+VAR25:0] VAR54; wire VAR13; wire [4:0] VAR41; wire [VAR53+VAR25:0] VAR9; wire VAR46; wire [4:0] VAR30; wire [VAR53+VAR25:0] VAR6; wire VAR20; wire [4:0] VAR24; wire [VAR53+VAR25:0] VAR8; wire VAR3; wire [4:0] VAR75; wire [VAR53+VAR25:0] VAR56; wire VAR5; wire [4:0] VAR73; wire [VAR53+VAR25:0] VAR21; wire VAR63; wire [4:0] VAR44; wire [VAR53+VAR25:0] VAR71; wire VAR83; wire [4:0] VAR81; wire [VAR53+VAR25:0] VAR77; wire VAR36; wire [4:0] VAR19; reg [VAR80*VAR35-1:0] VAR34; wire VAR43; reg VAR17; reg [4:0] VAR58; wire VAR52; wire VAR27; VAR55 #(VAR25) VAR85 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR54), .VAR31(VAR13), .VAR39(VAR41), .VAR72(VAR43 & VAR17), .VAR60(VAR38), .VAR48(VAR62), .VAR12(VAR42) ); VAR55 #(VAR25) VAR14 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR9), .VAR31(VAR46), .VAR39(VAR30), .VAR72(VAR62), .VAR60(VAR54), .VAR48(VAR13), .VAR12(VAR41) ); VAR55 #(VAR25) VAR45 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR6), .VAR31(VAR20), .VAR39(VAR24), .VAR72(VAR13), .VAR60(VAR9), .VAR48(VAR46), .VAR12(VAR30) ); VAR55 #(VAR25) VAR33 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR8), .VAR31(VAR3), .VAR39(VAR75), .VAR72(VAR46), .VAR60(VAR6), .VAR48(VAR20), .VAR12(VAR24) ); VAR55 #(VAR25) VAR15 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR56), .VAR31(VAR5), .VAR39(VAR73), .VAR72(VAR20), .VAR60(VAR8), .VAR48(VAR3), .VAR12(VAR75) ); VAR55 #(VAR25) VAR67 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR21), .VAR31(VAR63), .VAR39(VAR44), .VAR72(VAR3), .VAR60(VAR56), .VAR48(VAR5), .VAR12(VAR73) ); VAR55 #(VAR25) VAR64 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR71), .VAR31(VAR83), .VAR39(VAR81), .VAR72(VAR5), .VAR60(VAR21), .VAR48(VAR63), .VAR12(VAR44) ); VAR55 #(VAR25) VAR37 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51(VAR77), .VAR31(VAR36), .VAR39(VAR19), .VAR72(VAR63), .VAR60(VAR71), .VAR48(VAR83), .VAR12(VAR81) ); VAR55 #(VAR25) VAR65 ( .VAR11(VAR11), .VAR22(VAR22), .VAR32(VAR32), .VAR26(VAR26), .VAR16(VAR16), .VAR69(VAR69), .VAR49(VAR49), .VAR1(VAR1), .VAR86(VAR86), .VAR52(VAR52), .VAR51({VAR53+VAR25+1{1'b0}}), .VAR31(1'b0), .VAR39(5'b00000), .VAR72(VAR83), .VAR60(VAR77), .VAR48(VAR36), .VAR12(VAR19) ); VAR7 VAR47 ( .VAR52(VAR52), .VAR27(VAR27), .VAR43(VAR43), .VAR59(VAR59), .VAR79(VAR79), .VAR78(VAR78), .VAR11(VAR11), .VAR22(VAR22), .VAR2(VAR2), .VAR32(VAR32), .VAR29(VAR29), .VAR58(VAR58), .VAR62(VAR62), .VAR76(VAR76), .VAR84(VAR84) ); always @(posedge VAR11) begin if (!VAR22) begin VAR34 <= {VAR80*VAR35{1'b0}}; VAR58 <= 5'b00000; end else if (VAR27) begin VAR34 <= VAR34 << VAR35; VAR58 <= VAR58; end else if (VAR52 && VAR62) begin VAR34 <= { {VAR23{1'b0}},VAR38}; VAR58 <= VAR42; end else if (VAR52) begin VAR34 <= { {VAR23{1'b0}}, VAR16[4:0], VAR69, VAR26}; VAR34 <= { {VAR23{1'b0}}, VAR16[4], (|VAR16[3:0]), VAR69, VAR26}; VAR58 <= VAR16; end else begin VAR34 <= VAR34; VAR58 <= VAR58; end end always @(posedge VAR11) begin VAR17 <= VAR43; end assign VAR4 = VAR34[VAR80*VAR35-1:(VAR80-1)*VAR35]; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4bb/sky130_fd_sc_ms__nand4bb.blackbox.v
1,336
module MODULE1 ( VAR1 , VAR6, VAR9, VAR4 , VAR7 ); output VAR1 ; input VAR6; input VAR9; input VAR4 ; input VAR7 ; supply1 VAR2; supply0 VAR3; supply1 VAR8 ; supply0 VAR5 ; endmodule
apache-2.0
maltanar/fpga-tidbits
src/main/resources/verilog/GenericSDAccelWrapperTop.v
4,020
module MODULE1(input VAR64, input VAR89, output VAR46, input VAR105, input [63:0] VAR28, input [2:0] VAR92, output VAR19, input VAR111, input [31:0] VAR32, input [3:0] VAR16, input VAR108, output VAR75, output[1:0] VAR110, output VAR41, input VAR50, input [63:0] VAR103, input [2:0] VAR94, input VAR66, output VAR34, output[31:0] VAR31, output[1:0] VAR24, input VAR2, output VAR102, output[63:0] VAR54, output[2:0] VAR27, output[7:0] VAR42, output[1:0] VAR95, output[0:0] VAR20, output VAR35, output[3:0] VAR87, output[2:0] VAR83, output[3:0] VAR30, input VAR21, output VAR100, output[63:0] VAR6, output[63:0] VAR82, output VAR1, output VAR38, input VAR12, input [0:0] VAR107, input [1:0] VAR11, input VAR71, output VAR18, output[63:0] VAR45, output[2:0] VAR47, output[7:0] VAR97, output[1:0] VAR84, output[0:0] VAR93, output VAR53, output[3:0] VAR114, output[2:0] VAR58, output[3:0] VAR68, output VAR14, input VAR51, input [63:0] VAR22, input [0:0] VAR48, input VAR56, input [1:0] VAR3 ); VAR5 VAR5(.clk(VAR64), .reset(!VAR89), .VAR81(VAR46), .VAR86(VAR105), .VAR4(VAR28), .VAR61(VAR92), .VAR98(VAR19), .VAR90(VAR111), .VAR70(VAR32), .VAR52(VAR16), .VAR91(VAR108), .VAR96(VAR75), .VAR112(VAR110), .VAR77(VAR41), .VAR113(VAR50), .VAR15(VAR103), .VAR9(VAR94), .VAR106(VAR66), .VAR43(VAR34), .VAR74(VAR31), .VAR99(VAR24), .VAR44(VAR2), .VAR23(VAR102), .VAR65(VAR54), .VAR60(VAR27), .VAR13(VAR42), .VAR29(VAR95), .VAR10(VAR20), .VAR109(VAR35), .VAR69(VAR87), .VAR7(VAR83), .VAR88(VAR30), .VAR8(VAR21), .VAR104(VAR100), .VAR39(VAR6), .VAR79(VAR82), .VAR67(VAR1), .VAR25(VAR38), .VAR17(VAR12), .VAR33(VAR107), .VAR73(VAR11), .VAR115(VAR71), .VAR59(VAR18), .VAR78(VAR45), .VAR80(VAR47), .VAR63(VAR97), .VAR36(VAR84), .VAR37(VAR93), .VAR62(VAR53), .VAR72(VAR114), .VAR85(VAR58), .VAR26(VAR68), .VAR40(VAR14), .VAR49(VAR51), .VAR55(VAR22), .VAR57(VAR48), .VAR101(VAR56), .VAR76(VAR3) ); endmodule
bsd-2-clause
google/yaricv32
uart-tx.v
4,227
module MODULE1( input rst, input clk, input VAR13, input [7 : 0] VAR8, output VAR26, output VAR15); localparam VAR2 = 0; localparam VAR9 = 1; localparam VAR4 = 2; localparam VAR11 = 3; localparam VAR23 = 4; localparam VAR18 = 5; localparam VAR14 = 6; localparam VAR28 = 7; localparam VAR6 = 8; localparam VAR20 = 9; localparam VAR24 = 10; localparam VAR1 = 11; localparam VAR7 = 12; localparam VAR10 = VAR21(VAR7); parameter VAR5 = 12000000; VAR16 VAR3 parameter VAR29 = VAR5 / 4; else parameter VAR29 = 19200; localparam VAR27 = VAR5 / VAR29; localparam VAR22 = VAR21(VAR27); reg [VAR22-1 : 0] VAR17; reg [VAR10-1 : 0] VAR19; reg VAR12; reg [7 : 0] VAR25; assign VAR15 = (VAR19 != VAR2) || (VAR13) ? 0 : 1; assign VAR26 = (VAR19 == VAR2) ? 1 : VAR12; always @(posedge clk) begin if (rst) begin VAR19 <= 0; VAR12 <= 0; VAR17 <= 0; VAR25 <= 0; end else begin if (VAR17 == VAR27) begin VAR17 <= 0; end else if (VAR19 != VAR2) begin VAR17 <= VAR17 + 1; end case (VAR19) VAR2: begin if (VAR13) begin VAR19 <= VAR9; VAR12 <= 1; VAR25 <= VAR8; end end VAR9: begin if (VAR17 == 0) begin VAR12 <= 0; VAR19 <= VAR4; end end VAR4: begin if (VAR17 == 0) begin VAR12 <= VAR25[0]; VAR19 <= VAR11; end end VAR11: begin if (VAR17 == 0) begin VAR12 <= VAR25[1]; VAR19 <= VAR23; end end VAR23: begin if (VAR17 == 0) begin VAR12 <= VAR25[2]; VAR19 <= VAR18; end end VAR18: begin if (VAR17 == 0) begin VAR12 <= VAR25[3]; VAR19 <= VAR14; end end VAR14: begin if (VAR17 == 0) begin VAR12 <= VAR25[4]; VAR19 <= VAR28; end end VAR28: begin if (VAR17 == 0) begin VAR12 <= VAR25[5]; VAR19 <= VAR6; end end VAR6: begin if (VAR17 == 0) begin VAR12 <= VAR25[6]; VAR19 <= VAR20; end end VAR20: begin if (VAR17 == 0) begin VAR12 <= VAR25[7]; VAR19 <= VAR24; end end VAR24: begin if (VAR17 == 0) begin VAR12 <= 1; VAR19 <= VAR1; end end VAR1: begin if (VAR17 == 0) begin VAR12 <= 1; VAR19 <= VAR7; end end VAR7 : begin VAR19 <= VAR2; end default: begin VAR19 <= VAR2; end endcase end end endmodule
apache-2.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/verilog/serdes_1_to_5_diff_data.v
14,282
module MODULE1 # ( parameter VAR60 = "VAR58", parameter VAR64 = 50, parameter VAR56 = "VAR16" )( input wire VAR62, input wire VAR6, input wire VAR67, input wire VAR94, input wire VAR82, input wire reset, input wire VAR89, input wire VAR1, output wire [4:0] VAR66 ); wire VAR25; wire VAR74; wire VAR32; wire VAR26; wire VAR10; wire VAR43; reg [8:0] counter; reg [3:0] state; reg VAR98; wire VAR2; reg VAR19; wire VAR48; reg enable; reg VAR61; reg VAR4; reg VAR88; wire VAR83; reg VAR100; reg VAR57; reg VAR68; reg [4:0] VAR76; wire VAR103; wire VAR31; reg flag; reg mux; reg VAR101 ; wire [1:0] VAR35; wire VAR97; wire [1:0] VAR21; wire VAR72; wire [1:0] VAR33; wire VAR22; wire [1:0] VAR29 = 2'b00; assign VAR2 = VAR32 ; assign VAR48 = VAR98 ; always @ (posedge VAR89 or posedge reset) begin if (reset == 1'b1) begin state <= 0 ; VAR61 <= 1'b0 ; VAR98 <= 1'b0 ; counter <= 9'h000 ; enable <= 1'b0 ; mux <= 1'h1 ; end else begin counter <= counter + 9'h001 ; if (counter[8] == 1'b1) begin counter <= 9'h000 ; end if (counter[5] == 1'b1) begin enable <= 1'b1 ; end if (state == 0 && enable == 1'b1) begin VAR61 <= 1'b0 ; VAR98 <= 1'b0 ; VAR4 <= 1'b0 ; if (VAR19 == 1'b0) begin state <= 1 ; end end else if (state == 1) begin VAR61 <= 1'b1 ; VAR98 <= 1'b1 ; if (VAR19 == 1'b1) begin state <= 2 ; end end else if (state == 2) begin VAR61 <= 1'b0 ; VAR98 <= 1'b0 ; if (VAR19 == 1'b0) begin VAR4 <= 1'b1 ; state <= 3 ; end end else if (state == 3) begin VAR4 <= 1'b0 ; if (VAR19 == 1'b0) begin state <= 4 ; end end else if (state == 4) begin if (counter[8] == 1'b1) begin state <= 5 ; end end else if (state == 5) begin if (VAR19 == 1'b0) begin VAR98 <= 1'b1 ; state <= 6 ; end end else if (state == 6) begin VAR98 <= 1'b0 ; if (VAR19 == 1'b1) begin state <= 7 ; end end else if (state == 7) begin VAR98 <= 1'b0 ; if (VAR19 == 1'b0) begin state <= 4 ; end end end end always @ (posedge VAR89 or posedge reset) begin if (reset == 1'b1) begin VAR76 <= 5'b1000 ; VAR101 <= 1'b0 ; flag <= 1'b0 ; end else begin VAR19 <= VAR33[1] ; if (VAR62 == 1'b1) begin VAR68 <= VAR35[1] ; VAR57 <= VAR21[1] ; if (VAR101 == 1'b1) begin VAR100 = mux ; end else begin VAR100 = 64'h0000000000000000 ; end if (state == 7) begin flag <= 1'b0 ; end else if (state != 4 || VAR19 == 1'b1) begin VAR76 <= 5'b10000 ; VAR101 <= 1'b0 ; end else if (VAR76 == 5'b11111 && flag == 1'b0) begin VAR101 <= 1'b1 ; VAR88 <= 1'b1 ; VAR76 <= 5'b10000 ; flag <= 1'b1 ; end else if (VAR76 == 5'b00000 && flag == 1'b0) begin VAR101 <= 1'b1 ; VAR88 <= 1'b0 ; VAR76 <= 5'b10000 ; flag <= 1'b1 ; end else if (VAR57 == 1'b1) begin VAR101 <= 1'b0 ; if (VAR68 == 1'b1 && VAR76 != 5'b11111) begin VAR76 <= VAR76 + 5'b00001 ; end else if (VAR68 == 1'b0 && VAR76 != 5'b00000) begin VAR76 <= VAR76 + 5'b11111 ; end end else begin VAR101 <= 1'b0 ; end end else begin VAR100 = VAR22 ; VAR88 <= VAR29[1] ; end end end assign VAR83 = VAR88 ; assign VAR35[0] = 1'b0 ; assign VAR21[0] = 1'b0 ; assign VAR33[0] = 1'b0 ; assign VAR97 = VAR31 & mux; assign VAR35[1] = VAR97 | VAR35; assign VAR72 = VAR103 & mux; assign VAR21[1] = VAR72 | VAR21; assign VAR33[1] = VAR2 | VAR33; assign VAR22 = VAR29[0] ; VAR49 #( .VAR60 (VAR60)) VAR27 ( .VAR52 (VAR6), .VAR5 (VAR67), .VAR38 (VAR26) ); VAR12 #( .VAR24 ("VAR41"), .VAR80 (0), .VAR7 (0), .VAR107 ("VAR106" ), .VAR96 (0), .VAR63 ("VAR18"), .VAR36 ("VAR87"), .VAR95 ("VAR40"), .VAR23 ("VAR90"), .VAR70 (VAR64) ) VAR46 ( .VAR40 (VAR26), .VAR15 (), .VAR79 (), .VAR92 (1'b1), .VAR93 (1'b0), .VAR71 (VAR25), .VAR105 (), .VAR44 (VAR94), .VAR102 (1'b0), .VAR75 (VAR89), .VAR50 (VAR61), .VAR84 (VAR83), .VAR47 (VAR100), .VAR99 (VAR4), .VAR14 () ); VAR12 #( .VAR24 ("VAR41"), .VAR80 (0), .VAR7 (0), .VAR107 ("VAR106" ), .VAR96 (0), .VAR63 ("VAR18"), .VAR36 ("VAR85"), .VAR95 ("VAR40"), .VAR23 ("VAR59"), .VAR70 (VAR64) ) VAR20 ( .VAR40 (VAR26), .VAR15 (), .VAR79 (), .VAR92 (1'b1), .VAR93 (1'b0), .VAR71 (VAR74), .VAR105 (), .VAR44 (VAR94), .VAR102 (1'b0), .VAR75 (VAR89), .VAR50 (VAR48), .VAR84 (VAR83), .VAR47 (VAR100), .VAR99 (VAR4), .VAR14 (VAR32) ); VAR42 #( .VAR8 (5), .VAR24 ("VAR41"), .VAR56 (VAR56), .VAR23 ("VAR90"), .VAR81 ("VAR77")) VAR73 ( .VAR17 (VAR25), .VAR55 (1'b1), .VAR9 (VAR94), .VAR11 (1'b0), .VAR78 (VAR82), .VAR99 (reset), .VAR54 (VAR89), .VAR51 (VAR43), .VAR39 (VAR1), .VAR45 (), .VAR65 (VAR66[0]), .VAR53 (VAR66[1]), .VAR13 (VAR66[2]), .VAR34 (VAR66[3]), .VAR104 (), .VAR86 (), .VAR3 (), .VAR69 (VAR103), .VAR30 (VAR31), .VAR37 (VAR10)); VAR42 #( .VAR8 (5), .VAR24 ("VAR41"), .VAR56 (VAR56), .VAR23 ("VAR59"), .VAR81 ("VAR77") ) VAR91 ( .VAR17 (VAR74), .VAR55 (1'b1), .VAR9 (VAR94), .VAR11 (1'b0), .VAR78 (VAR82), .VAR99 (reset), .VAR54 (VAR89), .VAR51 (VAR10), .VAR39 (VAR1), .VAR45 (), .VAR65 (VAR66[4]), .VAR53 (), .VAR13 (), .VAR34 (), .VAR104 (), .VAR86 (), .VAR3 (), .VAR69 (), .VAR30 (), .VAR37 (VAR43)); reg [7:0] VAR28 = 8'h7f; always @ (posedge VAR89 or posedge reset) begin if (reset) VAR28 <= 8'h7f; end else if (VAR100) if (VAR83) VAR28 <= VAR28 + 1'b1; else VAR28 <= VAR28 - 1'b1; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/decaphetap/sky130_fd_sc_ls__decaphetap.symbol.v
1,173
module MODULE1 (); supply1 VAR2; supply0 VAR3; supply1 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o21a/sky130_fd_sc_ms__o21a.symbol.v
1,341
module MODULE1 ( input VAR4, input VAR8, input VAR3, output VAR1 ); supply1 VAR2; supply0 VAR7; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v
3,173
module MODULE1 #(parameter VAR26(VAR17) , parameter VAR26(VAR14) , parameter VAR8=0 , parameter VAR11=VAR2(VAR14) , parameter VAR24=0 ) (input VAR5 , input VAR18 , input VAR23 , input [VAR11-1:0] VAR12 , input [VAR3(VAR17, 1):0] VAR20 , input VAR28 , input [VAR11-1:0] VAR9 , output logic [VAR3(VAR17, 1):0] VAR15 , input VAR27 , input [VAR11-1:0] VAR19 , output logic [VAR3(VAR17, 1):0] VAR13 ); wire VAR1 = VAR18; if (VAR17 == 0) begin: VAR10 wire VAR22 = &{VAR5, VAR23, VAR12, VAR20, VAR28, VAR9, VAR27, VAR19}; assign VAR15 = '0; assign VAR13 = '0; end else begin: VAR16 logic [VAR17-1:0] VAR7 [VAR14-1:0]; logic [VAR11-1:0] VAR4, VAR25; VAR21 @(posedge VAR5) if (VAR28) VAR4 <= VAR9; end else VAR4 <= 'VAR6; VAR21 @(posedge VAR5) if (VAR27) VAR25 <= VAR19; else VAR25 <= 'VAR6; assign VAR15 = VAR7[ VAR4 ]; assign VAR13 = VAR7[ VAR25 ]; VAR21 @(posedge VAR5) if (VAR23) VAR7[VAR12] <= VAR20; end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or4bb/sky130_fd_sc_lp__or4bb.pp.blackbox.v
1,345
module MODULE1 ( VAR2 , VAR6 , VAR8 , VAR4 , VAR5 , VAR9, VAR7, VAR3 , VAR1 ); output VAR2 ; input VAR6 ; input VAR8 ; input VAR4 ; input VAR5 ; input VAR9; input VAR7; input VAR3 ; input VAR1 ; endmodule
apache-2.0
zhaishaomin/ring_network-based-multicore-
communication_assist/upload_fsm_datapath.v
6,154
module MODULE1( clk, rst, VAR39, VAR30, VAR44, VAR54, VAR51, VAR49, VAR22, VAR45, VAR36, VAR10, VAR50, VAR48 ); input clk; input rst; input VAR39; input VAR30; input VAR44; input [3:0] VAR54; input [3:0] VAR51; input [15:0] VAR49; input [15:0] VAR22; input [15:0] VAR45; output [1:0] VAR36; output [15:0] VAR10; output [1:0] VAR50; output VAR48; wire [3:0] VAR43; wire [1:0] VAR40; wire [15:0] VAR18; wire VAR13; wire VAR17; wire VAR38; wire VAR19; wire VAR47; wire VAR16; wire VAR52; wire VAR5; wire VAR20; wire VAR15; wire VAR25; wire VAR21; VAR42 VAR34 ( .clk(clk), .rst(rst), .VAR53(VAR39), .VAR29(VAR30), .VAR9(VAR17), .VAR46(VAR13), .VAR49(VAR49), .VAR4(VAR43), .VAR11(VAR40), .VAR12(VAR38), .VAR44(VAR21), .VAR8(VAR25), .VAR1(VAR15), .VAR31(VAR20), .VAR27(VAR36), .VAR3(VAR47), .VAR2(VAR16), .VAR41(VAR52), .VAR33(VAR5), .VAR23(VAR19), .VAR14(VAR50), .VAR7(VAR48) ); VAR32 VAR26( .clk(clk), .rst(rst), .VAR3(VAR47), .VAR2(VAR16), .VAR41(VAR52), .VAR33(VAR5), .VAR31(VAR20), .VAR35(VAR15), .VAR8(VAR25), .VAR53(VAR39), .VAR44(VAR21), .VAR54(VAR54), .VAR23(VAR19), .VAR24(VAR51), .VAR49(VAR49), .VAR22(VAR22), .VAR45(VAR45), .VAR10(VAR18), .VAR46(VAR13), .VAR9(VAR17), .VAR6(VAR38), .VAR37(VAR43), .VAR28(VAR40) ); endmodule
apache-2.0
queq/just-stuff
pov/TopFixed/top_teclado.v
1,239
module MODULE1(VAR11, VAR12, VAR7, VAR10, VAR22, VAR4, VAR2, VAR15, VAR8,VAR14,string); input VAR11; input VAR12; input VAR7; input VAR10; output [6:0] VAR22; output VAR4, VAR2, VAR15; output VAR8; output VAR14; output wire [76:0] string; wire VAR20; wire VAR16; wire [6:0]VAR5; VAR18 VAR3(.VAR11(VAR11), .VAR12(VAR12), .VAR7(VAR7), .VAR10(VAR10), .VAR16(VAR16), .VAR5(VAR5)); VAR1 VAR9 (.clk(VAR11), .VAR19(VAR12), .new(VAR16), .VAR6(VAR5), .string(string), .VAR14(VAR14), .VAR21(VAR20)); VAR13 VAR17 (.clk(VAR11), .VAR20(VAR20), .VAR12(VAR12), .VAR9(string), .VAR22(VAR22), .VAR4(VAR4), .VAR2(VAR2), .VAR15(VAR15), .VAR8(VAR8)); endmodule
mit
CospanDesign/nysa-verilog
verilog/wishbone/slave/wb_fpga_nes/rtl/ppu/ppu_spr.v
22,415
module MODULE1 ( input wire VAR132, input wire VAR68, input wire VAR24, input wire VAR44, input wire VAR75, input wire VAR71, input wire [ 7:0] VAR13, input wire [ 7:0] VAR133, input wire VAR122, input wire [ 9:0] VAR60, input wire [ 9:0] VAR94, input wire [ 9:0] VAR72, input wire VAR55, input wire [ 7:0] VAR50, output wire [ 7:0] VAR16, output wire VAR2, output wire [ 3:0] VAR70, output wire VAR127, output wire VAR121, output reg [13:0] VAR130, output reg VAR41 ); reg [7:0] VAR63 [255:0]; always @(posedge VAR132) begin if (VAR122) VAR63[VAR13] <= VAR133; end reg [24:0] VAR97 [7:0]; reg [24:0] VAR30; reg [ 2:0] VAR3; reg VAR33; always @(posedge VAR132) begin if (VAR33) VAR97[VAR3] <= VAR30; end reg [27:0] VAR22 [7:0]; reg [27:0] VAR34; reg [ 2:0] VAR54; reg VAR19; always @(posedge VAR132) begin if (VAR19) VAR22[VAR54] <= VAR34; end reg [3:0] VAR67, VAR40; reg VAR80, VAR45; always @(posedge VAR132) begin if (VAR68) begin VAR67 <= 4'h0; VAR80 <= 1'h0; end else begin VAR67 <= VAR40; VAR80 <= VAR45; end end wire [5:0] VAR64; wire [7:0] VAR7; wire [7:0] VAR119; wire VAR87; wire VAR48; wire VAR86; wire [1:0] VAR100; wire [7:0] VAR69; wire [8:0] VAR36; wire VAR83; assign VAR64 = VAR60[7:2]; assign VAR7 = VAR63[{ VAR64, 2'b00 }] + 8'h01; assign VAR119 = VAR63[{ VAR64, 2'b01 }]; assign VAR87 = VAR63[{ VAR64, 2'b10 }] >> 3'h7; assign VAR48 = VAR63[{ VAR64, 2'b10 }] >> 3'h6; assign VAR86 = VAR63[{ VAR64, 2'b10 }] >> 3'h5; assign VAR100 = VAR63[{ VAR64, 2'b10 }]; assign VAR69 = VAR63[{ VAR64, 2'b11 }]; assign VAR36 = VAR72 - VAR7; assign VAR83 = (~|VAR36[8:4]) & (~VAR36[3] | VAR75); always @* begin VAR40 = VAR67; if ((VAR72 == 0) && (VAR60 == 0)) VAR45 = 1'b0; end else VAR45 = VAR80 || VAR67[3]; VAR3 = VAR67[2:0]; VAR33 = 1'b0; VAR30[ 24] = ~|VAR64; VAR30[23:16] = VAR119; VAR30[15: 8] = VAR69; VAR30[ 7: 6] = VAR100; VAR30[ 5] = VAR86; VAR30[ 4] = VAR48; VAR30[ 3: 0] = (VAR87) ? ~VAR36[3:0] : VAR36[3:0]; if (VAR24 && VAR55 && (VAR72 < 239)) begin if (VAR60 == 320) begin VAR40 = 4'h0; end else if ((VAR60 < 256) && (VAR60[1:0] == 2'h0) && VAR83 && !VAR67[3]) begin VAR33 = 1'b1; VAR40 = VAR67 + 4'h1; end end end reg [7:0] VAR117, VAR95; reg [7:0] VAR28, VAR129; always @(posedge VAR132) begin if (VAR68) begin VAR28 <= 8'h00; VAR117 <= 8'h00; end else begin VAR28 <= VAR129; VAR117 <= VAR95; end end wire [2:0] VAR25; wire VAR5; wire [7:0] VAR108; wire [7:0] VAR42; wire [1:0] VAR92; wire VAR120; wire VAR51; wire [3:0] VAR4; assign VAR25 = VAR60[5:3]; assign VAR5 = VAR97[VAR25] >> 24; assign VAR108 = VAR97[VAR25] >> 16; assign VAR42 = VAR97[VAR25] >> 8; assign VAR92 = VAR97[VAR25] >> 6; assign VAR120 = VAR97[VAR25] >> 5; assign VAR51 = VAR97[VAR25] >> 4; assign VAR4 = VAR97[VAR25]; always @* begin VAR129 = VAR28; VAR95 = VAR117; VAR54 = VAR25; VAR19 = 1'b0; VAR34 = 28'h000; VAR41 = 1'b0; if (VAR75) VAR130 = { 1'b0, VAR108[0], VAR108[7:1], VAR4[3], VAR60[1], VAR4[2:0] }; end else VAR130 = { 1'b0, VAR71, VAR108, VAR60[1], VAR4[2:0] }; if (VAR24 && (VAR72 < 239) && (VAR60 >= 256) && (VAR60 < 320)) begin if (VAR25 < VAR67) begin case (VAR60[2:1]) 2'h0: begin VAR41 = 1'b1; if (VAR51) begin VAR95 = VAR50; end else begin VAR95[0] = VAR50[7]; VAR95[1] = VAR50[6]; VAR95[2] = VAR50[5]; VAR95[3] = VAR50[4]; VAR95[4] = VAR50[3]; VAR95[5] = VAR50[2]; VAR95[6] = VAR50[1]; VAR95[7] = VAR50[0]; end end 2'h1: begin VAR41 = 1'b1; if (VAR51) begin VAR129 = VAR50; end else begin VAR129[0] = VAR50[7]; VAR129[1] = VAR50[6]; VAR129[2] = VAR50[5]; VAR129[3] = VAR50[4]; VAR129[4] = VAR50[3]; VAR129[5] = VAR50[2]; VAR129[6] = VAR50[1]; VAR129[7] = VAR50[0]; end end 2'h2: begin VAR34 = { VAR5, VAR120, VAR92, VAR28, VAR117, VAR42 }; VAR19 = 1'b1; end endcase end else begin VAR34 = 28'h0000000; VAR19 = 1'b1; end end end reg [7:0] VAR107, VAR52; reg [7:0] VAR105, VAR82; reg [7:0] VAR56, VAR85; reg [7:0] VAR136, VAR38; reg [7:0] VAR11, VAR110; reg [7:0] VAR93, VAR77; reg [7:0] VAR27, VAR79; reg [7:0] VAR35, VAR49; reg [7:0] VAR9, VAR98; reg [7:0] VAR116, VAR66; reg [7:0] VAR46, VAR111; reg [7:0] VAR21, VAR62; reg [7:0] VAR124, VAR14; reg [7:0] VAR53, VAR8; reg [7:0] VAR81, VAR135; reg [7:0] VAR78, VAR61; always @(posedge VAR132) begin if (VAR68) begin VAR107 <= 8'h00; VAR105 <= 8'h00; VAR56 <= 8'h00; VAR136 <= 8'h00; VAR11 <= 8'h00; VAR93 <= 8'h00; VAR27 <= 8'h00; VAR35 <= 8'h00; VAR9 <= 8'h00; VAR116 <= 8'h00; VAR46 <= 8'h00; VAR21 <= 8'h00; VAR124 <= 8'h00; VAR53 <= 8'h00; VAR81 <= 8'h00; VAR78 <= 8'h00; end else begin VAR107 <= VAR52; VAR105 <= VAR82; VAR56 <= VAR85; VAR136 <= VAR38; VAR11 <= VAR110; VAR93 <= VAR77; VAR27 <= VAR79; VAR35 <= VAR49; VAR9 <= VAR98; VAR116 <= VAR66; VAR46 <= VAR111; VAR21 <= VAR62; VAR124 <= VAR14; VAR53 <= VAR8; VAR81 <= VAR135; VAR78 <= VAR61; end end wire VAR73; wire VAR12; wire [1:0] VAR115; wire [7:0] VAR10; wire [7:0] VAR96; wire [7:0] VAR57; wire VAR128; wire VAR20; wire [1:0] VAR103; wire [7:0] VAR125; wire [7:0] VAR32; wire [7:0] VAR15; wire VAR126; wire VAR131; wire [1:0] VAR37; wire [7:0] VAR23; wire [7:0] VAR47; wire [7:0] VAR17; wire VAR29; wire VAR31; wire [1:0] VAR90; wire [7:0] VAR84; wire [7:0] VAR58; wire [7:0] VAR6; wire VAR134; wire VAR101; wire [1:0] VAR114; wire [7:0] VAR43; wire [7:0] VAR99; wire [7:0] VAR112; wire VAR106; wire VAR1; wire [1:0] VAR89; wire [7:0] VAR109; wire [7:0] VAR102; wire [7:0] VAR18; wire VAR113; wire VAR39; wire [1:0] VAR59; wire [7:0] VAR74; wire [7:0] VAR123; wire [7:0] VAR91; wire VAR65; wire VAR118; wire [1:0] VAR88; wire [7:0] VAR76; wire [7:0] VAR26; wire [7:0] VAR104; assign VAR73 = VAR22[0] >> 27; assign VAR12 = VAR22[0] >> 26; assign VAR115 = VAR22[0] >> 24; assign VAR10 = VAR22[0] >> 16; assign VAR96 = VAR22[0] >> 8; assign VAR57 = VAR22[0]; assign VAR128 = VAR22[1] >> 27; assign VAR20 = VAR22[1] >> 26; assign VAR103 = VAR22[1] >> 24; assign VAR125 = VAR22[1] >> 16; assign VAR32 = VAR22[1] >> 8; assign VAR15 = VAR22[1]; assign VAR126 = VAR22[2] >> 27; assign VAR131 = VAR22[2] >> 26; assign VAR37 = VAR22[2] >> 24; assign VAR23 = VAR22[2] >> 16; assign VAR47 = VAR22[2] >> 8; assign VAR17 = VAR22[2]; assign VAR29 = VAR22[3] >> 27; assign VAR31 = VAR22[3] >> 26; assign VAR90 = VAR22[3] >> 24; assign VAR84 = VAR22[3] >> 16; assign VAR58 = VAR22[3] >> 8; assign VAR6 = VAR22[3]; assign VAR134 = VAR22[4] >> 27; assign VAR101 = VAR22[4] >> 26; assign VAR114 = VAR22[4] >> 24; assign VAR43 = VAR22[4] >> 16; assign VAR99 = VAR22[4] >> 8; assign VAR112 = VAR22[4]; assign VAR106 = VAR22[5] >> 27; assign VAR1 = VAR22[5] >> 26; assign VAR89 = VAR22[5] >> 24; assign VAR109 = VAR22[5] >> 16; assign VAR102 = VAR22[5] >> 8; assign VAR18 = VAR22[5]; assign VAR113 = VAR22[6] >> 27; assign VAR39 = VAR22[6] >> 26; assign VAR59 = VAR22[6] >> 24; assign VAR74 = VAR22[6] >> 16; assign VAR123 = VAR22[6] >> 8; assign VAR91 = VAR22[6]; assign VAR65 = VAR22[7] >> 27; assign VAR118 = VAR22[7] >> 26; assign VAR88 = VAR22[7] >> 24; assign VAR76 = VAR22[7] >> 16; assign VAR26 = VAR22[7] >> 8; assign VAR104 = VAR22[7]; always @* begin VAR52 = VAR107; VAR82 = VAR105; VAR85 = VAR56; VAR38 = VAR136; VAR110 = VAR11; VAR77 = VAR93; VAR79 = VAR27; VAR49 = VAR35; VAR98 = VAR9; VAR66 = VAR116; VAR111 = VAR46; VAR62 = VAR21; VAR14 = VAR124; VAR8 = VAR53; VAR135 = VAR81; VAR61 = VAR78; if (VAR24 && (VAR94 < 239)) begin if (VAR55) begin VAR52 = { 1'b0, VAR107[7:1] }; VAR98 = { 1'b0, VAR9[7:1] }; end else if ((VAR60 - VAR57) == 8'h00) begin VAR52 = VAR10; VAR98 = VAR96; end if (VAR55) begin VAR82 = { 1'b0, VAR105[7:1] }; VAR66 = { 1'b0, VAR116[7:1] }; end else if ((VAR60 - VAR15) == 8'h00) begin VAR82 = VAR125; VAR66 = VAR32; end if (VAR55) begin VAR85 = { 1'b0, VAR56[7:1] }; VAR111 = { 1'b0, VAR46[7:1] }; end else if ((VAR60 - VAR17) == 8'h00) begin VAR85 = VAR23; VAR111 = VAR47; end if (VAR55) begin VAR38 = { 1'b0, VAR136[7:1] }; VAR62 = { 1'b0, VAR21[7:1] }; end else if ((VAR60 - VAR6) == 8'h00) begin VAR38 = VAR84; VAR62 = VAR58; end if (VAR55) begin VAR110 = { 1'b0, VAR11[7:1] }; VAR14 = { 1'b0, VAR124[7:1] }; end else if ((VAR60 - VAR112) == 8'h00) begin VAR110 = VAR43; VAR14 = VAR99; end if (VAR55) begin VAR77 = { 1'b0, VAR93[7:1] }; VAR8 = { 1'b0, VAR53[7:1] }; end else if ((VAR60 - VAR18) == 8'h00) begin VAR77 = VAR109; VAR8 = VAR102; end if (VAR55) begin VAR79 = { 1'b0, VAR27[7:1] }; VAR135 = { 1'b0, VAR81[7:1] }; end else if ((VAR60 - VAR91) == 8'h00) begin VAR79 = VAR74; VAR135 = VAR123; end if (VAR55) begin VAR49 = { 1'b0, VAR35[7:1] }; VAR61 = { 1'b0, VAR78[7:1] }; end else if ((VAR60 - VAR104) == 8'h00) begin VAR49 = VAR76; VAR61 = VAR26; end end end assign { VAR127, VAR121, VAR70 } = (!VAR24 || (VAR44 && (VAR60 >= 10'h000) && (VAR60 < 10'h008))) ? 6'h00 : ({ VAR107[0], VAR9[0] } != 0) ? { VAR73, VAR12, VAR115, VAR107[0], VAR9[0] } : ({ VAR105[0], VAR116[0] } != 0) ? { VAR128, VAR20, VAR103, VAR105[0], VAR116[0] } : ({ VAR56[0], VAR46[0] } != 0) ? { VAR126, VAR131, VAR37, VAR56[0], VAR46[0] } : ({ VAR136[0], VAR21[0] } != 0) ? { VAR29, VAR31, VAR90, VAR136[0], VAR21[0] } : ({ VAR11[0], VAR124[0] } != 0) ? { VAR134, VAR101, VAR114, VAR11[0], VAR124[0] } : ({ VAR93[0], VAR53[0] } != 0) ? { VAR106, VAR1, VAR89, VAR93[0], VAR53[0] } : ({ VAR27[0], VAR81[0] } != 0) ? { VAR113, VAR39, VAR59, VAR27[0], VAR81[0] } : ({ VAR35[0], VAR78[0] } != 0) ? { VAR65, VAR118, VAR88, VAR35[0], VAR78[0] } : 6'b0000; assign VAR16 = VAR63[VAR13]; assign VAR2 = VAR80; endmodule
mit
mrehkopf/sd2snes
verilog/sd2snes_base/rtc.v
12,983
module MODULE1 ( input VAR6, input VAR53, input [55:0] VAR33, input VAR50, input [59:0] VAR30, output [59:0] VAR18 ); reg [59:0] VAR2; reg [59:0] VAR46; reg [1:0] VAR14; always @(posedge VAR6) VAR14 <= {VAR14[0], VAR53}; wire VAR42 = (VAR14[1:0] == 2'b01); reg [2:0] VAR45; always @(posedge VAR6) VAR45 <= {VAR45[1:0], VAR50}; wire VAR37 = (VAR45[2:1] == 2'b01); reg [31:0] VAR1; always @(posedge VAR6) begin VAR1 <= VAR1 + 1; if((VAR1 == 24000000) || VAR42) VAR1 <= 0; end assign VAR18 = VAR46; reg [31:0] VAR26; reg VAR19; reg [3:0] VAR21[11:0]; reg [3:0] VAR27[11:0]; reg [3:0] VAR35; reg [1:0] VAR51; reg [4:0] VAR48; reg [3:0] VAR31; reg [13:0] VAR11; reg [6:0] VAR52; reg [6:0] VAR3; reg [15:0] VAR43; reg [15:0] VAR47; parameter [31:0] VAR54 = 32'b00000000000000000000000000000001, VAR22 = 32'b00000000000000000000000000000010, VAR41 = 32'b00000000000000000000000000000100, VAR7 = 32'b00000000000000000000000000001000, VAR44 = 32'b00000000000000000000000000010000, VAR4 = 32'b00000000000000000000000000100000, VAR10 = 32'b00000000000000000000000001000000, VAR34 = 32'b00000000000000000000000010000000, VAR17 = 32'b00000000000000000000000100000000, VAR32 = 32'b00000000000000000000001000000000, VAR15 = 32'b00000000000000000000010000000000, VAR9 = 32'b00000000000000000000100000000000, VAR13 = 32'b00000000000000000001000000000000, VAR36 = 32'b00000000000000000010000000000000, VAR29 = 32'b00000000000000000100000000000000, VAR20 = 32'b00000000000000001000000000000000, VAR28 = 32'b00000000000000010000000000000000, VAR16 = 32'b00000000000000100000000000000000, VAR58 = 32'b00000000000001000000000000000000, VAR12 = 32'b00000000000010000000000000000000, VAR24 = 32'b00000000000100000000000000000000, VAR25 = 32'b00000000001000000000000000000000, VAR23 = 32'b00000000010000000000000000000000, VAR57 = 32'b00000000100000000000000000000000, VAR8 = 32'b00000001000000000000000000000000, VAR40 = 32'b00000010000000000000000000000000, VAR38 = 32'b00000100000000000000000000000000, VAR39 = 32'b00001000000000000000000000000000, VAR55 = 32'b00010000000000000000000000000000, VAR56 = 32'b00100000000000000000000000000000, VAR5 = 32'b01000000000000000000000000000000, VAR49 = 32'b10000000000000000000000000000000;
gpl-2.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/impl/verilog/FIFO_image_filter_img_1_rows_V.v
2,987
module MODULE2 ( clk, VAR13, VAR11, VAR26, VAR19); parameter VAR25 = 32'd12; parameter VAR18 = 32'd2; parameter VAR23 = 32'd3; input clk; input [VAR25-1:0] VAR13; input VAR11; input [VAR18-1:0] VAR26; output [VAR25-1:0] VAR19; reg[VAR25-1:0] VAR5 [0:VAR23-1]; integer VAR2; always @ (posedge clk) begin if (VAR11) begin for (VAR2=0;VAR2<VAR23-1;VAR2=VAR2+1) VAR5[VAR2+1] <= VAR5[VAR2]; VAR5[0] <= VAR13; end end assign VAR19 = VAR5[VAR26]; endmodule module MODULE1 ( clk, reset, VAR10, VAR17, VAR21, VAR8, VAR14, VAR20, VAR9, VAR3); parameter VAR22 = "VAR4"; parameter VAR25 = 32'd12; parameter VAR18 = 32'd2; parameter VAR23 = 32'd3; input clk; input reset; output VAR10; input VAR17; input VAR21; output[VAR25 - 1:0] VAR8; output VAR14; input VAR20; input VAR9; input[VAR25 - 1:0] VAR3; wire[VAR18 - 1:0] VAR7 ; wire[VAR25 - 1:0] VAR1, VAR15; reg[VAR18:0] VAR24 = {(VAR18+1){1'b1}}; reg VAR16 = 0, VAR27 = 1; assign VAR10 = VAR16; assign VAR14 = VAR27; assign VAR1 = VAR3; assign VAR8 = VAR15; always @ (posedge clk) begin if (reset == 1'b1) begin VAR24 <= ~{VAR18+1{1'b0}}; VAR16 <= 1'b0; VAR27 <= 1'b1; end else begin if (((VAR21 & VAR17) == 1 & VAR16 == 1) && ((VAR9 & VAR20) == 0 | VAR27 == 0)) begin VAR24 <= VAR24 -1; if (VAR24 == 0) VAR16 <= 1'b0; VAR27 <= 1'b1; end else if (((VAR21 & VAR17) == 0 | VAR16 == 0) && ((VAR9 & VAR20) == 1 & VAR27 == 1)) begin VAR24 <= VAR24 +1; VAR16 <= 1'b1; if (VAR24 == VAR23-2) VAR27 <= 1'b0; end end end assign VAR7 = VAR24[VAR18] == 1'b0 ? VAR24[VAR18-1:0]:{VAR18{1'b0}}; assign VAR6 = (VAR9 & VAR20) & VAR27; MODULE2 .VAR25(VAR25), .VAR18(VAR18), .VAR23(VAR23)) VAR12 ( .clk(clk), .VAR13(VAR1), .VAR11(VAR6), .VAR26(VAR7), .VAR19(VAR15)); endmodule
gpl-3.0
alexforencich/verilog-ethernet
example/ExaNIC_X25/fpga_10g/rtl/fpga.v
8,653
module MODULE1 ( output wire [1:0] VAR25, output wire [1:0] VAR82, output wire [1:0] VAR35, input wire VAR124, input wire VAR61, output wire VAR104, output wire VAR97, input wire VAR126, input wire VAR2, output wire VAR127, output wire VAR108, input wire VAR125, input wire VAR143, output wire VAR105, output wire VAR60, input wire VAR72, input wire VAR16, input wire VAR98, input wire VAR49, output wire VAR4, output wire VAR101 ); wire VAR48; wire VAR95; wire VAR89; wire VAR141; wire VAR78; wire VAR43; wire VAR3 = 1'b0; wire VAR146; wire VAR34; VAR32 #( .VAR140("VAR38"), .VAR155(7.5), .VAR160(0.5), .VAR44(0), .VAR5(1), .VAR117(0.5), .VAR116(0), .VAR70(1), .VAR62(0.5), .VAR129(0), .VAR71(1), .VAR99(0.5), .VAR79(0), .VAR177(1), .VAR86(0.5), .VAR118(0), .VAR136(1), .VAR153(0.5), .VAR174(0), .VAR179(1), .VAR6(0.5), .VAR167(0), .VAR76(64), .VAR31(0), .VAR181(11), .VAR29(0.010), .VAR81(6.206), .VAR87("VAR151"), .VAR84("VAR151") ) VAR55 ( .VAR80(VAR48), .VAR17(VAR34), .VAR120(VAR3), .VAR92(1'b0), .VAR109(VAR95), .VAR123(), .VAR54(), .VAR134(), .VAR12(), .VAR144(), .VAR110(), .VAR163(), .VAR133(), .VAR103(), .VAR132(), .VAR113(VAR34), .VAR42(), .VAR77(VAR146) ); VAR148 VAR33 ( .VAR154(VAR95), .VAR11(VAR89) ); VAR63 #( .VAR66(4) ) VAR156 ( .clk(VAR89), .rst(~VAR146), .out(VAR141) ); wire [1:0] VAR64; wire [1:0] VAR53; wire [1:0] VAR139; assign VAR105 = 1'b0; assign VAR60 = 1'b0; assign VAR4 = 1'b1; assign VAR101 = 1'b1; wire VAR131; wire VAR149; wire [63:0] VAR8; wire [7:0] VAR22; wire VAR164; wire VAR7; wire [63:0] VAR9; wire [7:0] VAR52; wire VAR83; wire VAR45; wire [63:0] VAR23; wire [7:0] VAR40; wire VAR15; wire VAR39; wire [63:0] VAR19; wire [7:0] VAR119; assign VAR78 = VAR131; assign VAR43 = VAR149; wire VAR150; wire VAR46; wire VAR65; wire VAR58; wire VAR56; wire VAR176; assign VAR48 = VAR176; VAR122 VAR111 ( .VAR154 (VAR125), .VAR147 (VAR143), .VAR14 (1'b0), .VAR11 (VAR58), .VAR100 (VAR56) ); VAR69 VAR59 ( .VAR161 (VAR65), .VAR107 (1'b1), .VAR162 (1'b0), .VAR166 (1'b1), .VAR26 (3'b000), .VAR154 (VAR56), .VAR11 (VAR176) ); wire VAR152; wire VAR24; wire VAR171; VAR57 #( .VAR74(1) ) VAR21 ( .VAR36(VAR89), .VAR178(VAR141), .VAR18(VAR65), .VAR91(VAR58), .VAR115(VAR152), .VAR88(VAR24), .VAR168(VAR171), .VAR51(1'b0), .VAR68(), .VAR90(1'b0), .VAR145(1'b0), .VAR41(VAR104), .VAR137(VAR97), .VAR135(VAR124), .VAR73(VAR61), .VAR28(VAR131), .VAR158(VAR149), .VAR47(VAR8), .VAR13(VAR22), .VAR165(VAR164), .VAR93(VAR7), .VAR159(VAR9), .VAR157(VAR52), .VAR50(), .VAR128(), .VAR85(), .VAR175(), .VAR121(VAR150), .VAR30(), .VAR112(), .VAR130() ); VAR57 #( .VAR74(0) ) VAR180 ( .VAR36(VAR89), .VAR178(VAR141), .VAR18(), .VAR91(1'b0), .VAR115(), .VAR88(), .VAR168(), .VAR51(VAR152), .VAR68(), .VAR90(VAR24), .VAR145(VAR171), .VAR41(VAR127), .VAR137(VAR108), .VAR135(VAR126), .VAR73(VAR2), .VAR28(VAR83), .VAR158(VAR45), .VAR47(VAR23), .VAR13(VAR40), .VAR165(VAR15), .VAR93(VAR39), .VAR159(VAR19), .VAR157(VAR119), .VAR50(), .VAR128(), .VAR85(), .VAR175(), .VAR121(VAR46), .VAR30(), .VAR112(), .VAR130() ); assign VAR25[0] = VAR150; assign VAR25[1] = 1'b0; assign VAR82[0] = VAR46; assign VAR82[1] = 1'b0; assign VAR35 = VAR139; VAR173 VAR96 ( .clk(VAR78), .rst(VAR43), .VAR25(VAR64), .VAR82(VAR53), .VAR35(VAR139), .VAR172(VAR131), .VAR114(VAR149), .VAR27(VAR8), .VAR75(VAR22), .VAR67(VAR164), .VAR169(VAR7), .VAR94(VAR9), .VAR102(VAR52), .VAR138(VAR83), .VAR142(VAR45), .VAR170(VAR23), .VAR10(VAR40), .VAR37(VAR15), .VAR20(VAR39), .VAR106(VAR19), .VAR1(VAR119) ); endmodule
mit
dtysky/FPGA-Imaging-Library
InOut/IIC_Ctrl/src/I2C_Controller.v
6,528
module MODULE1 ( input VAR12, input VAR26, input VAR4, input VAR25, input [23:0] VAR9, output VAR8, inout VAR22, input VAR2, input VAR3, output VAR13, output reg VAR16, output reg [7:0] VAR6 ); reg VAR18; reg VAR17; reg [5:0] VAR1; wire VAR20 = (VAR3 == 1 && ((VAR1 >= 5 && VAR1 <=12 || VAR1 == 14) || (VAR1 >= 16 && VAR1 <=23 || VAR1 == 25) || (VAR1 >= 27 && VAR1 <=34 || VAR1 == 36))) ? VAR4 : VAR17; wire VAR10 = (VAR3 == 1 && ((VAR1 >= 5 && VAR1 <=12 || VAR1 == 14) || (VAR1 >= 16 && VAR1 <=23 || VAR1 == 25) || (VAR1 >= 33 && VAR1 <=40 || VAR1 == 42) || (VAR1 >= 45 && VAR1 <=52 || VAR1 == 54))) ? VAR4 : VAR17; assign VAR8 = VAR2 ? VAR20 : VAR10; wire VAR7 = ((VAR1 == 13 || VAR1 == 14)|| (VAR1 == 24 || VAR1 == 25) || (VAR1 == 35 || VAR1 == 36)) ? 1'b0 : 1'b1; wire VAR21 = ((VAR1 == 13 || VAR1 == 14)|| (VAR1 == 24 || VAR1 == 25) || (VAR1 == 41 || VAR1 == 42) || (VAR1 >= 44 && VAR1 <= 52)) ? 1'b0 : 1'b1; wire VAR24 = VAR2 ? VAR7 : VAR21; assign VAR22 = VAR24 ? VAR18 : 1'VAR23; reg VAR27, VAR19, VAR11; reg VAR14, VAR5, VAR15; assign VAR13 = VAR2 ? (VAR27 | VAR19 | VAR11) : (VAR14 | VAR5 | VAR15); always @(posedge VAR12 or negedge VAR26) begin if (!VAR26) VAR1 <= 6'b0; end else if(VAR25) begin if (VAR3 == 0 || VAR16 == 1) VAR1 <= 6'b0; end else if (VAR1 < 6'd63) VAR1 <= VAR1 + 6'd1; end else VAR1 <= VAR1; end always @(posedge VAR12 or negedge VAR26) begin if(!VAR26) begin VAR17 <= 1; VAR18 <= 1; VAR27 <= 1; VAR19 <= 1; VAR11 <= 1; VAR14 <= 1; VAR5 <= 1; VAR15 <= 1; VAR16 <= 0; VAR6 <= 8'h0; end else if(VAR25) begin if(VAR3) begin if(VAR2) begin case(VAR1) 6'd0 : begin VAR17 <= 1; VAR18 <= 1; VAR27 <= 1; VAR19 <= 1; VAR11 <= 1; VAR14 <= 1; VAR5 <= 1; VAR15 <= 1; VAR16 <= 0; end 6'd1 : begin VAR17 <= 1; VAR18 <= 1; VAR27 <= 1; VAR19 <= 1; VAR11 <= 1; VAR16 <= 0; end 6'd2 : VAR18 <= 0; 6'd3 : VAR17 <= 0; 6'd4 : VAR18 <= VAR9[23]; 6'd5 : VAR18 <= VAR9[22]; 6'd6 : VAR18 <= VAR9[21]; 6'd7 : VAR18 <= VAR9[20]; 6'd8 : VAR18 <= VAR9[19]; 6'd9 : VAR18 <= VAR9[18]; 6'd10 : VAR18 <= VAR9[17]; 6'd11 : VAR18 <= VAR9[16]; 6'd12 : VAR18 <= 0; 6'd13 : VAR27 <= VAR22; 6'd14 : VAR18 <= 0; 6'd15 : VAR18 <= VAR9[15]; 6'd16 : VAR18 <= VAR9[14]; 6'd17 : VAR18 <= VAR9[13]; 6'd18 : VAR18 <= VAR9[12]; 6'd19 : VAR18 <= VAR9[11]; 6'd20 : VAR18 <= VAR9[10]; 6'd21 : VAR18 <= VAR9[9]; 6'd22 : VAR18 <= VAR9[8]; 6'd23 : VAR18 <= 0; 6'd24 : VAR19 <= VAR22; 6'd25 : VAR18 <= 0; 6'd26 : VAR18 <= VAR9[7]; 6'd27 : VAR18 <= VAR9[6]; 6'd28 : VAR18 <= VAR9[5]; 6'd29 : VAR18 <= VAR9[4]; 6'd30 : VAR18 <= VAR9[3]; 6'd31 : VAR18 <= VAR9[2]; 6'd32 : VAR18 <= VAR9[1]; 6'd33 : VAR18 <= VAR9[0]; 6'd34 : VAR18 <= 0; 6'd35 : VAR11 <= VAR22; 6'd36 : VAR18 <= 0; 6'd37 : begin VAR17 <= 0; VAR18 <= 0; end 6'd38 : VAR17 <= 1; 6'd39 : begin VAR18 <= 1; VAR16 <= 1; end default : begin VAR18 <= 1; VAR17 <= 1; end endcase end else begin case(VAR1) 6'd0 : begin VAR17 <= 1; VAR18 <= 1; VAR27 <= 1; VAR19 <= 1; VAR11 <= 1; VAR14 <= 1; VAR5 <= 1; VAR15 <= 1; VAR16 <= 0; end 6'd1 : begin VAR17 <= 1; VAR18 <= 1; VAR14 <= 1; VAR5 <= 1; VAR15 <= 1; VAR16 <= 0; end 6'd2 : VAR18 <= 0; 6'd3 : VAR17 <= 0; 6'd4 : VAR18 <= VAR9[23]; 6'd5 : VAR18 <= VAR9[22]; 6'd6 : VAR18 <= VAR9[21]; 6'd7 : VAR18 <= VAR9[20]; 6'd8 : VAR18 <= VAR9[19]; 6'd9 : VAR18 <= VAR9[18]; 6'd10 : VAR18 <= VAR9[17]; 6'd11 : VAR18 <= VAR9[16]; 6'd12 : VAR18 <= 0; 6'd13 : VAR14 <= VAR22; 6'd14 : VAR18 <= 0; 6'd15 : VAR18 <= VAR9[15]; 6'd16 : VAR18 <= VAR9[14]; 6'd17 : VAR18 <= VAR9[13]; 6'd18 : VAR18 <= VAR9[12]; 6'd19 : VAR18 <= VAR9[11]; 6'd20 : VAR18 <= VAR9[10]; 6'd21 : VAR18 <= VAR9[9]; 6'd22 : VAR18 <= VAR9[8]; 6'd23 : VAR18 <= 0; 6'd24 : VAR5 <= VAR22; 6'd25 : VAR18 <= 0; 6'd26 : begin VAR17 <= 0; VAR18 <= 0; end 6'd27 : VAR17 <= 1; 6'd28 : begin VAR18 <= 1; end 6'd29 : begin VAR17 <= 1; VAR18 <= 1; end 6'd30 : VAR18 <= 0; 6'd31 : VAR17 <= 0; 6'd32 : VAR18 <= VAR9[23]; 6'd33 : VAR18 <= VAR9[22]; 6'd34 : VAR18 <= VAR9[21]; 6'd35 : VAR18 <= VAR9[20]; 6'd36 : VAR18 <= VAR9[19]; 6'd37 : VAR18 <= VAR9[18]; 6'd38 : VAR18 <= VAR9[17]; 6'd39 : VAR18 <= 1'b1; 6'd40 : VAR18 <= 0; 6'd41 : VAR15 <= VAR22; 6'd42 : VAR18 <= 0; 6'd43 : VAR18 <= 0; 6'd44 : VAR18 <= 0; 6'd45 : VAR6[7] <= VAR22; 6'd46 : VAR6[6] <= VAR22; 6'd47 : VAR6[5] <= VAR22; 6'd48 : VAR6[4] <= VAR22; 6'd49 : VAR6[3] <= VAR22; 6'd50 : VAR6[2] <= VAR22; 6'd51 : VAR6[1] <= VAR22; 6'd52 : VAR6[0] <= VAR22; 6'd53 : VAR18 <= 1; 6'd54 : VAR18 <= 0; 6'd55 : begin VAR17 <= 0; VAR18 <= 0; end 6'd56 : VAR17 <= 1; 6'd57 : begin VAR18 <= 1; VAR16 <= 1; end default : begin VAR18 <= 1; VAR17 <= 1; end endcase end end else begin VAR17 <= 1; VAR18 <= 1; VAR27 <= 1; VAR19 <= 1; VAR11 <= 1; VAR14 <= 1; VAR5 <= 1; VAR15 <= 1; VAR16 <= 0; VAR6 <= VAR6; end end end endmodule
lgpl-2.1
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/xilinx.com/processing_system7_bfm_v2_0/e69044ca/hdl/processing_system7_bfm_v2_0_regc.v
2,172
module MODULE1( VAR38, VAR37, VAR15, VAR22, VAR16, VAR19, VAR24, VAR11, VAR6, VAR18, VAR20, VAR43, VAR42, VAR32 ); input VAR38; input VAR37; input VAR15; output VAR22; input[31:0] VAR16; output[1023:0] VAR19; input[7:0] VAR24; input [3:0] VAR11; input VAR6; output VAR18; input[31:0] VAR20; output[1023:0] VAR43; input[7:0] VAR42; input[3:0] VAR32; wire [3:0] VAR39; reg [1023:0] VAR4; wire [31:0] VAR14; wire [7:0] VAR12; reg VAR13; wire VAR17; VAR30 VAR29 ( .VAR38(VAR38), .VAR37(VAR37), .VAR9(VAR11), .VAR36(VAR32), .VAR2(VAR15), .VAR5(VAR6), .VAR34(VAR19), .VAR31(VAR43), .VAR26(VAR16), .VAR28(VAR20), .VAR8(VAR24), .VAR25(VAR42), .VAR3(VAR22), .VAR41(VAR18), .VAR27(VAR39), .VAR40(VAR17), .VAR33(VAR4), .VAR23(VAR14), .VAR10(VAR12), .VAR21(VAR13) ); VAR7 VAR35(); reg state; always@(posedge VAR37 or negedge VAR38) begin if(!VAR38) begin VAR13 <= 0; state <= 0; end else begin case(state) 0:begin state <= 0; VAR13 <= 0; if(VAR17) begin VAR35.VAR1(VAR4,VAR14, VAR12); VAR13 <= 1; state <= 1; end end 1:begin VAR13 <= 0; state <= 0; end endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/srdlstp/sky130_fd_sc_lp__srdlstp.functional.v
1,732
module MODULE1 ( VAR13 , VAR10 , VAR6 , VAR1 , VAR3 ); output VAR13 ; input VAR10 ; input VAR6 ; input VAR1 ; input VAR3; wire VAR4; wire VAR9; wire VAR8 ; wire VAR7 ; VAR11 VAR12 VAR5 (VAR4 , VAR6, VAR1, VAR10, VAR3, VAR9, VAR8, VAR7); bufif1 VAR2 (VAR13 , VAR4, VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sedfxtp/sky130_fd_sc_ms__sedfxtp.functional.pp.v
2,122
module MODULE1 ( VAR15 , VAR6 , VAR13 , VAR1 , VAR4 , VAR12 , VAR8, VAR3, VAR20 , VAR2 ); output VAR15 ; input VAR6 ; input VAR13 ; input VAR1 ; input VAR4 ; input VAR12 ; input VAR8; input VAR3; input VAR20 ; input VAR2 ; wire VAR11 ; wire VAR10; wire VAR16 ; VAR7 VAR17 (VAR10, VAR16, VAR4, VAR12 ); VAR7 VAR18 (VAR16 , VAR11, VAR13, VAR1 ); VAR9 VAR5 VAR19 (VAR11 , VAR10, VAR6, , VAR8, VAR3); buf VAR14 (VAR15 , VAR11 ); endmodule
apache-2.0
lvd2/ngs
fpga/obsolete/fpgaD_release/memmap/memmap.v
3,075
module MODULE1( VAR9,VAR4, VAR10, VAR7, VAR20, VAR17,VAR15, VAR19,VAR13, VAR6, VAR3, VAR21, VAR11, VAR12, VAR16, VAR18, VAR1, VAR5, VAR2, VAR8, VAR14 ); input VAR9,VAR4; input VAR10,VAR7,VAR20; output reg VAR17,VAR15,VAR19,VAR13,VAR6; output reg VAR3,VAR21,VAR11,VAR12; output reg VAR16; output reg VAR18,VAR1; input VAR5,VAR2; input [6:0] VAR8,VAR14; reg [6:0] VAR22; always @* begin case( {VAR9,VAR4} ) 2'b00: VAR22 <= 7'b0000000; 2'b01: VAR22 <= 7'b0000011; 2'b10: VAR22 <= VAR8; 2'b11: VAR22 <= VAR14; endcase end always @* begin { VAR6,VAR13,VAR19,VAR15,VAR17 } <= VAR22[4:0]; end always @* begin if( (VAR2==1'b0) && ( {VAR9,VAR4}!=2'b01 ) ) begin VAR16 <= 1'b0; VAR3 <= 1'b1; VAR21 <= 1'b1; VAR11 <= 1'b1; VAR12 <= 1'b1; end else begin VAR16 <= 1'b1; VAR3 <= ( VAR22[6:5]==2'b00 ) ? 1'b0 : 1'b1; VAR21 <= ( VAR22[6:5]==2'b01 ) ? 1'b0 : 1'b1; VAR11 <= ( VAR22[6:5]==2'b10 ) ? 1'b0 : 1'b1; VAR12 <= ( VAR22[6:5]==2'b11 ) ? 1'b0 : 1'b1; end end always @* begin VAR18 <= VAR10 | VAR7; if( (VAR22[6:1] == 6'd0) && (VAR5==1'b1) && (VAR2==1'b1) ) VAR1 <= 1'b1; end else VAR1 <= VAR10 | VAR20; end endmodule
gpl-3.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_rfifo/util_rfifo.v
5,569
module MODULE1 ( VAR2, VAR23, VAR11, VAR16, VAR17, VAR8, VAR12, VAR22, VAR6, VAR21, VAR9, VAR24, VAR5, VAR7, VAR15, VAR18, VAR3); parameter VAR1 = 32; parameter VAR14 = 64; input VAR2; input VAR23; output [VAR1-1:0] VAR11; output VAR16; input VAR17; output VAR8; input [VAR14-1:0] VAR12; input VAR22; output VAR6; output VAR21; output VAR9; output [VAR14-1:0] VAR24; input VAR5; output VAR7; input [VAR1-1:0] VAR15; input VAR18; input VAR3; reg [ 1:0] VAR4 = 'd0; reg VAR16 = 'd0; reg VAR8 = 'd0; always @(posedge VAR2) begin VAR4[0] <= VAR22 | VAR3; VAR4[1] <= VAR4[0]; VAR16 <= VAR4[1]; end always @(posedge VAR17) begin VAR8 <= ~VAR5; end assign VAR9 = VAR8; genvar VAR10; generate for (VAR10 = 0; VAR10 < VAR14; VAR10 = VAR10 + 1) begin: VAR13 assign VAR24[VAR10] = VAR12[(VAR14-1)-VAR10]; end endgenerate assign VAR7 = ~VAR18 & VAR23; genvar VAR19; generate for (VAR19 = 0; VAR19 < VAR1; VAR19 = VAR19 + 1) begin: VAR20 assign VAR11[VAR19] = VAR15[(VAR1-1)-VAR19]; end endgenerate assign VAR6 = 1'b0; assign VAR21 = 1'b1; endmodule
gpl-3.0
asicguy/gplgpu
hdl/altera_project/fifo_267x128/fifo_267x128_bb.v
6,096
module MODULE1 ( VAR6, VAR9, VAR10, VAR5, VAR7, VAR1, VAR3, VAR8, VAR4, VAR2); input [266:0] VAR6; input VAR9; input VAR10; input VAR5; input VAR7; output [266:0] VAR1; output VAR3; output VAR8; output VAR4; output [6:0] VAR2; endmodule
gpl-3.0
spacemonkeydelivers/mor1kx
rtl/verilog/pfpu32/pfpu32_f2i.v
4,866
module MODULE1 ( input clk, input rst, input VAR17, input VAR15, input VAR19, input VAR10, input [9:0] VAR13, input [23:0] VAR9, input VAR22, input VAR12, output reg VAR14, output reg VAR5, output reg [23:0] VAR1, output reg [4:0] VAR23, output reg [3:0] VAR8, output reg VAR11, output reg VAR7 ); wire [9:0] VAR3 = VAR13 - 10'd150; wire [9:0] VAR2 = {10{VAR3[9]}} & (10'd150 - VAR13); wire [4:0] VAR16 = VAR2[4:0] | {5{|VAR2[9:5]}}; wire [3:0] VAR4 = {4{~VAR3[9]}} & (VAR3[3:0] | {4{|VAR3[9:4]}}); wire VAR20 = VAR4[3] & (|VAR4[2:0]); wire VAR18 = VAR4[3] & (~(|VAR4[2:0])); wire VAR21 = VAR20 | (VAR18 & (~VAR10)) | (VAR18 & VAR10 & (|VAR9[22:0])); always @(posedge clk) begin if(VAR15) begin VAR7 <= VAR22; VAR5 <= VAR10 & (!(VAR12 | VAR22)); VAR1 <= VAR9; VAR23 <= VAR16; VAR8 <= VAR4; VAR11 <= VAR21; end end always @(posedge clk VAR6) begin if (rst) VAR14 <= 1'b0; end else if(VAR17) VAR14 <= 1'b0; else if(VAR15) VAR14 <= VAR19; end endmodule
mpl-2.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/dbg_interface/dbg_register.v
4,264
module MODULE1(VAR2, VAR5, VAR1, VAR7, VAR3, VAR6); parameter VAR4 = 8; input [VAR4-1:0] VAR2; input VAR1; input VAR7; input VAR3; input [VAR4-1:0] VAR6; output [VAR4-1:0] VAR5; reg [VAR4-1:0] VAR5; always @ (posedge VAR7) begin if(VAR3) VAR5[VAR4-1:0]<=VAR6; end else begin if(VAR1) VAR5[VAR4-1:0]<=VAR2[VAR4-1:0]; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfrtn/sky130_fd_sc_lp__dfrtn_1.v
2,371
module MODULE2 ( VAR2 , VAR3 , VAR5 , VAR7, VAR8 , VAR1 , VAR10 , VAR6 ); output VAR2 ; input VAR3 ; input VAR5 ; input VAR7; input VAR8 ; input VAR1 ; input VAR10 ; input VAR6 ; VAR9 VAR4 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR7(VAR7), .VAR8(VAR8), .VAR1(VAR1), .VAR10(VAR10), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR2 , VAR3 , VAR5 , VAR7 ); output VAR2 ; input VAR3 ; input VAR5 ; input VAR7; supply1 VAR8; supply0 VAR1; supply1 VAR10 ; supply0 VAR6 ; VAR9 VAR4 ( .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR7(VAR7) ); endmodule
apache-2.0
strigeus/fpganes
src/hw_sound.v
1,628
module MODULE1(input VAR15, input [15:0] VAR8, input VAR6, input VAR11, output VAR2, output VAR12, output VAR3, output VAR1); reg VAR13; reg [15:0] VAR10; reg [15:0] VAR5; reg [16:0] VAR14; reg [3:0] VAR9; reg [4:0] VAR4; wire [4:0] VAR7 = VAR4 + 1; always @(posedge VAR15) begin if (VAR6) VAR10 <= VAR8; if (VAR11) VAR5 <= VAR8; VAR9 <= VAR9 + 1; if (VAR9 == 4'b1111) begin VAR14 <= {VAR14[15:0], 1'b0}; VAR4 <= VAR7; if (VAR7[4:3] == 2'b11) begin VAR4[4:3] <= 2'b00; VAR13 <= !VAR13; VAR14[15:0] <= VAR13 ? VAR10 : VAR5; end end end assign VAR2 = VAR9[0]; assign VAR3 = 1; assign VAR1 = VAR14[16]; assign VAR12 = VAR13; endmodule
gpl-3.0
jotego/jt51
hdl/jt51_phinc_rom.v
66,279
module MODULE1( input [9:0] VAR1, output reg [11:0] VAR2 ); always @(*) begin : VAR3 case( VAR1 ) 10'd0: VAR2 = { 12'd1299 }; 10'd1: VAR2 = { 12'd1300 }; 10'd2: VAR2 = { 12'd1301 }; 10'd3: VAR2 = { 12'd1302 }; 10'd4: VAR2 = { 12'd1303 }; 10'd5: VAR2 = { 12'd1304 }; 10'd6: VAR2 = { 12'd1305 }; 10'd7: VAR2 = { 12'd1306 }; 10'd8: VAR2 = { 12'd1308 }; 10'd9: VAR2 = { 12'd1309 }; 10'd10: VAR2 = { 12'd1310 }; 10'd11: VAR2 = { 12'd1311 }; 10'd12: VAR2 = { 12'd1313 }; 10'd13: VAR2 = { 12'd1314 }; 10'd14: VAR2 = { 12'd1315 }; 10'd15: VAR2 = { 12'd1316 }; 10'd16: VAR2 = { 12'd1318 }; 10'd17: VAR2 = { 12'd1319 }; 10'd18: VAR2 = { 12'd1320 }; 10'd19: VAR2 = { 12'd1321 }; 10'd20: VAR2 = { 12'd1322 }; 10'd21: VAR2 = { 12'd1323 }; 10'd22: VAR2 = { 12'd1324 }; 10'd23: VAR2 = { 12'd1325 }; 10'd24: VAR2 = { 12'd1327 }; 10'd25: VAR2 = { 12'd1328 }; 10'd26: VAR2 = { 12'd1329 }; 10'd27: VAR2 = { 12'd1330 }; 10'd28: VAR2 = { 12'd1332 }; 10'd29: VAR2 = { 12'd1333 }; 10'd30: VAR2 = { 12'd1334 }; 10'd31: VAR2 = { 12'd1335 }; 10'd32: VAR2 = { 12'd1337 }; 10'd33: VAR2 = { 12'd1338 }; 10'd34: VAR2 = { 12'd1339 }; 10'd35: VAR2 = { 12'd1340 }; 10'd36: VAR2 = { 12'd1341 }; 10'd37: VAR2 = { 12'd1342 }; 10'd38: VAR2 = { 12'd1343 }; 10'd39: VAR2 = { 12'd1344 }; 10'd40: VAR2 = { 12'd1346 }; 10'd41: VAR2 = { 12'd1347 }; 10'd42: VAR2 = { 12'd1348 }; 10'd43: VAR2 = { 12'd1349 }; 10'd44: VAR2 = { 12'd1351 }; 10'd45: VAR2 = { 12'd1352 }; 10'd46: VAR2 = { 12'd1353 }; 10'd47: VAR2 = { 12'd1354 }; 10'd48: VAR2 = { 12'd1356 }; 10'd49: VAR2 = { 12'd1357 }; 10'd50: VAR2 = { 12'd1358 }; 10'd51: VAR2 = { 12'd1359 }; 10'd52: VAR2 = { 12'd1361 }; 10'd53: VAR2 = { 12'd1362 }; 10'd54: VAR2 = { 12'd1363 }; 10'd55: VAR2 = { 12'd1364 }; 10'd56: VAR2 = { 12'd1366 }; 10'd57: VAR2 = { 12'd1367 }; 10'd58: VAR2 = { 12'd1368 }; 10'd59: VAR2 = { 12'd1369 }; 10'd60: VAR2 = { 12'd1371 }; 10'd61: VAR2 = { 12'd1372 }; 10'd62: VAR2 = { 12'd1373 }; 10'd63: VAR2 = { 12'd1374 }; 10'd64: VAR2 = { 12'd1376 }; 10'd65: VAR2 = { 12'd1377 }; 10'd66: VAR2 = { 12'd1378 }; 10'd67: VAR2 = { 12'd1379 }; 10'd68: VAR2 = { 12'd1381 }; 10'd69: VAR2 = { 12'd1382 }; 10'd70: VAR2 = { 12'd1383 }; 10'd71: VAR2 = { 12'd1384 }; 10'd72: VAR2 = { 12'd1386 }; 10'd73: VAR2 = { 12'd1387 }; 10'd74: VAR2 = { 12'd1388 }; 10'd75: VAR2 = { 12'd1389 }; 10'd76: VAR2 = { 12'd1391 }; 10'd77: VAR2 = { 12'd1392 }; 10'd78: VAR2 = { 12'd1393 }; 10'd79: VAR2 = { 12'd1394 }; 10'd80: VAR2 = { 12'd1396 }; 10'd81: VAR2 = { 12'd1397 }; 10'd82: VAR2 = { 12'd1398 }; 10'd83: VAR2 = { 12'd1399 }; 10'd84: VAR2 = { 12'd1401 }; 10'd85: VAR2 = { 12'd1402 }; 10'd86: VAR2 = { 12'd1403 }; 10'd87: VAR2 = { 12'd1404 }; 10'd88: VAR2 = { 12'd1406 }; 10'd89: VAR2 = { 12'd1407 }; 10'd90: VAR2 = { 12'd1408 }; 10'd91: VAR2 = { 12'd1409 }; 10'd92: VAR2 = { 12'd1411 }; 10'd93: VAR2 = { 12'd1412 }; 10'd94: VAR2 = { 12'd1413 }; 10'd95: VAR2 = { 12'd1414 }; 10'd96: VAR2 = { 12'd1416 }; 10'd97: VAR2 = { 12'd1417 }; 10'd98: VAR2 = { 12'd1418 }; 10'd99: VAR2 = { 12'd1419 }; 10'd100: VAR2 = { 12'd1421 }; 10'd101: VAR2 = { 12'd1422 }; 10'd102: VAR2 = { 12'd1423 }; 10'd103: VAR2 = { 12'd1424 }; 10'd104: VAR2 = { 12'd1426 }; 10'd105: VAR2 = { 12'd1427 }; 10'd106: VAR2 = { 12'd1429 }; 10'd107: VAR2 = { 12'd1430 }; 10'd108: VAR2 = { 12'd1431 }; 10'd109: VAR2 = { 12'd1432 }; 10'd110: VAR2 = { 12'd1434 }; 10'd111: VAR2 = { 12'd1435 }; 10'd112: VAR2 = { 12'd1437 }; 10'd113: VAR2 = { 12'd1438 }; 10'd114: VAR2 = { 12'd1439 }; 10'd115: VAR2 = { 12'd1440 }; 10'd116: VAR2 = { 12'd1442 }; 10'd117: VAR2 = { 12'd1443 }; 10'd118: VAR2 = { 12'd1444 }; 10'd119: VAR2 = { 12'd1445 }; 10'd120: VAR2 = { 12'd1447 }; 10'd121: VAR2 = { 12'd1448 }; 10'd122: VAR2 = { 12'd1449 }; 10'd123: VAR2 = { 12'd1450 }; 10'd124: VAR2 = { 12'd1452 }; 10'd125: VAR2 = { 12'd1453 }; 10'd126: VAR2 = { 12'd1454 }; 10'd127: VAR2 = { 12'd1455 }; 10'd128: VAR2 = { 12'd1458 }; 10'd129: VAR2 = { 12'd1459 }; 10'd130: VAR2 = { 12'd1460 }; 10'd131: VAR2 = { 12'd1461 }; 10'd132: VAR2 = { 12'd1463 }; 10'd133: VAR2 = { 12'd1464 }; 10'd134: VAR2 = { 12'd1465 }; 10'd135: VAR2 = { 12'd1466 }; 10'd136: VAR2 = { 12'd1468 }; 10'd137: VAR2 = { 12'd1469 }; 10'd138: VAR2 = { 12'd1471 }; 10'd139: VAR2 = { 12'd1472 }; 10'd140: VAR2 = { 12'd1473 }; 10'd141: VAR2 = { 12'd1474 }; 10'd142: VAR2 = { 12'd1476 }; 10'd143: VAR2 = { 12'd1477 }; 10'd144: VAR2 = { 12'd1479 }; 10'd145: VAR2 = { 12'd1480 }; 10'd146: VAR2 = { 12'd1481 }; 10'd147: VAR2 = { 12'd1482 }; 10'd148: VAR2 = { 12'd1484 }; 10'd149: VAR2 = { 12'd1485 }; 10'd150: VAR2 = { 12'd1486 }; 10'd151: VAR2 = { 12'd1487 }; 10'd152: VAR2 = { 12'd1489 }; 10'd153: VAR2 = { 12'd1490 }; 10'd154: VAR2 = { 12'd1492 }; 10'd155: VAR2 = { 12'd1493 }; 10'd156: VAR2 = { 12'd1494 }; 10'd157: VAR2 = { 12'd1495 }; 10'd158: VAR2 = { 12'd1497 }; 10'd159: VAR2 = { 12'd1498 }; 10'd160: VAR2 = { 12'd1501 }; 10'd161: VAR2 = { 12'd1502 }; 10'd162: VAR2 = { 12'd1503 }; 10'd163: VAR2 = { 12'd1504 }; 10'd164: VAR2 = { 12'd1506 }; 10'd165: VAR2 = { 12'd1507 }; 10'd166: VAR2 = { 12'd1509 }; 10'd167: VAR2 = { 12'd1510 }; 10'd168: VAR2 = { 12'd1512 }; 10'd169: VAR2 = { 12'd1513 }; 10'd170: VAR2 = { 12'd1514 }; 10'd171: VAR2 = { 12'd1515 }; 10'd172: VAR2 = { 12'd1517 }; 10'd173: VAR2 = { 12'd1518 }; 10'd174: VAR2 = { 12'd1520 }; 10'd175: VAR2 = { 12'd1521 }; 10'd176: VAR2 = { 12'd1523 }; 10'd177: VAR2 = { 12'd1524 }; 10'd178: VAR2 = { 12'd1525 }; 10'd179: VAR2 = { 12'd1526 }; 10'd180: VAR2 = { 12'd1528 }; 10'd181: VAR2 = { 12'd1529 }; 10'd182: VAR2 = { 12'd1531 }; 10'd183: VAR2 = { 12'd1532 }; 10'd184: VAR2 = { 12'd1534 }; 10'd185: VAR2 = { 12'd1535 }; 10'd186: VAR2 = { 12'd1536 }; 10'd187: VAR2 = { 12'd1537 }; 10'd188: VAR2 = { 12'd1539 }; 10'd189: VAR2 = { 12'd1540 }; 10'd190: VAR2 = { 12'd1542 }; 10'd191: VAR2 = { 12'd1543 }; 10'd192: VAR2 = { 12'd1458 }; 10'd193: VAR2 = { 12'd1459 }; 10'd194: VAR2 = { 12'd1460 }; 10'd195: VAR2 = { 12'd1461 }; 10'd196: VAR2 = { 12'd1463 }; 10'd197: VAR2 = { 12'd1464 }; 10'd198: VAR2 = { 12'd1465 }; 10'd199: VAR2 = { 12'd1466 }; 10'd200: VAR2 = { 12'd1468 }; 10'd201: VAR2 = { 12'd1469 }; 10'd202: VAR2 = { 12'd1471 }; 10'd203: VAR2 = { 12'd1472 }; 10'd204: VAR2 = { 12'd1473 }; 10'd205: VAR2 = { 12'd1474 }; 10'd206: VAR2 = { 12'd1476 }; 10'd207: VAR2 = { 12'd1477 }; 10'd208: VAR2 = { 12'd1479 }; 10'd209: VAR2 = { 12'd1480 }; 10'd210: VAR2 = { 12'd1481 }; 10'd211: VAR2 = { 12'd1482 }; 10'd212: VAR2 = { 12'd1484 }; 10'd213: VAR2 = { 12'd1485 }; 10'd214: VAR2 = { 12'd1486 }; 10'd215: VAR2 = { 12'd1487 }; 10'd216: VAR2 = { 12'd1489 }; 10'd217: VAR2 = { 12'd1490 }; 10'd218: VAR2 = { 12'd1492 }; 10'd219: VAR2 = { 12'd1493 }; 10'd220: VAR2 = { 12'd1494 }; 10'd221: VAR2 = { 12'd1495 }; 10'd222: VAR2 = { 12'd1497 }; 10'd223: VAR2 = { 12'd1498 }; 10'd224: VAR2 = { 12'd1501 }; 10'd225: VAR2 = { 12'd1502 }; 10'd226: VAR2 = { 12'd1503 }; 10'd227: VAR2 = { 12'd1504 }; 10'd228: VAR2 = { 12'd1506 }; 10'd229: VAR2 = { 12'd1507 }; 10'd230: VAR2 = { 12'd1509 }; 10'd231: VAR2 = { 12'd1510 }; 10'd232: VAR2 = { 12'd1512 }; 10'd233: VAR2 = { 12'd1513 }; 10'd234: VAR2 = { 12'd1514 }; 10'd235: VAR2 = { 12'd1515 }; 10'd236: VAR2 = { 12'd1517 }; 10'd237: VAR2 = { 12'd1518 }; 10'd238: VAR2 = { 12'd1520 }; 10'd239: VAR2 = { 12'd1521 }; 10'd240: VAR2 = { 12'd1523 }; 10'd241: VAR2 = { 12'd1524 }; 10'd242: VAR2 = { 12'd1525 }; 10'd243: VAR2 = { 12'd1526 }; 10'd244: VAR2 = { 12'd1528 }; 10'd245: VAR2 = { 12'd1529 }; 10'd246: VAR2 = { 12'd1531 }; 10'd247: VAR2 = { 12'd1532 }; 10'd248: VAR2 = { 12'd1534 }; 10'd249: VAR2 = { 12'd1535 }; 10'd250: VAR2 = { 12'd1536 }; 10'd251: VAR2 = { 12'd1537 }; 10'd252: VAR2 = { 12'd1539 }; 10'd253: VAR2 = { 12'd1540 }; 10'd254: VAR2 = { 12'd1542 }; 10'd255: VAR2 = { 12'd1543 }; 10'd256: VAR2 = { 12'd1545 }; 10'd257: VAR2 = { 12'd1546 }; 10'd258: VAR2 = { 12'd1547 }; 10'd259: VAR2 = { 12'd1548 }; 10'd260: VAR2 = { 12'd1550 }; 10'd261: VAR2 = { 12'd1551 }; 10'd262: VAR2 = { 12'd1553 }; 10'd263: VAR2 = { 12'd1554 }; 10'd264: VAR2 = { 12'd1556 }; 10'd265: VAR2 = { 12'd1557 }; 10'd266: VAR2 = { 12'd1558 }; 10'd267: VAR2 = { 12'd1559 }; 10'd268: VAR2 = { 12'd1561 }; 10'd269: VAR2 = { 12'd1562 }; 10'd270: VAR2 = { 12'd1564 }; 10'd271: VAR2 = { 12'd1565 }; 10'd272: VAR2 = { 12'd1567 }; 10'd273: VAR2 = { 12'd1568 }; 10'd274: VAR2 = { 12'd1569 }; 10'd275: VAR2 = { 12'd1570 }; 10'd276: VAR2 = { 12'd1572 }; 10'd277: VAR2 = { 12'd1573 }; 10'd278: VAR2 = { 12'd1575 }; 10'd279: VAR2 = { 12'd1576 }; 10'd280: VAR2 = { 12'd1578 }; 10'd281: VAR2 = { 12'd1579 }; 10'd282: VAR2 = { 12'd1580 }; 10'd283: VAR2 = { 12'd1581 }; 10'd284: VAR2 = { 12'd1583 }; 10'd285: VAR2 = { 12'd1584 }; 10'd286: VAR2 = { 12'd1586 }; 10'd287: VAR2 = { 12'd1587 }; 10'd288: VAR2 = { 12'd1590 }; 10'd289: VAR2 = { 12'd1591 }; 10'd290: VAR2 = { 12'd1592 }; 10'd291: VAR2 = { 12'd1593 }; 10'd292: VAR2 = { 12'd1595 }; 10'd293: VAR2 = { 12'd1596 }; 10'd294: VAR2 = { 12'd1598 }; 10'd295: VAR2 = { 12'd1599 }; 10'd296: VAR2 = { 12'd1601 }; 10'd297: VAR2 = { 12'd1602 }; 10'd298: VAR2 = { 12'd1604 }; 10'd299: VAR2 = { 12'd1605 }; 10'd300: VAR2 = { 12'd1607 }; 10'd301: VAR2 = { 12'd1608 }; 10'd302: VAR2 = { 12'd1609 }; 10'd303: VAR2 = { 12'd1610 }; 10'd304: VAR2 = { 12'd1613 }; 10'd305: VAR2 = { 12'd1614 }; 10'd306: VAR2 = { 12'd1615 }; 10'd307: VAR2 = { 12'd1616 }; 10'd308: VAR2 = { 12'd1618 }; 10'd309: VAR2 = { 12'd1619 }; 10'd310: VAR2 = { 12'd1621 }; 10'd311: VAR2 = { 12'd1622 }; 10'd312: VAR2 = { 12'd1624 }; 10'd313: VAR2 = { 12'd1625 }; 10'd314: VAR2 = { 12'd1627 }; 10'd315: VAR2 = { 12'd1628 }; 10'd316: VAR2 = { 12'd1630 }; 10'd317: VAR2 = { 12'd1631 }; 10'd318: VAR2 = { 12'd1632 }; 10'd319: VAR2 = { 12'd1633 }; 10'd320: VAR2 = { 12'd1637 }; 10'd321: VAR2 = { 12'd1638 }; 10'd322: VAR2 = { 12'd1639 }; 10'd323: VAR2 = { 12'd1640 }; 10'd324: VAR2 = { 12'd1642 }; 10'd325: VAR2 = { 12'd1643 }; 10'd326: VAR2 = { 12'd1645 }; 10'd327: VAR2 = { 12'd1646 }; 10'd328: VAR2 = { 12'd1648 }; 10'd329: VAR2 = { 12'd1649 }; 10'd330: VAR2 = { 12'd1651 }; 10'd331: VAR2 = { 12'd1652 }; 10'd332: VAR2 = { 12'd1654 }; 10'd333: VAR2 = { 12'd1655 }; 10'd334: VAR2 = { 12'd1656 }; 10'd335: VAR2 = { 12'd1657 }; 10'd336: VAR2 = { 12'd1660 }; 10'd337: VAR2 = { 12'd1661 }; 10'd338: VAR2 = { 12'd1663 }; 10'd339: VAR2 = { 12'd1664 }; 10'd340: VAR2 = { 12'd1666 }; 10'd341: VAR2 = { 12'd1667 }; 10'd342: VAR2 = { 12'd1669 }; 10'd343: VAR2 = { 12'd1670 }; 10'd344: VAR2 = { 12'd1672 }; 10'd345: VAR2 = { 12'd1673 }; 10'd346: VAR2 = { 12'd1675 }; 10'd347: VAR2 = { 12'd1676 }; 10'd348: VAR2 = { 12'd1678 }; 10'd349: VAR2 = { 12'd1679 }; 10'd350: VAR2 = { 12'd1681 }; 10'd351: VAR2 = { 12'd1682 }; 10'd352: VAR2 = { 12'd1685 }; 10'd353: VAR2 = { 12'd1686 }; 10'd354: VAR2 = { 12'd1688 }; 10'd355: VAR2 = { 12'd1689 }; 10'd356: VAR2 = { 12'd1691 }; 10'd357: VAR2 = { 12'd1692 }; 10'd358: VAR2 = { 12'd1694 }; 10'd359: VAR2 = { 12'd1695 }; 10'd360: VAR2 = { 12'd1697 }; 10'd361: VAR2 = { 12'd1698 }; 10'd362: VAR2 = { 12'd1700 }; 10'd363: VAR2 = { 12'd1701 }; 10'd364: VAR2 = { 12'd1703 }; 10'd365: VAR2 = { 12'd1704 }; 10'd366: VAR2 = { 12'd1706 }; 10'd367: VAR2 = { 12'd1707 }; 10'd368: VAR2 = { 12'd1709 }; 10'd369: VAR2 = { 12'd1710 }; 10'd370: VAR2 = { 12'd1712 }; 10'd371: VAR2 = { 12'd1713 }; 10'd372: VAR2 = { 12'd1715 }; 10'd373: VAR2 = { 12'd1716 }; 10'd374: VAR2 = { 12'd1718 }; 10'd375: VAR2 = { 12'd1719 }; 10'd376: VAR2 = { 12'd1721 }; 10'd377: VAR2 = { 12'd1722 }; 10'd378: VAR2 = { 12'd1724 }; 10'd379: VAR2 = { 12'd1725 }; 10'd380: VAR2 = { 12'd1727 }; 10'd381: VAR2 = { 12'd1728 }; 10'd382: VAR2 = { 12'd1730 }; 10'd383: VAR2 = { 12'd1731 }; 10'd384: VAR2 = { 12'd1734 }; 10'd385: VAR2 = { 12'd1735 }; 10'd386: VAR2 = { 12'd1737 }; 10'd387: VAR2 = { 12'd1738 }; 10'd388: VAR2 = { 12'd1740 }; 10'd389: VAR2 = { 12'd1741 }; 10'd390: VAR2 = { 12'd1743 }; 10'd391: VAR2 = { 12'd1744 }; 10'd392: VAR2 = { 12'd1746 }; 10'd393: VAR2 = { 12'd1748 }; 10'd394: VAR2 = { 12'd1749 }; 10'd395: VAR2 = { 12'd1751 }; 10'd396: VAR2 = { 12'd1752 }; 10'd397: VAR2 = { 12'd1754 }; 10'd398: VAR2 = { 12'd1755 }; 10'd399: VAR2 = { 12'd1757 }; 10'd400: VAR2 = { 12'd1759 }; 10'd401: VAR2 = { 12'd1760 }; 10'd402: VAR2 = { 12'd1762 }; 10'd403: VAR2 = { 12'd1763 }; 10'd404: VAR2 = { 12'd1765 }; 10'd405: VAR2 = { 12'd1766 }; 10'd406: VAR2 = { 12'd1768 }; 10'd407: VAR2 = { 12'd1769 }; 10'd408: VAR2 = { 12'd1771 }; 10'd409: VAR2 = { 12'd1773 }; 10'd410: VAR2 = { 12'd1774 }; 10'd411: VAR2 = { 12'd1776 }; 10'd412: VAR2 = { 12'd1777 }; 10'd413: VAR2 = { 12'd1779 }; 10'd414: VAR2 = { 12'd1780 }; 10'd415: VAR2 = { 12'd1782 }; 10'd416: VAR2 = { 12'd1785 }; 10'd417: VAR2 = { 12'd1786 }; 10'd418: VAR2 = { 12'd1788 }; 10'd419: VAR2 = { 12'd1789 }; 10'd420: VAR2 = { 12'd1791 }; 10'd421: VAR2 = { 12'd1793 }; 10'd422: VAR2 = { 12'd1794 }; 10'd423: VAR2 = { 12'd1796 }; 10'd424: VAR2 = { 12'd1798 }; 10'd425: VAR2 = { 12'd1799 }; 10'd426: VAR2 = { 12'd1801 }; 10'd427: VAR2 = { 12'd1802 }; 10'd428: VAR2 = { 12'd1804 }; 10'd429: VAR2 = { 12'd1806 }; 10'd430: VAR2 = { 12'd1807 }; 10'd431: VAR2 = { 12'd1809 }; 10'd432: VAR2 = { 12'd1811 }; 10'd433: VAR2 = { 12'd1812 }; 10'd434: VAR2 = { 12'd1814 }; 10'd435: VAR2 = { 12'd1815 }; 10'd436: VAR2 = { 12'd1817 }; 10'd437: VAR2 = { 12'd1819 }; 10'd438: VAR2 = { 12'd1820 }; 10'd439: VAR2 = { 12'd1822 }; 10'd440: VAR2 = { 12'd1824 }; 10'd441: VAR2 = { 12'd1825 }; 10'd442: VAR2 = { 12'd1827 }; 10'd443: VAR2 = { 12'd1828 }; 10'd444: VAR2 = { 12'd1830 }; 10'd445: VAR2 = { 12'd1832 }; 10'd446: VAR2 = { 12'd1833 }; 10'd447: VAR2 = { 12'd1835 }; 10'd448: VAR2 = { 12'd1734 }; 10'd449: VAR2 = { 12'd1735 }; 10'd450: VAR2 = { 12'd1737 }; 10'd451: VAR2 = { 12'd1738 }; 10'd452: VAR2 = { 12'd1740 }; 10'd453: VAR2 = { 12'd1741 }; 10'd454: VAR2 = { 12'd1743 }; 10'd455: VAR2 = { 12'd1744 }; 10'd456: VAR2 = { 12'd1746 }; 10'd457: VAR2 = { 12'd1748 }; 10'd458: VAR2 = { 12'd1749 }; 10'd459: VAR2 = { 12'd1751 }; 10'd460: VAR2 = { 12'd1752 }; 10'd461: VAR2 = { 12'd1754 }; 10'd462: VAR2 = { 12'd1755 }; 10'd463: VAR2 = { 12'd1757 }; 10'd464: VAR2 = { 12'd1759 }; 10'd465: VAR2 = { 12'd1760 }; 10'd466: VAR2 = { 12'd1762 }; 10'd467: VAR2 = { 12'd1763 }; 10'd468: VAR2 = { 12'd1765 }; 10'd469: VAR2 = { 12'd1766 }; 10'd470: VAR2 = { 12'd1768 }; 10'd471: VAR2 = { 12'd1769 }; 10'd472: VAR2 = { 12'd1771 }; 10'd473: VAR2 = { 12'd1773 }; 10'd474: VAR2 = { 12'd1774 }; 10'd475: VAR2 = { 12'd1776 }; 10'd476: VAR2 = { 12'd1777 }; 10'd477: VAR2 = { 12'd1779 }; 10'd478: VAR2 = { 12'd1780 }; 10'd479: VAR2 = { 12'd1782 }; 10'd480: VAR2 = { 12'd1785 }; 10'd481: VAR2 = { 12'd1786 }; 10'd482: VAR2 = { 12'd1788 }; 10'd483: VAR2 = { 12'd1789 }; 10'd484: VAR2 = { 12'd1791 }; 10'd485: VAR2 = { 12'd1793 }; 10'd486: VAR2 = { 12'd1794 }; 10'd487: VAR2 = { 12'd1796 }; 10'd488: VAR2 = { 12'd1798 }; 10'd489: VAR2 = { 12'd1799 }; 10'd490: VAR2 = { 12'd1801 }; 10'd491: VAR2 = { 12'd1802 }; 10'd492: VAR2 = { 12'd1804 }; 10'd493: VAR2 = { 12'd1806 }; 10'd494: VAR2 = { 12'd1807 }; 10'd495: VAR2 = { 12'd1809 }; 10'd496: VAR2 = { 12'd1811 }; 10'd497: VAR2 = { 12'd1812 }; 10'd498: VAR2 = { 12'd1814 }; 10'd499: VAR2 = { 12'd1815 }; 10'd500: VAR2 = { 12'd1817 }; 10'd501: VAR2 = { 12'd1819 }; 10'd502: VAR2 = { 12'd1820 }; 10'd503: VAR2 = { 12'd1822 }; 10'd504: VAR2 = { 12'd1824 }; 10'd505: VAR2 = { 12'd1825 }; 10'd506: VAR2 = { 12'd1827 }; 10'd507: VAR2 = { 12'd1828 }; 10'd508: VAR2 = { 12'd1830 }; 10'd509: VAR2 = { 12'd1832 }; 10'd510: VAR2 = { 12'd1833 }; 10'd511: VAR2 = { 12'd1835 }; 10'd512: VAR2 = { 12'd1837 }; 10'd513: VAR2 = { 12'd1838 }; 10'd514: VAR2 = { 12'd1840 }; 10'd515: VAR2 = { 12'd1841 }; 10'd516: VAR2 = { 12'd1843 }; 10'd517: VAR2 = { 12'd1845 }; 10'd518: VAR2 = { 12'd1846 }; 10'd519: VAR2 = { 12'd1848 }; 10'd520: VAR2 = { 12'd1850 }; 10'd521: VAR2 = { 12'd1851 }; 10'd522: VAR2 = { 12'd1853 }; 10'd523: VAR2 = { 12'd1854 }; 10'd524: VAR2 = { 12'd1856 }; 10'd525: VAR2 = { 12'd1858 }; 10'd526: VAR2 = { 12'd1859 }; 10'd527: VAR2 = { 12'd1861 }; 10'd528: VAR2 = { 12'd1864 }; 10'd529: VAR2 = { 12'd1865 }; 10'd530: VAR2 = { 12'd1867 }; 10'd531: VAR2 = { 12'd1868 }; 10'd532: VAR2 = { 12'd1870 }; 10'd533: VAR2 = { 12'd1872 }; 10'd534: VAR2 = { 12'd1873 }; 10'd535: VAR2 = { 12'd1875 }; 10'd536: VAR2 = { 12'd1877 }; 10'd537: VAR2 = { 12'd1879 }; 10'd538: VAR2 = { 12'd1880 }; 10'd539: VAR2 = { 12'd1882 }; 10'd540: VAR2 = { 12'd1884 }; 10'd541: VAR2 = { 12'd1885 }; 10'd542: VAR2 = { 12'd1887 }; 10'd543: VAR2 = { 12'd1888 }; 10'd544: VAR2 = { 12'd1891 }; 10'd545: VAR2 = { 12'd1892 }; 10'd546: VAR2 = { 12'd1894 }; 10'd547: VAR2 = { 12'd1895 }; 10'd548: VAR2 = { 12'd1897 }; 10'd549: VAR2 = { 12'd1899 }; 10'd550: VAR2 = { 12'd1900 }; 10'd551: VAR2 = { 12'd1902 }; 10'd552: VAR2 = { 12'd1904 }; 10'd553: VAR2 = { 12'd1906 }; 10'd554: VAR2 = { 12'd1907 }; 10'd555: VAR2 = { 12'd1909 }; 10'd556: VAR2 = { 12'd1911 }; 10'd557: VAR2 = { 12'd1912 }; 10'd558: VAR2 = { 12'd1914 }; 10'd559: VAR2 = { 12'd1915 }; 10'd560: VAR2 = { 12'd1918 }; 10'd561: VAR2 = { 12'd1919 }; 10'd562: VAR2 = { 12'd1921 }; 10'd563: VAR2 = { 12'd1923 }; 10'd564: VAR2 = { 12'd1925 }; 10'd565: VAR2 = { 12'd1926 }; 10'd566: VAR2 = { 12'd1928 }; 10'd567: VAR2 = { 12'd1930 }; 10'd568: VAR2 = { 12'd1932 }; 10'd569: VAR2 = { 12'd1933 }; 10'd570: VAR2 = { 12'd1935 }; 10'd571: VAR2 = { 12'd1937 }; 10'd572: VAR2 = { 12'd1939 }; 10'd573: VAR2 = { 12'd1940 }; 10'd574: VAR2 = { 12'd1942 }; 10'd575: VAR2 = { 12'd1944 }; 10'd576: VAR2 = { 12'd1946 }; 10'd577: VAR2 = { 12'd1947 }; 10'd578: VAR2 = { 12'd1949 }; 10'd579: VAR2 = { 12'd1951 }; 10'd580: VAR2 = { 12'd1953 }; 10'd581: VAR2 = { 12'd1954 }; 10'd582: VAR2 = { 12'd1956 }; 10'd583: VAR2 = { 12'd1958 }; 10'd584: VAR2 = { 12'd1960 }; 10'd585: VAR2 = { 12'd1961 }; 10'd586: VAR2 = { 12'd1963 }; 10'd587: VAR2 = { 12'd1965 }; 10'd588: VAR2 = { 12'd1967 }; 10'd589: VAR2 = { 12'd1968 }; 10'd590: VAR2 = { 12'd1970 }; 10'd591: VAR2 = { 12'd1972 }; 10'd592: VAR2 = { 12'd1975 }; 10'd593: VAR2 = { 12'd1976 }; 10'd594: VAR2 = { 12'd1978 }; 10'd595: VAR2 = { 12'd1980 }; 10'd596: VAR2 = { 12'd1982 }; 10'd597: VAR2 = { 12'd1983 }; 10'd598: VAR2 = { 12'd1985 }; 10'd599: VAR2 = { 12'd1987 }; 10'd600: VAR2 = { 12'd1989 }; 10'd601: VAR2 = { 12'd1990 }; 10'd602: VAR2 = { 12'd1992 }; 10'd603: VAR2 = { 12'd1994 }; 10'd604: VAR2 = { 12'd1996 }; 10'd605: VAR2 = { 12'd1997 }; 10'd606: VAR2 = { 12'd1999 }; 10'd607: VAR2 = { 12'd2001 }; 10'd608: VAR2 = { 12'd2003 }; 10'd609: VAR2 = { 12'd2004 }; 10'd610: VAR2 = { 12'd2006 }; 10'd611: VAR2 = { 12'd2008 }; 10'd612: VAR2 = { 12'd2010 }; 10'd613: VAR2 = { 12'd2011 }; 10'd614: VAR2 = { 12'd2013 }; 10'd615: VAR2 = { 12'd2015 }; 10'd616: VAR2 = { 12'd2017 }; 10'd617: VAR2 = { 12'd2019 }; 10'd618: VAR2 = { 12'd2021 }; 10'd619: VAR2 = { 12'd2022 }; 10'd620: VAR2 = { 12'd2024 }; 10'd621: VAR2 = { 12'd2026 }; 10'd622: VAR2 = { 12'd2028 }; 10'd623: VAR2 = { 12'd2029 }; 10'd624: VAR2 = { 12'd2032 }; 10'd625: VAR2 = { 12'd2033 }; 10'd626: VAR2 = { 12'd2035 }; 10'd627: VAR2 = { 12'd2037 }; 10'd628: VAR2 = { 12'd2039 }; 10'd629: VAR2 = { 12'd2041 }; 10'd630: VAR2 = { 12'd2043 }; 10'd631: VAR2 = { 12'd2044 }; 10'd632: VAR2 = { 12'd2047 }; 10'd633: VAR2 = { 12'd2048 }; 10'd634: VAR2 = { 12'd2050 }; 10'd635: VAR2 = { 12'd2052 }; 10'd636: VAR2 = { 12'd2054 }; 10'd637: VAR2 = { 12'd2056 }; 10'd638: VAR2 = { 12'd2058 }; 10'd639: VAR2 = { 12'd2059 }; 10'd640: VAR2 = { 12'd2062 }; 10'd641: VAR2 = { 12'd2063 }; 10'd642: VAR2 = { 12'd2065 }; 10'd643: VAR2 = { 12'd2067 }; 10'd644: VAR2 = { 12'd2069 }; 10'd645: VAR2 = { 12'd2071 }; 10'd646: VAR2 = { 12'd2073 }; 10'd647: VAR2 = { 12'd2074 }; 10'd648: VAR2 = { 12'd2077 }; 10'd649: VAR2 = { 12'd2078 }; 10'd650: VAR2 = { 12'd2080 }; 10'd651: VAR2 = { 12'd2082 }; 10'd652: VAR2 = { 12'd2084 }; 10'd653: VAR2 = { 12'd2086 }; 10'd654: VAR2 = { 12'd2088 }; 10'd655: VAR2 = { 12'd2089 }; 10'd656: VAR2 = { 12'd2092 }; 10'd657: VAR2 = { 12'd2093 }; 10'd658: VAR2 = { 12'd2095 }; 10'd659: VAR2 = { 12'd2097 }; 10'd660: VAR2 = { 12'd2099 }; 10'd661: VAR2 = { 12'd2101 }; 10'd662: VAR2 = { 12'd2103 }; 10'd663: VAR2 = { 12'd2104 }; 10'd664: VAR2 = { 12'd2107 }; 10'd665: VAR2 = { 12'd2108 }; 10'd666: VAR2 = { 12'd2110 }; 10'd667: VAR2 = { 12'd2112 }; 10'd668: VAR2 = { 12'd2114 }; 10'd669: VAR2 = { 12'd2116 }; 10'd670: VAR2 = { 12'd2118 }; 10'd671: VAR2 = { 12'd2119 }; 10'd672: VAR2 = { 12'd2122 }; 10'd673: VAR2 = { 12'd2123 }; 10'd674: VAR2 = { 12'd2125 }; 10'd675: VAR2 = { 12'd2127 }; 10'd676: VAR2 = { 12'd2129 }; 10'd677: VAR2 = { 12'd2131 }; 10'd678: VAR2 = { 12'd2133 }; 10'd679: VAR2 = { 12'd2134 }; 10'd680: VAR2 = { 12'd2137 }; 10'd681: VAR2 = { 12'd2139 }; 10'd682: VAR2 = { 12'd2141 }; 10'd683: VAR2 = { 12'd2142 }; 10'd684: VAR2 = { 12'd2145 }; 10'd685: VAR2 = { 12'd2146 }; 10'd686: VAR2 = { 12'd2148 }; 10'd687: VAR2 = { 12'd2150 }; 10'd688: VAR2 = { 12'd2153 }; 10'd689: VAR2 = { 12'd2154 }; 10'd690: VAR2 = { 12'd2156 }; 10'd691: VAR2 = { 12'd2158 }; 10'd692: VAR2 = { 12'd2160 }; 10'd693: VAR2 = { 12'd2162 }; 10'd694: VAR2 = { 12'd2164 }; 10'd695: VAR2 = { 12'd2165 }; 10'd696: VAR2 = { 12'd2168 }; 10'd697: VAR2 = { 12'd2170 }; 10'd698: VAR2 = { 12'd2172 }; 10'd699: VAR2 = { 12'd2173 }; 10'd700: VAR2 = { 12'd2176 }; 10'd701: VAR2 = { 12'd2177 }; 10'd702: VAR2 = { 12'd2179 }; 10'd703: VAR2 = { 12'd2181 }; 10'd704: VAR2 = { 12'd2062 }; 10'd705: VAR2 = { 12'd2063 }; 10'd706: VAR2 = { 12'd2065 }; 10'd707: VAR2 = { 12'd2067 }; 10'd708: VAR2 = { 12'd2069 }; 10'd709: VAR2 = { 12'd2071 }; 10'd710: VAR2 = { 12'd2073 }; 10'd711: VAR2 = { 12'd2074 }; 10'd712: VAR2 = { 12'd2077 }; 10'd713: VAR2 = { 12'd2078 }; 10'd714: VAR2 = { 12'd2080 }; 10'd715: VAR2 = { 12'd2082 }; 10'd716: VAR2 = { 12'd2084 }; 10'd717: VAR2 = { 12'd2086 }; 10'd718: VAR2 = { 12'd2088 }; 10'd719: VAR2 = { 12'd2089 }; 10'd720: VAR2 = { 12'd2092 }; 10'd721: VAR2 = { 12'd2093 }; 10'd722: VAR2 = { 12'd2095 }; 10'd723: VAR2 = { 12'd2097 }; 10'd724: VAR2 = { 12'd2099 }; 10'd725: VAR2 = { 12'd2101 }; 10'd726: VAR2 = { 12'd2103 }; 10'd727: VAR2 = { 12'd2104 }; 10'd728: VAR2 = { 12'd2107 }; 10'd729: VAR2 = { 12'd2108 }; 10'd730: VAR2 = { 12'd2110 }; 10'd731: VAR2 = { 12'd2112 }; 10'd732: VAR2 = { 12'd2114 }; 10'd733: VAR2 = { 12'd2116 }; 10'd734: VAR2 = { 12'd2118 }; 10'd735: VAR2 = { 12'd2119 }; 10'd736: VAR2 = { 12'd2122 }; 10'd737: VAR2 = { 12'd2123 }; 10'd738: VAR2 = { 12'd2125 }; 10'd739: VAR2 = { 12'd2127 }; 10'd740: VAR2 = { 12'd2129 }; 10'd741: VAR2 = { 12'd2131 }; 10'd742: VAR2 = { 12'd2133 }; 10'd743: VAR2 = { 12'd2134 }; 10'd744: VAR2 = { 12'd2137 }; 10'd745: VAR2 = { 12'd2139 }; 10'd746: VAR2 = { 12'd2141 }; 10'd747: VAR2 = { 12'd2142 }; 10'd748: VAR2 = { 12'd2145 }; 10'd749: VAR2 = { 12'd2146 }; 10'd750: VAR2 = { 12'd2148 }; 10'd751: VAR2 = { 12'd2150 }; 10'd752: VAR2 = { 12'd2153 }; 10'd753: VAR2 = { 12'd2154 }; 10'd754: VAR2 = { 12'd2156 }; 10'd755: VAR2 = { 12'd2158 }; 10'd756: VAR2 = { 12'd2160 }; 10'd757: VAR2 = { 12'd2162 }; 10'd758: VAR2 = { 12'd2164 }; 10'd759: VAR2 = { 12'd2165 }; 10'd760: VAR2 = { 12'd2168 }; 10'd761: VAR2 = { 12'd2170 }; 10'd762: VAR2 = { 12'd2172 }; 10'd763: VAR2 = { 12'd2173 }; 10'd764: VAR2 = { 12'd2176 }; 10'd765: VAR2 = { 12'd2177 }; 10'd766: VAR2 = { 12'd2179 }; 10'd767: VAR2 = { 12'd2181 }; 10'd768: VAR2 = { 12'd2185 }; 10'd769: VAR2 = { 12'd2186 }; 10'd770: VAR2 = { 12'd2188 }; 10'd771: VAR2 = { 12'd2190 }; 10'd772: VAR2 = { 12'd2192 }; 10'd773: VAR2 = { 12'd2194 }; 10'd774: VAR2 = { 12'd2196 }; 10'd775: VAR2 = { 12'd2197 }; 10'd776: VAR2 = { 12'd2200 }; 10'd777: VAR2 = { 12'd2202 }; 10'd778: VAR2 = { 12'd2204 }; 10'd779: VAR2 = { 12'd2205 }; 10'd780: VAR2 = { 12'd2208 }; 10'd781: VAR2 = { 12'd2209 }; 10'd782: VAR2 = { 12'd2211 }; 10'd783: VAR2 = { 12'd2213 }; 10'd784: VAR2 = { 12'd2216 }; 10'd785: VAR2 = { 12'd2218 }; 10'd786: VAR2 = { 12'd2220 }; 10'd787: VAR2 = { 12'd2222 }; 10'd788: VAR2 = { 12'd2223 }; 10'd789: VAR2 = { 12'd2226 }; 10'd790: VAR2 = { 12'd2227 }; 10'd791: VAR2 = { 12'd2230 }; 10'd792: VAR2 = { 12'd2232 }; 10'd793: VAR2 = { 12'd2234 }; 10'd794: VAR2 = { 12'd2236 }; 10'd795: VAR2 = { 12'd2238 }; 10'd796: VAR2 = { 12'd2239 }; 10'd797: VAR2 = { 12'd2242 }; 10'd798: VAR2 = { 12'd2243 }; 10'd799: VAR2 = { 12'd2246 }; 10'd800: VAR2 = { 12'd2249 }; 10'd801: VAR2 = { 12'd2251 }; 10'd802: VAR2 = { 12'd2253 }; 10'd803: VAR2 = { 12'd2255 }; 10'd804: VAR2 = { 12'd2256 }; 10'd805: VAR2 = { 12'd2259 }; 10'd806: VAR2 = { 12'd2260 }; 10'd807: VAR2 = { 12'd2263 }; 10'd808: VAR2 = { 12'd2265 }; 10'd809: VAR2 = { 12'd2267 }; 10'd810: VAR2 = { 12'd2269 }; 10'd811: VAR2 = { 12'd2271 }; 10'd812: VAR2 = { 12'd2272 }; 10'd813: VAR2 = { 12'd2275 }; 10'd814: VAR2 = { 12'd2276 }; 10'd815: VAR2 = { 12'd2279 }; 10'd816: VAR2 = { 12'd2281 }; 10'd817: VAR2 = { 12'd2283 }; 10'd818: VAR2 = { 12'd2285 }; 10'd819: VAR2 = { 12'd2287 }; 10'd820: VAR2 = { 12'd2288 }; 10'd821: VAR2 = { 12'd2291 }; 10'd822: VAR2 = { 12'd2292 }; 10'd823: VAR2 = { 12'd2295 }; 10'd824: VAR2 = { 12'd2297 }; 10'd825: VAR2 = { 12'd2299 }; 10'd826: VAR2 = { 12'd2301 }; 10'd827: VAR2 = { 12'd2303 }; 10'd828: VAR2 = { 12'd2304 }; 10'd829: VAR2 = { 12'd2307 }; 10'd830: VAR2 = { 12'd2308 }; 10'd831: VAR2 = { 12'd2311 }; 10'd832: VAR2 = { 12'd2315 }; 10'd833: VAR2 = { 12'd2317 }; 10'd834: VAR2 = { 12'd2319 }; 10'd835: VAR2 = { 12'd2321 }; 10'd836: VAR2 = { 12'd2322 }; 10'd837: VAR2 = { 12'd2325 }; 10'd838: VAR2 = { 12'd2326 }; 10'd839: VAR2 = { 12'd2329 }; 10'd840: VAR2 = { 12'd2331 }; 10'd841: VAR2 = { 12'd2333 }; 10'd842: VAR2 = { 12'd2335 }; 10'd843: VAR2 = { 12'd2337 }; 10'd844: VAR2 = { 12'd2338 }; 10'd845: VAR2 = { 12'd2341 }; 10'd846: VAR2 = { 12'd2342 }; 10'd847: VAR2 = { 12'd2345 }; 10'd848: VAR2 = { 12'd2348 }; 10'd849: VAR2 = { 12'd2350 }; 10'd850: VAR2 = { 12'd2352 }; 10'd851: VAR2 = { 12'd2354 }; 10'd852: VAR2 = { 12'd2355 }; 10'd853: VAR2 = { 12'd2358 }; 10'd854: VAR2 = { 12'd2359 }; 10'd855: VAR2 = { 12'd2362 }; 10'd856: VAR2 = { 12'd2364 }; 10'd857: VAR2 = { 12'd2366 }; 10'd858: VAR2 = { 12'd2368 }; 10'd859: VAR2 = { 12'd2370 }; 10'd860: VAR2 = { 12'd2371 }; 10'd861: VAR2 = { 12'd2374 }; 10'd862: VAR2 = { 12'd2375 }; 10'd863: VAR2 = { 12'd2378 }; 10'd864: VAR2 = { 12'd2382 }; 10'd865: VAR2 = { 12'd2384 }; 10'd866: VAR2 = { 12'd2386 }; 10'd867: VAR2 = { 12'd2388 }; 10'd868: VAR2 = { 12'd2389 }; 10'd869: VAR2 = { 12'd2392 }; 10'd870: VAR2 = { 12'd2393 }; 10'd871: VAR2 = { 12'd2396 }; 10'd872: VAR2 = { 12'd2398 }; 10'd873: VAR2 = { 12'd2400 }; 10'd874: VAR2 = { 12'd2402 }; 10'd875: VAR2 = { 12'd2404 }; 10'd876: VAR2 = { 12'd2407 }; 10'd877: VAR2 = { 12'd2410 }; 10'd878: VAR2 = { 12'd2411 }; 10'd879: VAR2 = { 12'd2414 }; 10'd880: VAR2 = { 12'd2417 }; 10'd881: VAR2 = { 12'd2419 }; 10'd882: VAR2 = { 12'd2421 }; 10'd883: VAR2 = { 12'd2423 }; 10'd884: VAR2 = { 12'd2424 }; 10'd885: VAR2 = { 12'd2427 }; 10'd886: VAR2 = { 12'd2428 }; 10'd887: VAR2 = { 12'd2431 }; 10'd888: VAR2 = { 12'd2433 }; 10'd889: VAR2 = { 12'd2435 }; 10'd890: VAR2 = { 12'd2437 }; 10'd891: VAR2 = { 12'd2439 }; 10'd892: VAR2 = { 12'd2442 }; 10'd893: VAR2 = { 12'd2445 }; 10'd894: VAR2 = { 12'd2446 }; 10'd895: VAR2 = { 12'd2449 }; 10'd896: VAR2 = { 12'd2452 }; 10'd897: VAR2 = { 12'd2454 }; 10'd898: VAR2 = { 12'd2456 }; 10'd899: VAR2 = { 12'd2458 }; 10'd900: VAR2 = { 12'd2459 }; 10'd901: VAR2 = { 12'd2462 }; 10'd902: VAR2 = { 12'd2463 }; 10'd903: VAR2 = { 12'd2466 }; 10'd904: VAR2 = { 12'd2468 }; 10'd905: VAR2 = { 12'd2470 }; 10'd906: VAR2 = { 12'd2472 }; 10'd907: VAR2 = { 12'd2474 }; 10'd908: VAR2 = { 12'd2477 }; 10'd909: VAR2 = { 12'd2480 }; 10'd910: VAR2 = { 12'd2481 }; 10'd911: VAR2 = { 12'd2484 }; 10'd912: VAR2 = { 12'd2488 }; 10'd913: VAR2 = { 12'd2490 }; 10'd914: VAR2 = { 12'd2492 }; 10'd915: VAR2 = { 12'd2494 }; 10'd916: VAR2 = { 12'd2495 }; 10'd917: VAR2 = { 12'd2498 }; 10'd918: VAR2 = { 12'd2499 }; 10'd919: VAR2 = { 12'd2502 }; 10'd920: VAR2 = { 12'd2504 }; 10'd921: VAR2 = { 12'd2506 }; 10'd922: VAR2 = { 12'd2508 }; 10'd923: VAR2 = { 12'd2510 }; 10'd924: VAR2 = { 12'd2513 }; 10'd925: VAR2 = { 12'd2516 }; 10'd926: VAR2 = { 12'd2517 }; 10'd927: VAR2 = { 12'd2520 }; 10'd928: VAR2 = { 12'd2524 }; 10'd929: VAR2 = { 12'd2526 }; 10'd930: VAR2 = { 12'd2528 }; 10'd931: VAR2 = { 12'd2530 }; 10'd932: VAR2 = { 12'd2531 }; 10'd933: VAR2 = { 12'd2534 }; 10'd934: VAR2 = { 12'd2535 }; 10'd935: VAR2 = { 12'd2538 }; 10'd936: VAR2 = { 12'd2540 }; 10'd937: VAR2 = { 12'd2542 }; 10'd938: VAR2 = { 12'd2544 }; 10'd939: VAR2 = { 12'd2546 }; 10'd940: VAR2 = { 12'd2549 }; 10'd941: VAR2 = { 12'd2552 }; 10'd942: VAR2 = { 12'd2553 }; 10'd943: VAR2 = { 12'd2556 }; 10'd944: VAR2 = { 12'd2561 }; 10'd945: VAR2 = { 12'd2563 }; 10'd946: VAR2 = { 12'd2565 }; 10'd947: VAR2 = { 12'd2567 }; 10'd948: VAR2 = { 12'd2568 }; 10'd949: VAR2 = { 12'd2571 }; 10'd950: VAR2 = { 12'd2572 }; 10'd951: VAR2 = { 12'd2575 }; 10'd952: VAR2 = { 12'd2577 }; 10'd953: VAR2 = { 12'd2579 }; 10'd954: VAR2 = { 12'd2581 }; 10'd955: VAR2 = { 12'd2583 }; 10'd956: VAR2 = { 12'd2586 }; 10'd957: VAR2 = { 12'd2589 }; 10'd958: VAR2 = { 12'd2590 }; 10'd959: VAR2 = { 12'd2593 }; 10'd960: VAR2 = { 12'd2452 }; 10'd961: VAR2 = { 12'd2454 }; 10'd962: VAR2 = { 12'd2456 }; 10'd963: VAR2 = { 12'd2458 }; 10'd964: VAR2 = { 12'd2459 }; 10'd965: VAR2 = { 12'd2462 }; 10'd966: VAR2 = { 12'd2463 }; 10'd967: VAR2 = { 12'd2466 }; 10'd968: VAR2 = { 12'd2468 }; 10'd969: VAR2 = { 12'd2470 }; 10'd970: VAR2 = { 12'd2472 }; 10'd971: VAR2 = { 12'd2474 }; 10'd972: VAR2 = { 12'd2477 }; 10'd973: VAR2 = { 12'd2480 }; 10'd974: VAR2 = { 12'd2481 }; 10'd975: VAR2 = { 12'd2484 }; 10'd976: VAR2 = { 12'd2488 }; 10'd977: VAR2 = { 12'd2490 }; 10'd978: VAR2 = { 12'd2492 }; 10'd979: VAR2 = { 12'd2494 }; 10'd980: VAR2 = { 12'd2495 }; 10'd981: VAR2 = { 12'd2498 }; 10'd982: VAR2 = { 12'd2499 }; 10'd983: VAR2 = { 12'd2502 }; 10'd984: VAR2 = { 12'd2504 }; 10'd985: VAR2 = { 12'd2506 }; 10'd986: VAR2 = { 12'd2508 }; 10'd987: VAR2 = { 12'd2510 }; 10'd988: VAR2 = { 12'd2513 }; 10'd989: VAR2 = { 12'd2516 }; 10'd990: VAR2 = { 12'd2517 }; 10'd991: VAR2 = { 12'd2520 }; 10'd992: VAR2 = { 12'd2524 }; 10'd993: VAR2 = { 12'd2526 }; 10'd994: VAR2 = { 12'd2528 }; 10'd995: VAR2 = { 12'd2530 }; 10'd996: VAR2 = { 12'd2531 }; 10'd997: VAR2 = { 12'd2534 }; 10'd998: VAR2 = { 12'd2535 }; 10'd999: VAR2 = { 12'd2538 }; 10'd1000: VAR2 = { 12'd2540 }; 10'd1001: VAR2 = { 12'd2542 }; 10'd1002: VAR2 = { 12'd2544 }; 10'd1003: VAR2 = { 12'd2546 }; 10'd1004: VAR2 = { 12'd2549 }; 10'd1005: VAR2 = { 12'd2552 }; 10'd1006: VAR2 = { 12'd2553 }; 10'd1007: VAR2 = { 12'd2556 }; 10'd1008: VAR2 = { 12'd2561 }; 10'd1009: VAR2 = { 12'd2563 }; 10'd1010: VAR2 = { 12'd2565 }; 10'd1011: VAR2 = { 12'd2567 }; 10'd1012: VAR2 = { 12'd2568 }; 10'd1013: VAR2 = { 12'd2571 }; 10'd1014: VAR2 = { 12'd2572 }; 10'd1015: VAR2 = { 12'd2575 }; 10'd1016: VAR2 = { 12'd2577 }; 10'd1017: VAR2 = { 12'd2579 }; 10'd1018: VAR2 = { 12'd2581 }; 10'd1019: VAR2 = { 12'd2583 }; 10'd1020: VAR2 = { 12'd2586 }; 10'd1021: VAR2 = { 12'd2589 }; 10'd1022: VAR2 = { 12'd2590 }; 10'd1023: VAR2 = { 12'd2593 }; endcase end endmodule
gpl-3.0
bangonkali/sram
i2c_slave_net.v
3,320
module MODULE1(VAR4, VAR13, VAR7, VAR24); inout VAR4; input VAR13; output [7:0] VAR24; input [6:0] VAR7; VAR22 VAR28(.VAR20(VAR16), .VAR21((~VAR13 | VAR17) ? VAR4 : VAR16)); VAR22 VAR8(.VAR20(VAR17), .VAR21(~VAR13 ? 1'b0 : (VAR4 ^ VAR16))); wire VAR16 = (~VAR13 | VAR17) ? VAR4 : VAR16 ; wire VAR17 = ~VAR13 ? 1'b0 : (VAR4 ^ VAR16) ; reg VAR19; always @(negedge VAR13 or posedge VAR17) if(VAR17) VAR19 <= 1'b0; else if(~VAR4) VAR19 <= 1'b1; reg [3:0] VAR5; wire VAR3 = ~VAR5[3]; wire VAR18 = VAR5[3]; reg VAR12; always @(negedge VAR13 or negedge VAR19) if(~VAR19) begin VAR5 <= 4'h7; VAR12 <= 1'b0; end else begin if(VAR18) begin VAR5 <= 4'h7; VAR12 <= 1'b1; end else VAR5 <= VAR5 - 4'h1; end wire VAR11 = ~VAR12; reg VAR2, VAR10, VAR23; reg VAR15; always @(posedge VAR13) VAR15<=VAR4; reg [7:0] VAR26; wire VAR27 = ~VAR10; always @(negedge VAR13 or negedge VAR19) if(~VAR19) begin VAR23 <= 1'b0; VAR2 <= 1'b1; VAR10 <= 1'b0; end else begin if(VAR11 & VAR5==7 & VAR15!=VAR7[6]) VAR2<=1'b0; if(VAR11 & VAR5==6 & VAR15!=VAR7[5]) VAR2<=1'b0; if(VAR11 & VAR5==5 & VAR15!=VAR7[4]) VAR2<=1'b0; if(VAR11 & VAR5==4 & VAR15!=VAR7[3]) VAR2<=1'b0; if(VAR11 & VAR5==3 & VAR15!=VAR7[2]) VAR2<=1'b0; if(VAR11 & VAR5==2 & VAR15!=VAR7[1]) VAR2<=1'b0; if(VAR11 & VAR5==1 & VAR15!=VAR7[0]) VAR2<=1'b0; if(VAR11 & VAR5==0) VAR10 <= VAR15; if(VAR18) VAR23 <= ~VAR15; if(VAR2 & VAR3 & VAR12 & VAR27) VAR26[VAR5] <= VAR15; end wire VAR9 = ~VAR26[VAR5[2:0]]; wire VAR6 = VAR2 & VAR3 & VAR12 & VAR10 & VAR9 & VAR23; wire VAR1 = VAR2 & VAR18 & (VAR11 | VAR27); wire VAR25 = VAR6 | VAR1; assign VAR4 = VAR25 ? 1'b0 : 1'VAR14; assign VAR24 = VAR26; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a22o/sky130_fd_sc_hd__a22o.pp.symbol.v
1,368
module MODULE1 ( input VAR7 , input VAR3 , input VAR2 , input VAR1 , output VAR4 , input VAR9 , input VAR5, input VAR6, input VAR8 ); endmodule
apache-2.0
jeffkub/n64-cart-reader
old/hdl/ram2048x16.v
26,817
module MODULE1 (VAR62, VAR63, VAR7, VAR106, VAR65, VAR91, VAR42); input wire VAR62; input wire VAR63; input wire VAR7; input wire VAR106; input wire [10:0] VAR65; input wire [15:0] VAR91; output wire [15:0] VAR42; wire VAR69; wire VAR139; VAR52 VAR44 (.VAR125(VAR139), .VAR79(VAR139), .VAR60(VAR139), .VAR75(VAR139), .VAR134(VAR139), .VAR138(VAR91[3]), .VAR64(VAR91[2]), .VAR119(VAR91[1]), .VAR76(VAR91[0]), .VAR68(VAR65[10]), .VAR74(VAR65[9]), .VAR109(VAR65[8]), .VAR56(VAR65[7]), .VAR118(VAR65[6]), .VAR22(VAR65[5]), .VAR57(VAR65[4]), .VAR17(VAR65[3]), .VAR110(VAR65[2]), .VAR145(VAR65[1]), .VAR140(VAR65[0]), .VAR90(VAR139), .VAR142(VAR139), .VAR86(VAR63), .VAR71(VAR63), .VAR80(VAR62), .VAR147(VAR106), .VAR96(VAR139), .VAR43(VAR139), .VAR100(VAR139), .VAR123(VAR7), .VAR70(VAR139), .VAR35(VAR139), .VAR89(VAR139), .VAR20(VAR139), .VAR25(VAR139), .VAR49(VAR139), .VAR146(VAR139), .VAR102(VAR139), .VAR92(VAR139), .VAR11(VAR139), .VAR53(VAR139), .VAR104(VAR139), .VAR16(VAR139), .VAR10(VAR139), .VAR113(VAR139), .VAR36(VAR139), .VAR98(VAR139), .VAR26(VAR139), .VAR3(VAR139), .VAR101(VAR139), .VAR77(VAR139), .VAR85(VAR139), .VAR33(VAR69), .VAR105(VAR69), .VAR34(VAR139), .VAR29(VAR139), .VAR121(VAR139), .VAR95(VAR139), .VAR127(VAR139), .VAR48(VAR139), .VAR8(), .VAR143(), .VAR40(), .VAR107(), .VAR112(), .VAR28(VAR42[3]), .VAR83(VAR42[2]), .VAR73(VAR42[1]), .VAR46(VAR42[0]), .VAR59(), .VAR23(), .VAR45(), .VAR114(), .VAR81(), .VAR84(), .VAR31(), .VAR24(), .VAR67()) ; VAR52 VAR88 (.VAR125(VAR139), .VAR79(VAR139), .VAR60(VAR139), .VAR75(VAR139), .VAR134(VAR139), .VAR138(VAR91[7]), .VAR64(VAR91[6]), .VAR119(VAR91[5]), .VAR76(VAR91[4]), .VAR68(VAR65[10]), .VAR74(VAR65[9]), .VAR109(VAR65[8]), .VAR56(VAR65[7]), .VAR118(VAR65[6]), .VAR22(VAR65[5]), .VAR57(VAR65[4]), .VAR17(VAR65[3]), .VAR110(VAR65[2]), .VAR145(VAR65[1]), .VAR140(VAR65[0]), .VAR90(VAR139), .VAR142(VAR139), .VAR86(VAR63), .VAR71(VAR63), .VAR80(VAR62), .VAR147(VAR106), .VAR96(VAR139), .VAR43(VAR139), .VAR100(VAR139), .VAR123(VAR7), .VAR70(VAR139), .VAR35(VAR139), .VAR89(VAR139), .VAR20(VAR139), .VAR25(VAR139), .VAR49(VAR139), .VAR146(VAR139), .VAR102(VAR139), .VAR92(VAR139), .VAR11(VAR139), .VAR53(VAR139), .VAR104(VAR139), .VAR16(VAR139), .VAR10(VAR139), .VAR113(VAR139), .VAR36(VAR139), .VAR98(VAR139), .VAR26(VAR139), .VAR3(VAR139), .VAR101(VAR139), .VAR77(VAR139), .VAR85(VAR139), .VAR33(VAR69), .VAR105(VAR69), .VAR34(VAR139), .VAR29(VAR139), .VAR121(VAR139), .VAR95(VAR139), .VAR127(VAR139), .VAR48(VAR139), .VAR8(), .VAR143(), .VAR40(), .VAR107(), .VAR112(), .VAR28(VAR42[7]), .VAR83(VAR42[6]), .VAR73(VAR42[5]), .VAR46(VAR42[4]), .VAR59(), .VAR23(), .VAR45(), .VAR114(), .VAR81(), .VAR84(), .VAR31(), .VAR24(), .VAR67()) ; VAR52 VAR148 (.VAR125(VAR139), .VAR79(VAR139), .VAR60(VAR139), .VAR75(VAR139), .VAR134(VAR139), .VAR138(VAR91[11]), .VAR64(VAR91[10]), .VAR119(VAR91[9]), .VAR76(VAR91[8]), .VAR68(VAR65[10]), .VAR74(VAR65[9]), .VAR109(VAR65[8]), .VAR56(VAR65[7]), .VAR118(VAR65[6]), .VAR22(VAR65[5]), .VAR57(VAR65[4]), .VAR17(VAR65[3]), .VAR110(VAR65[2]), .VAR145(VAR65[1]), .VAR140(VAR65[0]), .VAR90(VAR139), .VAR142(VAR139), .VAR86(VAR63), .VAR71(VAR63), .VAR80(VAR62), .VAR147(VAR106), .VAR96(VAR139), .VAR43(VAR139), .VAR100(VAR139), .VAR123(VAR7), .VAR70(VAR139), .VAR35(VAR139), .VAR89(VAR139), .VAR20(VAR139), .VAR25(VAR139), .VAR49(VAR139), .VAR146(VAR139), .VAR102(VAR139), .VAR92(VAR139), .VAR11(VAR139), .VAR53(VAR139), .VAR104(VAR139), .VAR16(VAR139), .VAR10(VAR139), .VAR113(VAR139), .VAR36(VAR139), .VAR98(VAR139), .VAR26(VAR139), .VAR3(VAR139), .VAR101(VAR139), .VAR77(VAR139), .VAR85(VAR139), .VAR33(VAR69), .VAR105(VAR69), .VAR34(VAR139), .VAR29(VAR139), .VAR121(VAR139), .VAR95(VAR139), .VAR127(VAR139), .VAR48(VAR139), .VAR8(), .VAR143(), .VAR40(), .VAR107(), .VAR112(), .VAR28(VAR42[11]), .VAR83(VAR42[10]), .VAR73(VAR42[9]), .VAR46(VAR42[8]), .VAR59(), .VAR23(), .VAR45(), .VAR114(), .VAR81(), .VAR84(), .VAR31(), .VAR24(), .VAR67()) ; VAR30 VAR115 (.VAR50(VAR69)); VAR2 VAR19 (.VAR50(VAR139)); VAR52 VAR87 (.VAR125(VAR139), .VAR79(VAR139), .VAR60(VAR139), .VAR75(VAR139), .VAR134(VAR139), .VAR138(VAR91[15]), .VAR64(VAR91[14]), .VAR119(VAR91[13]), .VAR76(VAR91[12]), .VAR68(VAR65[10]), .VAR74(VAR65[9]), .VAR109(VAR65[8]), .VAR56(VAR65[7]), .VAR118(VAR65[6]), .VAR22(VAR65[5]), .VAR57(VAR65[4]), .VAR17(VAR65[3]), .VAR110(VAR65[2]), .VAR145(VAR65[1]), .VAR140(VAR65[0]), .VAR90(VAR139), .VAR142(VAR139), .VAR86(VAR63), .VAR71(VAR63), .VAR80(VAR62), .VAR147(VAR106), .VAR96(VAR139), .VAR43(VAR139), .VAR100(VAR139), .VAR123(VAR7), .VAR70(VAR139), .VAR35(VAR139), .VAR89(VAR139), .VAR20(VAR139), .VAR25(VAR139), .VAR49(VAR139), .VAR146(VAR139), .VAR102(VAR139), .VAR92(VAR139), .VAR11(VAR139), .VAR53(VAR139), .VAR104(VAR139), .VAR16(VAR139), .VAR10(VAR139), .VAR113(VAR139), .VAR36(VAR139), .VAR98(VAR139), .VAR26(VAR139), .VAR3(VAR139), .VAR101(VAR139), .VAR77(VAR139), .VAR85(VAR139), .VAR33(VAR69), .VAR105(VAR69), .VAR34(VAR139), .VAR29(VAR139), .VAR121(VAR139), .VAR95(VAR139), .VAR127(VAR139), .VAR48(VAR139), .VAR8(), .VAR143(), .VAR40(), .VAR107(), .VAR112(), .VAR28(VAR42[15]), .VAR83(VAR42[14]), .VAR73(VAR42[13]), .VAR46(VAR42[12]), .VAR59(), .VAR23(), .VAR45(), .VAR114(), .VAR81(), .VAR84(), .VAR31(), .VAR24(), .VAR67()) ; endmodule
mit
peteasa/parallella-fpga
ohLocal/memory/dv/fifo_async_104x16.v
1,447
module MODULE1 ( VAR4, VAR2, VAR3, dout, VAR11, valid, VAR1, VAR6, VAR8, VAR7, VAR9, din, VAR13 ); parameter VAR12 = 104; parameter VAR10 = 16; input VAR1; input VAR6; input VAR8; input VAR7; input VAR9; input [VAR12-1:0] din; output VAR4; output VAR2; output VAR3; input VAR13; output [VAR12-1:0] dout; output VAR11; output valid; VAR5 VAR14 ( .VAR4 (VAR4), .VAR2 (VAR2), .VAR3 (VAR3), .dout (dout[VAR12-1:0]), .VAR11 (VAR11), .valid (valid), .VAR1 (VAR1), .VAR6 (VAR6), .VAR8 (VAR8), .VAR7 (VAR7), .VAR9 (VAR9), .din (din[VAR12-1:0]), .VAR13 (VAR13)); endmodule
lgpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latrnq/gf180mcu_fd_sc_mcu9t5v0__latrnq_2.behavioral.v
2,848
module MODULE1( VAR16, VAR19, VAR11, VAR14 ); input VAR11, VAR16, VAR19; output VAR14; reg VAR9; VAR3 VAR8(.VAR16(VAR16),.VAR19(VAR19),.VAR11(VAR11),.VAR14(VAR14),.VAR9(VAR9)); VAR3 VAR21(.VAR16(VAR16),.VAR19(VAR19),.VAR11(VAR11),.VAR14(VAR14),.VAR9(VAR9)); buf VAR1(VAR5,VAR19); not VAR25(VAR4,VAR11); and VAR13(VAR15,VAR19,VAR4); and VAR22(VAR2,VAR19,VAR11); not VAR6(VAR23,VAR11); not VAR7(VAR26,VAR16); and VAR18(VAR24,VAR26,VAR23); not VAR20(VAR17,VAR16); and VAR12(VAR10,VAR17,VAR11);
apache-2.0
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_clkgen_v1_00_a/hdl/verilog/user_logic.v
6,263
module MODULE1 ( VAR12, clk, VAR20, VAR13, VAR25, VAR21, VAR7, VAR26, VAR5, VAR18, VAR3, VAR16); parameter VAR19 = 32; parameter VAR10 = 32; input VAR12; output clk; input VAR20; input VAR13; input [31:0] VAR25; input [ 3:0] VAR21; input [31:0] VAR7; input [31:0] VAR26; output [31:0] VAR5; output VAR18; output VAR3; output VAR16; reg VAR24; reg VAR4; reg [ 4:0] VAR15; reg [31:0] VAR2; reg VAR18; reg VAR3; reg [31:0] VAR5; reg VAR16; wire [31:0] VAR6; wire [31:0] VAR14; wire VAR23; assign VAR6 = (VAR7 == 0) ? VAR26 : VAR7; always @(negedge VAR13 or posedge VAR20) begin if (VAR13 == 0) begin VAR24 <= 'd0; VAR4 <= 'd0; VAR15 <= 'd0; VAR2 <= 'd0; end else begin VAR24 <= (VAR6 == 0) ? 1'b0 : 1'b1; VAR4 <= (VAR7 == 0) ? 1'b0 : 1'b1; case (VAR6) 32'h80000000: VAR15 <= 5'h00; 32'h40000000: VAR15 <= 5'h01; 32'h20000000: VAR15 <= 5'h02; 32'h10000000: VAR15 <= 5'h03; 32'h08000000: VAR15 <= 5'h04; 32'h04000000: VAR15 <= 5'h05; 32'h02000000: VAR15 <= 5'h06; 32'h01000000: VAR15 <= 5'h07; 32'h00800000: VAR15 <= 5'h08; 32'h00400000: VAR15 <= 5'h09; 32'h00200000: VAR15 <= 5'h0a; 32'h00100000: VAR15 <= 5'h0b; 32'h00080000: VAR15 <= 5'h0c; 32'h00040000: VAR15 <= 5'h0d; 32'h00020000: VAR15 <= 5'h0e; 32'h00010000: VAR15 <= 5'h0f; 32'h00008000: VAR15 <= 5'h10; 32'h00004000: VAR15 <= 5'h11; 32'h00002000: VAR15 <= 5'h12; 32'h00001000: VAR15 <= 5'h13; 32'h00000800: VAR15 <= 5'h14; 32'h00000400: VAR15 <= 5'h15; 32'h00000200: VAR15 <= 5'h16; 32'h00000100: VAR15 <= 5'h17; 32'h00000080: VAR15 <= 5'h18; 32'h00000040: VAR15 <= 5'h19; 32'h00000020: VAR15 <= 5'h1a; 32'h00000010: VAR15 <= 5'h1b; 32'h00000008: VAR15 <= 5'h1c; 32'h00000004: VAR15 <= 5'h1d; 32'h00000002: VAR15 <= 5'h1e; 32'h00000001: VAR15 <= 5'h1f; default: VAR15 <= 5'h1f; endcase VAR2 <= VAR25; end end always @(negedge VAR13 or posedge VAR20) begin if (VAR13 == 0) begin VAR18 <= 'd0; VAR3 <= 'd0; VAR5 <= 'd0; VAR16 <= 'd0; end else begin VAR18 <= (VAR7 == 0) ? 1'b0 : VAR23; VAR3 <= (VAR26 == 0) ? 1'b0 : VAR23; VAR5 <= VAR14; VAR16 <= 'd0; end end VAR11 VAR1 ( .VAR12 (VAR12), .clk (clk), .VAR9 (VAR13), .VAR17 (VAR20), .VAR24 (VAR24), .VAR4 (VAR4), .VAR15 (VAR15), .VAR2 (VAR2), .VAR22 (VAR14), .VAR8 (VAR23)); endmodule
mit
alanachtenberg/CSCE-350
Lab 7/lab7_4.v
3,018
module MODULE2(VAR11, VAR4, VAR27, VAR22, VAR2, VAR14, VAR9); input VAR22, VAR27, VAR2, VAR14, VAR9; output VAR11, VAR4; wire VAR25; wire VAR8, VAR24; wire VAR18, VAR6; wire VAR20, VAR21; wire VAR15, VAR13; and (VAR25, VAR9, VAR27); not (VAR8, VAR22); not (VAR24, VAR25); nand VAR3(VAR18,VAR25, VAR22); nand VAR10(VAR6,VAR25, VAR8); nand VAR19(VAR13,VAR6,VAR15, VAR14); nand VAR12(VAR15,VAR18,VAR13, VAR2); nand VAR26(VAR20,VAR24, VAR15); nand VAR1(VAR21,VAR24, VAR13); nand VAR17(VAR4,VAR21,VAR11, VAR14); nand VAR7(VAR11,VAR20,VAR4, VAR2); endmodule module MODULE1(VAR25); parameter VAR16 = 10, VAR5 = 50, VAR23 = 50; output VAR25; reg VAR25;
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkdlybuf4s25/sky130_fd_sc_hd__clkdlybuf4s25.behavioral.pp.v
1,866
module MODULE1 ( VAR12 , VAR1 , VAR4, VAR10, VAR6 , VAR8 ); output VAR12 ; input VAR1 ; input VAR4; input VAR10; input VAR6 ; input VAR8 ; wire VAR2 ; wire VAR9; buf VAR5 (VAR2 , VAR1 ); VAR11 VAR3 (VAR9, VAR2, VAR4, VAR10); buf VAR7 (VAR12 , VAR9 ); endmodule
apache-2.0
praveendath92/securePUF
ipcore_dir/emac_single/example_design/emac_single_locallink.v
14,611
module MODULE1 ( VAR26, VAR24, VAR29, VAR93, VAR44, VAR14, VAR53, VAR38, VAR17, VAR4, VAR27, VAR63, VAR92, VAR18, VAR15, VAR99, VAR49, VAR61, VAR74, VAR65, VAR37, VAR72, VAR12, VAR103, VAR95, VAR34, VAR104, VAR70, VAR94, VAR8, VAR66, VAR3, VAR89, VAR20, VAR10, VAR32, VAR82 , VAR42 ); output VAR26; input VAR24; input VAR29; input VAR93; output [7:0] VAR44; output VAR14; output VAR53; output VAR38; input VAR17; output [3:0] VAR4; input VAR27; input VAR63; input [7:0] VAR92; input VAR18; input VAR15; input VAR99; output VAR49; output VAR61; output VAR74; output [6:0] VAR65; output VAR37; output VAR72; input [7:0] VAR12; output VAR103; output VAR95; output VAR34; input VAR104; input [15:0] VAR70; input VAR94; output [7:0] VAR8; output VAR66; output VAR3; output VAR89; input [7:0] VAR20; input VAR10; input VAR32; input VAR82 ; input VAR42; wire VAR16; wire VAR39; wire VAR11; wire [7:0] VAR67; wire VAR51; wire VAR22; wire VAR45; wire VAR76; wire VAR79; wire [7:0] VAR54; wire VAR59; wire VAR1; wire VAR88; reg [7:0] VAR97; reg VAR57; reg VAR100; reg VAR5; reg [5:0] VAR40; reg VAR77; reg [5:0] VAR9; reg VAR33; assign VAR16 = VAR42; VAR105 VAR73 ( .VAR26 (VAR26), .VAR24 (VAR24), .VAR69 (VAR54), .VAR61 (VAR59), .VAR106 (VAR1), .VAR64 (VAR88), .VAR74 (VAR74), .VAR65 (VAR65), .VAR37 (VAR37), .VAR72 (VAR72), .VAR21 (VAR67), .VAR30 (VAR51), .VAR23 (VAR45), .VAR47 (1'b0), .VAR86 (VAR22), .VAR58 (VAR76), .VAR13 (VAR79), .VAR12 (VAR12), .VAR103 (VAR103), .VAR95 (VAR95), .VAR34 (VAR34), .VAR104 (VAR104), .VAR70 (VAR70), .VAR94 (VAR94), .VAR8 (VAR8), .VAR66 (VAR66), .VAR3 (VAR3), .VAR89 (VAR89), .VAR20 (VAR20), .VAR10 (VAR10), .VAR32 (VAR32), .VAR82 (VAR82), .VAR42 (VAR16)); VAR52 VAR62 ( .VAR19(VAR39), .VAR50(VAR77), .VAR84(1'b1), .VAR68(VAR67), .VAR83(VAR51), .VAR98(VAR45), .VAR75(VAR22), .VAR80(VAR76), .VAR35(VAR79), .VAR7(VAR27), .VAR78(VAR63), .VAR28(VAR92), .VAR90(VAR18), .VAR101(VAR15), .VAR2(VAR99), .VAR41(VAR49), .VAR71(), .VAR43(), .VAR102(VAR11), .VAR60(VAR33), .VAR87(1'b1), .VAR6(VAR97), .VAR36(VAR57), .VAR81(VAR100), .VAR55(VAR5), .VAR85(), .VAR56(VAR29), .VAR31(VAR93), .VAR46(VAR44), .VAR91(VAR14), .VAR25(VAR53), .VAR48(VAR38), .VAR96(VAR17), .VAR107(VAR4)); always @(posedge VAR39, posedge VAR16) begin if (VAR16 === 1'b1) begin VAR40 <= 6'h3F; VAR77 <= 1'b1; end else begin VAR40[0] <= 1'b0; VAR40[5:1] <= VAR40[4:0]; VAR77 <= VAR40[5]; end end always @(posedge VAR11, posedge VAR16) begin if (VAR16 === 1'b1) begin VAR9 <= 6'h3F; VAR33 <= 1'b1; end else begin VAR9[0] <= 1'b0; VAR9[5:1] <= VAR9[4:0]; VAR33 <= VAR9[5]; end end always @(posedge VAR11, posedge VAR16) begin if (VAR16 == 1'b1) begin VAR57 <= 1'b0; VAR97 <= 8'h00; VAR100 <= 1'b0; VAR5 <= 1'b0; end else begin VAR97 <= VAR54; VAR57 <= VAR59; VAR100 <= VAR1; VAR5 <= VAR88; end end assign VAR61 = VAR59; assign VAR39 = VAR24; assign VAR11 = VAR82; endmodule
gpl-2.0
GSejas/Aproximate-Arithmetic-Operators
add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GeArN8R1P6_syn.v
7,671
module MODULE1 ( VAR209, VAR253, VAR11, VAR18 ); input [15:0] VAR253; input [15:0] VAR11; output [16:0] VAR18; input VAR209; wire VAR92, VAR188, VAR251, VAR265, VAR140, VAR46, VAR136, VAR257, VAR246, VAR27, VAR8, VAR131, VAR238, VAR73, VAR156, VAR40, VAR5, VAR49, VAR216, VAR101, VAR291, VAR14, VAR269, VAR281, VAR63, VAR2, VAR275, VAR58, VAR17, VAR26, VAR200, VAR163, VAR37, VAR159, VAR282, VAR84, VAR248, VAR149, VAR180, VAR296, VAR298, VAR154, VAR34, VAR36, VAR123, VAR59, VAR122, VAR129, VAR208, VAR114, VAR261, VAR206, VAR132, VAR16, VAR50, VAR44, VAR143, VAR148, VAR237, VAR88, VAR51, VAR52, VAR93, VAR57, VAR115, VAR255, VAR138, VAR229, VAR196, VAR171, VAR48, VAR56, VAR290, VAR191, VAR272, VAR250, VAR239, VAR97, VAR175, VAR142, VAR96, VAR277, VAR53, VAR166, VAR236, VAR232, VAR118, VAR103, VAR79, VAR117, VAR21, VAR241, VAR273, VAR288, VAR75, VAR62, VAR160, VAR228, VAR192, VAR150, VAR83, VAR293, VAR64, VAR6, VAR211, VAR153, VAR126, VAR120, VAR68, VAR71, VAR31, VAR146, VAR194, VAR165; VAR94 VAR225 ( .VAR65(VAR115), .VAR86(VAR57), .VAR292(VAR18[15]) ); VAR45 VAR29 ( .VAR65(VAR96), .VAR292(VAR166) ); VAR43 VAR202 ( .VAR61(VAR88), .VAR102(VAR11[14]), .VAR189(VAR188), .VAR292(VAR51) ); VAR204 VAR12 ( .VAR65(VAR88), .VAR86(VAR188), .VAR292(VAR206) ); VAR204 VAR41 ( .VAR65(VAR261), .VAR86(VAR188), .VAR292(VAR73) ); VAR78 VAR294 ( .VAR65(VAR132), .VAR86(VAR92), .VAR292(VAR16) ); VAR152 VAR201 ( .VAR65(VAR8), .VAR86(VAR96), .VAR292(VAR272) ); VAR90 VAR174 ( .VAR65(VAR261), .VAR86(VAR11[12]), .VAR292(VAR132) ); VAR204 VAR170 ( .VAR65(VAR165), .VAR86(VAR253[6]), .VAR292(VAR248) ); VAR244 VAR260 ( .VAR65(VAR156), .VAR86(VAR92), .VAR292(VAR40) ); VAR152 VAR266 ( .VAR65(VAR36), .VAR86(VAR253[8]), .VAR292(VAR75) ); VAR152 VAR268 ( .VAR65(VAR123), .VAR86(VAR253[9]), .VAR292(VAR117) ); VAR256 VAR197 ( .VAR215(VAR11[11]), .VAR86(VAR156), .VAR292(VAR261) ); VAR81 VAR218 ( .VAR65(VAR269), .VAR86(VAR11[6]), .VAR292(VAR165) ); VAR181 VAR264 ( .VAR65(VAR291), .VAR86(VAR188), .VAR292(VAR14) ); VAR205 VAR72 ( .VAR65(VAR63), .VAR86(VAR11[5]), .VAR292(VAR31) ); VAR244 VAR233 ( .VAR65(VAR281), .VAR86(VAR92), .VAR292(VAR63) ); VAR227 VAR162 ( .VAR215(VAR11[9]), .VAR86(VAR216), .VAR292(VAR5) ); VAR205 VAR130 ( .VAR65(VAR17), .VAR86(VAR11[3]), .VAR292(VAR64) ); VAR244 VAR141 ( .VAR65(VAR58), .VAR86(VAR92), .VAR292(VAR17) ); VAR10 VAR172 ( .VAR65(VAR92), .VAR292(VAR188) ); VAR152 VAR176 ( .VAR65(VAR26), .VAR86(VAR209), .VAR292(VAR200) ); VAR10 VAR1 ( .VAR65(VAR209), .VAR292(VAR92) ); VAR259 VAR24 ( .VAR65(VAR154), .VAR86(VAR253[7]), .VAR292(VAR257) ); VAR135 VAR9 ( .VAR61(VAR103), .VAR102(VAR288), .VAR189(VAR75), .VAR292(VAR273) ); VAR137 VAR199 ( .VAR65(VAR11[0]), .VAR86(VAR253[0]), .VAR231(VAR153), .VAR198(VAR18[0]) ); VAR94 VAR210 ( .VAR65(VAR73), .VAR86(VAR11[12]), .VAR292(VAR44) ); VAR152 VAR23 ( .VAR65(VAR154), .VAR86(VAR253[7]), .VAR292(VAR192) ); VAR152 VAR300 ( .VAR65(VAR59), .VAR86(VAR253[10]), .VAR292(VAR236) ); VAR278 VAR3 ( .VAR65(VAR59), .VAR86(VAR253[10]), .VAR292(VAR8) ); VAR152 VAR69 ( .VAR65(VAR5), .VAR86(VAR188), .VAR292(VAR49) ); VAR213 VAR55 ( .VAR65(VAR2), .VAR86(VAR11[4]), .VAR292(VAR281) ); VAR152 VAR169 ( .VAR65(VAR2), .VAR86(VAR188), .VAR292(VAR275) ); VAR152 VAR145 ( .VAR65(VAR229), .VAR86(VAR138), .VAR292(VAR171) ); VAR90 VAR219 ( .VAR65(VAR237), .VAR86(VAR253[14]), .VAR292(VAR255) ); VAR81 VAR297 ( .VAR65(VAR206), .VAR86(VAR11[14]), .VAR292(VAR237) ); VAR43 VAR254 ( .VAR61(VAR103), .VAR102(VAR166), .VAR189(VAR53), .VAR292(VAR118) ); VAR259 VAR299 ( .VAR65(VAR143), .VAR86(VAR253[13]), .VAR292(VAR265) ); VAR164 VAR74 ( .VAR65(VAR192), .VAR292(VAR34) ); VAR90 VAR7 ( .VAR65(VAR165), .VAR86(VAR253[6]), .VAR292(VAR180) ); VAR223 VAR119 ( .VAR65(VAR31), .VAR86(VAR253[5]), .VAR292(VAR140) ); VAR81 VAR289 ( .VAR65(VAR298), .VAR86(VAR11[7]), .VAR292(VAR154) ); VAR213 VAR224 ( .VAR65(VAR5), .VAR86(VAR11[10]), .VAR292(VAR156) ); VAR152 VAR178 ( .VAR65(VAR296), .VAR86(VAR188), .VAR292(VAR269) ); VAR227 VAR35 ( .VAR215(VAR11[5]), .VAR86(VAR281), .VAR292(VAR296) ); VAR164 VAR280 ( .VAR65(VAR48), .VAR292(VAR148) ); VAR81 VAR190 ( .VAR65(VAR51), .VAR86(VAR11[15]), .VAR292(VAR52) ); VAR164 VAR105 ( .VAR65(VAR236), .VAR292(VAR122) ); VAR227 VAR155 ( .VAR215(VAR11[13]), .VAR86(VAR132), .VAR292(VAR88) ); VAR81 VAR106 ( .VAR65(VAR49), .VAR86(VAR11[10]), .VAR292(VAR59) ); VAR152 VAR111 ( .VAR65(VAR237), .VAR86(VAR253[14]), .VAR292(VAR138) ); VAR152 VAR276 ( .VAR65(VAR52), .VAR86(VAR253[15]), .VAR292(VAR93) ); VAR259 VAR221 ( .VAR65(VAR52), .VAR86(VAR253[15]), .VAR292(VAR136) ); VAR152 VAR121 ( .VAR65(VAR143), .VAR86(VAR253[13]), .VAR292(VAR48) ); VAR286 VAR30 ( .VAR65(VAR253[6]), .VAR86(VAR146), .VAR292(VAR194) ); VAR81 VAR203 ( .VAR65(VAR163), .VAR86(VAR11[1]), .VAR292(VAR126) ); VAR152 VAR80 ( .VAR65(VAR11[0]), .VAR86(VAR209), .VAR292(VAR163) ); VAR247 VAR22 ( .VAR184(VAR115), .VAR15(VAR136), .VAR189(VAR93), .VAR292(VAR18[16]) ); VAR152 VAR100 ( .VAR65(VAR136), .VAR86(VAR93), .VAR292(VAR57) ); VAR10 VAR161 ( .VAR65(VAR103), .VAR292(VAR228) ); VAR43 VAR270 ( .VAR61(VAR296), .VAR102(VAR11[6]), .VAR189(VAR188), .VAR292(VAR298) ); VAR286 VAR87 ( .VAR65(VAR165), .VAR86(VAR194), .VAR292(VAR18[6]) ); VAR267 VAR77 ( .VAR65(VAR291), .VAR86(VAR11[8]), .VAR292(VAR216) ); VAR205 VAR19 ( .VAR65(VAR16), .VAR86(VAR11[13]), .VAR292(VAR143) ); VAR279 VAR271 ( .VAR215(VAR11[3]), .VAR86(VAR58), .VAR292(VAR2) ); VAR240 VAR98 ( .VAR61(VAR255), .VAR102(VAR196), .VAR189(VAR138), .VAR292(VAR115) ); VAR242 VAR185 ( .VAR215(VAR11[6]), .VAR86(VAR58), .VAR38(VAR238), .VAR109(VAR131), .VAR292(VAR291) ); VAR116 VAR60 ( .VAR65(VAR26), .VAR86(VAR11[2]), .VAR292(VAR58) ); VAR177 VAR28 ( .VAR65(VAR11[7]), .VAR86(VAR11[3]), .VAR38(VAR11[5]), .VAR292(VAR238) ); VAR212 VAR82 ( .VAR65(VAR11[0]), .VAR86(VAR11[1]), .VAR292(VAR26) ); VAR213 VAR144 ( .VAR65(VAR123), .VAR86(VAR253[9]), .VAR292(VAR79) ); VAR90 VAR243 ( .VAR65(VAR79), .VAR86(VAR288), .VAR292(VAR96) ); VAR213 VAR32 ( .VAR65(VAR36), .VAR86(VAR253[8]), .VAR292(VAR288) ); VAR213 VAR245 ( .VAR65(VAR129), .VAR86(VAR253[11]), .VAR292(VAR250) ); VAR152 VAR179 ( .VAR65(VAR129), .VAR86(VAR253[11]), .VAR292(VAR239) ); VAR112 VAR222 ( .VAR65(VAR68), .VAR86(VAR253[4]), .VAR292(VAR27) ); VAR20 VAR151 ( .VAR65(VAR68), .VAR86(VAR253[4]), .VAR292(VAR246) ); VAR164 VAR207 ( .VAR65(VAR282), .VAR292(VAR84) ); VAR20 VAR85 ( .VAR65(VAR31), .VAR86(VAR253[5]), .VAR292(VAR46) ); VAR81 VAR47 ( .VAR65(VAR200), .VAR86(VAR11[2]), .VAR292(VAR211) ); VAR43 VAR193 ( .VAR61(VAR250), .VAR102(VAR191), .VAR189(VAR239), .VAR292(VAR208) ); VAR244 VAR263 ( .VAR65(VAR250), .VAR86(VAR272), .VAR292(VAR114) ); VAR204 VAR127 ( .VAR65(VAR257), .VAR86(VAR192), .VAR292(VAR150) ); VAR94 VAR186 ( .VAR65(VAR228), .VAR86(VAR160), .VAR292(VAR18[8]) ); VAR204 VAR283 ( .VAR65(VAR62), .VAR86(VAR75), .VAR292(VAR160) ); VAR164 VAR285 ( .VAR65(VAR288), .VAR292(VAR62) ); VAR204 VAR252 ( .VAR65(VAR21), .VAR86(VAR117), .VAR292(VAR241) ); VAR164 VAR183 ( .VAR65(VAR79), .VAR292(VAR21) ); VAR204 VAR147 ( .VAR65(VAR8), .VAR86(VAR236), .VAR292(VAR232) ); VAR164 VAR173 ( .VAR65(VAR277), .VAR292(VAR53) ); VAR204 VAR133 ( .VAR65(VAR97), .VAR86(VAR239), .VAR292(VAR175) ); VAR164 VAR301 ( .VAR65(VAR250), .VAR292(VAR97) ); VAR204 VAR157 ( .VAR65(VAR265), .VAR86(VAR48), .VAR292(VAR290) ); VAR164 VAR167 ( .VAR65(VAR255), .VAR292(VAR229) ); VAR94 VAR139 ( .VAR65(VAR118), .VAR86(VAR232), .VAR292(VAR18[10]) ); VAR94 VAR91 ( .VAR65(VAR142), .VAR86(VAR175), .VAR292(VAR18[11]) ); VAR94 VAR54 ( .VAR65(VAR273), .VAR86(VAR241), .VAR292(VAR18[9]) ); VAR295 VAR217 ( .VAR65(VAR253[3]), .VAR86(VAR64), .VAR182(VAR159), .VAR33(VAR282) ); VAR135 VAR76 ( .VAR61(VAR103), .VAR102(VAR272), .VAR189(VAR191), .VAR292(VAR142) ); VAR113 VAR89 ( .VAR65(VAR275), .VAR86(VAR11[4]), .VAR292(VAR68) ); VAR113 VAR230 ( .VAR65(VAR14), .VAR86(VAR11[8]), .VAR292(VAR36) ); VAR70 VAR67 ( .VAR61(VAR246), .VAR102(VAR84), .VAR189(VAR27), .VAR292(VAR251) ); VAR204 VAR13 ( .VAR65(VAR126), .VAR86(VAR253[1]), .VAR292(VAR37) ); VAR164 VAR234 ( .VAR65(VAR11[4]), .VAR292(VAR131) ); VAR125 VAR104 ( .VAR65(VAR40), .VAR86(VAR11[11]), .VAR292(VAR129) ); VAR244 VAR110 ( .VAR65(VAR216), .VAR86(VAR92), .VAR292(VAR101) ); VAR125 VAR108 ( .VAR65(VAR101), .VAR86(VAR11[9]), .VAR292(VAR123) ); VAR258 VAR226 ( .VAR287(VAR37), .VAR86(VAR211), .VAR65(VAR253[2]), .VAR231(VAR159) ); VAR99 VAR158 ( .VAR61(VAR46), .VAR102(VAR251), .VAR189(VAR140), .VAR292(VAR149) ); VAR240 VAR66 ( .VAR61(VAR180), .VAR102(VAR149), .VAR189(VAR248), .VAR292(VAR83) ); VAR99 VAR168 ( .VAR61(VAR83), .VAR102(VAR257), .VAR189(VAR34), .VAR292(VAR103) ); VAR240 VAR39 ( .VAR61(VAR79), .VAR102(VAR75), .VAR189(VAR117), .VAR292(VAR277) ); VAR99 VAR128 ( .VAR61(VAR8), .VAR102(VAR277), .VAR189(VAR122), .VAR292(VAR191) ); VAR99 VAR214 ( .VAR61(VAR114), .VAR102(VAR228), .VAR189(VAR208), .VAR292(VAR50) ); VAR220 VAR25 ( .VAR287(VAR50), .VAR86(VAR44), .VAR65(VAR253[12]), .VAR198(VAR18[12]), .VAR231(VAR56) ); VAR99 VAR134 ( .VAR61(VAR265), .VAR102(VAR56), .VAR189(VAR148), .VAR292(VAR196) ); VAR286 VAR4 ( .VAR65(VAR171), .VAR86(VAR196), .VAR292(VAR18[14]) ); VAR94 VAR187 ( .VAR65(VAR290), .VAR86(VAR56), .VAR292(VAR18[13]) ); VAR94 VAR262 ( .VAR65(VAR83), .VAR86(VAR150), .VAR292(VAR18[7]) ); VAR235 VAR107 ( .VAR65(VAR253[3]), .VAR86(VAR64), .VAR38(VAR293), .VAR231(VAR120), .VAR198(VAR18[3]) ); VAR235 VAR284 ( .VAR65(VAR253[2]), .VAR86(VAR211), .VAR38(VAR6), .VAR231(VAR293), .VAR198(VAR18[2]) ); VAR235 VAR124 ( .VAR65(VAR253[1]), .VAR86(VAR126), .VAR38(VAR153), .VAR231(VAR6), .VAR198(VAR18[1]) ); VAR235 VAR249 ( .VAR65(VAR253[4]), .VAR86(VAR68), .VAR38(VAR120), .VAR231(VAR71), .VAR198(VAR18[4]) ); VAR235 VAR274 ( .VAR65(VAR253[5]), .VAR86(VAR31), .VAR38(VAR71), .VAR231(VAR146), .VAR198(VAR18[5]) ); VAR195 ("VAR95.VAR42"); endmodule
apache-2.0
yunqu/PYNQ
boards/ip/interface_slice_1.0/interface_slice.v
2,318
module MODULE1( VAR44, VAR23, VAR4, VAR28, VAR48, VAR1, VAR21, VAR33, VAR47, VAR37, VAR11, VAR6, VAR9, VAR15, VAR43, VAR32, VAR5, VAR24, VAR20, VAR22, VAR16, VAR39, VAR27, VAR13, VAR31, VAR8, VAR35, VAR2, VAR29, VAR46, VAR25, VAR14, VAR30, VAR36, VAR17, VAR38, VAR34, VAR18, VAR3, VAR7, VAR10, VAR12 ); parameter VAR41 = 1; parameter VAR42 = 1; input [VAR42-1:0] VAR44; input[VAR42-1:0] VAR23; input[VAR42-1:0] VAR4; output reg [VAR42-1:0] VAR28; output reg [VAR42-1:0] VAR48; output reg [VAR42-1:0] VAR1; input VAR21; input VAR33; input VAR47; input VAR37; input VAR11; input VAR6; output reg VAR9; output reg VAR15; output reg VAR43; output reg VAR32; output reg VAR5; output reg VAR24; input VAR20; input VAR22; input VAR16; input VAR39; input VAR27; input VAR13; input VAR31; input VAR8; input VAR35; input VAR2; input VAR29; input VAR46; output reg VAR25; output reg VAR14; output reg VAR30; output reg VAR36; output reg VAR17; output reg VAR38; output reg VAR34; output reg VAR18; output reg VAR3; output reg VAR7; output reg VAR10; output reg VAR12; genvar VAR19; generate case(VAR41) 1: begin: VAR26 for (VAR19=0; VAR19 < VAR42; VAR19=VAR19+1) begin: VAR40 always@ begin VAR9 <= VAR21; VAR15 <= VAR33; VAR43 <= VAR47; VAR32 <= VAR37; VAR5 <= VAR11; VAR24 <= VAR6; end end 3: begin: VAR45 always@(*) begin VAR25 <= VAR20; VAR14 <= VAR22; VAR30 <= VAR16; VAR36 <= VAR39; VAR17 <= VAR27; VAR38 <= VAR13; VAR34 <= VAR31; VAR18 <= VAR8; VAR3 <= VAR35; VAR7 <= VAR2; VAR10 <= VAR29; VAR12 <= VAR46; end end endcase endgenerate endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a22o/sky130_fd_sc_lp__a22o_1.v
2,339
module MODULE1 ( VAR4 , VAR8 , VAR5 , VAR10 , VAR1 , VAR6, VAR2, VAR7 , VAR9 ); output VAR4 ; input VAR8 ; input VAR5 ; input VAR10 ; input VAR1 ; input VAR6; input VAR2; input VAR7 ; input VAR9 ; VAR11 VAR3 ( .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5), .VAR10(VAR10), .VAR1(VAR1), .VAR6(VAR6), .VAR2(VAR2), .VAR7(VAR7), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR4 , VAR8, VAR5, VAR10, VAR1 ); output VAR4 ; input VAR8; input VAR5; input VAR10; input VAR1; supply1 VAR6; supply0 VAR2; supply1 VAR7 ; supply0 VAR9 ; VAR11 VAR3 ( .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5), .VAR10(VAR10), .VAR1(VAR1) ); endmodule
apache-2.0
ECE492W2014G4/G4Capstone
DM9000A_IF.v
1,843
module MODULE1( VAR2, VAR11, VAR6, VAR14, VAR3, VAR8, VAR12, VAR5, VAR10, VAR7, VAR15, VAR4, VAR16, VAR1, VAR17, VAR9, VAR18 ); input [15:0] VAR2; input VAR6; input VAR14; input VAR3; input VAR8; input VAR12; input VAR5; output [15:0] VAR11; output VAR10; inout [15:0] VAR7; output VAR15; output VAR4; output VAR16; output VAR1; output VAR17; output VAR18; input VAR9; assign VAR7 = VAR3 ? 16'VAR13 : VAR2; assign VAR11 = VAR14 ? 16'VAR13 : VAR7; assign VAR17 = VAR12; assign VAR10 = VAR9; assign VAR15 = VAR6; assign VAR1 = VAR8; assign VAR4 = VAR14; assign VAR16 = VAR3; assign VAR18 = VAR5; endmodule
gpl-3.0
kkiningh/cs231n-project
src/rtl/SystolicDataSetupRow.v
1,167
module MODULE1 #( parameter VAR1 = 8, parameter VAR7 = 256, parameter VAR4 = 2*VAR7-1 ) ( input VAR5, input reset, input [VAR1-1:0] VAR3 [0:VAR7-1][0:VAR7-1], output [VAR1-1:0] VAR2[0:VAR7-1][0:VAR4-1] ); integer VAR8; integer VAR6; always @ (posedge VAR5 or posedge reset) begin if (reset) begin for( VAR8 = 0; VAR8 < VAR7; VAR8++ ) for (VAR6=0;VAR6< VAR4;VAR6++) VAR2[VAR8][VAR6] = 8'h00; end else begin for( VAR8 = 0; VAR8 < VAR7; VAR8++ ) begin for( VAR6 = 0; VAR6 < VAR4; VAR6++ ) begin if (VAR6<VAR8) begin VAR2[VAR8][VAR6]=8'h00; end else if (VAR6 > (VAR8+VAR7-1)) begin VAR2[VAR8][VAR6]=8'h00; end else begin VAR2[VAR8][VAR6] = VAR3[VAR8][VAR8+VAR6]; end end end end end endmodule
mit
lokisz/openzcore
pippo-0.9/rtl/verilog/pippo_wbmux.v
1,897
module MODULE1( clk, rst, VAR1, VAR2, VAR8, VAR7, VAR6, VAR11, VAR4, VAR3 ); parameter VAR10 = VAR9; input clk; input rst; input VAR1; input [VAR10-1:0] VAR8; input [VAR10-1:0] VAR7; input [VAR10-1:0] VAR6; input [VAR10-1:0] VAR11; input [VAR12-1:0] VAR2; output [VAR10-1:0] VAR4; output [VAR10-1:0] VAR3; reg [VAR10-1:0] VAR4; reg [VAR10-1:0] VAR3; always @(VAR8 or VAR7 or VAR6 or VAR11 or VAR2) begin end case(VAR2[VAR12-1:2]) else case(VAR2[VAR12-1:2]) VAR5 2'b00: begin VAR4 = VAR8; end 2'b01: begin VAR4 = VAR7; end 2'b10: begin VAR4 = VAR6; end 2'b11: begin VAR4 = VAR11; end endcase end always @(posedge clk or posedge rst) begin if (rst) begin VAR3 <= 32'd0; end else if (!VAR1) begin VAR3 <= VAR4; end end endmodule
gpl-2.0
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_auto_us_1/synth/system_auto_us_1.v
10,766
module MODULE1 ( VAR39, VAR36, VAR76, VAR41, VAR68, VAR58, VAR70, VAR6, VAR30, VAR9, VAR43, VAR2, VAR71, VAR94, VAR84, VAR49, VAR38, VAR65, VAR77, VAR90, VAR63, VAR37, VAR48, VAR85, VAR22, VAR87, VAR31, VAR54, VAR4, VAR88, VAR29, VAR10, VAR62, VAR21, VAR60, VAR100, VAR97, VAR86, VAR80, VAR82 ); input wire VAR39; input wire VAR36; input wire [31 : 0] VAR76; input wire [7 : 0] VAR41; input wire [2 : 0] VAR68; input wire [1 : 0] VAR58; input wire [0 : 0] VAR70; input wire [3 : 0] VAR6; input wire [2 : 0] VAR30; input wire [3 : 0] VAR9; input wire [3 : 0] VAR43; input wire VAR2; output wire VAR71; input wire [31 : 0] VAR94; input wire [3 : 0] VAR84; input wire VAR49; input wire VAR38; output wire VAR65; output wire [1 : 0] VAR77; output wire VAR90; input wire VAR63; output wire [31 : 0] VAR37; output wire [7 : 0] VAR48; output wire [2 : 0] VAR85; output wire [1 : 0] VAR22; output wire [0 : 0] VAR87; output wire [3 : 0] VAR31; output wire [2 : 0] VAR54; output wire [3 : 0] VAR4; output wire [3 : 0] VAR88; output wire VAR29; input wire VAR10; output wire [63 : 0] VAR62; output wire [7 : 0] VAR21; output wire VAR60; output wire VAR100; input wire VAR97; input wire [1 : 0] VAR86; input wire VAR80; output wire VAR82; VAR72 #( .VAR78("VAR34"), .VAR61(0), .VAR93(1), .VAR20(0), .VAR7(32), .VAR33(32), .VAR18(64), .VAR74(1), .VAR89(0), .VAR35(0), .VAR59(1), .VAR14(2), .VAR40(0), .VAR52(16), .VAR99(1), .VAR102(3) ) VAR24 ( .VAR39(VAR39), .VAR36(VAR36), .VAR12(1'VAR98), .VAR76(VAR76), .VAR41(VAR41), .VAR68(VAR68), .VAR58(VAR58), .VAR70(VAR70), .VAR6(VAR6), .VAR30(VAR30), .VAR9(VAR9), .VAR43(VAR43), .VAR2(VAR2), .VAR71(VAR71), .VAR94(VAR94), .VAR84(VAR84), .VAR49(VAR49), .VAR38(VAR38), .VAR65(VAR65), .VAR69(), .VAR77(VAR77), .VAR90(VAR90), .VAR63(VAR63), .VAR91(1'VAR98), .VAR46(32'VAR25), .VAR57(8'VAR73), .VAR95(3'VAR98), .VAR92(2'VAR98), .VAR96(1'VAR98), .VAR17(4'VAR98), .VAR15(3'VAR98), .VAR32(4'VAR98), .VAR13(4'VAR98), .VAR26(1'VAR98), .VAR66(), .VAR5(), .VAR16(), .VAR83(), .VAR19(), .VAR28(), .VAR67(1'VAR98), .VAR45(1'VAR98), .VAR53(1'VAR98), .VAR37(VAR37), .VAR48(VAR48), .VAR85(VAR85), .VAR22(VAR22), .VAR87(VAR87), .VAR31(VAR31), .VAR54(VAR54), .VAR4(VAR4), .VAR88(VAR88), .VAR29(VAR29), .VAR10(VAR10), .VAR62(VAR62), .VAR21(VAR21), .VAR60(VAR60), .VAR100(VAR100), .VAR97(VAR97), .VAR86(VAR86), .VAR80(VAR80), .VAR82(VAR82), .VAR3(), .VAR56(), .VAR23(), .VAR79(), .VAR1(), .VAR81(), .VAR55(), .VAR11(), .VAR75(), .VAR42(), .VAR51(1'VAR98), .VAR50(64'VAR101), .VAR44(2'VAR98), .VAR27(1'VAR8), .VAR47(1'VAR98), .VAR64() ); endmodule
mit
olgirard/opengfx430
core/rtl/verilog/ogfx_backend_lut_fifo.v
21,644
module MODULE1 ( VAR7, VAR39, VAR68, VAR69, VAR48, VAR2 VAR73, VAR64, VAR13, VAR62, VAR40, VAR8, VAR65, VAR2 VAR35, VAR19, VAR42, VAR16, VAR31, VAR25, VAR21 ); output VAR7; output [15:0] VAR39; output VAR68; output [VAR37:0] VAR69; output VAR48; VAR2 input VAR73; input VAR64; input [15:0] VAR13; input VAR62; input [2:0] VAR40; input [15:0] VAR8; input VAR65; VAR2 input VAR35; input VAR19; input [2:0] VAR42; input [3:0] VAR16; input [3:0] VAR31; input VAR25; input VAR21; reg [1:0] VAR52; reg [1:0] VAR58; parameter VAR36 = 2'd0, VAR60 = 2'd1, VAR49 = 2'd2, VAR26 = 2'd3; parameter VAR61 = 3'h0, VAR22 = 3'h5; wire VAR18 = (VAR40 == 3'b000); wire VAR1 = (VAR40 == 3'b001); wire VAR70 = (VAR40 == 3'b010); wire VAR28 = (VAR40 == 3'b011); wire VAR67 = ~(VAR28 | VAR70 | VAR1 | VAR18); reg [2:0] VAR24; wire [2:0] VAR46; parameter [3:0] VAR50 = 4'h0, VAR12 = 4'h1, VAR55 = 4'h2, VAR29 = 4'h3, VAR66 = 4'h4, VAR10 = 4'h5, VAR43 = 4'h6, VAR32 = 4'h7, VAR56 = 4'h8, VAR47 = 4'h9, VAR41 = 4'hA, VAR9 = 4'hB, VAR57 = 4'hC, VAR14 = 4'hD, VAR30 = 4'hE, VAR23 = 4'hF; wire VAR33 = (VAR42==3'h0); wire VAR15 = (VAR42==3'h1); wire VAR27 = (VAR42==3'h2); wire VAR6 = (VAR42==3'h3); wire VAR45 = (VAR42==3'h4); wire VAR4 = (VAR42==3'h5) | (VAR42==3'h6) | (VAR42==3'h7); wire [3:0] VAR72 = ({4{VAR18 & (VAR13[0] ==1'b0 )}} & VAR16 ) | ({4{VAR18 & (VAR13[0] ==1'b1 )}} & VAR31 ) ; wire [3:0] VAR34 = ({4{VAR1 & VAR15 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR15 & (VAR13[1:0]==2'b01)}} & VAR55 ) | ({4{VAR1 & VAR15 & (VAR13[1:0]==2'b10)}} & VAR66 ) | ({4{VAR1 & VAR15 & (VAR13[1:0]==2'b11)}} & VAR43 ) | ({4{VAR1 & VAR33 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR33 & (VAR13[1:0]==2'b01)}} & VAR41 ) | ({4{VAR1 & VAR33 & (VAR13[1:0]==2'b10)}} & VAR57 ) | ({4{VAR1 & VAR33 & (VAR13[1:0]==2'b11)}} & VAR30 ) | ({4{VAR1 & VAR6 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR6 & (VAR13[1:0]==2'b01)}} & VAR29 ) | ({4{VAR1 & VAR6 & (VAR13[1:0]==2'b10)}} & VAR10 ) | ({4{VAR1 & VAR6 & (VAR13[1:0]==2'b11)}} & VAR32 ) | ({4{VAR1 & VAR27 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR27 & (VAR13[1:0]==2'b01)}} & VAR9 ) | ({4{VAR1 & VAR27 & (VAR13[1:0]==2'b10)}} & VAR14) | ({4{VAR1 & VAR27 & (VAR13[1:0]==2'b11)}} & VAR23 ) | ({4{VAR1 & VAR4 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR4 & (VAR13[1:0]==2'b01)}} & VAR29 ) | ({4{VAR1 & VAR4 & (VAR13[1:0]==2'b10)}} & VAR66 ) | ({4{VAR1 & VAR4 & (VAR13[1:0]==2'b11)}} & VAR32 ) | ({4{VAR1 & VAR45 & (VAR13[1:0]==2'b00)}} & VAR16 ) | ({4{VAR1 & VAR45 & (VAR13[1:0]==2'b01)}} & VAR9 ) | ({4{VAR1 & VAR45 & (VAR13[1:0]==2'b10)}} & VAR57 ) | ({4{VAR1 & VAR45 & (VAR13[1:0]==2'b11)}} & VAR23 ) ; wire [3:0] VAR54 = ({4{VAR70}} & VAR13[3:0]); wire [3:0] VAR17 = VAR54 | VAR34 | VAR72; reg [15:0] VAR44; always @(VAR17) case(VAR17) VAR50 : VAR44 = {5'b00000, 6'b000000, 5'b00000}; VAR12 : VAR44 = {5'b00000, 6'b000000, 5'b10101}; VAR55 : VAR44 = {5'b00000, 6'b101011, 5'b00000}; VAR29 : VAR44 = {5'b00000, 6'b101011, 5'b10101}; VAR66 : VAR44 = {5'b10101, 6'b000000, 5'b00000}; VAR10 : VAR44 = {5'b10101, 6'b000000, 5'b10101}; VAR43 : VAR44 = {5'b10101, 6'b010101, 5'b00000}; VAR32 : VAR44 = {5'b10101, 6'b101011, 5'b10101}; VAR56 : VAR44 = {5'b01011, 6'b010101, 5'b01011}; VAR47 : VAR44 = {5'b01011, 6'b010101, 5'b11111}; VAR41 : VAR44 = {5'b01011, 6'b111111, 5'b01011}; VAR9 : VAR44 = {5'b01011, 6'b111111, 5'b11111}; VAR57 : VAR44 = {5'b11111, 6'b010101, 5'b01011}; VAR14 : VAR44 = {5'b11111, 6'b010101, 5'b11111}; VAR30 : VAR44 = {5'b11111, 6'b111111, 5'b01011}; VAR23 : VAR44 = {5'b11111, 6'b111111, 5'b11111}; default : VAR44 = 16'h0000; endcase wire [15:0] VAR5 = {VAR13[7],VAR13[6],VAR13[5],VAR13[5],VAR13[5], VAR13[4],VAR13[3],VAR13[2],VAR13[2],VAR13[2],VAR13[2], VAR13[1],VAR13[0],VAR13[0],VAR13[0],VAR13[0]}; wire [15:0] VAR3 = (VAR44 & {16{VAR18 | VAR1 | VAR70}}) | (VAR5 & {16{VAR28}}); wire VAR71 = ~VAR67 & ~VAR25; wire VAR11 = ~VAR67 & VAR25; always @(VAR52 or VAR35 or VAR62 or VAR11 or VAR65 or VAR46) case(VAR52) VAR36 : VAR58 = ~VAR35 ? VAR36 : VAR60 ; VAR60 : VAR58 = ~VAR35 ? VAR36 : ~VAR62 ? VAR60 : VAR11 ? VAR49 : VAR26 ; VAR49 : VAR58 = ~VAR35 ? VAR36 : VAR65 ? VAR26 : VAR49 ; VAR26 : VAR58 = ~VAR35 ? VAR36 : (VAR46!=VAR22) ? VAR60 : VAR26 ; default : VAR58 = VAR36; endcase always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR52 <= VAR36; else VAR52 <= VAR58; assign VAR7 = (VAR52 == VAR60); assign VAR48 = ~(VAR52 == VAR49); reg VAR38; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR38 <= 1'b0; else if (~VAR35) VAR38 <= VAR21; assign VAR69 = {VAR38, VAR13[7:0]} & {9{~VAR48}}; assign VAR69 = VAR13[7:0] & {8{~VAR48}}; reg VAR63; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR63 <= 1'b0; else VAR63 <= VAR11 ? VAR65 : (VAR62 & (VAR52 == VAR60)); else VAR63 <= (VAR62 & (VAR52 == VAR60)); wire VAR51 = VAR63 & (VAR24 != VAR22); wire VAR59 = VAR19 & (VAR24 != VAR61); assign VAR46 = ~VAR35 ? VAR61 : (VAR51 & VAR59) ? VAR24 : VAR51 ? VAR24 + 3'h1 : VAR59 ? VAR24 - 3'h1 : VAR24; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR24 <= VAR61; else VAR24 <= VAR46; reg [2:0] VAR20; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR20 <= 3'h0; else if (~VAR35) VAR20 <= 3'h0; else if (VAR51) begin if (VAR20==(VAR22-1)) VAR20 <= 3'h0; end else VAR20 <= VAR20 + 3'h1; end reg [15:0] VAR53 [0:4]; always @(posedge VAR73 or posedge VAR64) if (VAR64) begin VAR53[0] <= 16'h0000; VAR53[1] <= 16'h0000; VAR53[2] <= 16'h0000; VAR53[3] <= 16'h0000; VAR53[4] <= 16'h0000; end else if (VAR51) begin VAR53[VAR20] <= VAR71 ? VAR3 : VAR11 ? VAR8 : VAR13; end reg [2:0] VAR74; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR74 <= 3'h0; else if (~VAR35) VAR74 <= 3'h0; else if (VAR59) begin if (VAR74==(VAR22-1)) VAR74 <= 3'h0; end else VAR74 <= VAR74 + 3'h1; end reg VAR68; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR68 <= 1'h0; else if (~VAR35) VAR68 <= 1'h0; else VAR68 <= VAR59; reg [15:0] VAR39; always @(posedge VAR73 or posedge VAR64) if (VAR64) VAR39 <= 16'h0000; else if (VAR59) VAR39 <= VAR53[VAR74]; endmodule
bsd-3-clause
Jawanga/ece385lab9
lab9_soc/synthesis/submodules/lab9_soc_onchip_memory2_0.v
3,067
module MODULE1 ( address, VAR16, VAR9, clk, VAR32, reset, VAR12, write, VAR27, VAR14 ) ; parameter VAR11 = "MODULE1.VAR31"; output [ 31: 0] VAR14; input [ 1: 0] address; input [ 3: 0] VAR16; input VAR9; input clk; input VAR32; input reset; input VAR12; input write; input [ 31: 0] VAR27; wire VAR2; wire [ 31: 0] VAR14; wire VAR1; assign VAR1 = VAR9 & write; assign VAR2 = VAR32 & ~VAR12; VAR4 VAR24 ( .VAR34 (address), .VAR30 (VAR16), .VAR3 (clk), .VAR2 (VAR2), .VAR19 (VAR27), .VAR20 (VAR14), .VAR5 (VAR1) ); VAR24.VAR21 = VAR11, VAR24.VAR18 = "VAR4", VAR24.VAR6 = 4, VAR24.VAR17 = 4, VAR24.VAR10 = "VAR25", VAR24.VAR13 = "VAR22", VAR24.VAR15 = "VAR23", VAR24.VAR7 = "VAR28", VAR24.VAR29 = 32, VAR24.VAR8 = 4, VAR24.VAR33 = 2; endmodule
apache-2.0
alankarkotwal/lca-processor
pipeline/forward_Ex_stage.v
8,207
module MODULE1(VAR6,VAR27,VAR9,VAR5,VAR17,VAR8,VAR23,VAR16,VAR20,VAR1,VAR26, VAR11,VAR14,VAR24,VAR30,VAR15,VAR3); parameter VAR31 = 6'b000000; parameter VAR12 = 6'b001000; parameter VAR21 = 6'b000010; parameter VAR4 = 6'b000001; parameter VAR22 = 4'b0001; parameter VAR18 = 6'b001010; parameter VAR2 = 6'b001001; parameter VAR19 = 4'b0011; parameter VAR29 = 4'b0100; parameter VAR7 = 4'b0101; parameter VAR32 = 4'b0110; parameter VAR10 = 4'b0111; parameter VAR13 = 4'b1100; parameter VAR28 = 4'b1000; parameter VAR25 = 4'b1001; input [2:0] VAR27,VAR9,VAR5,VAR8,VAR23,VAR16,VAR1,VAR26,VAR11; input [5:0]VAR6,VAR17,VAR20; input VAR15,VAR3; output reg [2:0]VAR14,VAR24; output reg [1:0]VAR30; always @ begin if(VAR20==VAR31||VAR20==VAR12||VAR20==VAR21||VAR20==VAR4||VAR20==VAR18||VAR20==VAR2) begin if((VAR26==VAR16)&&(VAR17==VAR31||VAR17==VAR12||VAR17==VAR21||VAR17==VAR4 ||VAR17==VAR18||VAR17==VAR2)&&(VAR3==1'b0)) VAR24 = 3'b1; end else if((VAR26==VAR16)&&(VAR6==VAR31||VAR6==VAR12||VAR6==VAR21 ||VAR6==VAR4||VAR6==VAR18 ||VAR6==VAR2)&&(VAR15==1'b0)) VAR24 = 3'd2; end else if((VAR26==VAR8)&&(VAR17[5:2]==VAR19)) VAR24 = 3'd5; else if((VAR26==VAR27)&&(VAR6[5:2]==VAR19)) VAR24 = 3'd6; else if((VAR26 == VAR27)&&(VAR6[5:2] ==VAR29||VAR6[5:2] ==VAR32)) VAR24 = 3'd3; else if((VAR26 == VAR27)&&(VAR6[5:2] == VAR28)) VAR24 = 3'd7; else if((VAR26 == VAR23)&&(VAR17[5:2]==VAR22)&&(VAR3==1'b0)) VAR24 = 3'b1; else if((VAR26 == VAR23)&&(VAR6[5:2]==VAR22)&&(VAR15==1'b0)) VAR24 = 3'd2; else VAR24 = 3'd0; end else if(VAR20[5:2]==VAR29) begin if((VAR26 == VAR16)&&(VAR17==VAR31||VAR17==VAR12||VAR17==VAR21||VAR17==VAR4 ||VAR17==VAR18||VAR17==VAR2)&&(VAR3==1'b0)) end VAR24 = 3'b1; else if((VAR26 == VAR16)&&(VAR6==VAR31||VAR6==VAR12||VAR6==VAR21 ||VAR6==VAR4||VAR6==VAR18 ||VAR6==VAR2)&&(VAR15==1'b0)) VAR24 = 3'd2; else if((VAR26==VAR8)&&(VAR17==VAR19)) VAR24 = 3'd5; else if((VAR26==VAR27)&&(VAR6==VAR19)) VAR24 = 3'd6; else if((VAR26 == VAR27)&&(VAR6[5:2]==VAR29||VAR6[5:2]==VAR32)) VAR24 = 3'd3; else if((VAR26 == VAR27)&& (VAR6[5:2] ==VAR28)) VAR24 = 3'd7; else VAR24 = 3'b0; end else if(VAR20[5:2]==VAR7) begin if((VAR26 == VAR16)&&(VAR17==VAR31||VAR17==VAR12||VAR17==VAR21||VAR17==VAR4 ||VAR17==VAR18||VAR17==VAR2)&&(VAR3==1'b0)) end VAR24 = 3'b1; else if((VAR26 == VAR5)&&(VAR6==VAR31||VAR6==VAR12||VAR6==VAR21 ||VAR6==VAR4||VAR6==VAR18 ||VAR6==VAR2)&&(VAR15==1'b0)) VAR24 = 3'd2; else if((VAR26==VAR8)&&(VAR17==VAR19)) VAR24 = 3'd5; else if((VAR26==VAR27)&&(VAR6==VAR19)) VAR24 = 3'd6; else if((VAR26 == VAR27)&& (VAR6[5:2] ==VAR28)) VAR24 = 3'd7; else if((VAR26 == VAR27)&&(VAR6[5:2] ==VAR29||VAR6[5:2] ==VAR32)) VAR24 = 3'd3; else VAR24 = 3'd0; end else VAR24 = 3'b0; end always @(*) begin if(VAR20==VAR21||VAR20==VAR4||VAR20==VAR18||VAR20==VAR2) begin if((VAR17==VAR31||VAR17==VAR12||VAR17==VAR21||VAR17==VAR4||VAR17[5:2]==VAR22||VAR17==VAR18||VAR17==VAR2)&&(VAR3==1'b0)) VAR30 = 2'b1; end else if((VAR6==VAR31||VAR6==VAR12||VAR6==VAR21||VAR6==VAR4||VAR6[5:2]==VAR22||VAR6==VAR18||VAR6==VAR2)&&(VAR15==1'b0)) VAR30 = 2'd2; end else if((VAR20==VAR4||VAR20==VAR2)&&(VAR17==VAR29)&&(VAR3==1'b0)) VAR30 = 2'b1; else if((VAR20==VAR4||VAR20==VAR2)&&(VAR6==VAR29)&&(VAR15==1'b0)) VAR30 = 2'd2; else VAR30 = 2'b0; end else VAR30 = 2'b0; end endmodule
gpl-2.0