repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/and3/sky130_fd_sc_ms__and3_1.v
| 2,164 |
module MODULE2 (
VAR1 ,
VAR7 ,
VAR9 ,
VAR6 ,
VAR3,
VAR8,
VAR5 ,
VAR10
);
output VAR1 ;
input VAR7 ;
input VAR9 ;
input VAR6 ;
input VAR3;
input VAR8;
input VAR5 ;
input VAR10 ;
VAR2 VAR4 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR1,
VAR7,
VAR9,
VAR6
);
output VAR1;
input VAR7;
input VAR9;
input VAR6;
supply1 VAR3;
supply0 VAR8;
supply1 VAR5 ;
supply0 VAR10 ;
VAR2 VAR4 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
mbus/mbus
|
releases/mbus_example-v1.2/verilog/timer.v
| 2,692 |
module MODULE1
(
VAR5,
VAR1,
VAR6,
VAR10,
VAR4,
VAR9,
VAR3,
VAR11
);
input VAR5;
input VAR1;
input VAR6;
input [7:0] VAR4;
input VAR10;
input VAR9;
output reg [7:0] VAR3;
output reg VAR11;
reg [7:0] VAR8;
reg VAR2;
always @* begin
if (~VAR1) begin
VAR8 <= 8'h00;
end
else if (VAR6) begin
if (VAR3 == VAR4) begin
if (VAR10) begin
VAR8 <= 8'h00;
end
else begin
VAR8 <= VAR3;
end
end
else begin
VAR8 <= VAR3 +1;
end end else begin
VAR8 <= 8'h00;
end end
always @* begin
if (~VAR1) begin
VAR2 <= 1'b0;
end
else if (VAR9) begin
VAR2 <= 1'b0;
end
else if ((VAR8 == VAR4) && (VAR8 != VAR3)) begin
VAR2 <= 1'b1;
end
end
always @(posedge VAR5 or negedge VAR1) begin
if (~VAR1) begin
VAR3 <= VAR7 8'h00;
VAR11 <= VAR7 1'b0;
end
else begin
VAR3 <= VAR7 VAR8;
VAR11 <= VAR7 VAR2;
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfsbp/sky130_fd_sc_ls__sdfsbp.behavioral.v
| 2,922 |
module MODULE1 (
VAR1 ,
VAR30 ,
VAR33 ,
VAR8 ,
VAR12 ,
VAR6 ,
VAR29
);
output VAR1 ;
output VAR30 ;
input VAR33 ;
input VAR8 ;
input VAR12 ;
input VAR6 ;
input VAR29;
supply1 VAR13;
supply0 VAR24;
supply1 VAR22 ;
supply0 VAR14 ;
wire VAR7 ;
wire VAR19 ;
wire VAR25 ;
reg VAR21 ;
wire VAR15 ;
wire VAR9 ;
wire VAR11 ;
wire VAR18;
wire VAR32 ;
wire VAR4 ;
wire VAR31 ;
wire VAR3 ;
wire VAR28 ;
wire VAR20 ;
wire VAR2 ;
not VAR10 (VAR19 , VAR18 );
VAR23 VAR17 (VAR25, VAR15, VAR9, VAR11 );
VAR26 VAR5 (VAR7 , VAR25, VAR32, VAR19, VAR21, VAR13, VAR24);
assign VAR4 = ( VAR13 === 1'b1 );
assign VAR31 = ( ( VAR18 === 1'b1 ) && VAR4 );
assign VAR3 = ( ( VAR11 === 1'b0 ) && VAR31 );
assign VAR28 = ( ( VAR11 === 1'b1 ) && VAR31 );
assign VAR20 = ( ( VAR15 !== VAR9 ) && VAR31 );
assign VAR2 = ( ( VAR29 === 1'b1 ) && VAR4 );
buf VAR16 (VAR1 , VAR7 );
not VAR27 (VAR30 , VAR7 );
endmodule
|
apache-2.0
|
lab1-ufba/Genius
|
rom_botao_bb.v
| 5,058 |
module MODULE1 (
address,
VAR2,
VAR1);
input [6:0] address;
input VAR2;
output [20:0] VAR1;
tri1 VAR2;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2bb2a/sky130_fd_sc_ms__o2bb2a.functional.pp.v
| 2,171 |
module MODULE1 (
VAR8 ,
VAR10,
VAR9,
VAR17 ,
VAR12 ,
VAR2,
VAR6,
VAR15 ,
VAR3
);
output VAR8 ;
input VAR10;
input VAR9;
input VAR17 ;
input VAR12 ;
input VAR2;
input VAR6;
input VAR15 ;
input VAR3 ;
wire VAR11 ;
wire VAR7 ;
wire VAR4 ;
wire VAR13;
nand VAR19 (VAR11 , VAR9, VAR10 );
or VAR16 (VAR7 , VAR12, VAR17 );
and VAR18 (VAR4 , VAR11, VAR7 );
VAR1 VAR5 (VAR13, VAR4, VAR2, VAR6);
buf VAR14 (VAR8 , VAR13 );
endmodule
|
apache-2.0
|
SymbiFlow/sphinxcontrib-hdl-diagrams
|
docs/code/verilog/carry4-bits.v
| 1,266 |
module MODULE1(output [3:0] VAR9, VAR11, input VAR1, VAR3, input [3:0] VAR7, VAR15);
wire VAR5 = VAR1 | VAR3;
VAR16 VAR2 (.VAR11(VAR9[0]), .VAR1(VAR5), .VAR7(VAR7[0]), .VAR15(VAR15[0]));
VAR16 VAR13 (.VAR11(VAR9[1]), .VAR1(VAR9[0]), .VAR7(VAR7[1]), .VAR15(VAR15[1]));
VAR16 VAR12 (.VAR11(VAR9[2]), .VAR1(VAR9[1]), .VAR7(VAR7[2]), .VAR15(VAR15[2]));
VAR16 VAR6 (.VAR11(VAR9[3]), .VAR1(VAR9[2]), .VAR7(VAR7[3]), .VAR15(VAR15[3]));
VAR18 VAR14 (.VAR11(VAR11[0]), .VAR1(VAR5), .VAR4(VAR15[0]));
VAR18 VAR17 (.VAR11(VAR11[1]), .VAR1(VAR9[0]), .VAR4(VAR15[1]));
VAR18 VAR10 (.VAR11(VAR11[2]), .VAR1(VAR9[1]), .VAR4(VAR15[2]));
VAR18 VAR8 (.VAR11(VAR11[3]), .VAR1(VAR9[2]), .VAR4(VAR15[3]));
endmodule
|
apache-2.0
|
monotone-RK/FACE
|
IEICE-Trans/16-way_2-tree/src/ip_pcie/source/PCIeGen2x8If128_pcie_pipe_misc.v
| 8,531 |
module MODULE1 #
(
parameter VAR29 = 0, parameter VAR27 = 1 )
(
input wire VAR28 , input wire VAR24 , input wire VAR18 , input wire VAR11 , input wire [2:0] VAR31 , input wire VAR16 ,
output wire VAR23 , output wire VAR13 , output wire VAR19 , output wire VAR10 , output wire [2:0] VAR21 , output wire VAR9 ,
input wire VAR8 , input wire VAR1 );
generate
if (VAR29 == 0) begin : VAR3
assign VAR23 = VAR28;
assign VAR13 = VAR24;
assign VAR19 = VAR18;
assign VAR10 = VAR11;
assign VAR21 = VAR31;
assign VAR9 = VAR16;
end else if (VAR29 == 1) begin : VAR4
reg VAR7 ;
reg VAR6 ;
reg VAR5 ;
reg VAR15 ;
reg [2:0] VAR12 ;
reg VAR17 ;
always @(posedge VAR8) begin
if (VAR1)
begin
end
else
begin
end
end
assign VAR23 = VAR7;
assign VAR13 = VAR6;
assign VAR19 = VAR5;
assign VAR10 = VAR15;
assign VAR21 = VAR12;
assign VAR9 = VAR17;
end else if (VAR29 == 2) begin : VAR22
reg VAR7 ;
reg VAR6 ;
reg VAR5 ;
reg VAR15 ;
reg [2:0] VAR12 ;
reg VAR17 ;
reg VAR20 ;
reg VAR25 ;
reg VAR26 ;
reg VAR30 ;
reg [2:0] VAR2 ;
reg VAR14 ;
always @(posedge VAR8) begin
if (VAR1)
begin
end
else
begin
end
end
assign VAR23 = VAR20;
assign VAR13 = VAR25;
assign VAR19 = VAR26;
assign VAR10 = VAR30;
assign VAR21 = VAR2;
assign VAR9 = VAR14;
end
endgenerate
endmodule
|
mit
|
ridecore/ridecore
|
src/fpga/pipeline_if.v
| 2,647 |
module MODULE1
(
input wire clk,
input wire reset,
input wire [VAR7-1:0] VAR10,
output wire VAR3,
output wire [VAR7-1:0] VAR30,
output wire [VAR14-1:0] VAR33,
output wire [VAR14-1:0] VAR26,
output wire VAR32,
input wire VAR5,
input wire [VAR7-1:0] VAR13,
input wire [VAR7-1:0] VAR27,
input wire VAR20,
input wire [VAR2-1:0] VAR36,
input wire [VAR31-1:0] VAR6,
input wire VAR1,
input wire VAR11,
input wire [VAR2-1:0] VAR24,
output wire [VAR31-1:0] VAR28,
input wire [VAR2-1:0] VAR12,
input wire [4*VAR14-1:0] VAR38
);
wire VAR8;
wire [VAR7-1:0] VAR34;
assign VAR30 = (VAR8 && VAR3) ? VAR34 :
VAR32 ? VAR10 + 4 :
VAR10 + 8;
MODULE2 MODULE1(
.sel(VAR10[3:2]),
.VAR38(VAR38),
.VAR33(VAR33),
.VAR26(VAR26),
.VAR18(VAR32)
);
VAR19 VAR21(
.clk(clk),
.reset(reset),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR4(VAR34),
.VAR35(VAR5),
.VAR37(VAR13),
.VAR22(VAR27),
.VAR32(VAR32)
);
VAR17 VAR16
(
.clk(clk),
.reset(reset),
.VAR10(VAR10),
.VAR9(VAR8),
.VAR3(VAR3),
.VAR35(VAR5),
.VAR15(VAR20),
.VAR29(VAR13[2+:VAR31] ^ VAR6),
.VAR36(VAR36),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR24(VAR24),
.VAR25(VAR28),
.VAR12(VAR12)
);
endmodule
module MODULE2
(
input wire [1:0] sel,
input wire [4*VAR14-1:0] VAR38,
output reg [VAR14-1:0] VAR33,
output reg [VAR14-1:0] VAR26,
output wire VAR18
);
assign VAR18 = (sel[0] == 1'b1);
always @ (*) begin
VAR33 = VAR14'h0;
VAR26 = VAR14'h0;
case(sel)
2'b00 : begin
VAR33 = VAR38[31:0];
VAR26 = VAR38[63:32];
end
2'b01 : begin
VAR33 = VAR38[63:32];
VAR26 = VAR38[95:64];
end
2'b10 : begin
VAR33 = VAR38[95:64];
VAR26 = VAR38[127:96];
end
2'b11 : begin
VAR33 = VAR38[127:96];
VAR26 = VAR38[31:0];
end
endcase end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a2111oi/sky130_fd_sc_ls__a2111oi_4.v
| 2,461 |
module MODULE1 (
VAR10 ,
VAR8 ,
VAR7 ,
VAR11 ,
VAR9 ,
VAR2 ,
VAR4,
VAR12,
VAR1 ,
VAR6
);
output VAR10 ;
input VAR8 ;
input VAR7 ;
input VAR11 ;
input VAR9 ;
input VAR2 ;
input VAR4;
input VAR12;
input VAR1 ;
input VAR6 ;
VAR3 VAR5 (
.VAR10(VAR10),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR10 ,
VAR8,
VAR7,
VAR11,
VAR9,
VAR2
);
output VAR10 ;
input VAR8;
input VAR7;
input VAR11;
input VAR9;
input VAR2;
supply1 VAR4;
supply0 VAR12;
supply1 VAR1 ;
supply0 VAR6 ;
VAR3 VAR5 (
.VAR10(VAR10),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nand3/sky130_fd_sc_lp__nand3_0.v
| 2,175 |
module MODULE2 (
VAR7 ,
VAR4 ,
VAR10 ,
VAR8 ,
VAR9,
VAR3,
VAR2 ,
VAR1
);
output VAR7 ;
input VAR4 ;
input VAR10 ;
input VAR8 ;
input VAR9;
input VAR3;
input VAR2 ;
input VAR1 ;
VAR5 VAR6 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR7,
VAR4,
VAR10,
VAR8
);
output VAR7;
input VAR4;
input VAR10;
input VAR8;
supply1 VAR9;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR1 ;
VAR5 VAR6 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_async_103x16_stub.v
| 1,493 |
module MODULE1(rst, VAR2, VAR1, din, VAR7, VAR4, dout, VAR5, VAR3, VAR6)
;
input rst;
input VAR2;
input VAR1;
input [102:0]din;
input VAR7;
input VAR4;
output [102:0]dout;
output VAR5;
output VAR3;
output VAR6;
endmodule
|
gpl-3.0
|
drichmond/riffa
|
fpga/xilinx/ac701/AC701_Gen2x4If128/hdl/AC701_Gen2x4If128.v
| 20,974 |
module MODULE1
parameter VAR69 = 4,
parameter VAR16 = 128,
parameter VAR14 = 256,
parameter VAR183 = 5
)
(output [(VAR69 - 1) : 0] VAR156,
output [(VAR69 - 1) : 0] VAR72,
input [(VAR69 - 1) : 0] VAR52,
input [(VAR69 - 1) : 0] VAR37,
output [3:0] VAR114,
input VAR180,
input VAR49,
input VAR122
);
wire VAR88;
wire VAR173;
wire VAR175;
wire VAR186;
wire VAR39;
wire VAR184;
wire VAR19;
wire [VAR16-1 : 0] VAR66;
wire [(VAR16/8)-1 : 0] VAR95;
wire VAR163;
wire VAR65;
wire [VAR139 : 0] VAR64;
wire [VAR16-1 : 0] VAR92;
wire [(VAR16/8)-1 : 0] VAR57;
wire VAR174;
wire VAR130;
wire VAR128;
wire [VAR125 - 1 : 0] VAR155;
wire VAR148;
wire VAR56;
wire VAR170;
wire VAR91;
wire VAR98;
wire VAR120;
wire VAR59;
wire VAR42;
wire [1:0] VAR138;
wire VAR81;
wire [63:0] VAR25;
wire [11 : 0] VAR75;
wire [7 : 0] VAR137;
wire [11 : 0] VAR116;
wire [7 : 0] VAR68;
wire [11 : 0] VAR106;
wire [7 : 0] VAR74;
wire [2 : 0] VAR62;
wire [15 : 0] VAR146;
wire [15 : 0] VAR73;
wire [15 : 0] VAR77;
wire [15 : 0] VAR112;
wire [15 : 0] VAR71;
wire [15 : 0] VAR80;
wire [15 : 0] VAR144;
wire [2 : 0] VAR61;
wire VAR121;
wire [1 : 0] VAR117;
wire VAR147;
wire VAR6;
wire [4 : 0] VAR24;
wire VAR133;
wire [7 : 0] VAR161;
wire [4 : 0] VAR85;
wire [2 : 0] VAR94;
wire VAR3;
wire VAR30;
wire VAR29;
wire [7 : 0] VAR162;
wire [7 : 0] VAR82;
wire [2 : 0] VAR34;
wire VAR31;
wire VAR168;
wire VAR70;
wire VAR27;
wire VAR169;
wire [VAR97-1:0] VAR127;
wire [VAR97-1:0] VAR129;
wire [VAR97-1:0] VAR119;
wire [VAR97-1:0] VAR154;
wire [(VAR97*VAR93)-1:0] VAR131;
wire [(VAR97*VAR134)-1:0] VAR48;
wire [(VAR97*VAR16)-1:0] VAR102;
wire [VAR97-1:0] VAR140;
wire [VAR97-1:0] VAR107;
wire [VAR97-1:0] VAR113;
wire [VAR97-1:0] VAR126;
wire [VAR97-1:0] VAR158;
wire [VAR97-1:0] VAR76;
wire [(VAR97*VAR93)-1:0] VAR132;
wire [(VAR97*VAR134)-1:0] VAR99;
wire [(VAR97*VAR16)-1:0] VAR58;
wire [VAR97-1:0] VAR89;
wire [VAR97-1:0] VAR141;
genvar VAR105;
assign VAR91 = 0;
assign VAR98 = 0;
assign VAR120 = 0;
assign VAR59 = 0;
assign VAR42 = 0;
assign VAR138 = 0;
assign VAR25 = 0;
assign VAR29 = 0;
assign VAR162 = 0;
assign VAR27 = 0;
assign VAR24 = 0;
assign VAR91 = 0;
assign VAR81 = 0;
VAR1
VAR55
(.VAR17(VAR173),
.VAR41(VAR122));
VAR63
VAR22
(.VAR17(VAR88),
.VAR104(),
.VAR41(VAR180),
.VAR150(1'b0),
.VAR109(VAR49));
VAR12 VAR67
( .VAR142 ( VAR72 ),
.VAR166 ( VAR156 ),
.VAR100 ( VAR37 ),
.VAR118 ( VAR52 ),
.VAR167 ( VAR175 ),
.VAR47 ( VAR186 ),
.VAR39 ( VAR39 ),
.VAR184 ( VAR184 ),
.VAR19 ( VAR19 ),
.VAR66 ( VAR66 ),
.VAR95 ( VAR95 ),
.VAR64 ( VAR64 ),
.VAR163 ( VAR163 ),
.VAR65 ( VAR65 ),
.VAR92 ( VAR92 ),
.VAR57 ( VAR57 ),
.VAR174 ( VAR174 ),
.VAR130 ( VAR130 ),
.VAR128 ( VAR128 ),
.VAR155 ( VAR155 ),
.VAR148 ( VAR148 ),
.VAR56 ( VAR56 ),
.VAR170 ( VAR170 ),
.VAR98 ( VAR98 ),
.VAR120 ( VAR120 ),
.VAR59 ( VAR59 ),
.VAR42 ( VAR42 ),
.VAR138 ( VAR138 ),
.VAR25 ( VAR25 ),
.VAR91 ( VAR91 ),
.VAR81 ( VAR81 ),
.VAR35 ( 1'b0 ),
.VAR108 ( 8'b0 ),
.VAR177 ( 5'b0 ),
.VAR103 ( 3'b0 ),
.VAR75 ( VAR75 ),
.VAR137 ( VAR137 ),
.VAR116 ( VAR116 ),
.VAR68 ( VAR68 ),
.VAR106 ( VAR106 ),
.VAR74 ( VAR74 ),
.VAR62 ( VAR62 ),
.VAR85 ( VAR85 ),
.VAR144 ( VAR144 ),
.VAR147 ( VAR147 ),
.VAR146 ( VAR146 ),
.VAR133 ( VAR133 ),
.VAR6 ( VAR6 ),
.VAR112 ( VAR112 ),
.VAR161 ( VAR161 ),
.VAR94 ( VAR94 ),
.VAR73 ( VAR73 ),
.VAR77 ( VAR77 ),
.VAR71 ( VAR71 ),
.VAR61 ( VAR61 ),
.VAR80 ( VAR80 ),
.VAR121 ( VAR121 ),
.VAR117 ( VAR117 ),
.VAR3 ( VAR3 ),
.VAR30 ( VAR30 ),
.VAR29 ( VAR29 ),
.VAR162 ( VAR162 ),
.VAR82 ( VAR82 ),
.VAR34 ( VAR34 ),
.VAR31 ( VAR31 ),
.VAR168 ( VAR168 ),
.VAR70 ( VAR70 ),
.VAR27 ( VAR27 ),
.VAR24 ( VAR24 ),
.VAR181 ( VAR88 ),
.VAR171 ( VAR173 )
);
VAR21
.VAR183 (VAR183),
.VAR97 (VAR97),
.VAR16 (VAR16),
.VAR14 (VAR14))
VAR178
(
.VAR20 (VAR3),
.VAR143 (VAR128),
.VAR32 (VAR66[VAR16-1:0]),
.VAR157 (VAR95[(VAR16/8)-1:0]),
.VAR5 (VAR163),
.VAR153 (VAR65),
.VAR83 (VAR64[VAR139-1:0]),
.VAR10 (VAR62[VAR60-1:0]),
.VAR36 (VAR169),
.VAR53 (VAR129[VAR97-1:0]),
.VAR44 (VAR154[VAR97-1:0]),
.VAR101 (VAR131[(VAR97*VAR93)-1:0]),
.VAR176 (VAR48[(VAR97*VAR134)-1:0]),
.VAR123 (VAR102[(VAR97*VAR16)-1:0]),
.VAR23 (VAR140[VAR97-1:0]),
.VAR78 (VAR158[VAR97-1:0]),
.VAR172 (VAR141[VAR97-1:0]),
.VAR15 (VAR92[VAR16-1:0]),
.VAR182 (VAR57[(VAR16/8)-1:0]),
.VAR40 (VAR174),
.VAR86 (VAR130),
.VAR110 (VAR155[VAR125-1:0]),
.VAR2 (VAR19),
.VAR135 (VAR161[VAR185-1:0]),
.VAR90 (VAR85[VAR43-1:0]),
.VAR115 (VAR94[VAR145-1:0]),
.VAR11 (VAR73[VAR18-1:0]),
.VAR50 (VAR112[VAR18-1:0]),
.VAR149 (VAR71[VAR18-1:0]),
.VAR46 (VAR80[VAR18-1:0]),
.VAR96 (VAR75[VAR45-1:0]),
.VAR4 (VAR137[VAR51-1:0]),
.VAR28 (VAR31), .VAR79 (VAR30),
.VAR87 (VAR175),
.VAR164 (VAR186),
.VAR152 (VAR127[VAR97-1:0]),
.VAR159 (VAR119[VAR97-1:0]),
.VAR165 (VAR107[VAR97-1:0]),
.VAR160 (VAR113[VAR97-1:0]),
.VAR124 (VAR126[VAR97-1:0]),
.VAR54 (VAR76[VAR97-1:0]),
.VAR38 (VAR132[(VAR97*VAR93)-1:0]),
.VAR151 (VAR99[(VAR97*VAR134)-1:0]),
.VAR111 (VAR58[(VAR97*VAR16)-1:0]),
.VAR7 (VAR89[VAR97-1:0]),
.VAR179 (VAR56),
.VAR136 (VAR148),
.VAR33 (VAR170)
);
generate
for (VAR105 = 0; VAR105 < VAR97; VAR105 = VAR105 + 1) begin : VAR26
VAR8
.VAR16(VAR16)
)
VAR9
(.VAR13(VAR175),
.VAR84(VAR169), .VAR152(VAR127[VAR105]),
.VAR53(VAR129[VAR105]),
.VAR159(VAR119[VAR105]),
.VAR44(VAR154[VAR105]),
.VAR101(VAR131[32*VAR105 +:32]),
.VAR176(VAR48[31*VAR105 +:31]),
.VAR123(VAR102[VAR16*VAR105 +:VAR16]),
.VAR23(VAR140[VAR105]),
.VAR165(VAR107[VAR105]),
.VAR160(VAR113[VAR105]),
.VAR124(VAR126[VAR105]),
.VAR78(VAR158[VAR105]),
.VAR54(VAR76[VAR105]),
.VAR38(VAR132[32*VAR105 +:32]),
.VAR151(VAR99[31*VAR105 +:31]),
.VAR111(VAR58[VAR16*VAR105 +:VAR16]),
.VAR7(VAR89[VAR105]),
.VAR172(VAR141[VAR105])
);
end
endgenerate
endmodule
|
bsd-3-clause
|
ECE492-Team5/Platform
|
soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_leds_pio_0.v
| 2,228 |
module MODULE1 (
address,
VAR3,
clk,
VAR7,
VAR5,
VAR8,
VAR2,
VAR9
)
;
output [ 7: 0] VAR2;
output [ 31: 0] VAR9;
input [ 1: 0] address;
input VAR3;
input clk;
input VAR7;
input VAR5;
input [ 31: 0] VAR8;
wire VAR6;
reg [ 7: 0] VAR1;
wire [ 7: 0] VAR2;
wire [ 7: 0] VAR4;
wire [ 31: 0] VAR9;
assign VAR6 = 1;
assign VAR4 = {8 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR7)
begin
if (VAR7 == 0)
VAR1 <= 255;
end
else if (VAR3 && ~VAR5 && (address == 0))
VAR1 <= VAR8[7 : 0];
end
assign VAR9 = {32'b0 | VAR4};
assign VAR2 = VAR1;
endmodule
|
gpl-3.0
|
trnewman/VT-USRP-daughterboard-drivers_python
|
usrp/fpga/megacells/fifo_1kx16_bb.v
| 5,864 |
module MODULE1 (
VAR6,
VAR1,
VAR2,
VAR5,
VAR9,
VAR7,
VAR10,
VAR3,
VAR4,
VAR8);
input VAR6;
input VAR1;
input [15:0] VAR2;
input VAR5;
input VAR9;
output VAR7;
output VAR10;
output VAR3;
output [15:0] VAR4;
output [9:0] VAR8;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlrbn/sky130_fd_sc_ms__dlrbn.pp.blackbox.v
| 1,436 |
module MODULE1 (
VAR2 ,
VAR7 ,
VAR9,
VAR5 ,
VAR3 ,
VAR4 ,
VAR1 ,
VAR6 ,
VAR8
);
output VAR2 ;
output VAR7 ;
input VAR9;
input VAR5 ;
input VAR3 ;
input VAR4 ;
input VAR1 ;
input VAR6 ;
input VAR8 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dlygate4sd2/sky130_fd_sc_ls__dlygate4sd2.blackbox.v
| 1,288 |
module MODULE1 (
VAR3,
VAR6
);
output VAR3;
input VAR6;
supply1 VAR4;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
|
System Design Source FIle/ipshared/xilinx.com/processing_system7_bfm_v2_0/e69044ca/hdl/processing_system7_bfm_v2_0_arb_rd.v
| 3,763 |
module MODULE1(
VAR27,
VAR11,
VAR15,
VAR7,
VAR28,
VAR9,
VAR5,
VAR21,
VAR4,
VAR10,
VAR23,
VAR1,
VAR3,
VAR24,
VAR25,
VAR12,
VAR17,
VAR8,
VAR2,
VAR18
);
input VAR27, VAR11;
input [VAR19-1:0] VAR15,VAR7;
input VAR28, VAR9;
input [VAR13-1:0] VAR4, VAR10;
input [VAR22:0] VAR5, VAR21;
output reg VAR3, VAR24;
output reg [VAR20-1:0] VAR23,VAR1;
output reg VAR25;
output reg [VAR19-1:0] VAR12;
output reg [VAR13-1:0] VAR17;
output reg [VAR22:0] VAR8;
input [VAR20-1:0] VAR2;
input VAR18;
parameter VAR26 = 2'b00, VAR14 = 2'b01, VAR16 = 2'b10,VAR6 = 2'b11;
reg [1:0] state;
always@(posedge VAR11 or negedge VAR27)
begin
if(!VAR27) begin
state = VAR26;
VAR25 = 1'b0;
VAR3 = 1'b0;
VAR24 = 1'b0;
VAR12 = 0;
end else begin
case(state)
VAR26:begin
state = VAR26;
VAR3 = 1'b0;
VAR24 = 1'b0;
VAR25 = 0;
if(VAR28 && !VAR9) begin
state = VAR14;
VAR25 = 1;
VAR12 = VAR15;
VAR17 = VAR4;
VAR8 = VAR5;
end else if(!VAR28 && VAR9) begin
state = VAR16;
VAR25 = 1;
VAR12 = VAR7;
VAR17 = VAR10;
VAR8 = VAR21;
end else if(VAR28 && VAR9) begin
if(VAR15 > VAR7) begin
VAR25 = 1;
VAR12 = VAR15;
VAR17 = VAR4;
VAR8 = VAR5;
state = VAR14;
end else if(VAR15 < VAR7) begin
VAR25 = 1;
VAR17 = VAR10;
VAR12 = VAR7;
VAR8 = VAR21;
state = VAR16;
end else begin
VAR25 = 1;
VAR12 = VAR15;
VAR17 = VAR4;
VAR8 = VAR5;
state = VAR14;
end
end
end
VAR14:begin
state = VAR14;
VAR24 = 1'b0;
if(VAR18) begin
VAR3 = 1'b1;
VAR23 = VAR2;
VAR25 = 0;
if(VAR9) begin
VAR25 = 1;
VAR12 = VAR7;
VAR17 = VAR10;
VAR8 = VAR21;
state = VAR16;
end else begin
state = VAR6;
end
end
end
VAR16:begin
state = VAR16;
VAR3 = 1'b0;
if(VAR18) begin
VAR24 = 1'b1;
VAR1 = VAR2;
VAR25 = 0;
if(VAR28) begin
VAR25 = 1;
VAR12 = VAR15;
VAR17 = VAR4;
VAR8 = VAR5;
state = VAR14;
end else begin
state = VAR6;
end
end
end
VAR6:begin
VAR3 = 1'b0;
VAR24 = 1'b0;
state = VAR6;
if(!VAR18)
state = VAR26;
end
endcase
end end endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfrtp/sky130_fd_sc_ls__sdfrtp_2.v
| 2,583 |
module MODULE1 (
VAR4 ,
VAR6 ,
VAR1 ,
VAR11 ,
VAR7 ,
VAR9,
VAR2 ,
VAR10 ,
VAR3 ,
VAR5
);
output VAR4 ;
input VAR6 ;
input VAR1 ;
input VAR11 ;
input VAR7 ;
input VAR9;
input VAR2 ;
input VAR10 ;
input VAR3 ;
input VAR5 ;
VAR12 VAR8 (
.VAR4(VAR4),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR4 ,
VAR6 ,
VAR1 ,
VAR11 ,
VAR7 ,
VAR9
);
output VAR4 ;
input VAR6 ;
input VAR1 ;
input VAR11 ;
input VAR7 ;
input VAR9;
supply1 VAR2;
supply0 VAR10;
supply1 VAR3 ;
supply0 VAR5 ;
VAR12 VAR8 (
.VAR4(VAR4),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
CospanDesign/nysa-verilog
|
verilog/axi/slave/axi_video_resizer/rtl/axi_video_resizer.v
| 15,391 |
module MODULE1 #(
parameter VAR14 = 1,
parameter VAR40 = 1,
parameter VAR13 = 9,
parameter VAR79 = 4,
parameter VAR29 = 32,
parameter VAR109 = (VAR29 / 8),
parameter VAR27 = 24,
parameter VAR128 = 1280,
parameter VAR5 = 720,
parameter VAR26 = VAR128 * VAR5,
parameter VAR130 = 1280,
parameter VAR18 = 720,
parameter VAR114 = VAR130 * VAR18,
parameter VAR96 = 0,
parameter VAR66 = 0
)(
input clk,
input rst,
input VAR88,
input [VAR79 - 1: 0] VAR113,
output VAR106,
input VAR107,
output VAR22,
input [VAR109 - 1:0] VAR34,
input [VAR29 - 1: 0] VAR70,
output VAR89,
input VAR81,
output [1:0] VAR85,
input VAR99,
output VAR98,
input [VAR79 - 1: 0] VAR90,
output VAR122,
input VAR80,
output [1:0] VAR143,
output [VAR29 - 1: 0] VAR59,
input VAR139,
input VAR152,
input [VAR27 - 1:0] VAR104,
output VAR136,
input VAR62,
input VAR23,
output [VAR27 - 1:0] VAR60,
input VAR64,
output VAR25,
output VAR2
);
localparam VAR11 = 0;
localparam VAR68 = 1;
localparam VAR19 = 2;
localparam VAR46 = 4;
localparam VAR120 = 5;
localparam VAR63 = 6;
localparam VAR108 = 8;
localparam VAR75 = 9;
localparam VAR69 = 10;
localparam VAR16 = 12;
localparam VAR3 = 13;
localparam VAR131 = 14;
localparam VAR53 = VAR131;
wire [VAR79 - 1: 0] VAR111;
reg VAR43;
wire VAR7;
reg VAR133;
wire [VAR29 - 1: 0] VAR78;
wire VAR125;
reg VAR10;
reg [VAR29 - 1: 0] VAR121;
reg VAR74;
reg VAR37;
reg [31:0] VAR32;
reg [31:0] VAR115;
reg [31:0] VAR44;
reg [31:0] VAR142;
reg [31:0] VAR67;
reg [31:0] VAR48;
reg [31:0] VAR82;
reg [31:0] VAR112;
reg [VAR27 - 1:0] VAR6;
wire VAR119;
wire VAR56;
wire [1:0] VAR126;
wire [1:0] VAR102;
wire VAR31;
wire [23:0] VAR144;
wire [VAR27 - 1:0] VAR21;
wire VAR30;
wire VAR149;
wire VAR73;
wire [23:0] VAR71;
wire [VAR27 - 1:0] VAR54;
VAR103 #(
.VAR79 (VAR79 ),
.VAR29 (VAR29 )
) VAR20 (
.clk (clk ),
.rst (VAR119 ),
.VAR88 (VAR88 ),
.VAR113 (VAR113 ),
.VAR106 (VAR106 ),
.VAR107 (VAR107 ),
.VAR22 (VAR22 ),
.VAR34 (VAR34 ),
.VAR70 (VAR70 ),
.VAR89 (VAR89 ),
.VAR81 (VAR81 ),
.VAR85 (VAR85 ),
.VAR99 (VAR99 ),
.VAR98 (VAR98 ),
.VAR90 (VAR90 ),
.VAR122 (VAR122 ),
.VAR80 (VAR80 ),
.VAR143 (VAR143 ),
.VAR59 (VAR59 ),
.VAR24 (VAR111 ),
.VAR55 (VAR43 ),
.VAR9 (VAR7 ),
.VAR12 (VAR133 ),
.VAR100 (VAR78 ),
.VAR4 (VAR125 ),
.VAR50 (VAR10 ),
.VAR58 (VAR121 )
);
VAR151 #(
.VAR29 (VAR27 )
) VAR33 (
.rst (VAR56 ),
.VAR117 (VAR139 ),
.VAR42 (VAR136),
.VAR97 (VAR104 ),
.VAR150 (VAR62 ),
.VAR61 (VAR23),
.VAR135 ( ),
.VAR17 (VAR126 ),
.VAR47 (VAR102 ),
.VAR140 (VAR144 ),
.VAR148 (VAR31 ),
.VAR84 (VAR21 )
);
VAR72 #(
.VAR29 (VAR27 )
) VAR87 (
.rst (VAR56 ),
.VAR95 (VAR48[23:0] ),
.VAR35 (VAR139 ),
.VAR17 (VAR30 ),
.VAR47 (VAR149 ),
.VAR140 (VAR71 ),
.VAR45 (VAR54 ),
.VAR148 (VAR73 ),
.VAR76 ( ),
.VAR49 (VAR64 ),
.VAR77 (VAR60 ),
.VAR1 (VAR25 ),
.VAR8 (VAR2 )
);
VAR51 #(
.VAR27 (VAR27 ),
.VAR116 (VAR13 )
) VAR15 (
.clk (VAR139 ),
.rst (VAR56 ),
.VAR147 (VAR74 ),
.VAR138 (VAR37 ),
.VAR93 (VAR32 ),
.VAR134 (VAR115 ),
.VAR110 (VAR44 ),
.VAR91 (VAR48 ),
.VAR105 (VAR142 ),
.VAR129 (VAR67 ),
.VAR101 (VAR82 ),
.VAR28 (VAR112 ),
.VAR83 (VAR6 ),
.VAR145 (VAR126 ),
.VAR94 (VAR102 ),
.VAR127 (VAR31 ),
.VAR38 (VAR144 ),
.VAR141 (VAR21 ),
.VAR137 (VAR30 ),
.VAR123 (VAR149 ),
.VAR41 (VAR73 ),
.VAR39 (VAR71 ),
.VAR52 (VAR54 )
);
assign VAR119 = (VAR14) ? ~rst : rst;
assign VAR56 = (VAR40) ? ~VAR152 : VAR152;
always @ (posedge clk) begin
VAR133 <= 0;
VAR10 <= 0;
VAR43 <= 0;
VAR37 <= 0;
if (VAR119) begin
VAR121 <= 0;
VAR74 <= 0;
VAR115 <= VAR128;
VAR44 <= VAR5;
VAR32 <= VAR26;
VAR142 <= VAR130;
VAR67 <= VAR18;
VAR48 <= VAR114;
VAR82 <= VAR96;
VAR112 <= VAR66;
VAR6 <= VAR57;
end
else begin
if (VAR7) begin
case (VAR111)
VAR11: begin
VAR74 <= VAR78[VAR118];
VAR37 <= VAR78[VAR92];
end
VAR46: begin
VAR32 <= VAR78;
end
VAR120: begin
VAR115 <= VAR78;
end
VAR63: begin
VAR44 <= VAR78;
end
VAR108: begin
VAR48 <= VAR78;
end
VAR75: begin
VAR142 <= VAR78;
end
VAR69: begin
VAR67 <= VAR78;
end
VAR16: begin
VAR82 <= VAR78;
end
VAR3: begin
VAR112 <= VAR78;
end
VAR131: begin
VAR6 <= VAR78[VAR27 - 1: 0];
end
default: begin
end
endcase
if (VAR111 > VAR53) begin
VAR43 <= 1;
end
VAR133 <= 1;
end
else if (VAR125) begin
case (VAR111)
VAR11: begin
VAR121[VAR118] <= VAR74;
VAR121[VAR92] <= VAR37;
end
VAR68: begin
VAR121 <= 32'h0;
VAR121[0] <= VAR74;
end
VAR19: begin
VAR121 <= 32'h00;
VAR121[VAR86] <= VAR36;
VAR121[VAR65] <= VAR132;
VAR121[VAR146] <= VAR124;
end
VAR46: begin
VAR121 <= VAR32;
end
VAR120: begin
VAR121 <= VAR115;
end
VAR63: begin
VAR121 <= VAR44;
end
VAR108: begin
VAR121 <= VAR48;
end
VAR75: begin
VAR121 <= VAR142;
end
VAR69: begin
VAR121 <= VAR67;
end
VAR16: begin
VAR121 <= VAR82;
end
VAR3: begin
VAR121 <= VAR112;
end
VAR131: begin
VAR121 <= VAR6;
end
default: begin
VAR121 <= 32'h00;
end
endcase
if (VAR111 > VAR53) begin
VAR43 <= 1;
end
VAR10 <= 1;
end
end
end
endmodule
|
mit
|
aj-michael/Digital-Systems
|
Lab6-Part1/ControllerI2C.v
| 3,507 |
module MODULE1(VAR22,VAR26,VAR29,VAR2,VAR30,VAR16,VAR27,VAR14,VAR5,VAR18);
input VAR22, VAR26, VAR29, VAR2;
output reg VAR30, VAR16, VAR27, VAR14, VAR5, VAR18;
parameter VAR12 = 3'd0;
parameter VAR9 = 3'd1;
parameter VAR19 = 3'd2;
parameter VAR10 = 3'd3;
parameter VAR1 = 3'd4;
parameter VAR23 = 3'd5;
parameter VAR20 = 3'd6;
reg [2:0] VAR28;
reg [2:0] VAR13;
reg [3:0] VAR17;
reg VAR24;
wire VAR25;
wire VAR21;
wire VAR7;
VAR15 VAR3(VAR26, VAR21, VAR2, VAR22);
VAR6 VAR4(VAR26, VAR7, VAR2, VAR22);
VAR8 VAR11(VAR24,VAR25,VAR22);
always @ (VAR28)
case (VAR28)
VAR12: begin
VAR16 <= 0;
VAR27 <= 0;
VAR30 <= 0;
VAR5 <= 1;
VAR24 <= 1;
end
VAR9: begin
VAR30 <= 0;
VAR5 <= 0;
VAR24 <= 0;
end
VAR19: begin
VAR24 <= 1;
VAR30 <= 1;
end
VAR10:
if (VAR17 == 1) begin VAR30 <= 1; VAR16 <= 1; VAR27 <= 0; VAR5 <= 0; VAR24 <= 1; end
else begin VAR30 <= 1; VAR27 <= 1; end
VAR1: begin
VAR30 <= 1;
VAR16 <= 1;
VAR27 <= 0;
VAR5 <= 0;
VAR24 <= 1;
end
VAR23: begin
VAR30 <= 0;
VAR27 <= 0;
VAR16 <= 0;
VAR5 <= 0;
VAR24 <= 0;
end
VAR20: begin
VAR30 <= 0;
VAR16 <= 0;
VAR27 <= 0;
VAR5 <= 1;
VAR24 <= 1;
end
endcase
always @ (VAR28 or VAR29 or VAR26 or VAR25 or VAR17)
case (VAR28)
VAR12: VAR13 <= VAR29 == 0 ? VAR12 : (VAR26 == 0 ? VAR12 : VAR9);
VAR9: VAR13 <= VAR25 == 0 ? VAR9 : VAR19;
VAR19: VAR13 <= VAR17 <= 4'd9 ? VAR10 : VAR19;
VAR10: VAR13 <= VAR21 == 1 ? VAR13 : (VAR17 == 0 ? VAR1 : VAR10);
VAR1: VAR13 <= VAR23;
VAR23: VAR13 <= VAR25 == 0 ? VAR23 : VAR20;
VAR20: VAR13 <= VAR26 == 0 ? VAR20 : VAR20;
endcase
always @ (VAR21)
if (VAR21 == 1) begin VAR14 <= 0; VAR18 <= 0; end
else case (VAR28)
VAR10: VAR14 <= 1;
VAR19: VAR18 <= 1;
endcase
always @ (posedge VAR22 or posedge VAR2)
if (VAR2 == 1) begin VAR17 <= 4'd10; end
else
case (VAR28)
VAR19: VAR17 <= VAR21 == 0 ? VAR17 - 1 : VAR17;
VAR10: VAR17 <= VAR21 == 0 ? VAR17 - 1 : VAR17;
VAR1: VAR17 <= VAR21 == 0 ? VAR17 - 1 : VAR17;
default: VAR17 <= 4'd10;
endcase
always @ (posedge VAR22 or posedge VAR2)
if (VAR2 == 1) VAR28 <= VAR12;
end
else if (VAR28 == VAR1) VAR28 <= VAR23;
end
else VAR28 <= VAR13;
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9467/axi_ad9467_channel.v
| 5,558 |
module MODULE1(
VAR25,
VAR62,
VAR54,
VAR56,
VAR36,
VAR49,
VAR34,
VAR55,
VAR51,
VAR24,
VAR8,
VAR65,
VAR29,
VAR19,
VAR44,
VAR63,
VAR45,
VAR31,
VAR12);
parameter VAR11 = 0;
input VAR25;
input VAR62;
input [15:0] VAR54;
input VAR56;
output [15:0] VAR36;
output VAR49;
output VAR34;
output VAR55;
output VAR51;
input VAR24;
input VAR8;
input VAR65;
input [13:0] VAR29;
input [31:0] VAR19;
output VAR44;
input VAR63;
input [13:0] VAR45;
output [31:0] VAR31;
output VAR12;
wire VAR41;
wire VAR52;
wire [ 3:0] VAR13;
wire VAR60;
wire VAR15;
wire VAR35;
VAR48 VAR66 (
.VAR25 (VAR25),
.VAR54 (VAR54),
.VAR22 (VAR41),
.VAR7 (VAR52),
.VAR42 (VAR13));
VAR53 #(.VAR28(16)) VAR37 (
.clk(VAR25),
.valid(1'b1),
.VAR67(VAR54),
.VAR58(),
.VAR21(VAR36),
.VAR14(VAR60),
.VAR1(VAR15),
.VAR64(VAR35));
VAR10 #(.VAR50(0)) VAR4 (
.VAR25 (VAR25),
.VAR62 (VAR62),
.VAR49 (VAR49),
.VAR17 (),
.VAR16 (),
.VAR20 (VAR35),
.VAR6 (VAR15),
.VAR57 (VAR60),
.VAR59 (),
.VAR38 (),
.VAR2 (),
.VAR9 (),
.VAR42 (VAR13),
.VAR47 (),
.VAR7 (VAR52),
.VAR22 (VAR41),
.VAR56 (VAR56),
.VAR34 (VAR34),
.VAR55 (VAR55),
.VAR51 (VAR51),
.VAR43 (),
.VAR23 (),
.VAR40 (),
.VAR39 (),
.VAR18 (),
.VAR46 (),
.VAR32 (),
.VAR33 (1'b0),
.VAR30 (1'b1),
.VAR61 (8'd0),
.VAR3 (8'd16),
.VAR27 (8'd16),
.VAR26 (16'd1),
.VAR5 (16'd1),
.VAR24 (VAR24),
.VAR8 (VAR8),
.VAR65 (VAR65),
.VAR29 (VAR29),
.VAR19 (VAR19),
.VAR44 (VAR44),
.VAR63 (VAR63),
.VAR45 (VAR45),
.VAR31 (VAR31),
.VAR12 (VAR12));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfstp/sky130_fd_sc_hs__sdfstp.symbol.v
| 1,462 |
module MODULE1 (
input VAR1 ,
output VAR2 ,
input VAR8,
input VAR7 ,
input VAR5 ,
input VAR4
);
supply1 VAR6;
supply0 VAR3;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/decap/sky130_fd_sc_ms__decap.functional.v
| 1,039 |
module MODULE1 ();
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o311a/sky130_fd_sc_ms__o311a.behavioral.pp.v
| 2,053 |
module MODULE1 (
VAR7 ,
VAR9 ,
VAR13 ,
VAR16 ,
VAR12 ,
VAR11 ,
VAR2,
VAR1,
VAR8 ,
VAR6
);
output VAR7 ;
input VAR9 ;
input VAR13 ;
input VAR16 ;
input VAR12 ;
input VAR11 ;
input VAR2;
input VAR1;
input VAR8 ;
input VAR6 ;
wire VAR5 ;
wire VAR15 ;
wire VAR18;
or VAR17 (VAR5 , VAR13, VAR9, VAR16 );
and VAR10 (VAR15 , VAR5, VAR12, VAR11 );
VAR3 VAR4 (VAR18, VAR15, VAR2, VAR1);
buf VAR14 (VAR7 , VAR18 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/oai22/gf180mcu_fd_sc_mcu7t5v0__oai22_4.behavioral.pp.v
| 2,375 |
module MODULE1( VAR8, VAR10, VAR6, VAR4, VAR7, VAR5, VAR9 );
input VAR7, VAR4, VAR10, VAR8;
inout VAR5, VAR9;
output VAR6;
VAR3 VAR1(.VAR8(VAR8),.VAR10(VAR10),.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR5(VAR5),.VAR9(VAR9));
VAR3 VAR2(.VAR8(VAR8),.VAR10(VAR10),.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR5(VAR5),.VAR9(VAR9));
|
apache-2.0
|
efabless/openlane
|
designs/md5/src/md5.v
| 11,550 |
module MODULE1(clk,reset,VAR49,VAR34,VAR45,VAR32,VAR8);
input clk;
input reset;
input VAR49;
output VAR34;
input VAR45;
input [127:0] VAR32;
output [127:0] VAR8;
reg VAR34, VAR9;
reg [127:0] VAR8, VAR16;
reg [5:0] VAR10, VAR25;
reg [43:0] VAR50;
reg [31:0] VAR31,VAR35,VAR44,VAR47,VAR19, VAR13,VAR37, VAR48, VAR17;
reg [31:0] VAR6,VAR12,VAR21,VAR7,VAR36,VAR30, VAR3, VAR2;
reg [511:0] VAR27, VAR33;
reg [2:0] VAR43,VAR41;
reg VAR20,VAR23;
reg VAR38;
reg [2:0] VAR4, VAR39;
always @(VAR10)
begin
case(VAR10)
0: VAR50 = 44'hD76AA478070;
1: VAR50 = 44'hE8C7B7560C1;
2: VAR50 = 44'h242070DB112;
3: VAR50 = 44'hC1BDCEEE163;
4: VAR50 = 44'hF57C0FAF074;
5: VAR50 = 44'h4787C62A0C5;
6: VAR50 = 44'hA8304613116;
7: VAR50 = 44'hFD469501167;
8: VAR50 = 44'h698098D8078;
9: VAR50 = 44'h8B44F7AF0C9;
10: VAR50 = 44'hFFFF5BB111A;
11: VAR50 = 44'h895CD7BE16B;
12: VAR50 = 44'h6B90112207C;
13: VAR50 = 44'hFD9871930CD;
14: VAR50 = 44'hA679438E11E;
15: VAR50 = 44'h49B4082116F;
16: VAR50 = 44'hf61e2562051;
17: VAR50 = 44'hc040b340096;
18: VAR50 = 44'h265e5a510EB;
19: VAR50 = 44'he9b6c7aa140;
20: VAR50 = 44'hd62f105d055;
21: VAR50 = 44'h0244145309A;
22: VAR50 = 44'hd8a1e6810EF;
23: VAR50 = 44'he7d3fbc8144;
24: VAR50 = 44'h21e1cde6059;
25: VAR50 = 44'hc33707d609E;
26: VAR50 = 44'hf4d50d870E3;
27: VAR50 = 44'h455a14ed148;
28: VAR50 = 44'ha9e3e90505D;
29: VAR50 = 44'hfcefa3f8092;
30: VAR50 = 44'h676f02d90E7;
31: VAR50 = 44'h8d2a4c8a14C;
32: VAR50 = 44'hfffa3942045;
33: VAR50 = 44'h8771f6810B8;
34: VAR50 = 44'h6d9d612210B;
35: VAR50 = 44'hfde5380c17E;
36: VAR50 = 44'ha4beea44041;
37: VAR50 = 44'h4bdecfa90B4;
38: VAR50 = 44'hf6bb4b60107;
39: VAR50 = 44'hbebfbc7017A;
40: VAR50 = 44'h289b7ec604D;
41: VAR50 = 44'heaa127fa0B0;
42: VAR50 = 44'hd4ef3085103;
43: VAR50 = 44'h04881d05176;
44: VAR50 = 44'hd9d4d039049;
45: VAR50 = 44'he6db99e50BC;
46: VAR50 = 44'h1fa27cf810F;
47: VAR50 = 44'hc4ac5665172;
48: VAR50 = 44'hf4292244060;
49: VAR50 = 44'h432aff970A7;
50: VAR50 = 44'hab9423a70FE;
51: VAR50 = 44'hfc93a039155;
52: VAR50 = 44'h655b59c306C;
53: VAR50 = 44'h8f0ccc920A3;
54: VAR50 = 44'hffeff47d0FA;
55: VAR50 = 44'h85845dd1151;
56: VAR50 = 44'h6fa87e4f068;
57: VAR50 = 44'hfe2ce6e00AF;
58: VAR50 = 44'ha30143140F6;
59: VAR50 = 44'h4e0811a115D;
60: VAR50 = 44'hf7537e82064;
61: VAR50 = 44'hbd3af2350AB;
62: VAR50 = 44'h2ad7d2bb0F2;
63: VAR50 = 44'heb86d391159;
endcase
end
reg [31:0] VAR46,VAR29,VAR28,VAR24,VAR14;
reg [7:0] VAR22;
reg [3:0] VAR15;
reg [31:0] VAR5[15:0];
always @(VAR50 or VAR31 or VAR35 or VAR44 or VAR47 or VAR43 or VAR27 or VAR19 or VAR5[0] or VAR5[1] or VAR5[2] or VAR5[3]
or VAR5[4] or VAR5[5] or VAR5[6] or VAR5[7] or VAR5[8] or VAR5[9] or VAR5[10]
or VAR5[11] or VAR5[12] or VAR5[13] or VAR5[14] or VAR5[15])
begin
VAR5[0]=VAR27[511:480];
VAR5[1]=VAR27[479:448];
VAR5[2]=VAR27[447:416];
VAR5[3]=VAR27[415:384];
VAR5[4]=VAR27[383:352];
VAR5[5]=VAR27[351:320];
VAR5[6]=VAR27[319:288];
VAR5[7]=VAR27[287:256];
VAR5[8]=VAR27[255:224];
VAR5[9]=VAR27[223:192];
VAR5[10]=VAR27[191:160];
VAR5[11]=VAR27[159:128];
VAR5[12]=VAR27[127:96];
VAR5[13]=VAR27[95:64];
VAR5[14]=VAR27[63:32];
VAR5[15]=VAR27[31:0];
VAR29=0;
case(VAR43)
0: VAR29=((VAR35&VAR44)|(~VAR35&VAR47));
1: VAR29=((VAR35&VAR47)|(VAR44& (~VAR47)));
2: VAR29=(VAR35^VAR44^VAR47);
3: VAR29=(VAR44^(VAR35|~VAR47));
endcase
VAR28=VAR50[43:12];
VAR22=VAR50[11:4];
VAR15=VAR50[3:0];
VAR46=(VAR31+VAR29+VAR5[VAR15]+VAR28);
VAR24=VAR46 << VAR22;
VAR14=VAR46 >> (32-VAR22);
VAR19=VAR35+(VAR24 | VAR14);
end
always @(VAR45 or VAR43 or VAR10 or VAR31 or VAR35 or VAR44 or VAR47 or VAR23 or VAR19 or VAR39 or VAR6 or VAR12 or VAR21 or VAR7)
begin
VAR13=VAR31;
VAR37=VAR35;
VAR48=VAR44;
VAR17=VAR47;
VAR25=VAR10;
VAR41=VAR43;
VAR38=0;
if(VAR23!=0)
begin
VAR13=VAR47;
VAR37=VAR19;
VAR48=VAR35;
VAR17=VAR44;
end
case(VAR10)
0:
begin
VAR41=0;
if(VAR23) VAR25=1;
end
15,31,47:
begin
VAR41=VAR43+1;
VAR25=VAR10+1;
end
63:
begin
VAR41=0;
VAR25=0;
VAR38=1;
end
default: VAR25=VAR10+1;
endcase
if(VAR45)
begin
VAR13=32'h67452301;
VAR37=32'hEFCDAB89;
VAR48=32'h98BADCFE;
VAR17=32'h10325476;
VAR41=0;
VAR25=0;
end
if(!VAR39)
begin
VAR13=VAR6;
VAR37=VAR12;
VAR48=VAR21;
VAR17=VAR7;
end
end
always @(posedge clk or negedge reset)
begin
if(!reset)
begin
VAR34=0;
VAR8=0;
VAR27=0;
VAR31=32'h67452301;
VAR35=32'hEFCDAB89;
VAR44=32'h98BADCFE;
VAR47=32'h10325476;
VAR39=0;
VAR23=0;
VAR43=0;
VAR10=0;
VAR6=32'h67452301;
VAR12=32'hEFCDAB89;
VAR21=32'h98BADCFE;
VAR7=32'h10325476;
end
else
begin
VAR34=VAR9;
VAR8=VAR16;
VAR27=VAR33;
VAR31=VAR13;
VAR35=VAR37;
VAR44=VAR48;
VAR47=VAR17;
VAR6=VAR36;
VAR12=VAR30;
VAR21=VAR3;
VAR7=VAR2;
VAR23=VAR20;
VAR39=VAR4;
VAR43=VAR41;
VAR10=VAR25;
end
end
reg [127:0] VAR18;
reg [511:0] VAR1;
wire [31:0] VAR40,VAR11,VAR26,VAR42;
assign VAR40=VAR47+VAR6;
assign VAR11=VAR19+VAR12;
assign VAR26=VAR35+VAR21;
assign VAR42=VAR44+VAR7;
always @(VAR45 or VAR40 or VAR11 or VAR26 or VAR42 or VAR32 or VAR49 or VAR39 or VAR23 or VAR38 or VAR27 or VAR19 or VAR6 or VAR12 or VAR21 or VAR7 or VAR31 or VAR35 or VAR44 or VAR47)
begin
VAR36=VAR6;
VAR30=VAR12;
VAR3=VAR21;
VAR2=VAR7;
VAR20=VAR23;
VAR9=0;
VAR4=VAR39;
VAR16=0;
VAR1=VAR27;
VAR33=VAR27;
if(VAR45)
begin
VAR36=32'h67452301;
VAR30=32'hEFCDAB89;
VAR3=32'h98BADCFE;
VAR2=32'h10325476;
VAR4=0;
end
case(VAR39)
0 :
begin
if(VAR49)
begin
VAR1[511:384]=VAR32;
VAR33=VAR1;
VAR4=1;
end
end
1 :
begin
if(VAR49)
begin
VAR1[383:256]=VAR32;
VAR33=VAR1;
VAR4=2;
end
end
2 :
begin
if(VAR49)
begin
VAR1[255:128]=VAR32;
VAR33=VAR1;
VAR4=3;
end
end
3 :
begin
if(VAR49)
begin
VAR1[127:0]=VAR32;
VAR33=VAR1;
VAR4=4;
VAR20=1;
end
end
4 :
begin
VAR20=1;
VAR18[127:96]=VAR40;
VAR18[95:64]=VAR11;
VAR18[63:32]=VAR26;
VAR18[31:0]=VAR42;
VAR16=VAR18;
if(VAR38)
begin
VAR36=VAR40;
VAR30=VAR11;
VAR3=VAR26;
VAR2=VAR42;
VAR4=0;
VAR9=1;
VAR20=0;
end
end
endcase
end
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_1_1/zqynq_lab_1_design_axi_gpio_1_1_stub.v
| 2,420 |
module MODULE1(VAR11, VAR7, VAR8,
VAR18, VAR5, VAR9, VAR15, VAR13, VAR6,
VAR16, VAR2, VAR17, VAR10, VAR4, VAR3,
VAR12, VAR19, VAR1, VAR14, VAR20, VAR21)
;
input VAR11;
input VAR7;
input [8:0]VAR8;
input VAR18;
output VAR5;
input [31:0]VAR9;
input [3:0]VAR15;
input VAR13;
output VAR6;
output [1:0]VAR16;
output VAR2;
input VAR17;
input [8:0]VAR10;
input VAR4;
output VAR3;
output [31:0]VAR12;
output [1:0]VAR19;
output VAR1;
input VAR14;
output VAR20;
input [4:0]VAR21;
endmodule
|
mit
|
cpulabs/mist1032isa
|
src/dps/sci/dps_uart.v
| 5,941 |
module MODULE1(
input wire VAR32,
input wire VAR24,
input wire [3:0] VAR18,
input wire VAR38,
input wire VAR54,
input wire VAR10,
output wire VAR45,
input wire [7:0] VAR25,
output wire [3:0] VAR17,
output wire VAR47,
input wire VAR46,
input wire VAR14,
input wire VAR4,
output wire VAR23,
output wire [7:0] VAR21,
output wire [3:0] VAR34,
output wire VAR49,
output wire VAR55,
output wire VAR41,
input wire VAR27
);
reg [18:0] VAR56;
always @* begin
case(VAR18)
4'h0: VAR56 <= 19'd1302; 4'h1: VAR56 <= 19'd113636; 4'h2: VAR56 <= 19'd166666; 4'h3: VAR56 <= 19'd41666; 4'h4: VAR56 <= 19'd41666; 4'h5: VAR56 <= 19'd10416; 4'h6: VAR56 <= 19'd2604; 4'h7: VAR56 <= 19'd868; 4'h8: VAR56 <= 19'd2604; 4'h9: VAR56 <= 19'd651; 4'hA: VAR56 <= 19'd217; 4'hB: VAR56 <= 19'd108; 4'hC: VAR56 <= 19'd54; 4'hD: VAR56 <= 19'd27; 4'hE: VAR56 <= 19'd11; default: VAR56 <= 19'd1302; endcase
end
wire VAR30;
wire VAR51;
wire [7:0] VAR43;
wire VAR42;
wire VAR7;
wire [7:0] VAR57;
VAR53 VAR50(
.VAR2(!VAR24), .VAR37(VAR32), .VAR44(VAR25), .VAR15(!VAR30 && !VAR51), .VAR40(VAR54), .VAR13(VAR38 && VAR10), .VAR33(),
.VAR8(),
.VAR31(VAR30),
.VAR6(VAR45),
.VAR36(VAR43), .VAR5(VAR17)
);
VAR22 #(8, 16, 4) VAR50(
.VAR32(VAR32),
.VAR24(VAR24),
.VAR48(VAR54),
.VAR20(VAR17),
.VAR12(VAR38 && VAR10),
.VAR16(VAR25),
.VAR3(VAR45),
.VAR19(!VAR30 && !VAR51),
.VAR1(VAR43),
.VAR11(VAR30)
);
VAR53 VAR26(
.VAR2(!VAR24), .VAR37(VAR32), .VAR44(VAR57), .VAR15(VAR46 && VAR4), .VAR40(VAR14), .VAR13(VAR42 && !VAR7), .VAR33(),
.VAR8(),
.VAR31(VAR23),
.VAR6(VAR7),
.VAR36(VAR21), .VAR5(VAR34)
);
VAR22 #(8, 16, 4) VAR26(
.VAR32(VAR32),
.VAR24(VAR24),
.VAR48(VAR14),
.VAR20(VAR34),
.VAR12(VAR42 && !VAR7),
.VAR16(VAR57),
.VAR3(VAR7),
.VAR19(VAR46 && VAR4),
.VAR1(VAR21),
.VAR11(VAR23)
);
VAR29 #(1'b1, 20'd108) VAR9(
.VAR32(VAR32),
.VAR24(VAR24),
.VAR28({1'b0, VAR56}),
.VAR10(!VAR30 && !VAR51),
.VAR45(VAR51),
.VAR25(VAR43),
.VAR41(VAR41)
);
VAR35 #(1'b1, 20'd108) VAR52(
.VAR32(VAR32),
.VAR24(VAR24),
.VAR28({1'b0, VAR56}),
.VAR39(VAR42),
.VAR21(VAR57),
.VAR27(VAR27)
);
assign VAR47 = !VAR30 && !VAR51;
assign VAR49 = VAR42;
endmodule
|
bsd-2-clause
|
saiedhk/WhirlpoolHashEngine
|
whirlpool_wcipher_round.v
| 9,605 |
module MODULE1 (
output [0:511] VAR192,
input [0:511] VAR74,
input [0:511] VAR115
);
wire [7:0] VAR99, VAR5, VAR245, VAR111, VAR260, VAR207, VAR198, VAR191,
VAR83, VAR223, VAR208, VAR268, VAR25, VAR90, VAR203, VAR167,
VAR161, VAR137, VAR11, VAR64, VAR249, VAR173, VAR26, VAR159,
VAR105, VAR149, VAR38, VAR53, VAR91, VAR151, VAR262, VAR18,
VAR229, VAR166, VAR147, VAR15, VAR148, VAR71, VAR228, VAR168,
VAR194, VAR219, VAR42, VAR222, VAR206, VAR54, VAR141, VAR233,
VAR17, VAR152, VAR252, VAR98, VAR204, VAR129, VAR193, VAR88,
VAR189, VAR177, VAR144, VAR134, VAR199, VAR125, VAR130, VAR135;
wire [7:0] VAR256, VAR35, VAR247, VAR162, VAR118, VAR215, VAR139, VAR106,
VAR170, VAR211, VAR68, VAR65, VAR154, VAR205, VAR196, VAR224,
VAR72, VAR9, VAR202, VAR41, VAR10, VAR70, VAR226, VAR156,
VAR48, VAR238, VAR76, VAR44, VAR126, VAR31, VAR21, VAR23,
VAR117, VAR43, VAR176, VAR138, VAR69, VAR85, VAR214, VAR178,
VAR4, VAR236, VAR230, VAR22, VAR218, VAR185, VAR227, VAR146,
VAR133, VAR164, VAR86, VAR197, VAR94, VAR232, VAR57, VAR259,
VAR63, VAR255, VAR257, VAR124, VAR107, VAR36, VAR201, VAR12;
wire [7:0] VAR157, VAR29, VAR187, VAR172, VAR49, VAR145, VAR179, VAR225,
VAR140, VAR195, VAR267, VAR220, VAR271, VAR221, VAR92, VAR39,
VAR87, VAR270, VAR175, VAR66, VAR234, VAR60, VAR171, VAR73,
VAR209, VAR34, VAR212, VAR20, VAR16, VAR101, VAR112, VAR163,
VAR14, VAR120, VAR45, VAR136, VAR210, VAR1, VAR254, VAR213,
VAR180, VAR13, VAR123, VAR77, VAR216, VAR59, VAR217, VAR265,
VAR61, VAR37, VAR253, VAR186, VAR47, VAR184, VAR132, VAR143,
VAR109, VAR128, VAR241, VAR110, VAR266, VAR243, VAR258, VAR250;
wire [7:0] VAR89, VAR55, VAR96, VAR114, VAR28, VAR2, VAR58, VAR160,
VAR248, VAR84, VAR190, VAR75, VAR116, VAR188, VAR261, VAR51,
VAR103, VAR113, VAR80, VAR19, VAR183, VAR246, VAR244, VAR269,
VAR158, VAR142, VAR121, VAR93, VAR240, VAR81, VAR50, VAR235,
VAR33, VAR155, VAR24, VAR119, VAR30, VAR174, VAR102, VAR95,
VAR264, VAR239, VAR78, VAR40, VAR153, VAR169, VAR82, VAR52,
VAR242, VAR182, VAR46, VAR62, VAR237, VAR108, VAR200, VAR131,
VAR79, VAR251, VAR127, VAR67, VAR122, VAR6, VAR97, VAR32;
wire [0:511] VAR3;
VAR8 VAR104
(
.VAR256(VAR99), .VAR35(VAR5), .VAR247(VAR245), .VAR162(VAR111), .VAR118(VAR260), .VAR215(VAR207), .VAR139(VAR198), .VAR106(VAR191),
.VAR170(VAR83), .VAR211(VAR223), .VAR68(VAR208), .VAR65(VAR268), .VAR154(VAR25), .VAR205(VAR90), .VAR196(VAR203), .VAR224(VAR167),
.VAR72(VAR161), .VAR9(VAR137), .VAR202(VAR11), .VAR41(VAR64), .VAR10(VAR249), .VAR70(VAR173), .VAR226(VAR26), .VAR156(VAR159),
.VAR48(VAR105), .VAR238(VAR149), .VAR76(VAR38), .VAR44(VAR53), .VAR126(VAR91), .VAR31(VAR151), .VAR21(VAR262), .VAR23(VAR18),
.VAR117(VAR229), .VAR43(VAR166), .VAR176(VAR147), .VAR138(VAR15), .VAR69(VAR148), .VAR85(VAR71), .VAR214(VAR228), .VAR178(VAR168),
.VAR4(VAR194), .VAR236(VAR219), .VAR230(VAR42), .VAR22(VAR222), .VAR218(VAR206), .VAR185(VAR54), .VAR227(VAR141), .VAR146(VAR233),
.VAR133(VAR17), .VAR164(VAR152), .VAR86(VAR252), .VAR197(VAR98), .VAR94(VAR204), .VAR232(VAR129), .VAR57(VAR193), .VAR259(VAR88),
.VAR63(VAR189), .VAR255(VAR177), .VAR257(VAR144), .VAR124(VAR134), .VAR107(VAR199), .VAR36(VAR125), .VAR201(VAR130), .VAR12(VAR135),
.VAR181(VAR74)
);
VAR231 VAR27
(
.VAR256(VAR256), .VAR35(VAR35), .VAR247(VAR247), .VAR162(VAR162), .VAR118(VAR118), .VAR215(VAR215), .VAR139(VAR139), .VAR106(VAR106),
.VAR170(VAR170), .VAR211(VAR211), .VAR68(VAR68), .VAR65(VAR65), .VAR154(VAR154), .VAR205(VAR205), .VAR196(VAR196), .VAR224(VAR224),
.VAR72(VAR72), .VAR9(VAR9), .VAR202(VAR202), .VAR41(VAR41), .VAR10(VAR10), .VAR70(VAR70), .VAR226(VAR226), .VAR156(VAR156),
.VAR48(VAR48), .VAR238(VAR238), .VAR76(VAR76), .VAR44(VAR44), .VAR126(VAR126), .VAR31(VAR31), .VAR21(VAR21), .VAR23(VAR23),
.VAR117(VAR117), .VAR43(VAR43), .VAR176(VAR176), .VAR138(VAR138), .VAR69(VAR69), .VAR85(VAR85), .VAR214(VAR214), .VAR178(VAR178),
.VAR4(VAR4), .VAR236(VAR236), .VAR230(VAR230), .VAR22(VAR22), .VAR218(VAR218), .VAR185(VAR185), .VAR227(VAR227), .VAR146(VAR146),
.VAR133(VAR133), .VAR164(VAR164), .VAR86(VAR86), .VAR197(VAR197), .VAR94(VAR94), .VAR232(VAR232), .VAR57(VAR57), .VAR259(VAR259),
.VAR63(VAR63), .VAR255(VAR255), .VAR257(VAR257), .VAR124(VAR124), .VAR107(VAR107), .VAR36(VAR36), .VAR201(VAR201), .VAR12(VAR12),
.VAR99(VAR99), .VAR5(VAR5), .VAR245(VAR245), .VAR111(VAR111), .VAR260(VAR260), .VAR207(VAR207), .VAR198(VAR198), .VAR191(VAR191),
.VAR83(VAR83), .VAR223(VAR223), .VAR208(VAR208), .VAR268(VAR268), .VAR25(VAR25), .VAR90(VAR90), .VAR203(VAR203), .VAR167(VAR167),
.VAR161(VAR161), .VAR137(VAR137), .VAR11(VAR11), .VAR64(VAR64), .VAR249(VAR249), .VAR173(VAR173), .VAR26(VAR26), .VAR159(VAR159),
.VAR105(VAR105), .VAR149(VAR149), .VAR38(VAR38), .VAR53(VAR53), .VAR91(VAR91), .VAR151(VAR151), .VAR262(VAR262), .VAR18(VAR18),
.VAR229(VAR229), .VAR166(VAR166), .VAR147(VAR147), .VAR15(VAR15), .VAR148(VAR148), .VAR71(VAR71), .VAR228(VAR228), .VAR168(VAR168),
.VAR194(VAR194), .VAR219(VAR219), .VAR42(VAR42), .VAR222(VAR222), .VAR206(VAR206), .VAR54(VAR54), .VAR141(VAR141), .VAR233(VAR233),
.VAR17(VAR17), .VAR152(VAR152), .VAR252(VAR252), .VAR98(VAR98), .VAR204(VAR204), .VAR129(VAR129), .VAR193(VAR193), .VAR88(VAR88),
.VAR189(VAR189), .VAR177(VAR177), .VAR144(VAR144), .VAR134(VAR134), .VAR199(VAR199), .VAR125(VAR125), .VAR130(VAR130), .VAR135(VAR135)
);
VAR165 VAR263
(
.VAR256(VAR157), .VAR35(VAR29), .VAR247(VAR187), .VAR162(VAR172), .VAR118(VAR49), .VAR215(VAR145), .VAR139(VAR179), .VAR106(VAR225),
.VAR170(VAR140), .VAR211(VAR195), .VAR68(VAR267), .VAR65(VAR220), .VAR154(VAR271), .VAR205(VAR221), .VAR196(VAR92), .VAR224(VAR39),
.VAR72(VAR87), .VAR9(VAR270), .VAR202(VAR175), .VAR41(VAR66), .VAR10(VAR234), .VAR70(VAR60), .VAR226(VAR171), .VAR156(VAR73),
.VAR48(VAR209), .VAR238(VAR34), .VAR76(VAR212), .VAR44(VAR20), .VAR126(VAR16), .VAR31(VAR101), .VAR21(VAR112), .VAR23(VAR163),
.VAR117(VAR14), .VAR43(VAR120), .VAR176(VAR45), .VAR138(VAR136), .VAR69(VAR210), .VAR85(VAR1), .VAR214(VAR254), .VAR178(VAR213),
.VAR4(VAR180), .VAR236(VAR13), .VAR230(VAR123), .VAR22(VAR77), .VAR218(VAR216), .VAR185(VAR59), .VAR227(VAR217), .VAR146(VAR265),
.VAR133(VAR61), .VAR164(VAR37), .VAR86(VAR253), .VAR197(VAR186), .VAR94(VAR47), .VAR232(VAR184), .VAR57(VAR132), .VAR259(VAR143),
.VAR63(VAR109), .VAR255(VAR128), .VAR257(VAR241), .VAR124(VAR110), .VAR107(VAR266), .VAR36(VAR243), .VAR201(VAR258), .VAR12(VAR250),
.VAR99(VAR256), .VAR5(VAR35), .VAR245(VAR247), .VAR111(VAR162), .VAR260(VAR118), .VAR207(VAR215), .VAR198(VAR139), .VAR191(VAR106),
.VAR83(VAR170), .VAR223(VAR211), .VAR208(VAR68), .VAR268(VAR65), .VAR25(VAR154), .VAR90(VAR205), .VAR203(VAR196), .VAR167(VAR224),
.VAR161(VAR72), .VAR137(VAR9), .VAR11(VAR202), .VAR64(VAR41), .VAR249(VAR10), .VAR173(VAR70), .VAR26(VAR226), .VAR159(VAR156),
.VAR105(VAR48), .VAR149(VAR238), .VAR38(VAR76), .VAR53(VAR44), .VAR91(VAR126), .VAR151(VAR31), .VAR262(VAR21), .VAR18(VAR23),
.VAR229(VAR117), .VAR166(VAR43), .VAR147(VAR176), .VAR15(VAR138), .VAR148(VAR69), .VAR71(VAR85), .VAR228(VAR214), .VAR168(VAR178),
.VAR194(VAR4), .VAR219(VAR236), .VAR42(VAR230), .VAR222(VAR22), .VAR206(VAR218), .VAR54(VAR185), .VAR141(VAR227), .VAR233(VAR146),
.VAR17(VAR133), .VAR152(VAR164), .VAR252(VAR86), .VAR98(VAR197), .VAR204(VAR94), .VAR129(VAR232), .VAR193(VAR57), .VAR88(VAR259),
.VAR189(VAR63), .VAR177(VAR255), .VAR144(VAR257), .VAR134(VAR124), .VAR199(VAR107), .VAR125(VAR36), .VAR130(VAR201), .VAR135(VAR12)
);
VAR7 VAR100
(
.VAR256(VAR89), .VAR35(VAR55), .VAR247(VAR96), .VAR162(VAR114), .VAR118(VAR28), .VAR215(VAR2), .VAR139(VAR58), .VAR106(VAR160),
.VAR170(VAR248), .VAR211(VAR84), .VAR68(VAR190), .VAR65(VAR75), .VAR154(VAR116), .VAR205(VAR188), .VAR196(VAR261), .VAR224(VAR51),
.VAR72(VAR103), .VAR9(VAR113), .VAR202(VAR80), .VAR41(VAR19), .VAR10(VAR183), .VAR70(VAR246), .VAR226(VAR244), .VAR156(VAR269),
.VAR48(VAR158), .VAR238(VAR142), .VAR76(VAR121), .VAR44(VAR93), .VAR126(VAR240), .VAR31(VAR81), .VAR21(VAR50), .VAR23(VAR235),
.VAR117(VAR33), .VAR43(VAR155), .VAR176(VAR24), .VAR138(VAR119), .VAR69(VAR30), .VAR85(VAR174), .VAR214(VAR102), .VAR178(VAR95),
.VAR4(VAR264), .VAR236(VAR239), .VAR230(VAR78), .VAR22(VAR40), .VAR218(VAR153), .VAR185(VAR169), .VAR227(VAR82), .VAR146(VAR52),
.VAR133(VAR242), .VAR164(VAR182), .VAR86(VAR46), .VAR197(VAR62), .VAR94(VAR237), .VAR232(VAR108), .VAR57(VAR200), .VAR259(VAR131),
.VAR63(VAR79), .VAR255(VAR251), .VAR257(VAR127), .VAR124(VAR67), .VAR107(VAR122), .VAR36(VAR6), .VAR201(VAR97), .VAR12(VAR32),
.VAR99(VAR157), .VAR5(VAR29), .VAR245(VAR187), .VAR111(VAR172), .VAR260(VAR49), .VAR207(VAR145), .VAR198(VAR179), .VAR191(VAR225),
.VAR83(VAR140), .VAR223(VAR195), .VAR208(VAR267), .VAR268(VAR220), .VAR25(VAR271), .VAR90(VAR221), .VAR203(VAR92), .VAR167(VAR39),
.VAR161(VAR87), .VAR137(VAR270), .VAR11(VAR175), .VAR64(VAR66), .VAR249(VAR234), .VAR173(VAR60), .VAR26(VAR171), .VAR159(VAR73),
.VAR105(VAR209), .VAR149(VAR34), .VAR38(VAR212), .VAR53(VAR20), .VAR91(VAR16), .VAR151(VAR101), .VAR262(VAR112), .VAR18(VAR163),
.VAR229(VAR14), .VAR166(VAR120), .VAR147(VAR45), .VAR15(VAR136), .VAR148(VAR210), .VAR71(VAR1), .VAR228(VAR254), .VAR168(VAR213),
.VAR194(VAR180), .VAR219(VAR13), .VAR42(VAR123), .VAR222(VAR77), .VAR206(VAR216), .VAR54(VAR59), .VAR141(VAR217), .VAR233(VAR265),
.VAR17(VAR61), .VAR152(VAR37), .VAR252(VAR253), .VAR98(VAR186), .VAR204(VAR47), .VAR129(VAR184), .VAR193(VAR132), .VAR88(VAR143),
.VAR189(VAR109), .VAR177(VAR128), .VAR144(VAR241), .VAR134(VAR110), .VAR199(VAR266), .VAR125(VAR243), .VAR130(VAR258), .VAR135(VAR250)
);
VAR56 VAR150
(
.VAR181(VAR3[0:511]),
.VAR256(VAR89), .VAR35(VAR55), .VAR247(VAR96), .VAR162(VAR114), .VAR118(VAR28), .VAR215(VAR2), .VAR139(VAR58), .VAR106(VAR160),
.VAR170(VAR248), .VAR211(VAR84), .VAR68(VAR190), .VAR65(VAR75), .VAR154(VAR116), .VAR205(VAR188), .VAR196(VAR261), .VAR224(VAR51),
.VAR72(VAR103), .VAR9(VAR113), .VAR202(VAR80), .VAR41(VAR19), .VAR10(VAR183), .VAR70(VAR246), .VAR226(VAR244), .VAR156(VAR269),
.VAR48(VAR158), .VAR238(VAR142), .VAR76(VAR121), .VAR44(VAR93), .VAR126(VAR240), .VAR31(VAR81), .VAR21(VAR50), .VAR23(VAR235),
.VAR117(VAR33), .VAR43(VAR155), .VAR176(VAR24), .VAR138(VAR119), .VAR69(VAR30), .VAR85(VAR174), .VAR214(VAR102), .VAR178(VAR95),
.VAR4(VAR264), .VAR236(VAR239), .VAR230(VAR78), .VAR22(VAR40), .VAR218(VAR153), .VAR185(VAR169), .VAR227(VAR82), .VAR146(VAR52),
.VAR133(VAR242), .VAR164(VAR182), .VAR86(VAR46), .VAR197(VAR62), .VAR94(VAR237), .VAR232(VAR108), .VAR57(VAR200), .VAR259(VAR131),
.VAR63(VAR79), .VAR255(VAR251), .VAR257(VAR127), .VAR124(VAR67), .VAR107(VAR122), .VAR36(VAR6), .VAR201(VAR97), .VAR12(VAR32)
);
assign VAR192[0:511] = VAR3[0:511] ^ VAR115[0:511];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfrbp/sky130_fd_sc_hs__sdfrbp.behavioral.v
| 2,923 |
module MODULE1 (
VAR25,
VAR28 ,
VAR27 ,
VAR18 ,
VAR5 ,
VAR24 ,
VAR19 ,
VAR11 ,
VAR10
);
input VAR25;
input VAR28 ;
input VAR27 ;
output VAR18 ;
output VAR5 ;
input VAR24 ;
input VAR19 ;
input VAR11 ;
input VAR10 ;
wire VAR9 ;
wire VAR8 ;
wire VAR29 ;
reg VAR30 ;
wire VAR23 ;
wire VAR21 ;
wire VAR2 ;
wire VAR3;
wire VAR12 ;
wire VAR13 ;
wire VAR6 ;
wire VAR16 ;
wire VAR4 ;
wire VAR1 ;
wire VAR14 ;
not VAR26 (VAR8 , VAR3 );
VAR15 VAR7 (VAR29, VAR23, VAR21, VAR2 );
VAR17 VAR22 (VAR9 , VAR29, VAR12, VAR8, VAR30, VAR11, VAR10);
assign VAR13 = ( VAR11 === 1'b1 );
assign VAR6 = ( ( VAR3 === 1'b1 ) && VAR13 );
assign VAR16 = ( ( VAR2 === 1'b0 ) && VAR6 );
assign VAR4 = ( ( VAR2 === 1'b1 ) && VAR6 );
assign VAR1 = ( ( VAR23 !== VAR21 ) && VAR6 );
assign VAR14 = ( ( VAR25 === 1'b1 ) && VAR13 );
buf VAR31 (VAR18 , VAR9 );
not VAR20 (VAR5 , VAR9 );
endmodule
|
apache-2.0
|
gyurco/ZX_Spectrum-128K_MIST
|
mouse.v
| 2,097 |
module MODULE1
(
input VAR7,
input reset,
input [24:0] VAR12,
input [2:0] addr,
output sel,
output [7:0] dout
);
assign dout = VAR1;
assign sel = VAR10;
reg [1:0] VAR6;
reg VAR13;
reg [11:0] VAR9;
reg [11:0] VAR5;
wire [11:0] VAR8 = VAR9 + {{4{VAR12[4]}},VAR12[15:8]};
wire [11:0] VAR11 = VAR5 + {{4{VAR12[5]}},VAR12[23:16]};
reg [1:0] VAR4;
reg [7:0] VAR1;
reg VAR10;
always @* begin
VAR10 = 1;
casex(addr)
3'b011: VAR1 = VAR9[7:0];
3'b111: VAR1 = VAR5[7:0];
3'VAR2: VAR1 = ~{5'b00000,VAR13, VAR6[VAR4[1]], VAR6[~VAR4[1]]} ;
default: {VAR10,VAR1} = 8'hFF;
endcase
end
always @(posedge VAR7) begin
reg VAR3;
VAR3 <= VAR12[24];
if(reset) begin
VAR9 <= 128; VAR5 <= 0;
VAR6 <= 0;
VAR4 <= 0;
end else begin
if(VAR3 != VAR12[24]) begin
if(!VAR4) VAR4 <= VAR12[1:0];
{VAR13,VAR6} <= VAR12[2:0];
VAR9 <= VAR8;
VAR5 <= VAR11;
end
end
end
endmodule
|
gpl-2.0
|
AbhishekShah212/School_Projects
|
ELEN232/pset5/FullAdder.v
| 1,772 |
module MODULE1(
input [3:0] VAR17,
input [3:0] VAR18,
input VAR16,
output [3:0] VAR19,
output VAR12
);
wire VAR20, VAR15, VAR4;
VAR7 VAR3 (
.VAR17(VAR17[0]),
.VAR18(VAR18[0] ^ VAR16), .VAR19(VAR19[0]),
.VAR5(VAR20),
.VAR8(VAR16)
);
VAR7 VAR11 (
.VAR17(VAR17[1]),
.VAR18(VAR18[1] ^ VAR16),
.VAR19(VAR19[1]),
.VAR5(VAR15), .VAR8(VAR20)
);
VAR7 VAR1 (
.VAR17(VAR17[2]),
.VAR18(VAR18[2] ^ VAR16),
.VAR19(VAR19[2]),
.VAR5(VAR4), .VAR8(VAR15)
);
VAR7 VAR9 (
.VAR17(VAR17[3]),
.VAR18(VAR18[3] ^ VAR16),
.VAR19(VAR19[3]),
.VAR5(VAR5), .VAR8(VAR4)
);
VAR14 VAR6 (
.VAR13(VAR17[3]),
.VAR10(VAR18[3]),
.VAR16(VAR16),
.VAR2(VAR19[3]),
.VAR12(VAR12)
);
endmodule
|
mit
|
mamijaz/RISC-V
|
src/riscv_pipeline/write_back/MULTIPLEXER_2_TO_1.v
| 1,126 |
module MODULE1 #(
parameter VAR6 = 32
) (
input [VAR6 - 1 : 0] VAR5 ,
input [VAR6 - 1 : 0] VAR4 ,
input VAR1 ,
output [VAR6 - 1 : 0] VAR3
);
reg [VAR6 - 1 : 0] VAR2;
always@(*)
begin
case(VAR1)
1'b0:
begin
VAR2 = VAR5;
end
1'b1:
begin
VAR2 = VAR4;
end
endcase
end
assign VAR3 = VAR2;
endmodule
|
bsd-2-clause
|
ashikpoojari/NIDS-Wu-Manber
|
Wu_Manber_register.v
| 1,654 |
module MODULE1 #(parameter VAR5=8)
(input clk,
input reset,
input VAR4,
input VAR3,
input [VAR5-1:0] VAR2,
output reg [VAR5-1:0] VAR1);
always @ (posedge clk) begin
if(VAR4 || reset)
VAR1 <= 0;
end
else if(VAR3) begin
VAR1 <= VAR2;
end
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dlya/gf180mcu_fd_sc_mcu9t5v0__dlya_4.behavioral.pp.v
| 1,164 |
module MODULE1( VAR3, VAR7, VAR4, VAR6 );
input VAR3;
inout VAR4, VAR6;
output VAR7;
VAR2 VAR5(.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6));
VAR2 VAR1(.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6));
|
apache-2.0
|
ShirmanXia/EE469SPRING16
|
lab3/nios_system/synthesis/submodules/nios_system_nios2_qsys_0.v
| 5,818 |
module MODULE1 (
input wire clk, input wire VAR1, input wire VAR9, output wire [18:0] VAR22, output wire [3:0] VAR17, output wire VAR8, input wire [31:0] VAR23, input wire VAR25, output wire VAR6, output wire [31:0] VAR26, output wire VAR21, output wire [18:0] VAR18, output wire VAR3, input wire [31:0] VAR13, input wire VAR12, input wire [31:0] irq, output wire VAR24, input wire [8:0] VAR11, input wire [3:0] VAR7, input wire VAR10, input wire VAR4, output wire [31:0] VAR16, output wire VAR2, input wire VAR5, input wire [31:0] VAR15, output wire VAR20 );
VAR19 VAR14 (
.clk (clk), .VAR1 (VAR1), .VAR9 (VAR9), .VAR22 (VAR22), .VAR17 (VAR17), .VAR8 (VAR8), .VAR23 (VAR23), .VAR25 (VAR25), .VAR6 (VAR6), .VAR26 (VAR26), .VAR21 (VAR21), .VAR18 (VAR18), .VAR3 (VAR3), .VAR13 (VAR13), .VAR12 (VAR12), .irq (irq), .VAR24 (VAR24), .VAR11 (VAR11), .VAR7 (VAR7), .VAR10 (VAR10), .VAR4 (VAR4), .VAR16 (VAR16), .VAR2 (VAR2), .VAR5 (VAR5), .VAR15 (VAR15), .VAR20 (VAR20) );
endmodule
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/axi_traffic_gen_v2_0_7/hdl/src/verilog/axi_traffic_gen_v2_0_s_r_channel.v
| 26,077 |
module MODULE1
parameter VAR107 = 32'hffffffff,
parameter VAR176 = 32'h00000000,
parameter VAR20 = 1 ,
parameter VAR134 = 0 ,
parameter VAR14 = 1 ,
parameter VAR59 = 8 ,
parameter VAR44 = 32 ,
parameter VAR37 = 1 ,
parameter VAR78 = 0
) (
input VAR58 ,
input VAR120 ,
input [VAR14-1:0] VAR34 ,
input [31:0] VAR3 ,
input [7:0] VAR30 ,
input [2:0] VAR175 ,
input [1:0] VAR123 ,
input [0:0] VAR162 ,
input [3:0] VAR170 ,
input [2:0] VAR168 ,
input [3:0] VAR84 ,
input [VAR59-1:0] VAR186 ,
input VAR129 ,
output VAR55 ,
output [VAR14-1:0] VAR2 ,
output VAR24 ,
output [VAR44-1:0] VAR145 ,
output [1:0] VAR35 ,
output VAR4 ,
input VAR13 ,
input VAR161 ,
output [15:0] VAR33 ,
input [31:0] VAR31 ,
input VAR94 ,
output reg VAR135 ,
output reg [71:0] VAR79 ,
input VAR102 ,
output reg [71:0] VAR27 ,
output[71:0] VAR43 ,
input VAR110 ,
output reg VAR57 ,
output VAR96 ,
output VAR49 ,
output [15:0] VAR171 ,
input [VAR44-1:0] VAR60 ,
input [31:0] VAR11 ,
output [15:0] VAR1 ,
output VAR38 ,
output VAR61 ,
output [71:0] VAR180 ,
input [127:0] VAR178
);
wire [31:0] VAR77 = VAR107;
wire [31:0] VAR80 = VAR176;
wire [31:0] VAR42 = VAR77[31:0] ^ VAR80[31:0];
wire [7:0] VAR167 = VAR30[7:0] ;
wire [31:0] VAR121 = VAR3[31:0] & VAR42[31:0];
wire VAR124 = (VAR121[15:14] == 2'b11);
wire VAR70 = ~VAR124 && VAR3[15] && ~VAR3[13];
wire [15:0] VAR53 = VAR34[VAR14-1:0];
wire [71:0] VAR91 = {
VAR53[15:0], VAR124, VAR70, VAR168[2:0], VAR175[2:0], VAR123[1:0], 1'b0,VAR162[0:0], VAR170[3:0], VAR167[7:0], VAR3[31:0] };
wire VAR116, VAR113, VAR131, VAR172;
wire VAR158;
wire VAR62 = VAR129 && VAR55;
wire VAR146;
assign VAR38 = VAR116;
VAR98 #(
.VAR12 (72),
.VAR179 (8 ),
.VAR95 (3 ),
.VAR118 (1 ),
.VAR137(6 )
) VAR159 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR56 (VAR91[71:0] ),
.VAR45 (VAR62 ),
.VAR6 (VAR146 ),
.VAR164 (VAR180[71:0] ),
.VAR133 ( ),
.VAR181 (VAR158 ),
.VAR15 ( ),
.VAR46 (VAR61 ),
.VAR26 ( )
);
assign VAR55 = VAR158;
wire [1:0] VAR54 = VAR180[45:44];
wire [71:0] VAR29 = VAR180[71:0];
wire VAR28 ;
wire VAR52 ;
wire VAR87 ;
wire VAR160 ;
wire VAR132 ;
wire VAR147 ;
wire [71:0] VAR126 ;
wire [1:0] VAR68 ;
generate if(VAR134 == 0) begin : VAR100
assign VAR28 = VAR61 && (VAR54[1:0] == 2'b01) &&
(VAR134 == 0);
assign VAR52 = ~VAR102 ||
(VAR79[71:56] == VAR29[71:56]);
assign VAR87 = ~VAR110 ||
(VAR27[71:56] == VAR29[71:56]);
assign VAR160 = ~VAR52 && ~VAR87;
assign VAR132 = (VAR160) ? VAR57 :
VAR87;
assign VAR96 = VAR28 && ~VAR132;
assign VAR49 = VAR28 && VAR132;
assign VAR147 = (VAR160 && VAR28) ?
~VAR57 : VAR57;
assign VAR126 = (VAR96) ? VAR29[71:0] :
VAR79[71:0];
assign VAR43 = (VAR49) ? VAR29[71:0] :
VAR27[71:0];
assign VAR68 = (VAR54[1:0] == 2'b01) ? 2'b01 : 2'b00;
end
endgenerate
generate if(VAR134 == 1) begin : VAR142
assign VAR126 = 72'h0;
assign VAR43 = 72'h0;
assign VAR147 = 1'b0;
assign VAR96 = 1'b0;
assign VAR49 = 1'b0;
assign VAR68 = 2'b00;
end
endgenerate
wire VAR184, VAR18, VAR81, VAR127;
wire VAR174, VAR25, VAR75, VAR139;
wire [3:0] VAR119;
wire [VAR14-1:0] VAR151 = VAR180[71:56];
wire VAR5 = VAR116 && ~(VAR174 && VAR184);
wire VAR63 = VAR113 && ~(VAR25 && VAR18);
wire VAR104 = VAR131 && ~(VAR75 && VAR81);
wire VAR125 = VAR172 && ~(VAR139 && VAR127);
wire [3:0] VAR149 = { VAR125, VAR104,
VAR63, VAR5 };
wire [3:0] VAR130 = ~VAR149[3:0] & VAR119[3:0];
wire [3:0] VAR10 = ~VAR149[3:0];
assign VAR146 = VAR61 && (VAR130[3:0] != 4'h0);
wire VAR106;
generate if(VAR37 == 0) begin : VAR93
assign VAR106 = 1'b0;
end
endgenerate
generate if(VAR37 == 1) begin : VAR153
assign VAR106 = 1'b1;
end
endgenerate
VAR16 #(
.VAR17(VAR14)
) VAR169 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR99 (VAR151[VAR14-1:0]),
.VAR45 (VAR61 ),
.VAR115 ({ VAR14 { 1'b0 } } ),
.VAR83 (VAR10[3:0] ),
.VAR112(VAR106 ),
.VAR148 (VAR119[3:0] ),
.VAR67( ),
.VAR138 ( )
);
wire [3:0] VAR74 = (VAR146) ? VAR130[3:0] : 4'h0;
wire [15:0] VAR65;
wire [VAR44/8-1:0] VAR122;
VAR8 #(
.VAR36 (0) ,
.VAR50 (VAR44),
.VAR157 (1) ,
.VAR37(VAR37) ,
.VAR78 (VAR78)
) VAR23 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR143 ({VAR180[55:54], VAR180[13:0]} ),
.VAR150({1'b0,VAR180[7:0] } ),
.VAR105 ({ VAR68[1:0], VAR180[69:56] }),
.VAR64 (VAR180[39:32] ),
.VAR22 (VAR180[50:48] ),
.VAR108 (6'b000000 ),
.VAR101 (VAR180[47:46] ),
.VAR45 (VAR74[0] ),
.VAR6 (VAR184 ),
.VAR128 (1'b0 ),
.VAR183 ( ),
.VAR40 (VAR1[15:0] ),
.VAR117 (VAR122[VAR44/8-1:0] ),
.VAR109 (VAR65[15:0] ),
.VAR82 (VAR174 ),
.VAR46 (VAR116 )
);
wire [15:0] VAR92, VAR88;
wire [VAR44/8-1:0] VAR185;
wire [15:0] VAR69, VAR177;
wire [VAR44/8-1:0] VAR152;
wire [15:0] VAR182, VAR73;
wire [VAR44/8-1:0] VAR76;
generate if(VAR37 == 1) begin : VAR136
assign VAR25 = 1'b0;
assign VAR75 = 1'b0;
assign VAR139 = 1'b0;
assign VAR113 = 1'b0;
assign VAR131 = 1'b0;
assign VAR172 = 1'b0;
end
endgenerate
generate if(VAR37 == 0) begin : VAR90
VAR8 #(
.VAR36 (0) ,
.VAR50 (VAR44),
.VAR157 (1) ,
.VAR37(VAR37) ,
.VAR78 (VAR78)
) VAR86 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR143 ({VAR180[55:54], VAR180[13:0]} ),
.VAR150({1'b0,VAR180[7:0] } ),
.VAR105 ({ VAR68[1:0], VAR180[69:56] }),
.VAR64 (VAR180[39:32] ),
.VAR22 (VAR180[50:48] ),
.VAR108 (6'b000000 ),
.VAR101 (VAR180[47:46] ),
.VAR45 (VAR74[1] ),
.VAR6 (VAR18 ),
.VAR128 (1'b0 ),
.VAR183 ( ),
.VAR40 (VAR92[15:0] ),
.VAR117 (VAR185[VAR44/8-1:0] ),
.VAR109 (VAR88[15:0] ),
.VAR82 (VAR25 ),
.VAR46 (VAR113 )
);
VAR8 #(
.VAR36 (0) ,
.VAR50 (VAR44),
.VAR157 (1) ,
.VAR37(VAR37) ,
.VAR78 (VAR78)
) VAR9 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR143 ({VAR180[55:54], VAR180[13:0]} ),
.VAR150({1'b0,VAR180[7:0] } ),
.VAR105 ({ VAR68[1:0], VAR180[69:56] }),
.VAR64 (VAR180[39:32] ),
.VAR22 (VAR180[50:48] ),
.VAR108 (6'b000000 ),
.VAR101 (VAR180[47:46] ),
.VAR45 (VAR74[2] ),
.VAR6 (VAR81 ),
.VAR128 (1'b0 ),
.VAR183 ( ),
.VAR40 (VAR69[15:0] ),
.VAR117 (VAR152[VAR44/8-1:0] ),
.VAR109 (VAR177[15:0] ),
.VAR82 (VAR75 ),
.VAR46 (VAR131 )
);
VAR8 #(
.VAR36 (0) ,
.VAR50 (VAR44),
.VAR157 (1) ,
.VAR37(VAR37) ,
.VAR78 (VAR78)
) VAR41 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR143 ({VAR180[55:54], VAR180[13:0]} ),
.VAR150({1'b0,VAR180[7:0] } ),
.VAR105 ({ VAR68[1:0], VAR180[69:56] }),
.VAR64 (VAR180[39:32] ),
.VAR22 (VAR180[50:48] ),
.VAR108 (6'b000000 ),
.VAR101 (VAR180[47:46] ),
.VAR45 (VAR74[3] ),
.VAR6 (VAR127 ),
.VAR128 (1'b0 ),
.VAR183 ( ),
.VAR40 (VAR182[15:0] ),
.VAR117 (VAR76[VAR44/8-1:0] ),
.VAR109 (VAR73[15:0] ),
.VAR82 (VAR139 ),
.VAR46 (VAR172 )
);
end
endgenerate
wire [3:0] VAR89 ;
wire [15:0] VAR32 ;
wire [VAR44/8-1:0] VAR166 ;
wire VAR141 ;
generate if(VAR37 == 0) begin : VAR66
assign VAR89 = (VAR172) ? 4'h8 :
(VAR131) ? 4'h4 :
(VAR113) ? 4'h2 :
(VAR116) ? 4'h1 : 4'h0;
assign VAR171 = ((VAR89[0]) ? VAR1[15:0] : 16'h0) |
((VAR89[1]) ? VAR92[15:0] : 16'h0) |
((VAR89[2]) ? VAR69[15:0] : 16'h0) |
((VAR89[3]) ? VAR182[15:0] : 16'h0);
assign VAR32 = ((VAR89[0]) ? VAR65[15:0] : 16'h0) |
((VAR89[1]) ? VAR88[15:0] : 16'h0) |
((VAR89[2]) ? VAR177[15:0] : 16'h0) |
((VAR89[3]) ? VAR73[15:0] : 16'h0);
assign VAR166 =
((VAR89[0]) ? VAR122[VAR44/8-1:0] : {(VAR44/8-1){1'b0}}) |
((VAR89[1]) ? VAR185[VAR44/8-1:0] : {(VAR44/8-1){1'b0}}) |
((VAR89[2]) ? VAR152[VAR44/8-1:0] : {(VAR44/8-1){1'b0}}) |
((VAR89[3]) ? VAR76[VAR44/8-1:0] : {(VAR44/8-1){1'b0}}) ;
assign VAR141 = ((VAR89[0]) ? VAR174 : 1'b0) |
((VAR89[1]) ? VAR25 : 1'b0) |
((VAR89[2]) ? VAR75 : 1'b0) |
((VAR89[3]) ? VAR139 : 1'b0);
end
endgenerate
generate if(VAR37 == 1) begin : VAR71
assign VAR89 = (VAR116) ? 4'h1 : 4'h0;
assign VAR171 = ((VAR89[0]) ? VAR1[15:0] : 16'h0) ;
assign VAR32 = ((VAR89[0]) ? VAR65[15:0] : 16'h0) ;
assign VAR166 =
((VAR89[0]) ? VAR122[VAR44/8-1:0] : {(VAR44/8-1){1'b0}}) ;
assign VAR141 = ((VAR89[0]) ? VAR174 : 1'b0) ;
end
endgenerate
assign VAR33 = 16'h1 << VAR171[5:2];
wire VAR103 = (VAR171[15:14] == 2'b00) && VAR33[13] &&
VAR171[7];
wire [1:0] VAR51 = (VAR94) ? 2'b00 :
(VAR103) ? 2'b10 : VAR32[15:14];
wire [56+VAR44/8-1:0] VAR173 = { VAR166[VAR44/8-1:0], VAR32[15:0], VAR171[15:14], VAR171[3:2], 1'b0, VAR141, VAR51[1:0], VAR31[31:0] };
wire VAR144;
wire [VAR44+24-1:0] VAR7;
reg VAR21;
assign VAR184 = VAR89[0] && ~VAR144 ;
assign VAR18 = VAR89[1] && ~VAR144 ;
assign VAR81 = VAR89[2] && ~VAR144 ;
assign VAR127 = VAR89[3] && ~VAR144 ;
wire VAR19 = VAR184 || VAR18 || VAR81 || VAR127;
wire VAR156 = VAR19 && VAR33[6] &&
(VAR173[39:38] == 2'b00) && (VAR171[13] == 1'b0);
reg [56+VAR44/8-1:0] VAR85;
reg VAR114;
always @(posedge VAR58) begin
VAR85 <= (VAR120) ? VAR173 : 'h0;
VAR114 <= (VAR120) ? VAR171[13] : 'h0;
VAR21 <= (VAR120) ? VAR19 : 1'b0;
VAR135 <= (VAR120) ? VAR156 : 1'b0;
VAR79[71:0] <= (VAR120) ? VAR126[71:0] : 72'h0;
VAR27[71:0] <= (VAR120) ? VAR43[71:0] : 72'h0;
VAR57 <= (VAR120) ? VAR147 : 1'b0;
end
wire [31:0] VAR163 =
((VAR85[37:36] == 2'b00) ? VAR178[31:0] : 32'h0) |
((VAR85[37:36] == 2'b01) ? VAR178[63:32] : 32'h0) |
((VAR85[37:36] == 2'b10) ? VAR178[95:64] : 32'h0) |
((VAR85[37:36] == 2'b11) ? VAR178[127:96] : 32'h0);
wire [VAR44-1:0] VAR140 ;
assign VAR140 =
(VAR85[39] && ~VAR114) ? VAR60[VAR44-1:0] :
(VAR85[38] && ~VAR114) ? { 2 { VAR163[31:0] } } :
(VAR114) ? { 2 { VAR11[31:0] } } :
{ 2 { VAR85[31:0] } };
wire [VAR44/8-1:0] VAR97 = VAR85[56+VAR44/8-1:
56];
wire [VAR44-1:0] VAR47 ;
generate if(VAR44 == 32) begin : VAR111
assign VAR47 = {
{ 8 { VAR97[3] } }, { 8 { VAR97[2] } },
{ 8 { VAR97[1] } }, { 8 { VAR97[0] } }
};
end
endgenerate
generate if(VAR44 == 64) begin : VAR72
assign VAR47 = {
{ 8 { VAR97[7] } }, { 8 { VAR97[6] } },
{ 8 { VAR97[5] } }, { 8 { VAR97[4] } },
{ 8 { VAR97[3] } }, { 8 { VAR97[2] } },
{ 8 { VAR97[1] } }, { 8 { VAR97[0] } }
};
end
endgenerate
wire [VAR44-1:0] VAR155 =
VAR140[VAR44-1:0] ;
reg [VAR44+24-1:0] VAR154;
reg VAR39;
always @ (posedge VAR58) begin
VAR154 <= (VAR120) ? ({ VAR85[55:32], VAR155[VAR44-1:0] }) : {(VAR44+24){1'b0}};
VAR39 <= (VAR120) ? VAR21 : 1'b0;
end
VAR98 #(
.VAR12 (VAR44+24 ),
.VAR179 (8 ),
.VAR95 (3 ),
.VAR48(VAR20 ),
.VAR118 (1 ),
.VAR137 (6 )
) VAR165 (
.VAR58 (VAR58 ),
.VAR120 (VAR120 ),
.VAR56 (VAR154 ),
.VAR45 (VAR39 ),
.VAR6 ((VAR4 && VAR13 )),
.VAR164 (VAR7[VAR44+24-1:0] ),
.VAR133 (VAR144 ),
.VAR181 ( ),
.VAR15 ( ),
.VAR46 (VAR4 ),
.VAR26 ( )
);
assign VAR145[VAR44-1:0] = VAR7[VAR44-1:0];
assign VAR35[1:0] = VAR7[VAR44+2-1:VAR44];
assign VAR24 = VAR7[VAR44+2];
assign VAR2[VAR14-1:0] =
VAR7[VAR44+23:VAR44+8];
endmodule
|
gpl-3.0
|
HighlandersFRC/fpga
|
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_interconnect_model.v
| 16,899 |
module MODULE1 (
VAR15,
VAR218,
VAR40,
VAR7,
VAR21,
VAR170,
VAR128,
VAR30,
VAR222,
VAR33,
VAR17,
VAR60,
VAR214,
VAR260,
VAR190,
VAR78,
VAR125,
VAR235,
VAR223,
VAR51,
VAR54,
VAR245,
VAR146,
VAR28,
VAR144,
VAR203,
VAR83,
VAR229,
VAR232,
VAR180,
VAR57,
VAR204,
VAR208,
VAR130,
VAR273,
VAR142,
VAR163,
VAR151,
VAR98,
VAR162,
VAR10,
VAR114,
VAR1,
VAR97,
VAR252,
VAR101,
VAR266,
VAR255,
VAR195,
VAR215,
VAR224,
VAR239,
VAR157,
VAR104,
VAR124,
VAR185,
VAR236,
VAR206,
VAR212,
VAR86,
VAR91,
VAR133,
VAR150,
VAR143,
VAR96,
VAR155,
VAR64,
VAR272,
VAR67,
VAR53,
VAR23,
VAR261,
VAR248,
VAR84,
VAR251,
VAR247,
VAR120,
VAR216,
VAR35,
VAR102,
VAR152,
VAR139,
VAR5,
VAR13,
VAR122,
VAR263,
VAR110,
VAR184,
VAR79,
VAR132,
VAR76,
VAR230,
VAR45,
VAR196,
VAR48,
VAR246,
VAR197,
VAR127,
VAR55,
VAR119,
VAR116,
VAR154,
VAR148,
VAR107,
VAR137,
VAR126,
VAR219,
VAR56,
VAR205,
VAR221,
VAR220,
VAR238,
VAR77,
VAR166,
VAR75,
VAR112,
VAR175,
VAR65,
VAR26,
VAR169,
VAR37,
VAR63,
VAR202,
VAR19,
VAR4,
VAR62,
VAR233,
VAR14,
VAR168,
VAR22,
VAR177,
VAR228,
VAR210,
VAR93,
VAR34,
VAR241,
VAR36,
VAR31,
VAR267,
VAR181,
VAR147,
VAR123,
VAR138,
VAR173,
VAR82,
VAR256,
VAR158,
VAR135,
VAR161,
VAR117,
VAR70,
VAR174,
VAR160,
VAR129,
VAR193,
VAR41,
VAR189,
VAR270,
VAR95,
VAR42,
VAR227,
VAR201,
VAR25,
VAR71
);
input VAR15;
input VAR218;
input [VAR225-1:0] VAR40;
input [VAR225-1:0] VAR7;
input [VAR225-1:0] VAR21;
input [VAR225-1:0] VAR170;
input [VAR225-1:0] VAR128;
input [VAR225-1:0] VAR30;
input [VAR225-1:0] VAR222;
input [VAR225-1:0] VAR33;
input [VAR225-1:0] VAR17;
input [VAR225-1:0] VAR60;
input [VAR225-1:0] VAR214;
input [VAR225-1:0] VAR260;
output [VAR225-1:0] VAR158;
output [VAR225-1:0] VAR135;
output VAR190;
output VAR78;
input[VAR121-1:0] VAR125;
input[VAR105-1:0] VAR235;
input[VAR249:0] VAR223;
input VAR51;
input VAR54;
input VAR245;
input VAR146;
input VAR28;
input[VAR105-1:0] VAR144;
input[VAR249:0] VAR203;
output[VAR121-1:0] VAR83;
output[VAR121-1:0] VAR229;
output[VAR121-1:0] VAR232;
output VAR180;
output VAR57;
output VAR204;
output VAR208;
output VAR130;
input[VAR121-1:0] VAR273;
input[VAR105-1:0] VAR142;
input[VAR249:0] VAR163;
input VAR151;
input VAR98;
input VAR162;
input VAR10;
input VAR114;
input[VAR105-1:0] VAR1;
input[VAR249:0] VAR97;
output[VAR121-1:0] VAR252;
output[VAR121-1:0] VAR101;
output[VAR121-1:0] VAR266;
output VAR255;
output VAR195;
output VAR215;
output VAR224;
output VAR239;
input[VAR121-1:0] VAR157;
input[VAR105-1:0] VAR104;
input[VAR249:0] VAR124;
input VAR185;
input VAR236;
input VAR206;
input VAR212;
input[VAR105-1:0] VAR86;
input[VAR249:0] VAR91;
output[VAR121-1:0] VAR133;
output[VAR121-1:0] VAR150;
output VAR143;
output VAR96;
output VAR155;
output VAR64;
input[VAR121-1:0] VAR272;
input[VAR105-1:0] VAR67;
input[VAR249:0] VAR53;
input VAR23;
input VAR261;
input VAR248;
input VAR84;
input[VAR105-1:0] VAR251;
input[VAR249:0] VAR247;
output[VAR121-1:0] VAR120;
output[VAR121-1:0] VAR216;
output VAR35;
output VAR102;
output VAR152;
output VAR139;
input[VAR121-1:0] VAR5;
input[VAR105-1:0] VAR13;
input[VAR249:0] VAR122;
input VAR263;
input VAR110;
input VAR184;
input VAR79;
input[VAR105-1:0] VAR132;
input[VAR249:0] VAR76;
output[VAR121-1:0] VAR230;
output[VAR121-1:0] VAR45;
output VAR196;
output VAR48;
output VAR246;
output VAR197;
input[VAR121-1:0] VAR127;
input[VAR105-1:0] VAR55;
input[VAR249:0] VAR119;
input VAR116;
input VAR154;
input VAR148;
input VAR107;
input[VAR105-1:0] VAR137;
input[VAR249:0] VAR126;
output[VAR121-1:0] VAR219;
output[VAR121-1:0] VAR56;
output VAR205;
output VAR221;
input VAR220;
output VAR238;
output VAR77;
input VAR166;
output[VAR105-1:0] VAR75;
output[VAR121-1:0] VAR112;
output[VAR249:0] VAR175;
output[VAR105-1:0] VAR65;
input[VAR121-1:0] VAR26;
output[VAR249:0] VAR169;
output [VAR225-1:0] VAR37;
output [VAR225-1:0] VAR63;
input VAR202;
output VAR19;
output VAR4;
input VAR62;
output[VAR105-1:0] VAR233;
output[VAR121-1:0] VAR14;
output[VAR249:0] VAR168;
output[VAR105-1:0] VAR22;
input[VAR121-1:0] VAR177;
output[VAR249:0] VAR228;
output [VAR225-1:0] VAR210;
output [VAR225-1:0] VAR93;
input VAR34;
output VAR241;
output VAR36;
input VAR31;
output[VAR105-1:0] VAR267;
output[VAR121-1:0] VAR181;
output[VAR249:0] VAR147;
output[VAR105-1:0] VAR123;
input[VAR121-1:0] VAR138;
output[VAR249:0] VAR173;
output [VAR225-1:0] VAR82;
output [VAR225-1:0] VAR256;
input VAR160;
output VAR161;
output VAR129;
input VAR270;
output[VAR121-1:0] VAR117;
output[VAR105-1:0] VAR70;
output[VAR249:0] VAR174;
input[VAR121-1:0] VAR193;
output[VAR105-1:0] VAR41;
output[VAR249:0] VAR189;
output [VAR225-1:0] VAR95;
output VAR42;
input VAR71;
input[VAR121-1:0] VAR227;
output[VAR105-1:0] VAR201;
output[VAR249:0] VAR25;
wire VAR187;
wire VAR159;
wire[VAR121-1:0] VAR178;
wire[VAR121-1:0] VAR172;
wire[VAR105-1:0] VAR44;
wire[VAR105-1:0] VAR74;
wire[VAR249:0] VAR191;
wire[VAR249:0] VAR136;
wire VAR176;
wire VAR134;
wire VAR113;
wire VAR258;
wire[VAR121-1:0] VAR234;
wire[VAR121-1:0] VAR32;
wire[VAR105-1:0] VAR106;
wire[VAR105-1:0] VAR199;
wire[VAR249:0] VAR49;
wire[VAR249:0] VAR156;
wire VAR240;
wire VAR111;
wire [VAR225-1:0] VAR100;
wire [VAR225-1:0] VAR188;
wire [VAR225-1:0] VAR9;
wire [VAR225-1:0] VAR50;
VAR182 VAR68 (
.VAR218(VAR218),
.VAR15(VAR15),
.VAR40(VAR40),
.VAR222(VAR222),
.VAR78(VAR78),
.VAR190(VAR190),
.VAR125(VAR125),
.VAR235(VAR235),
.VAR223(VAR223),
.VAR54(VAR54),
.VAR51(VAR51),
.VAR146(VAR146),
.VAR245(VAR245),
.VAR28(VAR28),
.VAR144(VAR144),
.VAR203(VAR203),
.VAR83(VAR83),
.VAR229(VAR229),
.VAR232(VAR232),
.VAR57(VAR57),
.VAR180(VAR180),
.VAR204(VAR204),
.VAR7(VAR7),
.VAR33(VAR33),
.VAR130(VAR130),
.VAR208(VAR208),
.VAR273(VAR273),
.VAR142(VAR142),
.VAR163(VAR163),
.VAR98(VAR98),
.VAR151(VAR151),
.VAR10(VAR10),
.VAR162(VAR162),
.VAR114(VAR114),
.VAR1(VAR1),
.VAR97(VAR97),
.VAR252(VAR252),
.VAR101(VAR101),
.VAR266(VAR266),
.VAR195(VAR195),
.VAR255(VAR255),
.VAR215(VAR215),
.VAR20 (VAR176),
.VAR72 (VAR187),
.VAR165 (VAR113),
.VAR179 (VAR240),
.VAR66(VAR44),
.VAR153(VAR178),
.VAR209(VAR191),
.VAR115(VAR106),
.VAR90(VAR234),
.VAR265(VAR49),
.VAR81(VAR100),
.VAR253(VAR9),
.VAR61(VAR37),
.VAR213(VAR63),
.VAR103(VAR95),
.VAR171(VAR220),
.VAR109(VAR238),
.VAR89(VAR77),
.VAR12(VAR166),
.VAR58(VAR75),
.VAR16(VAR112),
.VAR149(VAR175),
.VAR274(VAR65),
.VAR131(VAR26),
.VAR244(VAR169),
.VAR237(VAR42),
.VAR118(VAR71),
.VAR257(VAR201),
.VAR269(VAR227),
.VAR11(VAR25)
);
VAR167 VAR85(
.VAR218(VAR218),
.VAR15(VAR15),
.VAR21(VAR21),
.VAR17(VAR17),
.VAR170(VAR170),
.VAR60(VAR60),
.VAR128(VAR128),
.VAR214(VAR214),
.VAR30(VAR30),
.VAR260(VAR260),
.VAR224(VAR224),
.VAR157(VAR157),
.VAR104(VAR104),
.VAR124(VAR124),
.VAR185(VAR185),
.VAR206(VAR206),
.VAR86(VAR86),
.VAR91(VAR91),
.VAR133(VAR133),
.VAR150(VAR150),
.VAR143(VAR143),
.VAR239(VAR239),
.VAR236(VAR236),
.VAR212(VAR212),
.VAR96(VAR96),
.VAR155(VAR155),
.VAR272(VAR272),
.VAR67(VAR67),
.VAR53(VAR53),
.VAR23(VAR23),
.VAR248(VAR248),
.VAR251(VAR251),
.VAR247(VAR247),
.VAR120(VAR120),
.VAR216(VAR216),
.VAR35(VAR35),
.VAR64(VAR64),
.VAR261(VAR261),
.VAR84(VAR84),
.VAR102(VAR102),
.VAR152(VAR152),
.VAR5(VAR5),
.VAR13(VAR13),
.VAR122(VAR122),
.VAR263(VAR263),
.VAR184(VAR184),
.VAR132(VAR132),
.VAR76(VAR76),
.VAR230(VAR230),
.VAR45(VAR45),
.VAR196(VAR196),
.VAR139(VAR139),
.VAR110(VAR110),
.VAR79(VAR79),
.VAR48(VAR48),
.VAR246(VAR246),
.VAR127(VAR127),
.VAR55(VAR55),
.VAR119(VAR119),
.VAR116(VAR116),
.VAR148(VAR148),
.VAR137(VAR137),
.VAR126(VAR126),
.VAR219(VAR219),
.VAR56(VAR56),
.VAR205(VAR205),
.VAR197(VAR197),
.VAR154(VAR154),
.VAR107(VAR107),
.VAR221(VAR221),
.VAR207(VAR202),
.VAR243(VAR19),
.VAR268(VAR4),
.VAR254(VAR62),
.VAR108(VAR233),
.VAR87(VAR14),
.VAR38(VAR168),
.VAR194(VAR22),
.VAR92(VAR177),
.VAR141(VAR228),
.VAR46(VAR210),
.VAR18(VAR93),
.VAR186(VAR34),
.VAR29(VAR241),
.VAR226(VAR36),
.VAR250(VAR31),
.VAR183(VAR267),
.VAR275(VAR181),
.VAR145(VAR147),
.VAR140(VAR123),
.VAR27(VAR138),
.VAR231(VAR173),
.VAR200(VAR82),
.VAR47(VAR256),
.VAR81(VAR188),
.VAR253(VAR50),
.VAR20 (VAR134),
.VAR72 (VAR159),
.VAR165 (VAR258),
.VAR179 (VAR111),
.VAR66(VAR74),
.VAR153(VAR172),
.VAR209(VAR136),
.VAR115(VAR199),
.VAR90(VAR32),
.VAR265(VAR156)
);
VAR211 VAR264 (
.VAR15(VAR15),
.VAR218(VAR218),
.VAR3(VAR100), .VAR59(VAR188), .VAR262(VAR187),
.VAR8(VAR159),
.VAR69(VAR178),
.VAR192(VAR172),
.VAR164(VAR44),
.VAR94(VAR74),
.VAR198(VAR191),
.VAR43(VAR136),
.VAR271(VAR176),
.VAR88(VAR134),
.VAR39(VAR161),
.VAR242(VAR158),
.VAR217(VAR117),
.VAR259(VAR70),
.VAR73(VAR174),
.VAR6(VAR160)
);
VAR80 VAR2(
.VAR15(VAR15),
.VAR218(VAR218),
.VAR3(VAR9), .VAR59(VAR50), .VAR24(VAR113),
.VAR99(VAR258),
.VAR69(VAR234),
.VAR192(VAR32),
.VAR164(VAR106),
.VAR94(VAR199),
.VAR198(VAR49),
.VAR43(VAR156),
.VAR262(VAR240),
.VAR8(VAR111),
.VAR39(VAR129),
.VAR242(VAR135),
.VAR217(VAR193),
.VAR259(VAR41),
.VAR73(VAR189),
.VAR52(VAR270)
);
endmodule
|
mit
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_axi_basic_top.v
| 10,999 |
module MODULE1 #(
parameter VAR4 = 128, parameter VAR59 = "VAR43", parameter VAR53 = "VAR61", parameter VAR57 = "VAR61", parameter VAR28 = 1,
parameter VAR8 = (VAR4 == 128) ? 2 : 1, parameter VAR11 = VAR4 / 8 ) (
input [VAR4-1:0] VAR9, input VAR2, output VAR24, input [VAR11-1:0] VAR50, input VAR10, input [3:0] VAR31,
output [VAR4-1:0] VAR22, output VAR29, input VAR5, output [VAR11-1:0] VAR20, output VAR45, output [21:0] VAR39,
input VAR33, input VAR51,
output [VAR4-1:0] VAR25, output VAR49, output VAR60, output VAR58, input VAR7, output VAR47, output [VAR8-1:0] VAR15, output VAR54, output VAR13, input [5:0] VAR52, output VAR55,
input [VAR4-1:0] VAR17, input VAR37, input VAR27, input VAR41, output VAR46, input VAR38, input [VAR8-1:0] VAR12, input VAR32, input [6:0] VAR19, input VAR48,
input VAR40, output VAR35, input VAR23,
input [2:0] VAR6,
input VAR34, input [1:0] VAR26, input [31:0] VAR42, input VAR18,
input VAR14, output VAR44,
output [2:0] VAR30, input VAR36, input VAR16 );
VAR3 #(
.VAR4( VAR4 ),
.VAR59( VAR59 ),
.VAR28( VAR28 ),
.VAR8( VAR8 ),
.VAR11( VAR11 )
) VAR1 (
.VAR22( VAR22 ),
.VAR29( VAR29 ),
.VAR5( VAR5 ),
.VAR20( VAR20 ),
.VAR45( VAR45 ),
.VAR39( VAR39 ),
.VAR17( VAR17 ),
.VAR37( VAR37 ),
.VAR27( VAR27 ),
.VAR41( VAR41 ),
.VAR46( VAR46 ),
.VAR38( VAR38 ),
.VAR12( VAR12 ),
.VAR32( VAR32 ),
.VAR19( VAR19 ),
.VAR48( VAR48 ),
.VAR30( VAR30 ),
.VAR36( VAR36 ),
.VAR16( VAR16 )
);
VAR21 #(
.VAR4( VAR4 ),
.VAR59( VAR59 ),
.VAR53( VAR53 ),
.VAR57( VAR57 ),
.VAR28( VAR28 ),
.VAR8( VAR8 ),
.VAR11( VAR11 )
) VAR56 (
.VAR9( VAR9 ),
.VAR2( VAR2 ),
.VAR24( VAR24 ),
.VAR50( VAR50 ),
.VAR10( VAR10 ),
.VAR31( VAR31 ),
.VAR33( VAR33 ),
.VAR51( VAR51 ),
.VAR25( VAR25 ),
.VAR49( VAR49 ),
.VAR60( VAR60 ),
.VAR58( VAR58 ),
.VAR7( VAR7 ),
.VAR47( VAR47 ),
.VAR15( VAR15 ),
.VAR54( VAR54 ),
.VAR13( VAR13 ),
.VAR52( VAR52 ),
.VAR55( VAR55 ),
.VAR40( VAR40 ),
.VAR35( VAR35 ),
.VAR23( VAR23 ),
.VAR6( VAR6 ),
.VAR34( VAR34 ),
.VAR26( VAR26 ),
.VAR42( VAR42 ),
.VAR18( VAR18 ),
.VAR14( VAR14 ),
.VAR44( VAR44 ),
.VAR36( VAR36 ),
.VAR16( VAR16 )
);
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o2111a/sky130_fd_sc_ls__o2111a.pp.blackbox.v
| 1,427 |
module MODULE1 (
VAR9 ,
VAR7 ,
VAR3 ,
VAR2 ,
VAR10 ,
VAR1 ,
VAR6,
VAR8,
VAR5 ,
VAR4
);
output VAR9 ;
input VAR7 ;
input VAR3 ;
input VAR2 ;
input VAR10 ;
input VAR1 ;
input VAR6;
input VAR8;
input VAR5 ;
input VAR4 ;
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_1/embedded_lab_1.cache/ip/2017.2/6d8f288943408fbb/zynq_design_1_rst_ps7_0_100M_1_stub.v
| 1,880 |
module MODULE1(VAR8, VAR7, VAR4,
VAR1, VAR2, VAR5, VAR6, VAR3,
VAR10, VAR9)
;
input VAR8;
input VAR7;
input VAR4;
input VAR1;
input VAR2;
output VAR5;
output [0:0]VAR6;
output [0:0]VAR3;
output [0:0]VAR10;
output [0:0]VAR9;
endmodule
|
mit
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/verilog/FIFO_image_filter_p_src_rows_V_2_loc_channel.v
| 3,043 |
module MODULE2 (
clk,
VAR1,
VAR24,
VAR9,
VAR27);
parameter VAR25 = 32'd12;
parameter VAR15 = 32'd2;
parameter VAR21 = 32'd3;
input clk;
input [VAR25-1:0] VAR1;
input VAR24;
input [VAR15-1:0] VAR9;
output [VAR25-1:0] VAR27;
reg[VAR25-1:0] VAR5 [0:VAR21-1];
integer VAR7;
always @ (posedge clk)
begin
if (VAR24)
begin
for (VAR7=0;VAR7<VAR21-1;VAR7=VAR7+1)
VAR5[VAR7+1] <= VAR5[VAR7];
VAR5[0] <= VAR1;
end
end
assign VAR27 = VAR5[VAR9];
endmodule
module MODULE1 (
clk,
reset,
VAR16,
VAR3,
VAR22,
VAR17,
VAR4,
VAR20,
VAR2,
VAR26);
parameter VAR8 = "VAR13";
parameter VAR25 = 32'd12;
parameter VAR15 = 32'd2;
parameter VAR21 = 32'd3;
input clk;
input reset;
output VAR16;
input VAR3;
input VAR22;
output[VAR25 - 1:0] VAR17;
output VAR4;
input VAR20;
input VAR2;
input[VAR25 - 1:0] VAR26;
wire[VAR15 - 1:0] VAR14 ;
wire[VAR25 - 1:0] VAR19, VAR12;
reg[VAR15:0] VAR10 = {(VAR15+1){1'b1}};
reg VAR11 = 0, VAR23 = 1;
assign VAR16 = VAR11;
assign VAR4 = VAR23;
assign VAR19 = VAR26;
assign VAR17 = VAR12;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR10 <= ~{VAR15+1{1'b0}};
VAR11 <= 1'b0;
VAR23 <= 1'b1;
end
else begin
if (((VAR22 & VAR3) == 1 & VAR11 == 1) &&
((VAR2 & VAR20) == 0 | VAR23 == 0))
begin
VAR10 <= VAR10 -1;
if (VAR10 == 0)
VAR11 <= 1'b0;
VAR23 <= 1'b1;
end
else if (((VAR22 & VAR3) == 0 | VAR11 == 0) &&
((VAR2 & VAR20) == 1 & VAR23 == 1))
begin
VAR10 <= VAR10 +1;
VAR11 <= 1'b1;
if (VAR10 == VAR21-2)
VAR23 <= 1'b0;
end
end
end
assign VAR14 = VAR10[VAR15] == 1'b0 ? VAR10[VAR15-1:0]:{VAR15{1'b0}};
assign VAR6 = (VAR2 & VAR20) & VAR23;
MODULE2
.VAR25(VAR25),
.VAR15(VAR15),
.VAR21(VAR21))
VAR18 (
.clk(clk),
.VAR1(VAR19),
.VAR24(VAR6),
.VAR9(VAR14),
.VAR27(VAR12));
endmodule
|
gpl-3.0
|
Tommydag/CAN-Bus-Controller
|
CRC.v
| 9,782 |
module MODULE1(
input [63:0] VAR3,
input VAR1,
output [14:0] VAR4,
input rst,
input clk);
reg [14:0] VAR2 ,VAR5 ;
assign VAR4[14:0] = VAR2[14:0];
always @(*) begin
VAR5[0] = VAR2[0] ^ VAR2[2] ^ VAR2[3] ^ VAR2[8] ^ VAR2[9] ^ VAR2[12] ^ VAR2[13] ^ VAR2[14] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[7] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[17] ^ VAR3[19] ^ VAR3[20] ^ VAR3[21] ^ VAR3[27] ^ VAR3[29] ^ VAR3[33] ^ VAR3[37] ^ VAR3[38] ^ VAR3[43] ^ VAR3[45] ^ VAR3[48] ^ VAR3[49] ^ VAR3[51] ^ VAR3[52] ^ VAR3[57] ^ VAR3[58] ^ VAR3[61] ^ VAR3[62] ^ VAR3[63];
VAR5[1] = VAR2[0] ^ VAR2[1] ^ VAR2[3] ^ VAR2[4] ^ VAR2[9] ^ VAR2[10] ^ VAR2[13] ^ VAR2[14] ^ VAR3[1] ^ VAR3[2] ^ VAR3[3] ^ VAR3[4] ^ VAR3[5] ^ VAR3[7] ^ VAR3[8] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15] ^ VAR3[18] ^ VAR3[20] ^ VAR3[21] ^ VAR3[22] ^ VAR3[28] ^ VAR3[30] ^ VAR3[34] ^ VAR3[38] ^ VAR3[39] ^ VAR3[44] ^ VAR3[46] ^ VAR3[49] ^ VAR3[50] ^ VAR3[52] ^ VAR3[53] ^ VAR3[58] ^ VAR3[59] ^ VAR3[62] ^ VAR3[63];
VAR5[2] = VAR2[1] ^ VAR2[2] ^ VAR2[4] ^ VAR2[5] ^ VAR2[10] ^ VAR2[11] ^ VAR2[14] ^ VAR3[2] ^ VAR3[3] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[8] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14] ^ VAR3[16] ^ VAR3[19] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[29] ^ VAR3[31] ^ VAR3[35] ^ VAR3[39] ^ VAR3[40] ^ VAR3[45] ^ VAR3[47] ^ VAR3[50] ^ VAR3[51] ^ VAR3[53] ^ VAR3[54] ^ VAR3[59] ^ VAR3[60] ^ VAR3[63];
VAR5[3] = VAR2[0] ^ VAR2[5] ^ VAR2[6] ^ VAR2[8] ^ VAR2[9] ^ VAR2[11] ^ VAR2[13] ^ VAR2[14] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[5] ^ VAR3[11] ^ VAR3[13] ^ VAR3[15] ^ VAR3[19] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[27] ^ VAR3[29] ^ VAR3[30] ^ VAR3[32] ^ VAR3[33] ^ VAR3[36] ^ VAR3[37] ^ VAR3[38] ^ VAR3[40] ^ VAR3[41] ^ VAR3[43] ^ VAR3[45] ^ VAR3[46] ^ VAR3[49] ^ VAR3[54] ^ VAR3[55] ^ VAR3[57] ^ VAR3[58] ^ VAR3[60] ^ VAR3[62] ^ VAR3[63];
VAR5[4] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[6] ^ VAR2[7] ^ VAR2[8] ^ VAR2[10] ^ VAR2[13] ^ VAR3[0] ^ VAR3[4] ^ VAR3[7] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[16] ^ VAR3[17] ^ VAR3[19] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[27] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31] ^ VAR3[34] ^ VAR3[39] ^ VAR3[41] ^ VAR3[42] ^ VAR3[43] ^ VAR3[44] ^ VAR3[45] ^ VAR3[46] ^ VAR3[47] ^ VAR3[48] ^ VAR3[49] ^ VAR3[50] ^ VAR3[51] ^ VAR3[52] ^ VAR3[55] ^ VAR3[56] ^ VAR3[57] ^ VAR3[59] ^ VAR3[62];
VAR5[5] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[4] ^ VAR2[7] ^ VAR2[8] ^ VAR2[9] ^ VAR2[11] ^ VAR2[14] ^ VAR3[1] ^ VAR3[5] ^ VAR3[8] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[17] ^ VAR3[18] ^ VAR3[20] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31] ^ VAR3[32] ^ VAR3[35] ^ VAR3[40] ^ VAR3[42] ^ VAR3[43] ^ VAR3[44] ^ VAR3[45] ^ VAR3[46] ^ VAR3[47] ^ VAR3[48] ^ VAR3[49] ^ VAR3[50] ^ VAR3[51] ^ VAR3[52] ^ VAR3[53] ^ VAR3[56] ^ VAR3[57] ^ VAR3[58] ^ VAR3[60] ^ VAR3[63];
VAR5[6] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[4] ^ VAR2[5] ^ VAR2[8] ^ VAR2[9] ^ VAR2[10] ^ VAR2[12] ^ VAR3[2] ^ VAR3[6] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[18] ^ VAR3[19] ^ VAR3[21] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31] ^ VAR3[32] ^ VAR3[33] ^ VAR3[36] ^ VAR3[41] ^ VAR3[43] ^ VAR3[44] ^ VAR3[45] ^ VAR3[46] ^ VAR3[47] ^ VAR3[48] ^ VAR3[49] ^ VAR3[50] ^ VAR3[51] ^ VAR3[52] ^ VAR3[53] ^ VAR3[54] ^ VAR3[57] ^ VAR3[58] ^ VAR3[59] ^ VAR3[61];
VAR5[7] = VAR2[1] ^ VAR2[4] ^ VAR2[5] ^ VAR2[6] ^ VAR2[8] ^ VAR2[10] ^ VAR2[11] ^ VAR2[12] ^ VAR2[14] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[6] ^ VAR3[9] ^ VAR3[11] ^ VAR3[13] ^ VAR3[17] ^ VAR3[21] ^ VAR3[22] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31] ^ VAR3[32] ^ VAR3[34] ^ VAR3[38] ^ VAR3[42] ^ VAR3[43] ^ VAR3[44] ^ VAR3[46] ^ VAR3[47] ^ VAR3[50] ^ VAR3[53] ^ VAR3[54] ^ VAR3[55] ^ VAR3[57] ^ VAR3[59] ^ VAR3[60] ^ VAR3[61] ^ VAR3[63];
VAR5[8] = VAR2[0] ^ VAR2[3] ^ VAR2[5] ^ VAR2[6] ^ VAR2[7] ^ VAR2[8] ^ VAR2[11] ^ VAR2[14] ^ VAR3[0] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[9] ^ VAR3[11] ^ VAR3[17] ^ VAR3[18] ^ VAR3[19] ^ VAR3[20] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[25] ^ VAR3[26] ^ VAR3[30] ^ VAR3[31] ^ VAR3[32] ^ VAR3[35] ^ VAR3[37] ^ VAR3[38] ^ VAR3[39] ^ VAR3[44] ^ VAR3[47] ^ VAR3[49] ^ VAR3[52] ^ VAR3[54] ^ VAR3[55] ^ VAR3[56] ^ VAR3[57] ^ VAR3[60] ^ VAR3[63];
VAR5[9] = VAR2[1] ^ VAR2[4] ^ VAR2[6] ^ VAR2[7] ^ VAR2[8] ^ VAR2[9] ^ VAR2[12] ^ VAR3[1] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[12] ^ VAR3[18] ^ VAR3[19] ^ VAR3[20] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[26] ^ VAR3[27] ^ VAR3[31] ^ VAR3[32] ^ VAR3[33] ^ VAR3[36] ^ VAR3[38] ^ VAR3[39] ^ VAR3[40] ^ VAR3[45] ^ VAR3[48] ^ VAR3[50] ^ VAR3[53] ^ VAR3[55] ^ VAR3[56] ^ VAR3[57] ^ VAR3[58] ^ VAR3[61];
VAR5[10] = VAR2[3] ^ VAR2[5] ^ VAR2[7] ^ VAR2[10] ^ VAR2[12] ^ VAR2[14] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[4] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14] ^ VAR3[17] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[28] ^ VAR3[29] ^ VAR3[32] ^ VAR3[34] ^ VAR3[38] ^ VAR3[39] ^ VAR3[40] ^ VAR3[41] ^ VAR3[43] ^ VAR3[45] ^ VAR3[46] ^ VAR3[48] ^ VAR3[52] ^ VAR3[54] ^ VAR3[56] ^ VAR3[59] ^ VAR3[61] ^ VAR3[63];
VAR5[11] = VAR2[0] ^ VAR2[4] ^ VAR2[6] ^ VAR2[8] ^ VAR2[11] ^ VAR2[13] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[13] ^ VAR3[14] ^ VAR3[15] ^ VAR3[18] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[29] ^ VAR3[30] ^ VAR3[33] ^ VAR3[35] ^ VAR3[39] ^ VAR3[40] ^ VAR3[41] ^ VAR3[42] ^ VAR3[44] ^ VAR3[46] ^ VAR3[47] ^ VAR3[49] ^ VAR3[53] ^ VAR3[55] ^ VAR3[57] ^ VAR3[60] ^ VAR3[62];
VAR5[12] = VAR2[1] ^ VAR2[5] ^ VAR2[7] ^ VAR2[9] ^ VAR2[12] ^ VAR2[14] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[6] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[15] ^ VAR3[16] ^ VAR3[19] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[30] ^ VAR3[31] ^ VAR3[34] ^ VAR3[36] ^ VAR3[40] ^ VAR3[41] ^ VAR3[42] ^ VAR3[43] ^ VAR3[45] ^ VAR3[47] ^ VAR3[48] ^ VAR3[50] ^ VAR3[54] ^ VAR3[56] ^ VAR3[58] ^ VAR3[61] ^ VAR3[63];
VAR5[13] = VAR2[0] ^ VAR2[2] ^ VAR2[6] ^ VAR2[8] ^ VAR2[10] ^ VAR2[13] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[7] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15] ^ VAR3[16] ^ VAR3[17] ^ VAR3[20] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[28] ^ VAR3[31] ^ VAR3[32] ^ VAR3[35] ^ VAR3[37] ^ VAR3[41] ^ VAR3[42] ^ VAR3[43] ^ VAR3[44] ^ VAR3[46] ^ VAR3[48] ^ VAR3[49] ^ VAR3[51] ^ VAR3[55] ^ VAR3[57] ^ VAR3[59] ^ VAR3[62];
VAR5[14] = VAR2[1] ^ VAR2[2] ^ VAR2[7] ^ VAR2[8] ^ VAR2[11] ^ VAR2[12] ^ VAR2[13] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[6] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[13] ^ VAR3[16] ^ VAR3[18] ^ VAR3[19] ^ VAR3[20] ^ VAR3[26] ^ VAR3[28] ^ VAR3[32] ^ VAR3[36] ^ VAR3[37] ^ VAR3[42] ^ VAR3[44] ^ VAR3[47] ^ VAR3[48] ^ VAR3[50] ^ VAR3[51] ^ VAR3[56] ^ VAR3[57] ^ VAR3[60] ^ VAR3[61] ^ VAR3[62];
end
always @(posedge clk or posedge rst) begin
if(rst) begin
VAR2 <= {15{1'b1}};
end
else begin
VAR2[14:0] <= VAR1 ? VAR5[14:0] : VAR2[14:0];
end
end endmodule VAR6
|
mit
|
sigilance/paper-processor
|
4-bit/paper.v
| 2,581 |
module MODULE1;
reg VAR29 = 1'b0; reg VAR20 = 1'b1; reg VAR26 = 1'b0; reg VAR22 = 1'b1;
wire VAR24, VAR4, VAR8, VAR16;
assign VAR24 = VAR29; assign VAR4 = VAR20; assign VAR8 = VAR26; assign VAR16 = VAR22;
wire [1:0] VAR2; wire [3:0] VAR27;
wire VAR33, VAR15, VAR30, VAR7;
wire [1:0] VAR12; wire [1:0] VAR32, VAR17; wire VAR14, VAR25;
VAR10 VAR13 (VAR27, VAR2);
VAR5 VAR6 (VAR2, VAR12, VAR8, VAR16); VAR1 VAR31 (VAR32, VAR17, VAR8, VAR16); VAR11 VAR3 (VAR14, VAR25, VAR8, VAR16);
VAR23 VAR28 (VAR33, VAR15, VAR30, VAR7, VAR27);
VAR19 VAR21 (VAR17, VAR25, VAR32, VAR33, VAR24); VAR18 VAR9 (VAR12, VAR2, VAR27, VAR15, VAR14, VAR24, VAR4);
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/projects/fmcjesdadc1/common/fmcjesdadc1_spi.v
| 4,604 |
module MODULE1 (
VAR14,
VAR16,
VAR11,
VAR8,
VAR10);
localparam VAR5 = 8'h00;
localparam VAR4 = 8'h84;
localparam VAR1 = 8'h80;
localparam VAR15 = 8'h81;
localparam VAR17 = 8'h82;
localparam VAR3 = 8'h83;
input VAR14;
input VAR16;
input VAR11;
output VAR8;
inout VAR10;
reg [ 7:0] VAR9 = 'd0;
reg [ 5:0] VAR2 = 'd0;
reg VAR12 = 'd0;
reg VAR6 = 'd0;
wire VAR13;
assign VAR13 = VAR6 & ~VAR14;
always @(posedge VAR16 or posedge VAR14) begin
if (VAR14 == 1'b1) begin
VAR2 <= 6'd0;
VAR9 <= 8'd0;
VAR12 <= 1'd0;
end else begin
VAR2 <= VAR2 + 1'b1;
if (VAR2 <= 6'd7) begin
VAR9 <= {VAR9[6:0], VAR11};
end
if (VAR2 == 6'd8) begin
VAR12 <= VAR11;
end
end
end
always @(negedge VAR16 or posedge VAR14) begin
if (VAR14 == 1'b1) begin
VAR6 <= 1'b0;
end else begin
if (((VAR2 == 6'd16) && (VAR9 == VAR5)) ||
((VAR2 == 6'd16) && (VAR9 == VAR17)) ||
((VAR2 == 6'd16) && (VAR9 == VAR3)) ||
((VAR2 == 6'd24) && (VAR9 == VAR4)) ||
((VAR2 == 6'd24) && (VAR9 == VAR1)) ||
((VAR2 == 6'd24) && (VAR9 == VAR15))) begin
VAR6 <= VAR12;
end
end
end
assign VAR8 = VAR10;
assign VAR10 = (VAR13 == 1'b1) ? 1'VAR7 : VAR11;
endmodule
|
gpl-3.0
|
kramble/FPGA-Litecoin-Miner
|
experimental/ZTEX/ztex_ufm1_15b1_litecoin.v
| 4,608 |
module MODULE1 (VAR80, reset, VAR6, VAR30, VAR63, VAR61, VAR71, VAR57, VAR21, read, write);
input VAR80, reset, VAR6, VAR30, VAR63, VAR61, VAR71, VAR57, VAR21;
input [7:0] read;
output [7:0] write;
reg [3:0] VAR38, VAR41;
reg VAR47, VAR8, VAR23;
reg VAR11, VAR2, VAR18;
reg [4:0] VAR37;
reg [671:0] VAR15, VAR36;
reg [95:0] VAR35;
reg [7:0] VAR58, VAR59;
wire VAR13, clk, VAR79, VAR72, VAR55, VAR60, VAR62;
wire [31:0] VAR33, VAR40, VAR4;
wire [255:0] VAR5, VAR51;
wire [127:0] VAR77;
wire [31:0] VAR25;
assign VAR25 = VAR15[671:640];
assign VAR77 = VAR15[639:512];
assign VAR51 = VAR15[511:256];
assign VAR5 = VAR15[255:0];
wire VAR10; wire VAR54;
assign VAR54 = 1'b0;
assign VAR4 = 32'd0;
VAR56 VAR67 (.VAR39(clk), .VAR5(VAR5), .VAR51(VAR51), .VAR77(VAR77), .VAR25(VAR25),
.VAR75(4'd0), .VAR43(VAR40), .VAR31(VAR33),
.VAR28(VAR10), .VAR54(VAR54));
VAR49 VAR12 (
.VAR17(VAR80),
.VAR27(VAR13)
);
VAR49 VAR48 (
.VAR17(VAR55),
.VAR27(clk)
);
VAR45 #(
.VAR22(6),
.VAR3(20), .VAR44(2),
.VAR68(20.8) )
VAR42 (
.VAR69(VAR13),
.VAR26(VAR79),
.VAR7(1'b0),
.VAR19(VAR11),
.VAR74(VAR2),
.VAR76(VAR18),
.VAR20(VAR60),
.VAR9(1'b0)
);
VAR70 #(
.VAR46("VAR14"),
.VAR64(5),
.VAR16(32), .VAR1(0.5),
.VAR53("VAR50"),
.VAR34("VAR29"),
.VAR32(1),
.VAR66(0.05),
.VAR78("VAR24"),
.VAR68(6.25) )
VAR73 (
.VAR50(VAR72),
.VAR65(VAR55),
.VAR52(VAR72),
.VAR69(VAR79),
.VAR9(VAR62)
);
assign write = VAR59;
assign VAR62 = VAR6 | ~VAR60;
always @ (posedge clk)
begin
if ( (VAR38[3] == VAR38[2]) && (VAR38[2] == VAR38[1]) && (VAR38[1] != VAR38[0]) )
begin
VAR36[671:664] <= VAR58; VAR36[663:0] <= VAR36[671:8];
end;
VAR15 <= VAR36;
if ( VAR47 && VAR8 )
begin
VAR37 <= 5'd0;
end else
begin
VAR37[0] <= 1'b1;
VAR37[4:1] <= VAR37[3:0];
end
if ( ! VAR37[4] )
begin
VAR35 <= { VAR4, VAR40, VAR33 };
end else
begin
if ( (VAR41[3] == VAR41[2]) && (VAR41[2] == VAR41[1]) && (VAR41[1] != VAR41[0]) )
VAR35[87:0] <= VAR35[95:8];
end
VAR58 <= read;
VAR59 <= VAR35[7:0];
VAR38[0] <= VAR71;
VAR38[3:1] <= VAR38[2:0];
VAR41[0] <= VAR57;
VAR41[3:1] <= VAR41[2:0];
VAR47 <= VAR21;
VAR8 <= VAR47;
VAR23 <= reset;
end
always @ (posedge VAR13)
begin
VAR11 <= VAR30;
VAR2 <= VAR63;
VAR18 <= VAR61;
end
endmodule
|
gpl-3.0
|
mshaklunov/mips_onemore
|
rtl/mips_alu.v
| 5,528 |
module MODULE1 (
input clk,
input rst,
input[2:0] VAR34,
input VAR20,
input VAR11,
input VAR21,
input VAR27,
input VAR3,
input[31:0] VAR14,
input[31:0] VAR32,
output reg[31:0] VAR19,
output VAR9,
output[31:0] VAR7,
output[31:0] VAR33,
output[63:0] VAR26
);
wire[31:0] VAR8;
wire[31:0] VAR25;
wire[31:0] VAR13;
wire[31:0] VAR12;
reg VAR15;
wire[47:0] VAR29;
reg[1:0] VAR18;
reg[95:0] VAR16;
reg[3:0] counter;
localparam VAR5=2'd0,
VAR17=2'd1,
VAR6=2'd2,
VAR23=2'd3;
assign VAR13= ~VAR14+32'd1;
assign VAR12= ~VAR32+32'd1;
assign VAR8= VAR20 ? VAR13 : VAR14;
assign VAR25= VAR11 ? VAR12 : VAR32;
always @*
begin
VAR19=32'VAR22;
case(VAR34)
0:
begin
case({VAR20,VAR11})
2'b00: VAR19[31:0]= VAR14+VAR32;
2'b01: VAR19[31:0]= VAR14+VAR12;
2'b10: VAR19[31:0]= VAR13+VAR32;
2'b11: VAR19[31:0]= VAR13+VAR12;
endcase
end
1:VAR19[31:0]=VAR32<<VAR14[4:0];
2:VAR19[31:0]=VAR32>>VAR14[4:0];
3:
begin
case(VAR14[4:0])
5'd0:VAR19[31:0]=VAR32;
5'd1:VAR19[31:0]={{1{VAR32[31]}},VAR32[31:1]};
5'd2:VAR19[31:0]={{2{VAR32[31]}},VAR32[31:2]};
5'd3:VAR19[31:0]={{3{VAR32[31]}},VAR32[31:3]};
5'd4:VAR19[31:0]={{4{VAR32[31]}},VAR32[31:4]};
5'd5:VAR19[31:0]={{5{VAR32[31]}},VAR32[31:5]};
5'd6:VAR19[31:0]={{6{VAR32[31]}},VAR32[31:6]};
5'd7:VAR19[31:0]={{7{VAR32[31]}},VAR32[31:7]};
5'd8:VAR19[31:0]={{8{VAR32[31]}},VAR32[31:8]};
5'd9:VAR19[31:0]={{9{VAR32[31]}},VAR32[31:9]};
5'd10:VAR19[31:0]={{10{VAR32[31]}},VAR32[31:10]};
5'd11:VAR19[31:0]={{11{VAR32[31]}},VAR32[31:11]};
5'd12:VAR19[31:0]={{12{VAR32[31]}},VAR32[31:12]};
5'd13:VAR19[31:0]={{13{VAR32[31]}},VAR32[31:13]};
5'd14:VAR19[31:0]={{14{VAR32[31]}},VAR32[31:14]};
5'd15:VAR19[31:0]={{15{VAR32[31]}},VAR32[31:15]};
5'd16:VAR19[31:0]={{16{VAR32[31]}},VAR32[31:16]};
5'd17:VAR19[31:0]={{17{VAR32[31]}},VAR32[31:17]};
5'd18:VAR19[31:0]={{18{VAR32[31]}},VAR32[31:18]};
5'd19:VAR19[31:0]={{19{VAR32[31]}},VAR32[31:19]};
5'd20:VAR19[31:0]={{20{VAR32[31]}},VAR32[31:20]};
5'd21:VAR19[31:0]={{21{VAR32[31]}},VAR32[31:21]};
5'd22:VAR19[31:0]={{22{VAR32[31]}},VAR32[31:22]};
5'd23:VAR19[31:0]={{23{VAR32[31]}},VAR32[31:23]};
5'd24:VAR19[31:0]={{24{VAR32[31]}},VAR32[31:24]};
5'd25:VAR19[31:0]={{25{VAR32[31]}},VAR32[31:25]};
5'd26:VAR19[31:0]={{26{VAR32[31]}},VAR32[31:26]};
5'd27:VAR19[31:0]={{27{VAR32[31]}},VAR32[31:27]};
5'd28:VAR19[31:0]={{28{VAR32[31]}},VAR32[31:28]};
5'd29:VAR19[31:0]={{29{VAR32[31]}},VAR32[31:29]};
5'd30:VAR19[31:0]={{30{VAR32[31]}},VAR32[31:30]};
5'd31:VAR19[31:0]={{31{VAR32[31]}},VAR32[31]};
endcase
end
4:VAR19[31:0]=VAR14&VAR32;
5:VAR19[31:0]=VAR21 ? ~(VAR14|VAR32) : VAR14|VAR32;
6:VAR19[31:0]=VAR14^VAR32;
endcase
end
assign VAR9= VAR18==VAR5 ? ~(VAR27 | VAR3) :
VAR15;
always @(posedge clk)
begin
if(rst)
begin
VAR18<= VAR5;
VAR15<= 1'b0;
VAR16<= 96'd0;
counter<= 4'd0;
end
else
begin
case(VAR18)
VAR5:
begin
counter<= 4'd0;
VAR16<= {32'd0,VAR8,VAR25};
VAR15<= 1'b0;
VAR18<= VAR27 ? VAR17 :
VAR3 ? VAR6 : VAR5;
end
VAR17:
begin
counter<= counter+1'b1;
VAR16[95:32]<= {VAR33,VAR7};
VAR15<= counter==4'd14 ? 1'b1 : VAR15;
VAR18<= VAR15 | !VAR27 ? VAR5 : VAR17;
end
VAR6:
begin
VAR16[47:32]<= VAR16[63:48];
VAR16[95:48]<= VAR29;
VAR18<= VAR23;
end
VAR23:
begin
counter<= counter+1'b1;
VAR16[47:0]<= VAR29;
VAR15<= ~counter[0] ? 1'b1 : VAR15;
VAR18<= VAR15 | !VAR3 ? VAR5 : VAR23;
end
endcase
end
end
VAR30
VAR10 (
.VAR13(VAR20),
.VAR12(VAR11),
.VAR24(VAR15),
.VAR14(VAR16[63:32]),
.VAR32(VAR16[31:0]),
.VAR31(VAR16[95:64]),
.VAR7(VAR7),
.VAR33(VAR33)
);
VAR28
VAR4 (
.VAR13(VAR20),
.VAR12(VAR11),
.VAR14(VAR16[47:32]),
.VAR32(VAR16[31:0]),
.VAR1(VAR16[47:0]),
.VAR2(VAR16[95:48]),
.VAR29(VAR29),
.VAR26(VAR26)
);
endmodule
|
mit
|
MeshSr/onetswitch45
|
ons45-app52-ref_ofshw/vivado/onets_7045_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/queue_aggr.v
| 12,282 |
module MODULE1
parameter VAR33=VAR8/8,
parameter VAR5 = 2,
parameter VAR19 = 2,
parameter VAR30 = 4,
parameter VAR63 = 8
)
( output reg [VAR8-1:0] VAR15,
output reg [VAR33-1:0] VAR32,
output reg VAR6,
input VAR58,
input [VAR8-1:0] VAR7,
input [VAR33-1:0] VAR12,
input VAR11,
output VAR13,
input [VAR8-1:0] VAR27,
input [VAR33-1:0] VAR66,
input VAR40,
output VAR35,
input [VAR8-1:0] VAR55,
input [VAR33-1:0] VAR56,
input VAR9,
output VAR28,
input [VAR8-1:0] VAR57,
input [VAR33-1:0] VAR47,
input VAR1,
output VAR43,
input [VAR8-1:0] VAR65,
input [VAR33-1:0] VAR3,
input VAR24,
output VAR23,
input reset,
input clk
);
function integer VAR60;
input integer VAR31;
begin
VAR60=0;
while(2**VAR60<VAR31) begin
VAR60=VAR60+1;
end
end
endfunction
localparam VAR2 = VAR60(VAR30);
wire [VAR30-1:0] VAR51;
wire [VAR30-1:0] VAR42;
wire [VAR8-1:0] VAR25 [VAR30-1:0];
wire [VAR33-1:0] VAR54 [VAR30-1:0];
wire [VAR30-1:0] VAR21;
wire [VAR33-1:0] VAR34[VAR30-1:0];
wire [VAR8-1:0] VAR44[VAR30-1:0];
reg [VAR30-1:0] VAR4;
reg [VAR30-1:0] VAR62;
wire [VAR2-1:0] VAR14;
reg [VAR2-1:0] VAR59;
reg [VAR2-1:0] VAR53;
reg [VAR33-1:0] VAR46;
reg [VAR33-1:0] VAR18;
wire [VAR33-1:0] VAR16;
wire [VAR8-1:0] VAR67;
reg [VAR8-1:0] VAR36;
reg [VAR33-1:0] VAR49;
reg VAR20;
reg VAR22;
generate
genvar VAR64;
for(VAR64=0; VAR64<VAR30; VAR64=VAR64+1) begin: VAR41
VAR17
.VAR48(5))
VAR10
( .dout ({VAR34[VAR64], VAR44[VAR64]}),
.VAR61 (),
.VAR51 (VAR51[VAR64]),
.VAR68 (),
.VAR42 (VAR42[VAR64]),
.din ({VAR54[VAR64], VAR25[VAR64]}),
.VAR37 (VAR21[VAR64]),
.VAR4 (VAR62[VAR64]),
.reset (reset),
.clk (clk));
end endgenerate
reg [3:0]VAR29,VAR26;
localparam VAR52=0;
localparam VAR39=1;
localparam VAR50=2;
localparam VAR45=3;
localparam VAR38=4;
always@(posedge clk)
if(reset) VAR29<=0;
else VAR29<=VAR26;
always@
begin
VAR4=0;
if(VAR29==VAR39 | VAR29==VAR50) VAR4[VAR59]=!VAR42[VAR59];
end
else if(VAR29==VAR45 && VAR34[VAR59]!=0) VAR4[VAR59]=0;
else if(VAR29==VAR45 ) VAR4[VAR59]=!VAR42[VAR59];
end
always@
if(reset)
VAR53=0;
else case(VAR59)
0:
begin
if (VAR42[1]==0) VAR53=1;
end
else if(VAR42[2]==0) VAR53=2;
else if(VAR42[3]==0) VAR53=3;
else if(VAR42[4]==0) VAR53=4;
else if(VAR42[0]==0) VAR53=0;
else VAR53=0;
end
1:
begin
if (VAR42[2]==0) VAR53=2;
end
else if(VAR42[3]==0) VAR53=3;
else if(VAR42[4]==0) VAR53=4;
else if(VAR42[0]==0) VAR53=0;
else if(VAR42[1]==0) VAR53=1;
else VAR53=1;
end
2:
begin
if (VAR42[3]==0) VAR53=3;
end
else if(VAR42[4]==0) VAR53=4;
else if(VAR42[0]==0) VAR53=0;
else if(VAR42[1]==0) VAR53=1;
else if(VAR42[2]==0) VAR53=2;
else VAR53=2;
end
3:
begin
if (VAR42[4]==0) VAR53=4;
end
else if(VAR42[0]==0) VAR53=0;
else if(VAR42[1]==0) VAR53=1;
else if(VAR42[2]==0) VAR53=2;
else if(VAR42[3]==0) VAR53=3;
else VAR53=3;
end
4:
begin
if (VAR42[0]==0) VAR53=0;
end
else if(VAR42[1]==0) VAR53=1;
else if(VAR42[2]==0) VAR53=2;
else if(VAR42[3]==0) VAR53=3;
else if(VAR42[4]==0) VAR53=4;
else VAR53=4;
end
default:VAR53=0;
endcase
always@(*)
if(reset)
begin
VAR15=0;
VAR32=0;
end
else if(VAR29==VAR50 | VAR29==VAR45)
begin
VAR15=VAR44[VAR59];
VAR32=VAR34[VAR59];
end
else
begin
VAR15=0;
VAR32=0;
end
assign VAR25[0] = VAR7;
assign VAR54[0] = VAR12;
assign VAR21[0] = VAR11;
assign VAR13 = !VAR51[0];
assign VAR25[1] = VAR27;
assign VAR54[1] = VAR66;
assign VAR21[1] = VAR40;
assign VAR35 = !VAR51[1];
assign VAR25[2] = VAR55;
assign VAR54[2] = VAR56;
assign VAR21[2] = VAR9;
assign VAR28 = !VAR51[2];
assign VAR25[3] = VAR57;
assign VAR54[3] = VAR47;
assign VAR21[3] = VAR1;
assign VAR43 = !VAR51[3];
assign VAR25[4] = VAR65;
assign VAR54[4] = VAR3;
assign VAR21[4] = VAR24;
assign VAR23 = !VAR51[4];
endmodule
|
lgpl-2.1
|
hydai/Verilog-Practice
|
DigitalDesign/hw1/hw1_101062124/hw1_A/hw1_A.v
| 1,155 |
module MODULE1 (
input [15:0] VAR10,
input [6:0] VAR9,
input clk,
input VAR8,
output reg [15:0] VAR12,
output reg [15:0] VAR1,
output reg [15:0] VAR6,
output reg [15:0] VAR5
);
reg [15:0] VAR7;
reg [15:0] VAR2, VAR11, VAR3, VAR4;
always @(posedge clk or negedge VAR8) begin
if (!VAR8) begin
VAR12 <= 0;
VAR1 <= 0;
VAR6 <= 0;
VAR5 <= 0;
end else begin
VAR12 <= (VAR9[0])?(VAR7):VAR12;
VAR1 <= (VAR9[1])?(VAR7):VAR1;
VAR6 <= (VAR9[2])?(VAR7):VAR6;
VAR5 <= (VAR9[3])?(VAR7):VAR5;
end end
always @(posedge clk or negedge VAR8) begin
if (!VAR8) begin
VAR7 <= 0;
end
case (VAR9[6:4])
3'b000: VAR7 <= VAR12;
3'b001: VAR7 <= VAR1;
3'b010: VAR7 <= VAR6;
3'b011: VAR7 <= VAR5;
3'b111: VAR7 <= VAR10;
default: VAR7 <= 0;
endcase
end
endmodule
|
mit
|
davidjabon/AXI-Peripheral-Library
|
Four_Digit_Seven_Segment_Display_2.0/hdl/Four_Digit_Seven_Segment_Display_v2_0.v
| 2,476 |
module MODULE1 #
(
parameter integer VAR48 = 32,
parameter integer VAR51 = 4
)
(
output wire [6:0] VAR3,
output wire VAR50,
output wire [3:0] VAR6,
input wire VAR26,
input wire VAR44,
input wire [VAR51-1 : 0] VAR23,
input wire [2 : 0] VAR5,
input wire VAR13,
output wire VAR35,
input wire [VAR48-1 : 0] VAR40,
input wire [(VAR48/8)-1 : 0] VAR38,
input wire VAR21,
output wire VAR11,
output wire [1 : 0] VAR42,
output wire VAR41,
input wire VAR8,
input wire [VAR51-1 : 0] VAR16,
input wire [2 : 0] VAR37,
input wire VAR1,
output wire VAR45,
output wire [VAR48-1 : 0] VAR12,
output wire [1 : 0] VAR46,
output wire VAR24,
input wire VAR28
);
VAR9 # (
.VAR34(VAR48),
.VAR19(VAR51)
) VAR2 (
.VAR3(VAR3),
.VAR50(VAR50),
.VAR6(VAR6),
.VAR29(VAR26),
.VAR43(VAR44),
.VAR18(VAR23),
.VAR47(VAR5),
.VAR49(VAR13),
.VAR39(VAR35),
.VAR20(VAR40),
.VAR4(VAR38),
.VAR7(VAR21),
.VAR32(VAR11),
.VAR27(VAR42),
.VAR17(VAR41),
.VAR33(VAR8),
.VAR10(VAR16),
.VAR31(VAR37),
.VAR22(VAR1),
.VAR25(VAR45),
.VAR15(VAR12),
.VAR30(VAR46),
.VAR36(VAR24),
.VAR14(VAR28)
);
endmodule
|
gpl-2.0
|
airin711/Verilog-caches
|
8way_4word.v
| 25,165 |
module MODULE1(clk,
rst,
VAR34,
VAR41,
VAR49,
VAR52,
VAR59,
VAR56,
VAR43,
VAR63,
VAR29,
VAR45,
VAR18,
VAR26,
VAR11,
VAR60,
VAR70,
VAR46,
VAR39,
VAR8,
VAR24,
VAR20,
VAR30,
VAR58);
parameter VAR69 = 9;
input wire clk, rst;
input wire [24:0] VAR34;
input wire [3:0] VAR41;
input wire [31:0] VAR49;
input wire VAR52, VAR59;
output reg [31:0] VAR56;
output reg VAR43;
output wire VAR63;
output reg [25:0] VAR29;
output wire [3:0] VAR45;
output reg [127:0] VAR18;
output reg VAR26, VAR11;
input wire [127:0] VAR60;
input wire VAR70;
input wire VAR46;
output reg [31:0] VAR39;
output reg [31:0] VAR8;
output reg [31:0] VAR24;
output reg [31:0] VAR20;
output reg [31:0] VAR30;
output reg [31:0] VAR58;
wire [7:0] VAR1;
wire [7:0] VAR9;
wire [7:0] VAR14;
wire [7:0] valid;
wire [127:0] VAR31, VAR23, VAR2, VAR61;
wire [127:0] VAR68, VAR6, VAR5, VAR57;
wire [127:0] VAR22;
wire VAR13, VAR27, VAR47, VAR40;
wire VAR71, VAR33, VAR42, VAR67;
wire [3:0] VAR72;
wire [3:0] VAR51;
wire [22:0] addr;
wire [22:0] VAR50, VAR25, VAR53, VAR37;
wire [22:0] VAR48, VAR64, VAR15, VAR62;
wire [7:0] VAR21;
wire [3:0] VAR73;
wire [3:0] VAR35;
reg [2:0] state;
reg [127:0] VAR36;
reg [24:0] VAR32;
reg [3:0] VAR4;
reg VAR19, VAR12;
reg [7:0] VAR3;
reg [7:0] VAR7;
reg [7:0] VAR74;
reg VAR75;
wire [2:0] VAR44;
localparam VAR16 = 0;
localparam VAR10 = 1;
localparam VAR65 = 2;
localparam VAR28 = 3;
localparam VAR17 = 4;
localparam VAR66 = 5;
localparam VAR54 = 6;
localparam VAR38 = 7;
integer VAR55;
|
mit
|
zhangly/azpr_cpu
|
rtl/cpu/rtl/bus_if.v
| 5,676 |
module MODULE1 (
input wire clk, input wire reset,
input wire VAR9, input wire VAR10, output reg VAR26,
input wire [VAR21] addr, input wire VAR13, input wire VAR14, input wire [VAR27] VAR7, output reg [VAR27] VAR28,
input wire [VAR27] VAR29, output wire [VAR21] VAR4, output reg VAR16, output wire VAR33, output wire [VAR27] VAR20,
input wire [VAR27] VAR1, input wire VAR34, input wire VAR37, output reg VAR19, output reg [VAR21] VAR3, output reg VAR23, output reg VAR36, output reg [VAR27] VAR11 );
reg [VAR15] state; reg [VAR27] VAR32; wire [VAR24] VAR35;
assign VAR35 = addr[VAR6];
assign VAR4 = addr;
assign VAR33 = VAR14;
assign VAR20 = VAR7;
always @(*) begin
VAR28 = VAR38'h0;
VAR16 = VAR39;
VAR26 = VAR39;
case (state)
if ((VAR10 == VAR39) && (VAR13 == VAR8)) begin
if (VAR35 == VAR18) begin if (VAR9 == VAR39) begin VAR16 = VAR8;
if (VAR14 == VAR25) begin VAR28 = VAR29;
end
end
end else begin VAR26 = VAR8;
end
end
end
end
if (VAR34 == VAR8) begin if (VAR14 == VAR25) begin VAR28 = VAR1;
end
end else begin VAR26 = VAR8;
end
end
end
end
endcase
end
always @(posedge clk or VAR2 reset) begin
if (reset == VAR31) begin
state <= VAR17;
VAR19 <= VAR39;
VAR3 <= VAR5'h0;
VAR23 <= VAR39;
VAR36 <= VAR25;
VAR11 <= VAR38'h0;
VAR32 <= VAR38'h0;
end else begin
case (state)
if ((VAR10 == VAR39) && (VAR13 == VAR8)) begin
if (VAR35 != VAR18) begin state <= VAR22;
VAR19 <= VAR8;
VAR3 <= addr;
VAR36 <= VAR14;
VAR11 <= VAR7;
end
end
end
if (VAR37 == VAR8) begin state <= VAR30;
VAR23 <= VAR8;
end
end
VAR23 <= VAR39;
if (VAR34 == VAR8) begin VAR19 <= VAR39;
VAR3 <= VAR5'h0;
VAR36 <= VAR25;
VAR11 <= VAR38'h0;
if (VAR36 == VAR25) begin VAR32 <= VAR1;
end
if (VAR9 == VAR8) begin state <= VAR12;
end else begin state <= VAR17;
end
end
end
if (VAR9 == VAR39) begin state <= VAR17;
end
end
endcase
end
end
endmodule
|
mit
|
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
|
Gaussian_Filter/ip/Gaussian_Filter/dotp_wrapper_sv.v
| 2,324 |
module MODULE1 (
VAR13,
VAR22,
VAR25,
VAR8,
VAR6,
VAR11,
VAR19, VAR26, VAR5, VAR1,
b0, b1, VAR12, VAR16,
VAR3
);
input VAR13;
input VAR22;
input VAR25;
input VAR8;
output VAR6;
output VAR11;
input [511:0] VAR19;
input [511:0] VAR26;
input [511:0] VAR5;
input [511:0] VAR1;
input [511:0] b0;
input [511:0] b1;
input [511:0] VAR12;
input [511:0] VAR16;
output [31:0] VAR3;
wire VAR17;
wire VAR2;
assign VAR17 = VAR25 & ~VAR11;
assign VAR2 = VAR6 & ~VAR8;
reg [7:0] VAR4;
wire [31:0] VAR23;
wire VAR21;
assign VAR11 = VAR4[6];
always @(posedge VAR13 or negedge VAR22)
begin
if (~(VAR22))
begin
VAR4 <= 8'b0;
end
else
begin
VAR4 <= VAR4 + VAR17 - VAR2;
end
end
VAR15 VAR9 (
.VAR13(VAR13),
.VAR22(VAR22),
.VAR25(VAR17),
.VAR19(VAR19), .VAR26(VAR26), .VAR5(VAR5), .VAR1(VAR1),
.b0(b0), .b1(b1), .VAR12(VAR12), .VAR16(VAR16),
.VAR3(VAR23),
.VAR6(VAR21));
VAR20 #(
.VAR10(32),
.VAR24(64)
) VAR7 (
.VAR13(VAR13),
.VAR22(VAR22),
.VAR18( VAR23 ),
.VAR14( VAR3 ),
.VAR25( VAR21 ),
.VAR6( VAR6 ),
.VAR8( VAR8 ),
.VAR11( )
);
endmodule
|
mit
|
eSedano/vrudy
|
rtl/vrudy_top.v
| 4,334 |
module MODULE1 (
input wire clk,
input wire VAR6,
input wire [15:0] VAR4,
output wire [15:0] VAR19,
output wire [7:0] VAR9,
output wire VAR18
);
VAR1 VAR14 (
.clk ( clk ),
.VAR6 ( VAR6 ),
.VAR3 ( VAR3 ),
.VAR12 ( VAR12 ),
.VAR22 ( VAR22 ),
.VAR7 ( VAR7 ),
.VAR11 ( VAR11 ),
.VAR16 ( VAR16 ),
.VAR20 ( VAR20 ),
.VAR21 ( VAR21 ),
.VAR15 ( VAR15 ),
.VAR2 ( VAR2 ),
.VAR17 ( VAR17 ),
.VAR23 ( VAR23 ),
.VAR5( VAR5 )
);
VAR8 VAR10 (
.clk ( clk ),
.VAR6 ( VAR6 ),
.VAR22 ( VAR22 ),
.VAR7 ( VAR7 ),
.VAR11 ( VAR11 ),
.VAR16 ( VAR16 ),
.VAR20 ( VAR20 ),
.VAR21 ( VAR21 ),
.VAR15 ( VAR15 ),
.VAR2 ( VAR2 ),
.VAR17 ( VAR17 ),
.VAR5( VAR5 ),
.VAR13( VAR3 ),
.VAR12 ( VAR12 ),
.VAR4 ( VAR4 ),
.VAR19 ( VAR19 ),
.VAR9 ( VAR9 )
);
endmodule
|
mit
|
hitomi2500/wasca
|
fpga_firmware/wasca/synthesis/submodules/wasca_uart_0.v
| 26,956 |
module MODULE1 (
VAR69,
VAR82,
clk,
VAR45,
VAR53,
VAR56,
VAR87,
VAR86,
VAR9,
VAR79,
VAR94,
VAR39,
VAR75
)
;
output VAR79;
output VAR94;
output VAR39;
output VAR75;
input [ 15: 0] VAR69;
input VAR82;
input clk;
input VAR45;
input VAR53;
input VAR56;
input VAR87;
input [ 7: 0] VAR86;
input VAR9;
reg VAR78;
reg [ 15: 0] VAR44;
wire VAR21;
reg VAR25;
wire VAR4;
reg VAR40;
wire VAR104;
wire [ 9: 0] VAR77;
reg VAR79;
reg VAR94;
reg VAR39;
wire VAR11;
wire [ 9: 0] VAR61;
wire VAR83;
reg VAR75;
wire [ 9: 0] VAR95;
reg [ 9: 0] VAR103;
assign VAR83 = VAR9 && VAR82;
assign VAR77 = {{1 {1'b1}},
VAR86,
1'b0};
assign VAR104 = ~(|VAR61);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR25 <= 0;
end
else if (VAR45)
VAR25 <= (~VAR94) && VAR104;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR94 <= 1'b1;
end
else if (VAR45)
if (VAR83)
VAR94 <= 0;
else if (VAR25)
VAR94 <= -1;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR79 <= 0;
end
else if (VAR45)
if (VAR87)
VAR79 <= 0;
else if (~VAR94 && VAR83)
VAR79 <= -1;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR39 <= 1'b1;
end
else if (VAR45)
VAR39 <= VAR94 && VAR104;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR44 <= 0;
end
else if (VAR45)
if (VAR21 || VAR25)
VAR44 <= VAR69;
else
VAR44 <= VAR44 - 1;
end
assign VAR21 = VAR44 == 0;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR78 <= 0;
end
else if (VAR45)
VAR78 <= VAR21;
end
assign VAR4 = VAR78 &&
(~VAR104) &&
(~VAR25);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR40 <= 1;
end
else if (~VAR104)
VAR40 <= VAR11;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR75 <= 1;
end
else if (VAR45)
VAR75 <= VAR40 & ~VAR53;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR103 <= 0;
end
else if (VAR45)
VAR103 <= VAR95;
end
assign VAR95 = (VAR25)? VAR77 :
(VAR4)? {1'b0,
VAR103[9 : 1]} :
VAR103;
assign VAR61 = VAR103;
assign VAR11 = VAR103[0];
endmodule
module MODULE5 (
VAR69,
clk,
VAR45,
VAR56,
VAR46,
VAR24,
VAR68
)
;
output VAR68;
input [ 15: 0] VAR69;
input clk;
input VAR45;
input VAR56;
input VAR46;
input VAR24;
reg [ 7: 0] VAR85;
reg VAR57;
wire VAR93;
wire VAR52;
wire VAR68;
wire [ 7: 0] VAR42;
wire VAR102;
wire VAR36;
wire VAR55;
MODULE1 MODULE4
(
.VAR69 (VAR69),
.VAR82 (VAR93),
.clk (clk),
.VAR45 (VAR45),
.VAR53 (1'b0),
.VAR56 (VAR56),
.VAR87 (1'b0),
.VAR86 (VAR85),
.VAR79 (VAR36),
.VAR94 (VAR55),
.VAR39 (VAR102),
.VAR9 (1'b1),
.VAR75 (VAR68)
);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR85 <= 0;
end
else if (VAR93)
VAR85 <= VAR42;
end
assign VAR42 = 8'b0;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR57 <= 0;
end
else if (VAR45)
VAR57 <= VAR46;
end
assign VAR52 = ~(VAR46) & (VAR57);
assign VAR93 = (VAR52 || 1'b0) && 1'b0;
endmodule
module MODULE3 (
VAR69,
VAR82,
clk,
VAR45,
VAR56,
VAR67,
VAR24,
VAR87,
VAR60,
VAR15,
VAR29,
VAR46,
VAR65,
VAR48
)
;
output VAR60;
output VAR15;
output VAR29;
output VAR46;
output [ 7: 0] VAR65;
output VAR48;
input [ 15: 0] VAR69;
input VAR82;
input clk;
input VAR45;
input VAR56;
input VAR67;
input VAR24;
input VAR87;
reg VAR78;
wire [ 15: 0] VAR97;
reg [ 15: 0] VAR44;
wire VAR21;
reg VAR60;
reg VAR63;
reg VAR96;
reg VAR51;
reg VAR84;
reg VAR15;
wire VAR49;
wire [ 14: 0] VAR5;
wire VAR47;
wire VAR88;
wire VAR29;
wire [ 7: 0] VAR76;
reg VAR46;
reg [ 7: 0] VAR65;
wire VAR66;
reg VAR48;
wire VAR27;
wire VAR92;
wire VAR12;
wire [ 9: 0] VAR99;
wire VAR89;
wire VAR81;
wire VAR68;
wire VAR33;
wire VAR20;
wire VAR62;
wire [ 9: 0] VAR50;
reg [ 9: 0] VAR73;
MODULE5 MODULE2
(
.VAR69 (VAR69),
.clk (clk),
.VAR45 (VAR45),
.VAR56 (VAR56),
.VAR46 (VAR46),
.VAR24 (VAR24),
.VAR68 (VAR68)
);
VAR3 VAR22
(
.clk (clk),
.din (VAR68),
.dout (VAR20),
.VAR56 (VAR56)
);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR96 <= 0;
end
else if (VAR45)
VAR96 <= VAR20;
end
assign VAR12 = ~(VAR20) & (VAR96);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR51 <= 0;
end
else if (VAR45)
VAR51 <= VAR20;
end
assign VAR92 = (VAR20) ^ (VAR51);
assign VAR27 = VAR67 && VAR82;
assign VAR5 = VAR69[15 : 1];
assign VAR97 = (VAR92)? VAR5 :
VAR69;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR44 <= 0;
end
else if (VAR45)
if (VAR21 || VAR92)
VAR44 <= VAR97;
else
VAR44 <= VAR44 - 1;
end
assign VAR21 = VAR44 == 0;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR78 <= 0;
end
else if (VAR45)
if (VAR92)
VAR78 <= 0;
else
VAR78 <= VAR21;
end
assign VAR89 = VAR78 && VAR66;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR84 <= 0;
end
else if (VAR45)
if (~VAR66 && VAR12)
VAR84 <= 1;
else
VAR84 <= 0;
end
assign VAR66 = VAR81;
assign {VAR33,
VAR76,
VAR62} = VAR99;
assign VAR47 = ~(|VAR99);
assign VAR88 = ~VAR33 && ~VAR47;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR63 <= 0;
end
else if (VAR45)
VAR63 <= VAR66;
end
assign VAR49 = ~(VAR66) & (VAR63);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR65 <= 0;
end
else if (VAR49)
VAR65 <= VAR76;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR15 <= 0;
end
else if (VAR45)
if (VAR87)
VAR15 <= 0;
else if (VAR49 && VAR88)
VAR15 <= -1;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR60 <= 0;
end
else if (VAR45)
if (VAR87)
VAR60 <= 0;
else if (VAR49 && VAR47)
VAR60 <= -1;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR48 <= 0;
end
else if (VAR45)
if (VAR87)
VAR48 <= 0;
else if (VAR49 && VAR46)
VAR48 <= -1;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR46 <= 0;
end
else if (VAR45)
if (VAR27)
VAR46 <= 0;
else if (VAR49)
VAR46 <= -1;
end
assign VAR29 = 0;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR73 <= 0;
end
else if (VAR45)
VAR73 <= VAR50;
end
assign VAR50 = (VAR84)? {10{1'b1}} :
(VAR89)? {VAR20,
VAR73[9 : 1]} :
VAR73;
assign VAR99 = VAR73;
assign VAR81 = VAR73[0];
endmodule
module MODULE2 (
address,
VAR60,
VAR38,
clk,
VAR45,
VAR15,
VAR29,
VAR19,
VAR56,
VAR46,
VAR65,
VAR48,
VAR79,
VAR94,
VAR39,
VAR71,
VAR18,
VAR69,
VAR32,
VAR53,
irq,
VAR2,
VAR74,
VAR67,
VAR87,
VAR86,
VAR9
)
;
output [ 15: 0] VAR69;
output VAR32;
output VAR53;
output irq;
output [ 15: 0] VAR2;
output VAR74;
output VAR67;
output VAR87;
output [ 7: 0] VAR86;
output VAR9;
input [ 2: 0] address;
input VAR60;
input VAR38;
input clk;
input VAR45;
input VAR15;
input VAR29;
input VAR19;
input VAR56;
input VAR46;
input [ 7: 0] VAR65;
input VAR48;
input VAR79;
input VAR94;
input VAR39;
input VAR71;
input [ 15: 0] VAR18;
wire VAR54;
reg [ 15: 0] VAR69;
reg [ 9: 0] VAR70;
wire VAR43;
wire VAR13;
reg VAR59;
reg VAR35;
wire VAR32;
wire VAR14;
reg VAR1;
wire [ 15: 0] VAR23;
wire VAR30;
wire VAR53;
wire VAR7;
wire VAR64;
wire VAR8;
wire VAR80;
wire VAR28;
wire VAR10;
wire VAR6;
wire VAR90;
wire VAR58;
wire VAR41;
wire VAR34;
reg irq;
wire VAR100;
reg [ 15: 0] VAR2;
wire VAR74;
wire VAR67;
wire [ 15: 0] VAR37;
wire [ 12: 0] VAR101;
wire VAR87;
reg [ 7: 0] VAR86;
wire VAR9;
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR2 <= 0;
end
else if (VAR45)
VAR2 <= VAR37;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
irq <= 0;
end
else if (VAR45)
irq <= VAR100;
end
assign VAR67 = VAR38 && ~VAR19 && (address == 3'd0);
assign VAR9 = VAR38 && ~VAR71 && (address == 3'd1);
assign VAR87 = VAR38 && ~VAR71 && (address == 3'd2);
assign VAR43 = VAR38 && ~VAR71 && (address == 3'd3);
assign VAR30 = VAR38 && ~VAR71 && (address == 3'd4);
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR86 <= 0;
end
else if (VAR9)
VAR86 <= VAR18[7 : 0];
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR70 <= 0;
end
else if (VAR43)
VAR70 <= VAR18[9 : 0];
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR69 <= VAR23;
end
else if (VAR30)
VAR69 <= VAR18[15 : 0];
end
assign VAR13 = 0;
assign VAR14 = 0;
assign {VAR53,
VAR8,
VAR6,
VAR41,
VAR34,
VAR58,
VAR90,
VAR80,
VAR28,
VAR10} = VAR70;
assign VAR54 = VAR79 ||
VAR48 ||
VAR29 ||
VAR15 ||
VAR60;
assign VAR101 = {VAR64,
VAR13,
VAR14,
1'b0,
VAR54,
VAR46,
VAR94,
VAR39,
VAR79,
VAR48,
VAR60,
VAR15,
VAR29};
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR59 <= 0;
end
else if (VAR45)
VAR59 <= VAR46;
end
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR35 <= 0;
end
else if (VAR45)
VAR35 <= VAR94;
end
assign VAR32 = VAR59;
assign VAR74 = VAR35;
assign VAR64 = 1'b0;
assign VAR37 = ({16 {(address == 3'd0)}} & VAR65) |
({16 {(address == 3'd1)}} & VAR86) |
({16 {(address == 3'd2)}} & VAR101) |
({16 {(address == 3'd3)}} & VAR70) |
({16 {(address == 3'd4)}} & VAR69);
assign VAR100 = (VAR8 && VAR54 ) ||
(VAR34 && VAR39 ) ||
(VAR58 && VAR79 ) ||
(VAR90 && VAR48 ) ||
(VAR80 && VAR60 ) ||
(VAR28 && VAR15 ) ||
(VAR10 && VAR29 ) ||
(VAR6 && VAR46 ) ||
(VAR41 && VAR94 );
always @(posedge clk or negedge VAR56)
begin
if (VAR56 == 0)
VAR1 <= 0;
end
else if (VAR45)
VAR1 <= VAR94;
end
assign VAR7 = (VAR94) & ~(VAR1);
always @(posedge clk)
begin
if (VAR7)
("%VAR91", VAR86);
end
assign VAR23 = 4;
endmodule
module MODULE4 (
address,
VAR82,
VAR38,
clk,
VAR19,
VAR56,
VAR24,
VAR71,
VAR18,
VAR32,
irq,
VAR2,
VAR74,
VAR75
)
;
output VAR32;
output irq;
output [ 15: 0] VAR2;
output VAR74;
output VAR75;
input [ 2: 0] address;
input VAR82;
input VAR38;
input clk;
input VAR19;
input VAR56;
input VAR24;
input VAR71;
input [ 15: 0] VAR18;
wire [ 15: 0] VAR69;
wire VAR60;
wire VAR45;
wire VAR32;
wire VAR53;
wire VAR15;
wire irq;
wire VAR29;
wire [ 15: 0] VAR2;
wire VAR74;
wire VAR46;
wire [ 7: 0] VAR65;
wire VAR48;
wire VAR67;
wire VAR87;
wire [ 7: 0] VAR86;
wire VAR79;
wire VAR94;
wire VAR39;
wire VAR9;
wire VAR75;
assign VAR45 = 1;
MODULE1 MODULE1
(
.VAR69 (VAR69),
.VAR82 (VAR82),
.clk (clk),
.VAR45 (VAR45),
.VAR53 (VAR53),
.VAR56 (VAR56),
.VAR87 (VAR87),
.VAR86 (VAR86),
.VAR79 (VAR79),
.VAR94 (VAR94),
.VAR39 (VAR39),
.VAR9 (VAR9),
.VAR75 (VAR75)
);
MODULE3 MODULE3
(
.VAR69 (VAR69),
.VAR82 (VAR82),
.VAR60 (VAR60),
.clk (clk),
.VAR45 (VAR45),
.VAR15 (VAR15),
.VAR29 (VAR29),
.VAR56 (VAR56),
.VAR46 (VAR46),
.VAR65 (VAR65),
.VAR48 (VAR48),
.VAR67 (VAR67),
.VAR24 (VAR24),
.VAR87 (VAR87)
);
MODULE2 MODULE5
(
.address (address),
.VAR69 (VAR69),
.VAR60 (VAR60),
.VAR38 (VAR38),
.clk (clk),
.VAR45 (VAR45),
.VAR32 (VAR32),
.VAR53 (VAR53),
.VAR15 (VAR15),
.irq (irq),
.VAR29 (VAR29),
.VAR19 (VAR19),
.VAR2 (VAR2),
.VAR74 (VAR74),
.VAR56 (VAR56),
.VAR46 (VAR46),
.VAR65 (VAR65),
.VAR48 (VAR48),
.VAR67 (VAR67),
.VAR87 (VAR87),
.VAR86 (VAR86),
.VAR79 (VAR79),
.VAR94 (VAR94),
.VAR39 (VAR39),
.VAR9 (VAR9),
.VAR71 (VAR71),
.VAR18 (VAR18)
);
endmodule
|
gpl-2.0
|
gyurco/ZX_Spectrum-128K_MIST
|
sys/osd.v
| 5,986 |
module MODULE1 (
input VAR52,
input VAR55,
input VAR43,
input VAR13,
input VAR3,
input [1:0] VAR27,
input [5:0] VAR56,
input [5:0] VAR1,
input [5:0] VAR30,
input VAR54,
input VAR50,
output [5:0] VAR25,
output [5:0] VAR31,
output [5:0] VAR44
);
parameter VAR24 = 11'd0;
parameter VAR21 = 11'd0;
parameter VAR49 = 3'd0;
parameter VAR4 = 1'b1;
localparam VAR38 = 11'd256;
localparam VAR37 = 11'd128;
localparam VAR22 = VAR38 + (VAR38 >> 1);
reg VAR16;
reg [7:0] VAR48[2047:0];
always@(posedge VAR43, posedge VAR13) begin
reg [4:0] VAR8;
reg [10:0] VAR42;
reg [7:0] VAR36;
reg [7:0] VAR15;
if(VAR13) begin
VAR8 <= 0;
VAR42 <= 0;
end else begin
VAR36 <= {VAR36[6:0], VAR3};
if(VAR8 < 15) VAR8 <= VAR8 + 1'd1;
end
else VAR8 <= 8;
if(VAR8 == 7) begin
VAR15 <= {VAR36[6:0], VAR3};
VAR42 <= {VAR36[1:0], VAR3, 8'h00};
if(VAR36[6:3] == 4'b0100) VAR16 <= VAR3;
end
if((VAR15[7:3] == 5'b00100) && (VAR8 == 15)) begin
VAR48[VAR42] <= {VAR36[6:0], VAR3};
VAR42 <= VAR42 + 1'd1;
end
end
end
reg [10:0] VAR2;
reg [10:0] VAR20, VAR12;
wire VAR41 = VAR12 < VAR20;
wire [10:0] VAR18 = VAR41 ? VAR20 : VAR12;
reg [10:0] VAR28;
reg [10:0] VAR26, VAR7;
wire VAR45 = VAR7 < VAR26;
wire [10:0] VAR39 = VAR45 ? VAR26 : VAR7;
wire VAR32 = (VAR39>350);
reg VAR17;
always @(posedge VAR52) begin
reg [15:0] VAR8 = 0;
reg [2:0] VAR23;
reg [2:0] VAR57;
reg VAR35;
VAR8 <= VAR8 + 1'd1;
VAR35 <= VAR54;
VAR57 <= VAR57 + 1'd1;
if(VAR57 == VAR23) VAR57 <= 0;
VAR17 <= !VAR57;
if(VAR35 && ~VAR54) begin
VAR8 <= 0;
if(VAR8 <= VAR22 * 2) VAR23 <= 0;
end
else if(VAR8 <= VAR22 * 3) VAR23 <= 1;
end
else if(VAR8 <= VAR22 * 4) VAR23 <= 2;
else if(VAR8 <= VAR22 * 5) VAR23 <= 3;
else if(VAR8 <= VAR22 * 6) VAR23 <= 4;
else VAR23 <= 5;
VAR57 <= 0;
VAR17 <= 1;
end
end
wire VAR46 = VAR4 ? VAR17 : VAR55;
always @(posedge VAR52) begin
reg VAR19;
reg VAR5;
if(VAR46) begin
VAR19 <= VAR54;
if(!VAR54 && VAR19) begin
VAR2 <= 0;
VAR12 <= VAR2;
end
else if(VAR54 && !VAR19) begin
VAR2 <= 0;
VAR20 <= VAR2;
VAR28 <= VAR28 + 1'd1;
end else begin
VAR2 <= VAR2 + 1'd1;
end
VAR5 <= VAR50;
if(!VAR50 && VAR5) begin
VAR28 <= 0;
if (VAR7 != VAR28 + 1'd1) VAR7 <= VAR28;
end
else if(VAR50 && !VAR5) begin
VAR28 <= 0;
if (VAR26 != VAR28 + 1'd1) VAR26 <= VAR28;
end
end
end
reg [10:0] VAR10;
reg [10:0] VAR33;
reg [10:0] VAR11;
reg [10:0] VAR14;
always @(posedge VAR52) begin
VAR10 <= ((VAR18 - VAR38)>> 1) + VAR24;
VAR33 <= VAR10 + VAR38;
VAR11 <= ((VAR39- (VAR37<<VAR32))>> 1) + VAR21;
VAR14 <= VAR11 + (VAR37<<VAR32);
end
wire [10:0] VAR47 = VAR2 - VAR10;
wire [10:0] VAR29 = VAR28 - VAR11;
wire [10:0] VAR53 = VAR47 + 2'd1; wire [10:0] VAR51 = VAR47 + 2'd2; reg VAR6;
reg [10:0] VAR34;
wire [7:0] VAR9 = VAR48[VAR34];
reg VAR40;
always @(posedge VAR52) begin
if(VAR46) begin
VAR34 <= VAR27[0] ? {VAR27[1] ? VAR51[7:5] : ~VAR51[7:5],
VAR27[1] ? (VAR32 ? ~VAR29[7:0] : ~{VAR29[6:0], 1'b0}) :
(VAR32 ? VAR29[7:0] : {VAR29[6:0], 1'b0})} :
{VAR32 ? VAR29[7:5] : VAR29[6:4], VAR51[7:0]};
VAR40 <= VAR27[0] ? VAR9[VAR27[1] ? VAR53[4:2] : ~VAR53[4:2]] :
VAR9[VAR32 ? VAR29[4:2] : VAR29[3:1]];
VAR6 <= VAR16 &&
(VAR54 != VAR41) && ((VAR2 + 1'd1) >= VAR10) && ((VAR2 + 1'd1) < VAR33) &&
(VAR50 != VAR45) && (VAR28 >= VAR11) && (VAR28 < VAR14);
end
end
assign VAR25 = !VAR6 ? VAR56 : {VAR40, VAR40, VAR49[2], VAR56[5:3]};
assign VAR31 = !VAR6 ? VAR1 : {VAR40, VAR40, VAR49[1], VAR1[5:3]};
assign VAR44 = !VAR6 ? VAR30 : {VAR40, VAR40, VAR49[0], VAR30[5:3]};
endmodule
|
gpl-2.0
|
Rod2693rm/netfpga-firewal-ddos
|
src/tcam_bl/tcam_bl.v
| 4,027 |
module MODULE1(
clk,
VAR10,
VAR45,
VAR44,
din,
VAR33,
VAR27,
VAR39,
VAR1,
VAR23);
input clk;
input [31 : 0] VAR10;
input [31 : 0] VAR45;
input [31 : 0] VAR44;
input [31 : 0] din;
input VAR33;
input [10 : 0] VAR27;
output VAR39;
output VAR1;
output [2047 : 0] VAR23;
VAR17 #(
.VAR43(2),
.VAR34(32),
.VAR14(32),
.VAR22(32),
.VAR13(2048),
.VAR15(32),
.VAR50(0),
.VAR12(1),
.VAR47(1),
.VAR41(1),
.VAR51(0),
.VAR20(0),
.VAR31(0),
.VAR21(0),
.VAR24(1),
.VAR32(1),
.VAR7(2048),
.VAR29(0),
.VAR9(0),
.VAR35("MODULE1.VAR46"),
.VAR3(0),
.VAR37(1),
.VAR36(0),
.VAR48(2),
.VAR16(32),
.VAR40(11))
VAR6 (
.VAR5(clk),
.VAR26(VAR10),
.VAR2(VAR45),
.VAR8(VAR44),
.VAR25(din),
.VAR11(VAR33),
.VAR18(VAR27),
.VAR42(VAR39),
.VAR4(VAR1),
.VAR49(VAR23),
.VAR38(),
.VAR19(),
.VAR28(),
.VAR30());
endmodule
|
gpl-3.0
|
Canaan-Creative/MM
|
verilog/superkdf9/components/twi/twi.v
| 10,289 |
module MODULE1(
input VAR47 ,
input VAR10 ,
input VAR48 , input VAR67 ,
input VAR57 ,
input VAR45 , input [2:0] VAR77 , input [1:0] VAR30 , input [5:0] VAR105 ,
input [31:0] VAR58 ,
input [3:0] VAR73 ,
output reg VAR79 ,
output VAR65 , output VAR44 , output [31:0] VAR19 ,
output VAR60 ,
input VAR99 ,
output VAR9 ,
output VAR7 ,
output VAR4 ,
output VAR28 ,
output VAR22 ,
output VAR39 ,
output VAR113 ,
output VAR97 ,
input VAR50 ,
input VAR6 ,
output VAR61 ,
output VAR8 ,
output [3:0] VAR116 ,
input [7:0] VAR29 ,
output VAR41
);
assign VAR65 = 1'b0 ;
assign VAR44 = 1'b0 ;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR79 <= 1'b0 ;
end
else if( VAR67 && (~VAR79) )
VAR79 <= 1'b1 ;
else
VAR79 <= 1'b0 ;
end
wire VAR5 = VAR67 & VAR57 & ( VAR105 == VAR40) & ~VAR79 ;
wire VAR12 = VAR67 & VAR57 & ( VAR105 == VAR15) & ~VAR79 ;
wire VAR33 = VAR67 & VAR57 & ( VAR105 == VAR101) & ~VAR79 ;
wire VAR69 = VAR67 & VAR57 & ( VAR105 == VAR24 ) & ~VAR79 ;
wire VAR81 = VAR67 & VAR57 & ( VAR105 == VAR78 ) & ~VAR79 ;
wire VAR46 = VAR67 & VAR57 & ( VAR105 == VAR76) & ~VAR79 ;
wire VAR1 = VAR67 & VAR57 & ( VAR105 == VAR85) & ~VAR79 ;
wire VAR53 = VAR67 & VAR57 & ( VAR105 == VAR96) & ~VAR79 ;
wire VAR89 = VAR67 & ~VAR57 & ( VAR105 == VAR40) & ~VAR79 ;
wire VAR91 = VAR67 & ~VAR57 & ( VAR105 == VAR114) & ~VAR79 ;
wire VAR59 = VAR67 & ~VAR57 & ( VAR105 == VAR24 ) & ~VAR79 ;
wire VAR72 = VAR67 & ~VAR57 & ( VAR105 == VAR78 ) & ~VAR79 ;
wire VAR70 = VAR67 & ~VAR57 & ( VAR105 == VAR107) & ~VAR79 ;
wire VAR27 = VAR67 & ~VAR57 & ( VAR105 == VAR36) & ~VAR79 ;
wire VAR71 = VAR67 & ~VAR57 & ( VAR105 == VAR76) & ~VAR79 ;
wire VAR95 = VAR67 & ~VAR57 & ( VAR105 == VAR85) & ~VAR79 ;
reg [9:0] VAR92 ;
reg [9:0] VAR68 ;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR92 <= 10'h00 ;
end
else if( VAR33 )
VAR92 <= VAR58[9:0] ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR68 <= 10'b0 ;
end
else
VAR68 <= VAR68 + 10'b1 ;
end
assign VAR7 = VAR68 < VAR92 ;
reg VAR93 ;
reg [25:0] VAR108 ;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR93 <= 1'b0 ;
end
else if( VAR69 )
VAR93 <= VAR58[0] ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR108 <= 26'b0 ;
end
else if( VAR69 && (VAR93 || VAR58[0]) )
VAR108 <= VAR58[26:1] ;
else if( |VAR108 )
VAR108 <= VAR108 - 1 ;
end
assign VAR4 = VAR93 && (VAR108 == 1 || VAR108 == 2) ;
wire VAR98 ;
reg VAR55 ;
wire [31:0] VAR83 = {28'b0,VAR55,VAR97,2'b0} ;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR55 <= 1'b0 ;
end
else if( VAR81 )
VAR55 <= 1'b0 ;
else if( VAR98 )
VAR55 <= 1'b1 ;
end
VAR88 VAR109(
.clk (VAR47 ) ,
.rst (VAR10 ) ,
.VAR90 (VAR81 ) ,
.VAR115 (VAR58[1:0] ) ,
.VAR112 (VAR58[2] ) ,
.din (VAR58[15:8]) ,
.VAR86 (VAR98 ) ,
.VAR3 (VAR28 ) ,
.VAR16 (VAR22 ) ,
.VAR49 (VAR39 ) ,
.VAR102 (VAR113 ) ,
.VAR37 (VAR97 )
);
reg [26:0] VAR32 ;reg [26:0] VAR14 ;
reg [26:0] VAR118 ;
reg [26:0] VAR62 ;
reg [26:0] VAR25 ;
reg [2:0] VAR35 ;
reg [2:0] VAR54 ;
wire VAR11 = ~VAR35[1] && VAR35[2] ;
wire VAR31 = ~VAR54[1] && VAR54[2] ;
always @ ( posedge VAR47 ) begin
VAR35 <= {VAR35[1:0],VAR50} ;
VAR54 <= {VAR54[1:0],VAR6} ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR32 <= 'b0 ;
end
else if( VAR32 == VAR82 )
VAR32 <= 'b0 ;
else
VAR32 <= 'b1 + VAR32 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR14 <= 'b0 ;
end
else if( VAR32 == VAR82 ) begin
VAR14 <= 'b0 ;
VAR62 <= VAR14 ;
end else if( VAR11 )
VAR14 <= VAR14 + 'b1 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR118 <= 'b0 ;
end
else if( VAR32 == VAR82 ) begin
VAR118 <= 'b0 ;
VAR25 <= VAR118 ;
end else if( VAR31 )
VAR118 <= VAR118 + 'b1 ;
end
reg [26:0] VAR63 ;
reg [5:0] VAR94 ;
reg [5:0] VAR111 ;
reg VAR21 ;
reg [5:0] VAR2 ;
reg [5:0] VAR66 ;
reg VAR84 ;
reg VAR56 ;
reg VAR34 ;
wire [31:0] VAR13 = {7'b0,VAR84,VAR2,VAR34,1'b0,7'b0,VAR21,VAR94,VAR56,1'b0} ;
always @ ( posedge VAR47 ) begin
if( VAR63 == VAR82 )
VAR63 <= 'b0 ;
end
else
VAR63 <= 'b1 + VAR63 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR94 <= 'b0 ;
end
else if( VAR46 && VAR58[0] )
VAR94 <= VAR58[7:2] ;
else if( |VAR94 && VAR63 == VAR82 )
VAR94 <= VAR94 - 6'b1 ;
end
always @ ( posedge VAR47 ) begin
VAR111 <= VAR94 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR56 <= 1'b1 ;
end
else if( VAR46 )
VAR56 <= VAR58[1] ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR2 <= 'b0 ;
end
else if( VAR46 && VAR58[16] )
VAR2 <= VAR58[23:18] ;
else if( |VAR2 && VAR63 == VAR82 )
VAR2 <= VAR2 - 6'b1 ;
end
always @ ( posedge VAR47 ) begin
VAR66 <= VAR2 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR21 <= 1'b0 ;
end
else if( VAR94 == 0 && VAR111 == 1 )
VAR21 <= 1'b1 ;
else if( VAR46 && VAR58[8] )
VAR21 <= 1'b0 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR84 <= 1'b0 ;
end
else if( VAR2 == 0 && VAR66 == 1 )
VAR84 <= 1'b1 ;
else if( VAR46 && VAR58[24] )
VAR84 <= 1'b0 ;
end
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR34 <= 1'b1 ;
end
else if( VAR46 )
VAR34 <= VAR58[17] ;
end
assign VAR61 = ~VAR56 && VAR21 ;
assign VAR8 = ~VAR34 && VAR84 ;
reg [3:0] VAR74 ;
reg [7:0] VAR23 ;
wire [31:0] VAR75 = {20'b0,VAR23,VAR74} ;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR74 <= 'b0 ;
end
else if( VAR1 )
VAR74 <= VAR58[3:0] ;
end
assign VAR116 = VAR74 ;
always @ ( posedge VAR47 ) begin
VAR23 <= VAR29 ;
end
reg VAR52;
assign VAR41 = VAR52;
always @ ( posedge VAR47 or posedge VAR10 ) begin
if( VAR10 )
VAR52 <= 1'b0;
end
else if(VAR53)
VAR52 <= VAR58[0];
end
reg VAR80 ;
reg VAR87 ;
reg VAR43 ;
reg VAR110 ;
reg VAR104 ;
reg VAR64 ;
reg VAR42 ;
wire [7:0] VAR38 ;
wire [7:0] VAR103 ;
always @ ( posedge VAR47 ) begin
VAR80 <= VAR89 ;
VAR87 <= VAR59 ;
VAR43 <= VAR72 ;
VAR110 <= VAR70 ;
VAR104 <= VAR27 ;
VAR64 <= VAR71 ;
VAR42 <= VAR95 ;
end
assign VAR19 = VAR80 ? {24'b0,VAR38} :
VAR87 ? {5'b0,VAR108,VAR93}:
VAR43 ? VAR83 :
VAR110 ? {6'b0,VAR62} :
VAR104 ? {6'b0,VAR25} :
VAR64 ? VAR13 :
VAR42 ? VAR75 :
{24'b0,VAR103} ;
VAR100 VAR100 (
.clk (VAR47 ) ,
.rst (VAR10 ) ,
.wr (VAR5|VAR12) , .VAR106 (VAR58[7:0] ) , .VAR117 ({2'b0,VAR105} ) , .VAR20 (VAR38 ) ,
.VAR26 (VAR103 ) ,
.VAR51 (VAR60 ) ,
.VAR17 (VAR99 ) ,
.VAR18 (VAR9 )
);
endmodule
|
unlicense
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dfsbp/sky130_fd_sc_hd__dfsbp_2.v
| 2,377 |
module MODULE1 (
VAR2 ,
VAR6 ,
VAR4 ,
VAR5 ,
VAR7,
VAR3 ,
VAR8 ,
VAR1 ,
VAR10
);
output VAR2 ;
output VAR6 ;
input VAR4 ;
input VAR5 ;
input VAR7;
input VAR3 ;
input VAR8 ;
input VAR1 ;
input VAR10 ;
VAR11 VAR9 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR2 ,
VAR6 ,
VAR4 ,
VAR5 ,
VAR7
);
output VAR2 ;
output VAR6 ;
input VAR4 ;
input VAR5 ;
input VAR7;
supply1 VAR3;
supply0 VAR8;
supply1 VAR1 ;
supply0 VAR10 ;
VAR11 VAR9 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
cpulabs/mist1032isa
|
src/core/execute/execute_adder_calc.v
| 10,057 |
module MODULE1(
input wire [4:0] VAR24,
input wire VAR41, input wire [31:0] VAR5,
input wire [31:0] VAR27,
input wire VAR25,
input wire [31:0] VAR36,
input wire [31:0] VAR29,
input wire [31:0] VAR37,
input wire [31:0] VAR17,
input wire [31:0] VAR6,
input wire [31:0] VAR28,
output wire VAR35,
output wire [31:0] VAR43,
output wire [31:0] VAR22,
output wire VAR19,
output wire [31:0] VAR18,
output wire [31:0] VAR1,
output wire [31:0] VAR38,
output wire [1:0] VAR20,
output wire [3:0] VAR33,
output wire [1:0] VAR21
);
function [3:0] VAR11;
input [1:0] VAR13;
input [1:0] VAR8;
begin
case(VAR13)
2'h0 :
begin
if(VAR8[1:0] == 2'h0)begin
VAR11 = 4'b0001;
end
else if(VAR8[1:0] == 2'h1)begin
VAR11 = 4'b0010;
end
else if(VAR8[1:0] == 2'h2)begin
VAR11 = 4'b0100;
end
else begin
VAR11 = 4'b1000;
end
end
2'h1 :
begin
if(VAR8[1:0] == 2'h0)begin
VAR11 = 4'b0011;
end
else if(VAR8[1:0] == 2'h2)begin
VAR11 = 4'b1100;
end
else begin
VAR11 = 4'b0000;
end
end
2'h2 :
begin
VAR11 = 4'b1111;
end
default:
begin
VAR11 = 4'b0000;
end
endcase
end
endfunction
function [31:0] VAR10;
input [1:0] VAR23;
input [31:0] VAR32;
begin
case(VAR23)
2'h0 : VAR10 = {24'h0, VAR32[7:0]};
2'h1 : VAR10 = {16'h0, VAR32[7:0], 8'h0};
2'h2 : VAR10 = {8'h0, VAR32[7:0], 16'h0};
2'h3 : VAR10 = {VAR32[7:0], 24'h0};
endcase
end
endfunction
function [31:0] VAR4;
input [1:0] VAR23;
input [31:0] VAR32;
begin
case(VAR23)
2'h0 : VAR4 = {16'h0, VAR32[15:0]};
2'h2 : VAR4 = {VAR32[15:0], 16'h0};
default : VAR4 = 32'VAR34;
endcase
end
endfunction
reg [31:0] VAR3;
always @* begin
if(VAR41)begin
if(
VAR24 == VAR39 ||
VAR24 == VAR9 ||
VAR24 == VAR44 ||
VAR24 == VAR30 ||
VAR24 == VAR42 ||
VAR24 == VAR7
)begin
VAR3 = VAR17;
end
else begin
VAR3 = (VAR37[6:5] == 2'h0)? VAR6 : VAR17;
end
end
else begin
VAR3 = (VAR37[6:5] == 2'h0)? VAR6 : VAR17;
end
end
reg VAR26;
reg [31:0] VAR16;
reg [31:0] VAR31;
reg [31:0] VAR12;
reg [31:0] VAR40;
reg VAR45;
reg [1:0] VAR2;
reg [3:0] VAR14;
reg [1:0] VAR15;
always @* begin
if(VAR41)begin
case(VAR24)
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h0;
VAR14 = VAR11(2'h0, VAR27[1:0]);
VAR15 = VAR27[1:0];
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h1;
VAR14 = VAR11(2'h1, VAR27[1:0]);
VAR15 = (VAR27[1:0] == 2'h0)? 2'h0 : 2'h2;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h2;
VAR14 = VAR11(2'h2, VAR27[1:0]);
VAR15 = 2'h0;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR10(VAR27[1:0], VAR5);
VAR45 = 1'b1;
VAR2 = 2'h0;
VAR14 = VAR11(2'h0, VAR27[1:0]);
VAR15 = VAR27[1:0];
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR4((VAR27[1:0] == 2'h0)? 2'h0 : 2'h2, VAR5);
VAR45 = 1'b1;
VAR2 = 2'h1;
VAR14 = VAR11(2'h1, VAR27[1:0]);
VAR15 = (VAR27[1:0] == 2'h0)? 2'h0 : 2'h2;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = VAR5;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = VAR11(2'h2, VAR27[1:0]);
VAR15 = 2'h0;
end
begin
VAR26 = 1'b1;
VAR16 = VAR29 - 32'h4;
VAR31 = 32'h0;
VAR12 = VAR29 - 32'h4;
VAR40 = VAR5;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = 4'hf;
VAR15 = 2'h0;
end
begin
VAR26 = 1'b1;
VAR16 = VAR29 - 32'h4;
VAR31 = 32'h0;
VAR12 = VAR29 - 32'h4;
VAR40 = VAR28;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = 4'hf;
VAR15 = 2'h0;
end
begin
VAR26 = 1'b1;
VAR16 = VAR29 + 32'h4;
VAR31 = 32'h0;
VAR12 = VAR29;
VAR40 = 32'h0;
VAR45 = 1'b0;
VAR2 = 2'h2;
VAR14 = 4'hf;
VAR15 = 2'h0;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + VAR36;
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h0;
VAR14 = VAR11(2'h0, (VAR27[1:0] + VAR36[1:0]));
VAR15 = VAR27[1:0] + VAR36[1:0];
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + {VAR36, 1'b0};
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h1;
VAR14 = VAR11(2'h1, (VAR27[1:0] + {VAR36[0], 1'b0}));
VAR15 = (VAR27[1:0] + {VAR36[0], 1'b0} == 2'h0)? 2'h0 : 2'h2; end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + {VAR36, 2'b00};
VAR40 = VAR5;
VAR45 = 1'b0;
VAR2 = 2'h2;
VAR14 = VAR11(2'h2, VAR27[1:0]);
VAR15 = 2'h0;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + VAR36;
VAR40 = VAR10(VAR27[1:0] + VAR36[1:0], VAR5); VAR45 = 1'b1;
VAR2 = 2'h0;
VAR14 = VAR11(2'h0, (VAR27[1:0] + VAR36[1:0]));
VAR15 = VAR27[1:0] + VAR36[1:0];
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + {VAR36, 1'b0};
VAR40 = VAR4((VAR27[1:0] + {VAR36[0], 1'b0} == 2'h0)? 2'h0 : 2'h2, VAR5); VAR45 = 1'b1;
VAR2 = 2'h1;
VAR14 = VAR11(2'h1, (VAR27[1:0] + {VAR36[0], 1'b0}));
VAR15 = (VAR27[1:0] + {VAR36[0], 1'b0} == 2'h0)? 2'h0 : 2'h2;
end
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27 + {VAR36, 2'b00};
VAR40 = VAR5;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = VAR11(2'h2, VAR27[1:0]);
VAR15 = 2'h0;
end
default:
begin
VAR26 = 1'b0;
VAR16 = VAR29;
VAR31 = 32'h0;
VAR12 = VAR27;
VAR40 = 32'h0;
VAR45 = 1'b0;
VAR2 = 2'h0;
VAR14 = 4'h0;
VAR15 = 2'h0;
end
endcase
end
else begin
case(VAR24)
begin
VAR26 = 1'b1;
VAR16 = VAR29;
VAR31 = VAR29;
VAR12 = VAR27;
VAR40 = VAR29;
VAR45 = 1'b0;
VAR2 = 2'h2;
VAR14 = 4'h0;
VAR15 = 2'h0;
end
begin
VAR26 = 1'b1;
VAR16 = VAR5;
VAR31 = 32'h0;
VAR12 = VAR5;
VAR40 = VAR5;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = 4'h0;
VAR15 = 2'h0;
end
begin
VAR26 = 1'b1;
VAR16 = VAR5 + VAR27;
VAR31 = 32'h0;
VAR12 = VAR5;
VAR40 = VAR5;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = 4'h0;
VAR15 = 2'h0;
end
default:
begin
VAR26 = 1'b1;
VAR16 = VAR5 + VAR27;
VAR31 = 32'h0;
VAR12 = VAR5;
VAR40 = VAR5 + VAR27;
VAR45 = 1'b1;
VAR2 = 2'h2;
VAR14 = 4'h0;
VAR15 = 2'h0;
end
endcase
end
end
assign VAR35 = VAR26;
assign VAR43 = VAR16;
assign VAR22 = VAR31;
assign VAR19 = VAR45;
assign VAR18 = VAR3;
assign VAR1 = VAR12;
assign VAR38 = VAR40;
assign VAR20 = VAR2;
assign VAR33 = VAR14;
assign VAR21 = VAR15;
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2bb2ai/sky130_fd_sc_ms__o2bb2ai.pp.symbol.v
| 1,391 |
module MODULE1 (
input VAR9,
input VAR1,
input VAR4 ,
input VAR2 ,
output VAR6 ,
input VAR5 ,
input VAR7,
input VAR8,
input VAR3
);
endmodule
|
apache-2.0
|
mammenx/synesthesia_moksha
|
wxp/dgn/rtl/altera/lpddr2_cntrlr/lpddr2_cntrlr/lpddr2_cntrlr_s0_mm_interconnect_0_avalon_st_adapter.v
| 6,182 |
module MODULE1 #(
parameter VAR14 = 34,
parameter VAR24 = 0,
parameter VAR13 = 34,
parameter VAR6 = 0,
parameter VAR21 = 0,
parameter VAR3 = 0,
parameter VAR19 = 1,
parameter VAR22 = 1,
parameter VAR7 = 0,
parameter VAR9 = 34,
parameter VAR4 = 0,
parameter VAR15 = 1,
parameter VAR17 = 0,
parameter VAR1 = 1,
parameter VAR16 = 1,
parameter VAR18 = 0
) (
input wire VAR8, input wire VAR10, input wire [33:0] VAR23, input wire VAR20, output wire VAR5, output wire [33:0] VAR2, output wire VAR12, input wire VAR11, output wire [0:0] VAR25 );
generate
if (VAR14 != 34)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-3.0
|
Jafet95/I-Proyecto-Laboratorio-de-Dise-o-Sistemas-Digitales
|
FSM.v
| 6,865 |
module MODULE1
(
input wire clk,rst, input wire VAR1, input wire [3:0] VAR12,
input wire [3:0] VAR10,
output reg [3:0]VAR7, output reg [3:0]VAR18 );
wire [3:0] VAR13;
VAR20 VAR11 (
.VAR16(VAR1),
.VAR5(VAR12),
.VAR22(VAR10),
.VAR14(VAR13)
);
localparam [3:0]
VAR15 = 4'b0000,
VAR19 = 4'b0001, VAR8 = 4'b0010, VAR6 = 4'b0011, VAR21 = 4'b0100, VAR2 = 4'b0101, VAR17 = 4'b0110, VAR4 = 4'b0111, VAR9 = 4'b1000;
reg [3:0] state, VAR3;
always@(posedge clk, posedge rst)
begin
if(rst)
state <= VAR15;
end
else
state <= VAR3;
end
always@*
begin
VAR3 = state;
VAR7 = 0;
VAR18 = 0;
case(state)
VAR15:
if(VAR1)
begin
VAR3 = VAR19;
end
else
begin
VAR3 = VAR2;
end
VAR19:
begin
VAR3 = VAR8;
VAR18 = 4'h8;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h0;
4'h2: VAR7 = 4'h0;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h0;
4'h5: VAR7 = 4'h0;
4'h6: VAR7 = 4'h0;
4'h7: VAR7 = 4'h0;
4'h8: VAR7 = 4'h0;
4'h9: VAR7 = 4'h0;
4'hA: VAR7 = 4'h0;
4'hB: VAR7 = 4'h0;
4'hC: VAR7 = 4'h0;
4'hD: VAR7 = 4'h0;
4'hE: VAR7 = 4'h0;
4'hF: VAR7 = 4'h1;
endcase
end
VAR8:
begin
VAR3 = VAR6;
VAR18 = 4'h4;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h0;
4'h2: VAR7 = 4'h1;
4'h3: VAR7 = 4'h2;
4'h4: VAR7 = 4'h2;
4'h5: VAR7 = 4'h3;
4'h6: VAR7 = 4'h4;
4'h7: VAR7 = 4'h4;
4'h8: VAR7 = 4'h5;
4'h9: VAR7 = 4'h6;
4'hA: VAR7 = 4'h6;
4'hB: VAR7 = 4'h7;
4'hC: VAR7 = 4'h8;
4'hD: VAR7 = 4'h8;
4'hE: VAR7 = 4'h9;
4'hF: VAR7 = 4'h0;
endcase
end
VAR6:
begin
VAR3 = VAR21;
VAR18 = 4'h2;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h6;
4'h2: VAR7 = 4'h3;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h6;
4'h5: VAR7 = 4'h3;
4'h6: VAR7 = 4'h0;
4'h7: VAR7 = 4'h6;
4'h8: VAR7 = 4'h3;
4'h9: VAR7 = 4'h0;
4'hA: VAR7 = 4'h6;
4'hB: VAR7 = 4'h3;
4'hC: VAR7 = 4'h0;
4'hD: VAR7 = 4'h6;
4'hE: VAR7 = 4'h3;
4'hF: VAR7 = 4'h0;
endcase
end
VAR21:
begin
VAR3 = VAR15;
VAR18 = 4'h1;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h7;
4'h2: VAR7 = 4'h3;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h7;
4'h5: VAR7 = 4'h3;
4'h6: VAR7 = 4'h0;
4'h7: VAR7 = 4'h7;
4'h8: VAR7 = 4'h3;
4'h9: VAR7 = 4'h0;
4'hA: VAR7 = 4'h7;
4'hB: VAR7 = 4'h3;
4'hC: VAR7 = 4'h0;
4'hD: VAR7 = 4'h7;
4'hE: VAR7 = 4'h3;
4'hF: VAR7 = 4'h0;
endcase
end
VAR2:
begin
VAR3 = VAR17;
VAR18 = 4'h8;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h0;
4'h2: VAR7 = 4'h0;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h0;
4'h5: VAR7 = 4'h0;
4'h6: VAR7 = 4'h0;
4'h7: VAR7 = 4'h0;
4'h8: VAR7 = 4'h0;
4'h9: VAR7 = 4'h0;
4'hA: VAR7 = 4'h0;
4'hB: VAR7 = 4'h0;
4'hC: VAR7 = 4'h0;
4'hD: VAR7 = 4'h0;
4'hE: VAR7 = 4'h0;
4'hF: VAR7 = 4'h0;
endcase
end
VAR17:
begin
VAR3 = VAR4;
VAR18 = 4'h4;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h0;
4'h2: VAR7 = 4'h0;
4'h3: VAR7 = 4'h1;
4'h4: VAR7 = 4'h1;
4'h5: VAR7 = 4'h1;
4'h6: VAR7 = 4'h1;
4'h7: VAR7 = 4'h2;
4'h8: VAR7 = 4'h2;
4'h9: VAR7 = 4'h2;
4'hA: VAR7 = 4'h2;
4'hB: VAR7 = 4'h3;
4'hC: VAR7 = 4'h3;
4'hD: VAR7 = 4'h3;
4'hE: VAR7 = 4'h3;
4'hF: VAR7 = 4'h4;
endcase
end
VAR4:
begin
VAR3 = VAR9;
VAR18 = 4'h2;
case(VAR13)
4'h0: VAR7 = 4'h3;
4'h1: VAR7 = 4'h5;
4'h2: VAR7 = 4'h7;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h2;
4'h5: VAR7 = 4'h5;
4'h6: VAR7 = 4'h7;
4'h7: VAR7 = 4'h0;
4'h8: VAR7 = 4'h2;
4'h9: VAR7 = 4'h5;
4'hA: VAR7 = 4'h7;
4'hB: VAR7 = 4'h0;
4'hC: VAR7 = 4'h2;
4'hD: VAR7 = 4'h5;
4'hE: VAR7 = 4'h7;
4'hF: VAR7 = 4'h0;
endcase
end
VAR9:
begin
VAR3 = VAR15;
VAR18 = 4'h1;
case(VAR13)
4'h0: VAR7 = 4'h0;
4'h1: VAR7 = 4'h0;
4'h2: VAR7 = 4'h5;
4'h3: VAR7 = 4'h0;
4'h4: VAR7 = 4'h5;
4'h5: VAR7 = 4'h0;
4'h6: VAR7 = 4'h5;
4'h7: VAR7 = 4'h0;
4'h8: VAR7 = 4'h5;
4'h9: VAR7 = 4'h0;
4'hA: VAR7 = 4'h5;
4'hB: VAR7 = 4'h0;
4'hC: VAR7 = 4'h5;
4'hD: VAR7 = 4'h0;
4'hE: VAR7 = 4'h5;
4'hF: VAR7 = 4'h0;
endcase
end
endcase
end
endmodule
|
apache-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_107.v
| 1,477 |
module MODULE1 (
VAR11,
VAR2
);
input [31:0] VAR11;
output [31:0]
VAR2;
wire [31:0]
VAR13,
VAR10,
VAR7,
VAR3,
VAR9,
VAR5,
VAR6,
VAR12;
assign VAR13 = VAR11;
assign VAR12 = VAR6 << 3;
assign VAR3 = VAR13 << 2;
assign VAR5 = VAR9 << 3;
assign VAR6 = VAR13 + VAR5;
assign VAR9 = VAR7 - VAR3;
assign VAR7 = VAR10 - VAR13;
assign VAR10 = VAR13 << 9;
assign VAR2 = VAR12;
endmodule
module MODULE2(
VAR11,
VAR2,
clk
);
input [31:0] VAR11;
output [31:0] VAR2;
reg [31:0] VAR2;
input clk;
reg [31:0] VAR4;
wire [30:0] VAR1;
always @(posedge clk) begin
VAR4 <= VAR11;
VAR2 <= VAR1;
end
MODULE1 MODULE1(
.VAR11(VAR4),
.VAR2(VAR1)
);
endmodule
|
mit
|
jbelloncastro/amber_arm
|
hw/vlog/ethmac/eth_txethmac.v
| 17,254 |
module MODULE1 (VAR22, VAR50, VAR47, VAR15, VAR87, VAR73, VAR84,
VAR20, VAR25, VAR57, VAR44, VAR59, VAR81, VAR24, VAR86, VAR36,
VAR80, VAR11, VAR89, VAR74, VAR27, VAR90,
VAR7, VAR40, VAR33, VAR88, VAR37, VAR18, VAR66, VAR38,
VAR5, VAR75, VAR13, VAR19, VAR34,
VAR61, VAR42, VAR28, VAR1
);
parameter VAR2 = 1;
input VAR22; input VAR50; input VAR47; input VAR15; input VAR87; input [7:0] VAR73; input VAR84; input VAR20; input VAR25; input VAR57; input VAR44; input VAR59; input VAR81; input [15:0] VAR24; input [15:0] VAR86; input [6:0] VAR36; input [6:0] VAR80; input [6:0] VAR11; input [5:0] VAR89; input [3:0] VAR74; input VAR27; input VAR90;
output [3:0] VAR7; output VAR40; output VAR33; output VAR88; output VAR37; output VAR18; output VAR66; output VAR38; output VAR5; output [3:0] VAR75; output VAR13;
output VAR19;
output VAR34;
output VAR61;
output VAR42;
output VAR28;
output [1:0] VAR1;
reg [3:0] VAR7;
reg VAR40;
reg VAR33;
reg VAR88;
reg VAR37;
reg VAR18;
reg VAR66;
reg VAR38;
reg VAR52;
reg VAR77;
reg [3:0] VAR75;
reg [3:0] VAR12;
reg VAR78;
reg VAR68;
reg VAR51;
wire VAR60;
wire VAR49;
wire VAR55;
wire [1:0] VAR48;
wire VAR9;
wire VAR79;
wire VAR62;
wire VAR41;
wire VAR39;
wire VAR21;
wire VAR43;
wire VAR54;
wire VAR72;
wire VAR64;
wire VAR4;
wire VAR16;
wire VAR94;
wire VAR30;
wire VAR35;
wire VAR23;
wire [31:0] VAR63;
wire VAR65;
wire [2:0] VAR76;
wire [15:0] VAR56;
wire VAR69;
wire VAR82;
wire VAR71;
wire VAR91;
wire [15:0] VAR46;
wire VAR58;
wire VAR53;
wire VAR6;
wire VAR93;
wire VAR14;
assign VAR5 = ~(VAR28 | (|VAR1) | VAR54 | VAR72);
assign VAR60 = VAR47 & VAR39 & VAR91 & ~VAR77;
assign VAR13 = ~VAR20 & (VAR72 & VAR69 | VAR1[1] & VAR15 & (~VAR25 | VAR25 & VAR71) & ~VAR57);
assign VAR35 = VAR1[0] & VAR87 & ~VAR20;
assign VAR23 = ~VAR20 & VAR58 & (VAR1[0] & ~VAR87 | VAR72);
assign VAR30 = VAR79 & (VAR52 & ~VAR53) & ~VAR35;
assign VAR61 = VAR79 & ~VAR52 & ~VAR35;
assign VAR34 = VAR79 & VAR52 & VAR53;
assign VAR94 = VAR28 & VAR82;
assign VAR19 = VAR23 | VAR35 | VAR60 | VAR61 | VAR34;
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR77 <= #VAR2 1'b0;
end
else
begin
if(~VAR47)
VAR77 <= #VAR2 1'b0;
end
else
if(VAR60)
VAR77 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR52 <= #VAR2 1'b1;
end
else
begin
if(~VAR20 & VAR46[5:0] == VAR89[5:0] & (VAR1[1] | VAR54 & VAR56[0] | VAR72 & VAR56[0]))
VAR52 <= #VAR2 1'b0;
end
else
if(VAR43 | VAR21)
VAR52 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR78 <= #VAR2 1'b0;
end
else
begin
if(~VAR47)
VAR78 <= #VAR2 1'b0;
end
else
if(VAR60 | VAR43)
VAR78 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR66 <= #VAR2 1'b0;
end
else
VAR66 <= #VAR2 |VAR48;
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR88 <= #VAR2 1'b0;
end
else
begin
if(VAR47 & ~VAR78)
VAR88 <= #VAR2 1'b0;
end
else
if(VAR13)
VAR88 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR37 <= #VAR2 1'b0;
end
else
begin
if(VAR47 & ~VAR78)
VAR37 <= #VAR2 1'b0;
end
else
if(VAR30)
VAR37 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR18 <= #VAR2 1'b0;
end
else
begin
if(VAR47 & ~VAR78 & ~VAR60)
VAR18 <= #VAR2 1'b0;
end
else
if(VAR19)
VAR18 <= #VAR2 1'b1;
end
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR75[3:0] <= #VAR2 4'h0;
end
else
begin
if(VAR60 | VAR35 | VAR23 | VAR13 | VAR87
| VAR64 & VAR69 & (~VAR52 | VAR53))
VAR75[3:0] <= #VAR2 4'h0;
end
else
if(VAR64 & VAR69 & VAR52 & (VAR6 | VAR27) | VAR16 & VAR93)
VAR75[3:0] <= #VAR2 VAR75[3:0] + 1'b1;
end
end
assign VAR53 = VAR75[3:0] == VAR74[3:0];
always @ (VAR28 or VAR1 or VAR1 or VAR72 or VAR64 or VAR94 or VAR73 or
VAR63 or VAR82)
begin
if(VAR1[0])
end
VAR12[3:0] = VAR73[3:0]; else
if(VAR1[1])
VAR12[3:0] = VAR73[7:4]; else
if(VAR72)
VAR12[3:0] = {~VAR63[28], ~VAR63[29], ~VAR63[30], ~VAR63[31]}; else
if(VAR64)
VAR12[3:0] = 4'h9; else
if(VAR28)
if(VAR82)
VAR12[3:0] = 4'hd; else
VAR12[3:0] = 4'h5; else
VAR12[3:0] = 4'h0;
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR40 <= #VAR2 1'b0;
end
else
VAR40 <= #VAR2 VAR28 | (|VAR1) | VAR54 | VAR72 | VAR64;
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR7[3:0] <= #VAR2 4'h0;
end
else
VAR7[3:0] <= #VAR2 VAR12[3:0];
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR33 <= #VAR2 1'b0;
end
else
VAR33 <= #VAR2 VAR23 | VAR35;
end
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
VAR38 <= #VAR2 1'b0;
end
else
VAR38 <= #VAR2 VAR55 | VAR28 | (|VAR1) | VAR54 | VAR72 | VAR64;
end
assign VAR14 = VAR13 | VAR23 | VAR35 | VAR61 | VAR34 | VAR60;
always @ (posedge VAR22 or posedge VAR50)
begin
if(VAR50)
begin
VAR51 <= #VAR2 1'b0;
VAR68 <= #VAR2 1'b0;
end
else
begin
VAR51 <= #VAR2 VAR14;
VAR68 <= #VAR2 VAR51;
end
end
VAR95 VAR32 (.VAR28(VAR28), .VAR21(VAR21), .VAR1(VAR1),
.VAR54(VAR54), .VAR72(VAR72), .VAR64(VAR64), .VAR16(VAR16),
.VAR39(VAR39), .VAR43(VAR43), .VAR62(VAR62), .VAR49(VAR49),
.VAR9(VAR9), .VAR79(VAR79), .VAR47(VAR47), .VAR22(VAR22),
.VAR50(VAR50), .VAR24(VAR24), .VAR86(VAR86), .VAR59(VAR59), .VAR90(VAR90),
.VAR68(VAR68), .VAR81(VAR81), .VAR41(VAR41),
.VAR94(VAR94), .VAR46(VAR46), .VAR56(VAR56), .VAR91(VAR91),
.VAR69(VAR69), .VAR82(VAR82), .VAR58(VAR58), .VAR71(VAR71),
.VAR76(VAR76)
);
VAR26 VAR17 (.VAR22(VAR22), .VAR50(VAR50), .VAR91(VAR91), .VAR84(VAR84),
.VAR56(VAR56[6:0]), .VAR36(VAR36), .VAR80(VAR80), .VAR11(VAR11), .VAR44(VAR44),
.VAR47(VAR47), .VAR15(VAR15), .VAR87(VAR87), .VAR20(VAR20),
.VAR35(VAR35), .VAR13(VAR13), .VAR23(VAR23), .VAR69(VAR69),
.VAR82(VAR82), .VAR58(VAR58), .VAR25(VAR25), .VAR57(VAR57),
.VAR71(VAR71), .VAR6(VAR6), .VAR52(VAR52), .VAR53(VAR53),
.VAR27(VAR27), .VAR93(VAR93), .VAR43(VAR43),
.VAR21(VAR21), .VAR28(VAR28), .VAR1(VAR1), .VAR54(VAR54),
.VAR72(VAR72), .VAR64(VAR64), .VAR4(VAR4), .VAR16(VAR16),
.VAR39(VAR39), .VAR9(VAR9), .VAR79(VAR79), .VAR41(VAR41),
.VAR62(VAR62), .VAR42(VAR42), .VAR55(VAR55), .VAR48(VAR48), .VAR49(VAR49)
);
wire VAR83;
wire [3:0] VAR70;
wire VAR29;
assign VAR83 = ~VAR72;
assign VAR70[0] = VAR1[0]? VAR73[3] : VAR1[1]? VAR73[7] : 1'b0;
assign VAR70[1] = VAR1[0]? VAR73[2] : VAR1[1]? VAR73[6] : 1'b0;
assign VAR70[2] = VAR1[0]? VAR73[1] : VAR1[1]? VAR73[5] : 1'b0;
assign VAR70[3] = VAR1[0]? VAR73[0] : VAR1[1]? VAR73[4] : 1'b0;
assign VAR29 = VAR43 | VAR28 | (|VAR76);
VAR31 VAR3 (.VAR67(VAR22), .VAR50(VAR50), .VAR85(VAR70), .VAR45(VAR83), .VAR92(VAR29),
.VAR63(VAR63), .VAR65(VAR65)
);
VAR8 VAR10 (.VAR22(VAR22), .VAR50(VAR50), .VAR64(VAR64), .VAR4(VAR4), .VAR75(VAR75),
.VAR56(VAR56), .VAR46(VAR46[9:0]), .VAR6(VAR6), .VAR93(VAR93));
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dfstp/sky130_fd_sc_hdll__dfstp.symbol.v
| 1,395 |
module MODULE1 (
input VAR2 ,
output VAR7 ,
input VAR8,
input VAR4
);
supply1 VAR6;
supply0 VAR5;
supply1 VAR1 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/maj3/sky130_fd_sc_hs__maj3_4.v
| 2,047 |
module MODULE1 (
VAR7 ,
VAR1 ,
VAR3 ,
VAR6 ,
VAR5,
VAR8
);
output VAR7 ;
input VAR1 ;
input VAR3 ;
input VAR6 ;
input VAR5;
input VAR8;
VAR4 VAR2 (
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR7,
VAR1,
VAR3,
VAR6
);
output VAR7;
input VAR1;
input VAR3;
input VAR6;
supply1 VAR5;
supply0 VAR8;
VAR4 VAR2 (
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
MarcoVogt/basil
|
firmware/modules/fei4_rx/decode_8b10b.v
| 6,103 |
module MODULE1 (VAR44, VAR35, VAR58, VAR30, VAR56, VAR47) ;
input wire [9:0] VAR44 ;
input wire VAR35 ;
output wire [8:0] VAR58 ;
output wire VAR30 ;
output wire VAR56 ;
output wire VAR47 ;
wire VAR11 = VAR44[0] ;
wire VAR68 = VAR44[1] ;
wire VAR38 = VAR44[2] ;
wire VAR32 = VAR44[3] ;
wire VAR24 = VAR44[4] ;
wire VAR31 = VAR44[5] ;
wire VAR66 = VAR44[6] ;
wire VAR5 = VAR44[7] ;
wire VAR23 = VAR44[8] ;
wire VAR16 = VAR44[9] ;
wire VAR26 = (VAR11 & VAR68) | (!VAR11 & !VAR68) ;
wire VAR49 = (VAR38 & VAR32) | (!VAR38 & !VAR32) ;
wire VAR42 = (VAR11 & VAR68 & !VAR38 & !VAR32) |
(VAR38 & VAR32 & !VAR11 & !VAR68) |
( !VAR26 & !VAR49) ;
wire VAR18 = ( !VAR26 & !VAR38 & !VAR32) |
( !VAR49 & !VAR11 & !VAR68) ;
wire VAR40 = ( !VAR26 & VAR38 & VAR32) |
( !VAR49 & VAR11 & VAR68) ;
wire VAR20 = VAR11 & VAR68 & VAR38 & VAR32 ;
wire VAR27 = !VAR11 & !VAR68 & !VAR38 & !VAR32 ;
wire VAR25 = VAR40 | (VAR42 & VAR35) ; wire VAR43 = VAR40 & VAR35 ; wire VAR50 = VAR18 & ! VAR35 ;
wire VAR55 = (((VAR24 & VAR31 & ! VAR50) | (VAR25 & (VAR24 | VAR31)) | VAR43 |
(VAR24 & VAR31 & VAR32)) & (VAR24 | VAR31 | VAR32)) ;
wire VAR21 = VAR42 & VAR68 & VAR38 & (VAR24 == VAR31) ;
wire VAR9 = VAR42 & !VAR68 & !VAR38 & (VAR24 == VAR31) ;
wire VAR33 = VAR18 & !VAR31 ;
wire VAR65 = VAR40 & VAR31 ;
wire VAR7 = VAR18 & VAR32 & VAR24 & VAR31 ;
wire VAR62 = VAR42 & VAR11 & VAR38 & (VAR24 == VAR31) ;
wire VAR2 = VAR42 & !VAR11 & !VAR38 & (VAR24 == VAR31) ;
wire VAR22 = VAR18 & !VAR24 ;
wire VAR13 = !VAR11 & !VAR68 & !VAR24 & !VAR31 ;
wire VAR14 = VAR11 & VAR68 & VAR24 & VAR31 ;
wire VAR36 = VAR38 & VAR32 & VAR24 & VAR31 ;
wire VAR67 = !VAR38 & !VAR32 & !VAR24 & !VAR31 ;
wire VAR57 = VAR42 & !VAR24 & !VAR31 ;
wire VAR19 = VAR42 & VAR24 & VAR31 ;
wire VAR45 = VAR40 & !VAR32 & !VAR24 & !VAR31 ;
wire VAR28 = VAR40 & VAR24 ;
wire VAR10 = VAR9 | VAR65 | VAR7 | VAR2 |
VAR22 | VAR14 | VAR67 ;
wire VAR60 = VAR21 | VAR65 | VAR7 | VAR62 |
VAR22 | VAR14 | VAR67 ;
wire VAR41 = VAR21 | VAR65 | VAR7 | VAR2 |
VAR22 | VAR13 | VAR67 ;
wire VAR37 = VAR9 | VAR65 | VAR7 | VAR62 |
VAR22 | VAR14 | VAR67 ;
wire VAR48 = VAR9 | VAR33 | VAR7 | VAR2 |
VAR22 | VAR13 | VAR67 ;
wire VAR6 = VAR11 ^ VAR10 ;
wire VAR3 = VAR68 ^ VAR60 ;
wire VAR34 = VAR38 ^ VAR41 ;
wire do = VAR32 ^ VAR37 ;
wire VAR39 = VAR24 ^ VAR48 ;
wire VAR8 = (VAR66 & VAR5) | (!VAR66 & !VAR5) ;
wire VAR53 = (VAR23 & VAR16) | (!VAR23 & !VAR16) ;
wire VAR63 = (VAR66 & VAR5 & !VAR23 & !VAR16) |
(!VAR66 & !VAR5 & VAR23 & VAR16) |
( !VAR8 & !VAR53) ;
wire VAR54 = ( !VAR8 & !VAR23 & !VAR16) |
( !VAR53 & !VAR66 & !VAR5) ;
wire VAR52 = ( (!VAR8) & VAR23 & VAR16) |
( !VAR53 & VAR66 & VAR5) ;
assign VAR30 = (VAR52 | (VAR55 & VAR63) | (VAR23 & VAR16)) & (VAR23 | VAR16) ;
wire VAR29 = ( (VAR38 & VAR32 & VAR24 & VAR31) | ( !VAR38 & !VAR32 & !VAR24 & !VAR31) |
(VAR18 & !VAR24 & VAR31 & VAR5 & VAR23 & VAR16) |
(VAR40 & VAR24 & !VAR31 & !VAR5 & !VAR23 & !VAR16)) ;
wire VAR17 = (VAR66 & !VAR5 & !VAR23 & ((VAR35 & VAR38 & VAR32 & !VAR24 & !VAR31) | VAR29 |
(VAR35 & !VAR38 & VAR32 & !VAR24 & !VAR31))) |
(!VAR66 & VAR5 & VAR23 & (( !VAR35 & !VAR38 & !VAR32 & VAR24 & VAR31) | VAR29 |
( !VAR35 & VAR38 & !VAR32 & VAR24 & VAR31))) ;
wire VAR1 = (VAR38 & VAR32 & VAR24 & VAR31) | ! (VAR38 | VAR32 | VAR24 | VAR31) ;
wire VAR59 = ! (VAR38 | VAR32 | VAR24 | VAR31) ;
wire VAR4 = (VAR16 & !VAR66 & (VAR23 | !VAR5 | VAR59)) |
(VAR66 & !VAR16 & (!VAR23 | VAR5 | !VAR59)) |
(VAR59 & VAR5 & VAR23) |
(!VAR59 & !VAR5 & !VAR23) ;
wire VAR51 = (VAR16 & !VAR66 & (VAR23 | !VAR5 | !VAR59)) |
(VAR66 & !VAR16 & (!VAR23 | VAR5 |VAR59)) |
(!VAR59 & VAR5 & VAR23) |
(VAR59 & !VAR5 & !VAR23) ;
wire VAR15 = ((VAR16 ^ VAR23) & ! ((!VAR66 & VAR5 & !VAR23 & VAR16 & !VAR59) | (!VAR66 & VAR5 & VAR23 & !VAR16 & VAR59) |
(VAR66 & !VAR5 & !VAR23 & VAR16 & !VAR59) | (VAR66 & !VAR5 & VAR23 & !VAR16 & VAR59))) |
(!VAR66 & VAR5 & VAR23 & VAR16) | (VAR66 & !VAR5 & !VAR23 & !VAR16) ;
wire VAR12 = (VAR40 & (VAR24 | VAR31)) | (VAR42 & VAR24 & VAR31) ;
wire VAR61 = (VAR18 & ! (VAR24 & VAR31)) | (VAR42 & !VAR24 & !VAR31) ;
wire VAR46 = VAR52 ;
wire VAR64 = VAR54 ;
assign VAR56 = VAR20 | VAR27 | (VAR66 & VAR5 & VAR23 & VAR16) | (!VAR66 & !VAR5 & !VAR23 & !VAR16) |
(VAR18 & !VAR24 & !VAR31) | (VAR40 & VAR24 & VAR31) |
(VAR24 & VAR31 & VAR66 & VAR5 & VAR23) | (!VAR24 & !VAR31 & !VAR66 & !VAR5 & !VAR23) |
(VAR24 & !VAR31 & VAR5 & VAR23 & VAR16) | (!VAR24 & VAR31 & !VAR5 & !VAR23 & !VAR16) |
(!VAR40 & VAR24 & !VAR31 & !VAR5 & !VAR23 & !VAR16) |
(!VAR18 & !VAR24 & VAR31 & VAR5 & VAR23 & VAR16) |
(((VAR24 & VAR31 & !VAR5 & !VAR23 & !VAR16) |
(!VAR24 & !VAR31 & VAR5 & VAR23 & VAR16)) &
! ((VAR38 & VAR32 & VAR24) | (!VAR38 & !VAR32 & !VAR24))) |
(VAR12 & VAR46) | (VAR61 & VAR64) |
(VAR11 & VAR68 & VAR38 & !VAR24 & !VAR31 & ((!VAR66 & !VAR5) | VAR54)) |
(!VAR11 & !VAR68 & !VAR38 & VAR24 & VAR31 & ((VAR66 & VAR5) | VAR52)) |
(VAR66 & VAR5 & !VAR23 & !VAR16 & VAR12) |
(!VAR66 & !VAR5 & VAR23 & VAR16 & VAR61) |
(VAR38 & VAR32 & VAR24 & VAR31 & !VAR66 & !VAR5 & !VAR23) |
(!VAR38 & !VAR32 & !VAR24 & !VAR31 & VAR66 & VAR5 & VAR23) ;
assign VAR58 = {VAR29, VAR15, VAR51, VAR4, VAR39, do, VAR34, VAR3, VAR6} ;
assign VAR47 = ((VAR35 & VAR12) | (VAR61 & !VAR35) |
(VAR35 & !VAR61 & VAR66 & VAR5) |
(VAR35 & VAR11 & VAR68 & VAR38) |
(VAR35 & !VAR61 & VAR46) |
(!VAR35 & !VAR12 & !VAR66 & !VAR5) |
(!VAR35 & !VAR11 & !VAR68 & !VAR38) |
(!VAR35 & !VAR12 & VAR64) |
(VAR12 & VAR46) | (VAR61 & VAR64)) ;
endmodule
|
bsd-3-clause
|
andrzej-r/wb_sseg_ctrl
|
rtl/sseg_ctrl.v
| 4,592 |
module MODULE1
parameter VAR9 = 8,
parameter VAR14 = 8
)
(
input VAR8,
input VAR4,
input VAR21,
input VAR22,
input [15:0] VAR6,
input [7:0] VAR20,
input [VAR9*VAR14-1:0] VAR5,
output [VAR14-1:0] VAR11,
output [VAR9-1:0] VAR19,
output VAR16
);
reg [15:0] VAR12;
wire VAR1 = (VAR22 & VAR12 == 16'b0);
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR12 <= 16'b0;
else if (VAR4 | ~VAR22)
VAR12 <= 16'b0;
else if (VAR1)
VAR12 <= VAR6;
else
VAR12 <= VAR12 - 16'd1;
reg [7:0] VAR15;
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR15 <= 8'b0;
else if (VAR4 | ~VAR22)
VAR15 <= 8'b0;
else if (VAR1)
VAR15 <= VAR15 - 8'd1;
wire VAR17 = (VAR1 & VAR15 == 8'hff);
reg VAR23;
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR23 <= 1'b0;
else if (VAR4 | ~VAR22)
VAR23 <= 1'b0;
else if (VAR1)
VAR23 <= (VAR15 <= VAR20);
reg [4:0] VAR3;
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR3 <= 5'b0;
else if (VAR4 | ~VAR22)
VAR3 <= 5'b0;
else if (VAR17 &VAR3 == 5'b0)
VAR3 <= VAR9 - 1;
else if (VAR17)
VAR3 <= VAR3 - 5'd1;
wire VAR13 = (VAR17 & VAR3 == 5'b0);
wire [VAR9-1:0] VAR2;
assign VAR2 = {VAR13, VAR18[VAR9-1:1]};
reg [VAR9-1:0] VAR18;
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR18 <= 0;
else if (VAR4 | ~VAR22)
VAR18 <= 0;
else if (VAR17)
VAR18 <= VAR2;
assign VAR19 = VAR18;
integer VAR10;
reg [VAR14-1:0] VAR7;
always @(posedge VAR8 or posedge VAR21)
if (VAR21)
VAR7 <= 0;
else if (VAR4 | ~VAR22)
VAR7 <= 0;
else if (VAR17)
for (VAR10 = 0; VAR10 < VAR9; VAR10 = VAR10 + 1)
if (VAR2[VAR10])
VAR7 <= VAR5[VAR14*(VAR9-VAR10)-1 -: VAR14];
assign VAR11 = VAR7 & {VAR14{VAR23}};
assign VAR16 = VAR13;
endmodule
|
bsd-2-clause
|
AndreaCorallo/KPU
|
rtl/wishbone/slaves/uart/wb_uart.v
| 18,689 |
module MODULE1 (
input clk,
input rst,
input VAR24,
input VAR51,
input [3:0] VAR20,
input [31:0] VAR54,
input VAR4,
output reg VAR21,
output reg [31:0] VAR30,
input [31:0] VAR62,
output VAR63,
input VAR44,
input VAR64,
output VAR31,
input VAR41,
output VAR10,
output reg VAR12
);
reg [31:0] VAR58;
reg [31:0] VAR68;
wire [31:0] VAR6;
reg [31:0] VAR3;
reg VAR34;
wire [31:0] VAR67;
reg VAR53;
reg [7:0] VAR84;
wire VAR26;
wire [31:0] VAR50;
reg [15:0] VAR74;
reg [1:0] VAR56;
wire [31:0] VAR5;
reg [1:0] VAR27;
reg VAR23;
wire [7:0] VAR57;
wire VAR55;
wire [31:0] VAR48;
wire [31:0] VAR19;
wire VAR72;
wire VAR60;
reg VAR40;
reg VAR52;
reg [1:0] VAR73;
reg [31:0] VAR79;
wire VAR1;
wire VAR49;
reg [31:0] VAR66;
wire VAR16;
VAR81 VAR22 (
.clk (clk ),
.rst (rst ),
.VAR69 (VAR44 ),
.VAR2 (VAR63 ),
.VAR43 (VAR31 ),
.VAR83 (VAR64 ),
.VAR75 (VAR58[VAR45] ),
.VAR7 (VAR58[VAR61] ),
.VAR72 (VAR72 ),
.VAR6 (VAR6 ),
.VAR34 (VAR34 ),
.VAR3 (VAR3 ),
.VAR67 (VAR67 ),
.VAR53 (VAR53 ),
.VAR84 (VAR84 ),
.VAR26 (VAR26 ),
.VAR50 (VAR50 ),
.VAR5 (VAR5 ),
.VAR23 (VAR23 ),
.VAR57 (VAR57 ),
.VAR55 (VAR55 ),
.VAR48 (VAR48 ),
.VAR19 (VAR19 )
);
integer VAR37;
assign VAR1 = ((VAR51 && !VAR24 && (VAR48 > 0) && (VAR62 == VAR59)) || VAR52);
assign VAR49 = (VAR51 && VAR24 && ((VAR74 > 0) || (VAR62 == VAR65)));
assign VAR16 = (VAR79 == 0) &&
((VAR58[VAR70] && !VAR55) ||
(VAR58[VAR78] && !VAR26));
always @ (posedge clk) begin
if (rst) begin
VAR30 <= 32'h0;
VAR21 <= 0;
VAR58 <= 8'h0;
VAR53 <= 0;
VAR23 <= 0;
VAR27 <= 0;
VAR79 <= 0;
VAR73 <= 0;
VAR40 <= 0;
VAR52 <= 0;
VAR74 <= 0;
VAR56 <= 0;
VAR84 <= 0;
VAR68 <= 0;
VAR34 <= 0;
VAR3 <= 0;
VAR12 <= 0;
VAR66 <= VAR47;
end
else begin
VAR53 <= 0;
VAR34 <= 0;
VAR23 <= 0;
VAR58[VAR45] <= 0;
if (VAR60) begin
VAR68[VAR46] <= 1;
end
if (VAR72) begin
VAR68[VAR18] <= 1;
end
if (!VAR55) begin
VAR68[VAR29] <= 1;
end
if (!VAR26) begin
VAR68[VAR17] <= 1;
end
if (!VAR16) begin
VAR12 <= 0;
end
if (VAR12) begin
VAR66 <= 0;
end
else if (VAR16 && !VAR12) begin
if (VAR66 < VAR47) begin
VAR66 <= VAR66 + 1;
end
else begin
VAR12 <= 1;
end
end
if (VAR51 == 0) begin
VAR40 <= 0;
VAR52 <= 0;
end
if (VAR21 && ~VAR4)begin
VAR21 <= 0;
end
if (VAR4 && VAR51) begin
if (VAR24) begin
if (VAR40) begin
if (!VAR21) begin
if (VAR74 > 0) begin
case (VAR56)
0: begin
VAR84 <= VAR54[7:0];
end
1: begin
VAR84 <= VAR54[15:8];
end
2: begin
VAR84 <= VAR54[23:16];
end
3: begin
VAR84 <= VAR54[31:24];
end
endcase
VAR53 <= 1;
if (VAR56 == 0) begin
VAR21 <= 1;
VAR56 <= 3;
end
else begin
VAR56 <= VAR56 - 1;
end
if (VAR74 <= 1) begin
VAR21 <= 1;
VAR40 <= 0;
end
else begin
VAR74 <= VAR74 - 1;
end
end
else begin
VAR21 <= 1;
end
end
end
else begin
if (!VAR21) begin
case (VAR62)
VAR58 <= VAR54[31:0];
VAR21 <= 1;
end
VAR21 <= 1;
end
VAR21 <= 1;
end
VAR3 <= VAR54[31:0];
VAR34 <= 1;
VAR21 <= 1;
end
VAR21 <= 1;
end
if (!VAR21) begin
VAR40 <= 1;
VAR56 <= 1;
if (VAR54[31:16] == 0) begin
VAR74 <= 0;
VAR40 <= 0;
end
else begin
VAR74 <= VAR54[31:16];
end
end
end
VAR79 <= VAR54;
VAR21 <= 1;
end
VAR21 <= 1;
end
default: begin
VAR21 <= 1;
end
endcase
end
end
end
else begin
if (VAR52) begin
if (VAR21 == 0) begin
if (VAR27 > 0) begin
VAR27 <= VAR27 - 1;
end
else begin
: VAR33 VAR15 byte VAR79 == %VAR35, VAR73 == %VAR35", , VAR79, VAR73);
case (VAR73)
0: begin
VAR30[31:24] <= VAR57;
VAR30[23:0] <= 0;
VAR23 <= 1;
VAR27 <= 2;
end
1: begin
VAR30[23:16] <= VAR57;
VAR23 <= 1;
VAR27 <= 2;
end
2: begin
VAR30[15:8] <= VAR57;
VAR23 <= 1;
VAR27 <= 2;
end
3: begin
VAR30[7:0] <= VAR57;
VAR23 <= 1;
VAR27 <= 2;
end
endcase
if (VAR73 == 3) begin
: VAR32 VAR36 VAR71 for VAR15 32 bit VAR11 VAR28 VAR9 VAR25 VAR76", );
VAR21 <= 1;
end
if (VAR79 == 0) begin
: VAR80 VAR1 VAR39 VAR25 VAR82'VAR77 VAR11", );
VAR21 <= 1;
VAR52 <= 0;
end
else begin
VAR73 <= VAR73 + 1;
VAR79 <= VAR79 - 1;
end
if (VAR48 == 0) begin
VAR73 <= 0;
: VAR13 VAR14 VAR42 VAR8", );
VAR21 <= 1;
end
end
end
end
else begin
if (!VAR21) begin
case (VAR62)
VAR30 <= VAR58;
VAR21 <= 1;
end
VAR30 <= VAR68;
VAR68[VAR46] <= 0;
VAR68[VAR18] <= 0;
VAR68[VAR38] <= 0;
VAR68[VAR29] <= 0;
VAR68[VAR17] <= 0;
VAR21 <= 1;
end
VAR30 <= VAR6;
VAR21 <= 1;
end
if (VAR3 == 0) begin
VAR30 <= VAR67;
end
else begin
VAR30 <= VAR3;
end
VAR21 <= 1;
end
VAR30 <= VAR50;
VAR21 <= 1;
end
VAR30 <= 32'h00000000;
VAR21 <= 1;
end
VAR30 <= VAR48;
VAR21 <= 1;
end
if (VAR48 > 0) begin
VAR52 <= 1;
VAR23 <= 0;
VAR73 <= 0;
VAR30 <= 0;
VAR30[31:24] <= VAR57;
VAR30[23:0] <= 0;
if (VAR79 > 1) begin
VAR27 <= 2;
VAR73 <= 0;
if (VAR79 >= 2) begin
VAR79 <= VAR79 - 1;
end
end
else begin
VAR27 <= 2;
VAR73 <= 0;
VAR79 <= 0;
end
end
else begin
VAR30 <= 32'h00000000;
VAR68[VAR38] <= 1;
end
end
default: begin
VAR30 <= 32'h00000000;
end
endcase
end
end
end
if (!VAR1 && !VAR49) begin
VAR21 <= 1;
end
end
end
end
endmodule
|
gpl-3.0
|
ultraembedded/riscv
|
core/riscv/riscv_regfile.v
| 15,006 |
module MODULE1
parameter VAR71 = 0
)
(
input VAR30
,input VAR24
,input [ 4:0] VAR29
,input [ 31:0] VAR27
,input [ 4:0] VAR37
,input [ 4:0] VAR59
,output [ 31:0] VAR80
,output [ 31:0] VAR45
);
generate
if (VAR71)
begin: VAR21
VAR70
VAR86
(
.VAR30(VAR30)
,.VAR24(VAR24)
,.VAR29(VAR29)
,.VAR27(VAR27)
,.VAR49(VAR37)
,.VAR58(VAR59)
,.VAR62(VAR80)
,.VAR60(VAR45)
);
end
else
begin: VAR46
reg [31:0] VAR6;
reg [31:0] VAR48;
reg [31:0] VAR64;
reg [31:0] VAR54;
reg [31:0] VAR35;
reg [31:0] VAR76;
reg [31:0] VAR4;
reg [31:0] VAR1;
reg [31:0] VAR25;
reg [31:0] VAR40;
reg [31:0] VAR5;
reg [31:0] VAR65;
reg [31:0] VAR77;
reg [31:0] VAR53;
reg [31:0] VAR33;
reg [31:0] VAR20;
reg [31:0] VAR38;
reg [31:0] VAR7;
reg [31:0] VAR56;
reg [31:0] VAR74;
reg [31:0] VAR15;
reg [31:0] VAR82;
reg [31:0] VAR47;
reg [31:0] VAR61;
reg [31:0] VAR43;
reg [31:0] VAR66;
reg [31:0] VAR26;
reg [31:0] VAR68;
reg [31:0] VAR78;
reg [31:0] VAR83;
reg [31:0] VAR11;
wire [31:0] VAR17 = 32'b0;
wire [31:0] VAR84 = VAR6;
wire [31:0] VAR8 = VAR48;
wire [31:0] VAR3 = VAR64;
wire [31:0] VAR39 = VAR54;
wire [31:0] VAR36 = VAR35;
wire [31:0] VAR34 = VAR76;
wire [31:0] VAR42 = VAR4;
wire [31:0] VAR67 = VAR1;
wire [31:0] VAR79 = VAR25;
wire [31:0] VAR32 = VAR40;
wire [31:0] VAR57 = VAR5;
wire [31:0] VAR28 = VAR65;
wire [31:0] VAR23 = VAR77;
wire [31:0] VAR85 = VAR53;
wire [31:0] VAR2 = VAR33;
wire [31:0] VAR31 = VAR20;
wire [31:0] VAR13 = VAR38;
wire [31:0] VAR55 = VAR7;
wire [31:0] VAR10 = VAR56;
wire [31:0] VAR50 = VAR74;
wire [31:0] VAR44 = VAR15;
wire [31:0] VAR51 = VAR82;
wire [31:0] VAR19 = VAR47;
wire [31:0] VAR63 = VAR61;
wire [31:0] VAR22 = VAR43;
wire [31:0] VAR73 = VAR66;
wire [31:0] VAR69 = VAR26;
wire [31:0] VAR18 = VAR68;
wire [31:0] VAR14 = VAR78;
wire [31:0] VAR72 = VAR83;
wire [31:0] VAR41 = VAR11;
always @ (posedge VAR30 )
if (VAR24)
begin
VAR6 <= 32'h00000000;
VAR48 <= 32'h00000000;
VAR64 <= 32'h00000000;
VAR54 <= 32'h00000000;
VAR35 <= 32'h00000000;
VAR76 <= 32'h00000000;
VAR4 <= 32'h00000000;
VAR1 <= 32'h00000000;
VAR25 <= 32'h00000000;
VAR40 <= 32'h00000000;
VAR5 <= 32'h00000000;
VAR65 <= 32'h00000000;
VAR77 <= 32'h00000000;
VAR53 <= 32'h00000000;
VAR33 <= 32'h00000000;
VAR20 <= 32'h00000000;
VAR38 <= 32'h00000000;
VAR7 <= 32'h00000000;
VAR56 <= 32'h00000000;
VAR74 <= 32'h00000000;
VAR15 <= 32'h00000000;
VAR82 <= 32'h00000000;
VAR47 <= 32'h00000000;
VAR61 <= 32'h00000000;
VAR43 <= 32'h00000000;
VAR66 <= 32'h00000000;
VAR26 <= 32'h00000000;
VAR68 <= 32'h00000000;
VAR78 <= 32'h00000000;
VAR83 <= 32'h00000000;
VAR11 <= 32'h00000000;
end
else
begin
if (VAR29 == 5'd1) VAR6 <= VAR27;
if (VAR29 == 5'd2) VAR48 <= VAR27;
if (VAR29 == 5'd3) VAR64 <= VAR27;
if (VAR29 == 5'd4) VAR54 <= VAR27;
if (VAR29 == 5'd5) VAR35 <= VAR27;
if (VAR29 == 5'd6) VAR76 <= VAR27;
if (VAR29 == 5'd7) VAR4 <= VAR27;
if (VAR29 == 5'd8) VAR1 <= VAR27;
if (VAR29 == 5'd9) VAR25 <= VAR27;
if (VAR29 == 5'd10) VAR40 <= VAR27;
if (VAR29 == 5'd11) VAR5 <= VAR27;
if (VAR29 == 5'd12) VAR65 <= VAR27;
if (VAR29 == 5'd13) VAR77 <= VAR27;
if (VAR29 == 5'd14) VAR53 <= VAR27;
if (VAR29 == 5'd15) VAR33 <= VAR27;
if (VAR29 == 5'd16) VAR20 <= VAR27;
if (VAR29 == 5'd17) VAR38 <= VAR27;
if (VAR29 == 5'd18) VAR7 <= VAR27;
if (VAR29 == 5'd19) VAR56 <= VAR27;
if (VAR29 == 5'd20) VAR74 <= VAR27;
if (VAR29 == 5'd21) VAR15 <= VAR27;
if (VAR29 == 5'd22) VAR82 <= VAR27;
if (VAR29 == 5'd23) VAR47 <= VAR27;
if (VAR29 == 5'd24) VAR61 <= VAR27;
if (VAR29 == 5'd25) VAR43 <= VAR27;
if (VAR29 == 5'd26) VAR66 <= VAR27;
if (VAR29 == 5'd27) VAR26 <= VAR27;
if (VAR29 == 5'd28) VAR68 <= VAR27;
if (VAR29 == 5'd29) VAR78 <= VAR27;
if (VAR29 == 5'd30) VAR83 <= VAR27;
if (VAR29 == 5'd31) VAR11 <= VAR27;
end
reg [31:0] VAR9;
reg [31:0] VAR52;
always @ *
begin
case (VAR37)
5'd1: VAR9 = VAR6;
5'd2: VAR9 = VAR48;
5'd3: VAR9 = VAR64;
5'd4: VAR9 = VAR54;
5'd5: VAR9 = VAR35;
5'd6: VAR9 = VAR76;
5'd7: VAR9 = VAR4;
5'd8: VAR9 = VAR1;
5'd9: VAR9 = VAR25;
5'd10: VAR9 = VAR40;
5'd11: VAR9 = VAR5;
5'd12: VAR9 = VAR65;
5'd13: VAR9 = VAR77;
5'd14: VAR9 = VAR53;
5'd15: VAR9 = VAR33;
5'd16: VAR9 = VAR20;
5'd17: VAR9 = VAR38;
5'd18: VAR9 = VAR7;
5'd19: VAR9 = VAR56;
5'd20: VAR9 = VAR74;
5'd21: VAR9 = VAR15;
5'd22: VAR9 = VAR82;
5'd23: VAR9 = VAR47;
5'd24: VAR9 = VAR61;
5'd25: VAR9 = VAR43;
5'd26: VAR9 = VAR66;
5'd27: VAR9 = VAR26;
5'd28: VAR9 = VAR68;
5'd29: VAR9 = VAR78;
5'd30: VAR9 = VAR83;
5'd31: VAR9 = VAR11;
default : VAR9 = 32'h00000000;
endcase
case (VAR59)
5'd1: VAR52 = VAR6;
5'd2: VAR52 = VAR48;
5'd3: VAR52 = VAR64;
5'd4: VAR52 = VAR54;
5'd5: VAR52 = VAR35;
5'd6: VAR52 = VAR76;
5'd7: VAR52 = VAR4;
5'd8: VAR52 = VAR1;
5'd9: VAR52 = VAR25;
5'd10: VAR52 = VAR40;
5'd11: VAR52 = VAR5;
5'd12: VAR52 = VAR65;
5'd13: VAR52 = VAR77;
5'd14: VAR52 = VAR53;
5'd15: VAR52 = VAR33;
5'd16: VAR52 = VAR20;
5'd17: VAR52 = VAR38;
5'd18: VAR52 = VAR7;
5'd19: VAR52 = VAR56;
5'd20: VAR52 = VAR74;
5'd21: VAR52 = VAR15;
5'd22: VAR52 = VAR82;
5'd23: VAR52 = VAR47;
5'd24: VAR52 = VAR61;
5'd25: VAR52 = VAR43;
5'd26: VAR52 = VAR66;
5'd27: VAR52 = VAR26;
5'd28: VAR52 = VAR68;
5'd29: VAR52 = VAR78;
5'd30: VAR52 = VAR83;
5'd31: VAR52 = VAR11;
default : VAR52 = 32'h00000000;
endcase
end
assign VAR80 = VAR9;
assign VAR45 = VAR52;
function [31:0] VAR81;
input [4:0] VAR75;
begin
case (VAR75)
5'd1: VAR81 = VAR6;
5'd2: VAR81 = VAR48;
5'd3: VAR81 = VAR64;
5'd4: VAR81 = VAR54;
5'd5: VAR81 = VAR35;
5'd6: VAR81 = VAR76;
5'd7: VAR81 = VAR4;
5'd8: VAR81 = VAR1;
5'd9: VAR81 = VAR25;
5'd10: VAR81 = VAR40;
5'd11: VAR81 = VAR5;
5'd12: VAR81 = VAR65;
5'd13: VAR81 = VAR77;
5'd14: VAR81 = VAR53;
5'd15: VAR81 = VAR33;
5'd16: VAR81 = VAR20;
5'd17: VAR81 = VAR38;
5'd18: VAR81 = VAR7;
5'd19: VAR81 = VAR56;
5'd20: VAR81 = VAR74;
5'd21: VAR81 = VAR15;
5'd22: VAR81 = VAR82;
5'd23: VAR81 = VAR47;
5'd24: VAR81 = VAR61;
5'd25: VAR81 = VAR43;
5'd26: VAR81 = VAR66;
5'd27: VAR81 = VAR26;
5'd28: VAR81 = VAR68;
5'd29: VAR81 = VAR78;
5'd30: VAR81 = VAR83;
5'd31: VAR81 = VAR11;
default : VAR81 = 32'h00000000;
endcase
end
endfunction
function VAR16;
input [4:0] VAR75;
input [31:0] VAR12;
begin
end
endfunction
end
endgenerate
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/and3/sky130_fd_sc_hvl__and3.symbol.v
| 1,278 |
module MODULE1 (
input VAR3,
input VAR1,
input VAR6,
output VAR4
);
supply1 VAR8;
supply0 VAR7;
supply1 VAR2 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_refgen_new/sky130_fd_io__top_refgen_new.blackbox.v
| 2,463 |
module MODULE1 (
VAR13 ,
VAR21 ,
VAR3 ,
VAR4 ,
VAR19 ,
VAR18 ,
VAR15 ,
VAR10 ,
VAR12 ,
VAR22,
VAR20 ,
VAR7 ,
VAR24 ,
VAR25 ,
VAR2 ,
VAR1 ,
VAR27
);
output VAR13 ;
output VAR21 ;
inout VAR3 ;
inout VAR4 ;
inout VAR19 ;
input VAR18 ;
input VAR15 ;
input VAR10 ;
input VAR12 ;
input VAR22;
input [2:0] VAR20 ;
input VAR7 ;
input [1:0] VAR24 ;
input VAR25 ;
input VAR2 ;
inout VAR1 ;
inout VAR27 ;
supply1 VAR8 ;
supply1 VAR16 ;
supply1 VAR9 ;
supply1 VAR14 ;
supply1 VAR26;
supply0 VAR17 ;
supply0 VAR23 ;
supply0 VAR11;
supply1 VAR6;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
mbus/mbus
|
releases/mbus_example-v1.2/verilog/mbus_ctrl.v
| 4,968 |
module MODULE1(
input VAR3,
input VAR36,
input VAR26,
output VAR13,
input VAR27,
output reg VAR8,
input [VAR37-1:0] VAR21
);
parameter VAR38 = 0;
parameter VAR5 = 3;
parameter VAR15 = 4;
parameter VAR11 = 1;
parameter VAR19 = 2;
parameter VAR24 = 5;
parameter VAR17 = 7;
parameter VAR9 = 6;
parameter VAR29 = 8;
parameter VAR25 = 9;
parameter VAR31 = 10;
parameter VAR18 = 11;
parameter VAR35 = 10;
parameter VAR16 = 6;
reg [VAR4(VAR35-1)-1:0] VAR10, VAR23;
reg [VAR4(VAR18-1)-1:0] VAR33, VAR2, VAR34;
reg VAR30, VAR7;
reg [VAR4(VAR16-1)-1:0] VAR20, VAR14;
reg VAR32;
reg [2:0] VAR12, VAR6;
reg [VAR37-1:0] VAR39, VAR22;
assign VAR13 = (VAR30)? VAR3 : 1'b1;
wire [1:0] VAR28 = VAR1;
always @ (posedge VAR3 or negedge VAR36)
begin
if (~VAR36)
begin
VAR33 <= VAR38;
VAR10 <= VAR35 - 1'b1;
VAR30 <= 0;
VAR20 <= VAR16 - 1'b1;
VAR39 <= 0;
end
else
begin
VAR33 <= VAR2;
VAR10 <= VAR23;
VAR30 <= VAR7;
VAR20 <= VAR14;
VAR39 <= VAR22;
end
end
always @ *
begin
VAR2 = VAR33;
VAR23 = VAR10;
VAR7 = VAR30;
VAR14 = VAR20;
VAR22 = VAR39;
case (VAR33)
VAR38:
begin
if (~VAR27)
VAR2 = VAR5;
VAR23 = VAR35 - 1'b1;
end
VAR5:
begin
VAR22 = 0;
if (VAR10)
VAR23 = VAR10 - 1'b1;
end
else
begin
VAR7 = 1;
VAR2 = VAR15;
end
end
VAR15:
begin
VAR2 = VAR11;
end
VAR11:
begin
VAR2 = VAR19;
if (VAR27)
VAR22 = VAR21;
end
VAR19:
begin
VAR2 = VAR24;
end
VAR24:
begin
if ((VAR39<VAR21)&&(~VAR32))
VAR22 = VAR39 + 1'b1;
end
else
begin
VAR7 = 0;
VAR2 = VAR17;
end
VAR14 = VAR16 - 1'b1;
end
VAR17:
begin
if (VAR20)
VAR14 = VAR20 - 1'b1;
end
else
begin
if ({VAR12, VAR6}==6'b111000)
begin
VAR2 = VAR9;
VAR7 = 1;
end
end
end
VAR9:
begin
VAR2 = VAR29;
end
VAR29:
begin
VAR2 = VAR25;
end
VAR25:
begin
VAR2 = VAR31;
end
VAR31:
begin
if (~VAR27)
begin
VAR2 = VAR5;
VAR23 = 1;
end
else
begin
VAR2 = VAR38;
end
VAR7 = 0;
end
endcase
end
always @ (negedge VAR3 or negedge VAR36)
begin
if (~VAR36)
begin
VAR12 <= 0;
VAR34 <= VAR38;
end
else
begin
if (VAR33==VAR17)
VAR12 <= {VAR12[1:0], VAR27};
VAR34 <= VAR33;
end
end
always @ (posedge VAR3 or negedge VAR36)
begin
if (~VAR36)
begin
VAR6 <= 0;
VAR32 <= 0;
end
else
begin
if (VAR33==VAR17)
VAR6 <= {VAR6[1:0], VAR27};
VAR32 <= VAR26;
end
end
always @ *
begin
VAR8 = VAR27;
case (VAR34)
VAR38: begin VAR8 = 1; end
VAR5: begin VAR8 = 1; end
VAR15 : begin VAR8 = 1; end
VAR17: begin VAR8 = VAR3; end
VAR29: begin if (VAR39==VAR21) VAR8 = (~VAR28[1]); end
VAR31: begin VAR8 = 1; end
endcase
end
endmodule
|
apache-2.0
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
bin_Gray_Processing/ip/Gray_Processing/acl_counter.v
| 3,252 |
module MODULE1
(
enable, VAR5, VAR6,
VAR7,
VAR4,
VAR13,
VAR1,
VAR8,
VAR11,
VAR12,
VAR10,
VAR3
);
parameter VAR2=0; parameter VAR9=65536;
input logic VAR5;
input logic VAR6;
input logic enable;
input logic [31:0] VAR7;
input logic [31:0] VAR4;
input logic VAR1;
input logic [31:0] VAR13;
output logic [31:0] VAR8;
output logic VAR11;
output logic [31:0] VAR12;
output logic VAR10;
output logic VAR3;
reg [31:0] counter;
assign VAR8 = counter;
always@(posedge VAR5 or negedge VAR6)
begin
if ( !VAR6 ) begin
VAR11 <= 1'b0;
counter <= 32'b0;
VAR12 <= 32'b0;
VAR10 <= 1'b0;
VAR3 <= 1'b0;
end
else if( VAR1 ) begin
VAR11 <= 1'b1;
counter <= VAR13;
VAR12 <= VAR7;
VAR3 <= 1'b0;
end
else if( VAR10 ) begin
VAR10 <= 1'b0;
end
else if( ~VAR3 ) begin
if (enable) begin
if( (counter != 32'b0) && (counter == VAR4) ) begin
VAR10 <= 1'b1;
VAR3 <= 1'b1;
VAR11 <= 1'b0;
end
else begin
VAR12 <= VAR7 + counter;
VAR11 <= 1'b1;
counter <= counter + VAR13;
end
end
else begin
VAR11 <= 1'b0;
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/maj3/sky130_fd_sc_hd__maj3.symbol.v
| 1,284 |
module MODULE1 (
input VAR7,
input VAR6,
input VAR5,
output VAR8
);
supply1 VAR3;
supply0 VAR1;
supply1 VAR2 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
hydai/Verilog-Practice
|
HardwareLab/Lab6/Lab6.v
| 1,373 |
module MODULE1( VAR4, VAR12, VAR6, VAR3, VAR23, clk, VAR11);
output [3:0] VAR4;
output [8:0] VAR12;
output [3:0] VAR6;
input [3:0] VAR3;
input [1:0] VAR23;
input clk;
input VAR11;
wire VAR24;
wire VAR19;
wire [3:0] VAR25;
wire [3:0] VAR20,VAR21;
wire [3:0] VAR10,VAR13;
wire [1:0] VAR26;
wire VAR17;
VAR14 VAR5(VAR24, clk);
VAR16 VAR18(VAR19, VAR11, VAR24);
VAR16 VAR1(VAR26[0], VAR23[0], VAR24);
VAR16 VAR15(VAR26[1], VAR23[1], VAR24);
VAR22 VAR9(VAR6, VAR25, VAR3, clk, VAR19);
VAR2 VAR8(VAR20, VAR21, VAR10, VAR13, VAR17, VAR25, clk, VAR19, VAR26);
VAR27 VAR7(VAR4, VAR12, VAR20, VAR21, VAR10, VAR13, VAR17, 0, 0, VAR24);
endmodule
|
mit
|
cr88192/bgbtech_bjx1core
|
bjx1c32b/DecOp2.v
| 11,005 |
module MODULE1(
clk,
VAR27,
VAR8,
VAR61,
VAR31,
VAR17,
VAR46,
VAR35,
VAR28
);
input clk; input[31:0] VAR27; input[15:0] VAR8;
output[6:0] VAR61;
output[6:0] VAR31;
output[6:0] VAR17;
output[31:0] VAR46;
output[3:0] VAR35;
output[7:0] VAR28;
reg VAR21;
reg VAR47;
reg[7:0] VAR15;
reg[15:0] VAR45;
reg[6:0] VAR22;
reg[6:0] VAR66;
reg[6:0] VAR56; reg[31:0] VAR63; reg[7:0] VAR11;
assign VAR61 = VAR22;
assign VAR31 = VAR66;
assign VAR17 = VAR56;
assign VAR46 = VAR63;
assign VAR28 = VAR11;
always @ (clk)
begin
VAR35 = 2;
VAR15=0;
VAR45=0;
VAR22=0;
VAR66=0;
VAR56=0;
VAR11=VAR25;
VAR63=0;
VAR21=0;
VAR47=0;
case(VAR27[15:8])
8'h8A: begin
VAR21=1;
VAR45=VAR27[15:0];
VAR63[31:24]=VAR27[7]?8'hFF:8'h00;
VAR63[23:16]=VAR27[7:0];
VAR63[15: 0]=VAR27[31:16];
end
8'h8E: begin
VAR21=1;
VAR47=1;
VAR15=VAR27[7:0];
VAR45=VAR27[31:16];
end
default: begin
VAR45=VAR27[15:0];
end
endcase
if(VAR21)
VAR35 = 4;
case(VAR45[15:12])
4'h0: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR38;
case(VAR45[3:0])
4'h0: begin
end
4'h1: begin
end
4'h2: begin VAR11=VAR42;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h5, VAR45[ 7:4]};
end
4'h3: begin case(VAR45[7:4])
4'h3: begin
end
default: begin end
endcase
end
4'h4: begin VAR11=VAR23;
end
4'h5: begin VAR11=VAR37;
end
4'h6: begin VAR11=VAR34;
end
4'h7: begin VAR11=VAR52;
VAR22[6:4]=3'h0;
VAR66[6:4]=3'h4;
end
4'h8: begin case(VAR45[7:4])
4'h3: begin
end
default: begin end
endcase
end
4'h9: begin case(VAR45[7:4])
4'h0: begin
VAR11=VAR44;
end
4'h3: begin
end
default: begin end
endcase
end
4'hA: begin VAR11=VAR42;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h4, VAR45[ 7:4]};
end
4'hB: begin case(VAR45[7:4])
4'h0: begin VAR11=VAR64;
end
4'h2: begin VAR11=VAR43;
end
4'h3: begin VAR11=VAR25;
VAR63=1;
if(VAR45[11:8]==4'hF)
begin
VAR11=VAR44;
VAR35=0;
end
end
4'h6: begin VAR11=VAR12;
end
default: begin end
endcase
end
4'hC: begin VAR11=VAR54;
end
4'hD: begin VAR11=VAR49;
end
4'hE: begin VAR11=VAR36;
end
4'hF: begin end
default: begin end
endcase
end
4'h1: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
VAR63[3:0]=VAR45[ 3:0];
VAR11=VAR34;
end
4'h2: begin case(VAR45[3:0])
4'h0: begin VAR11=VAR23;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
end
4'h1: begin VAR11=VAR37;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
end
4'h2: begin VAR11=VAR34;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
end
4'h4: begin VAR11=VAR23;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR16;
end
4'h5: begin VAR11=VAR37;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR16;
end
4'h6: begin VAR11=VAR34;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR16;
end
4'h8: begin VAR11=VAR6;
VAR56={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
end
4'h9: begin VAR11=VAR55;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56={3'h0, VAR45[11:8]};
end
4'hA: begin VAR11=VAR48;
VAR56=VAR22;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56={3'h0, VAR45[11:8]};
end
4'hB: begin VAR11=VAR5;
VAR56=VAR22;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56={3'h0, VAR45[11:8]};
end
4'hE: begin VAR11=VAR33;
VAR56={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
end
4'hF: begin VAR11=VAR9;
VAR56={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
end
default: begin end
endcase
end
4'h3: begin VAR22[3:0]=VAR45[11:8];
VAR66[3:0]=VAR45[ 7:4];
VAR56=VAR1;
case(VAR45[3:0])
4'h0: begin VAR11=VAR39;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h2: begin VAR11=VAR19;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h3: begin VAR11=VAR26;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h5: begin VAR11=VAR59;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h6: begin VAR11=VAR32;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h7: begin VAR11=VAR50;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'h8: begin VAR11=VAR65;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hA: begin VAR11=VAR53;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hB: begin VAR11=VAR60;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hC: begin VAR11=VAR51;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hD: begin VAR11=VAR52;
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hE: begin VAR11=VAR10;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hF: begin VAR11=VAR24;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
default: begin end
endcase
end
4'h4: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
case(VAR45[3:0])
4'h0: begin end
4'hB: begin VAR66={3'h0, VAR45[11:8]};
case(VAR45[7:4])
4'h0: begin
VAR11=VAR13;
end
4'h2: begin
VAR11=VAR62;
end
4'h3: begin
end
4'h4: begin
VAR11=VAR58;
end
default: begin end
endcase
end
4'hC: begin VAR11=VAR41;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
4'hD: begin VAR11=VAR40;
VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56={3'h0, VAR45[ 7:4]};
end
default: begin end
endcase
end
4'h5: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
VAR63[3:0]=VAR45[ 3:0];
VAR11=VAR36;
end
4'h6: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[ 7:4]};
VAR56=VAR1;
case(VAR45[3:0])
4'h0: begin end
default: begin end
endcase
end
4'h7: begin VAR22={3'h0, VAR45[11:8]};
VAR66={3'h0, VAR45[11:8]};
VAR56=VAR67;
VAR63[7:0]=VAR45[ 7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR51;
end
4'h8: begin
VAR22=VAR1;
VAR66=VAR1;
VAR56=VAR1;
case(VAR45[11:8])
4'h0: begin end
4'h2: begin VAR63[7:0]=VAR45[7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR4;
end
4'h9: begin VAR63[7:0]=VAR45[7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR3;
end
4'hA: begin VAR22=VAR38;
VAR11=VAR29;
end
4'hB: begin VAR63[7:0]=VAR45[7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR7;
end
4'hD: begin VAR63[7:0]=VAR45[7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR20;
end
4'hF: begin VAR63[7:0]=VAR45[7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR18;
end
default: begin end
endcase
end
4'h9: begin VAR22[3:0]=VAR45[11:8];
VAR66=VAR30;
VAR56=VAR1;
VAR63[7:0]=VAR45[ 7:0];
VAR11=VAR49;
end
4'hA: begin VAR63[11:0]=VAR45[11:0];
VAR63[31:12]=VAR45[11]?20'hFFFFF:20'h00000;
VAR11=VAR2;
end
4'hB: begin VAR63[11:0]=VAR45[11:0];
VAR63[31:12]=VAR45[11]?20'hFFFFF:20'h00000;
VAR11=VAR14;
end
4'hC: begin
case(VAR45[11:8])
4'h0: begin end
default: begin end
endcase
end
4'hD: begin VAR22[3:0]=VAR45[11:8];
VAR66=VAR57;
VAR56=VAR1;
VAR63[7:0]=VAR45[ 7:0];
VAR11=VAR36;
end
4'hE: begin VAR22[3:0]=VAR45[11:8];
VAR66[3:0]=VAR45[11:8];
VAR56=VAR67;
VAR63[7:0]=VAR45[ 7:0];
VAR63[31:8]=VAR45[7]?24'hFFFFFF:24'h000000;
VAR11=VAR29;
end
4'hF: begin VAR22[3:0]=VAR45[11:8];
VAR66[3:0]=VAR45[ 7:4];
VAR56=VAR1;
case(VAR45[3:0])
4'h0: begin end
default: begin end
endcase
end
default: begin end
endcase
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0/bd_0/ip/ip_2/synth/bd_350b_slot_0_aw_0.v
| 4,561 |
module MODULE1 (
VAR45,
VAR44,
dout
);
input wire [0 : 0] VAR45;
input wire [0 : 0] VAR44;
output wire [1 : 0] dout;
VAR64 #(
.VAR15(1),
.VAR2(1),
.VAR5(1),
.VAR4(1),
.VAR35(1),
.VAR54(1),
.VAR63(1),
.VAR49(1),
.VAR16(1),
.VAR50(1),
.VAR6(1),
.VAR41(1),
.VAR51(1),
.VAR37(1),
.VAR53(1),
.VAR46(1),
.VAR39(1),
.VAR3(1),
.VAR57(1),
.VAR55(1),
.VAR19(1),
.VAR66(1),
.VAR69(1),
.VAR62(1),
.VAR67(1),
.VAR29(1),
.VAR26(1),
.VAR20(1),
.VAR32(1),
.VAR60(1),
.VAR11(1),
.VAR43(1),
.VAR27(2),
.VAR21(2)
) VAR8 (
.VAR45(VAR45),
.VAR44(VAR44),
.VAR14(1'VAR12),
.VAR33(1'VAR12),
.VAR9(1'VAR12),
.VAR1(1'VAR12),
.VAR65(1'VAR12),
.VAR59(1'VAR12),
.VAR17(1'VAR12),
.VAR30(1'VAR12),
.VAR61(1'VAR12),
.VAR23(1'VAR12),
.VAR68(1'VAR12),
.VAR36(1'VAR12),
.VAR24(1'VAR12),
.VAR7(1'VAR12),
.VAR52(1'VAR12),
.VAR22(1'VAR12),
.VAR40(1'VAR12),
.VAR34(1'VAR12),
.VAR18(1'VAR12),
.VAR48(1'VAR12),
.VAR47(1'VAR12),
.VAR56(1'VAR12),
.VAR58(1'VAR12),
.VAR13(1'VAR12),
.VAR38(1'VAR12),
.VAR42(1'VAR12),
.VAR31(1'VAR12),
.VAR10(1'VAR12),
.VAR25(1'VAR12),
.VAR28(1'VAR12),
.dout(dout)
);
endmodule
|
mit
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/ethmac/rtl/verilog/eth_cop.v
| 13,276 |
module MODULE1
(
VAR55, VAR53,
VAR4, VAR51, VAR44, VAR45,
VAR14, VAR31, VAR50, VAR58,
VAR57,
VAR6, VAR36, VAR3, VAR33,
VAR17, VAR35, VAR43, VAR9,
VAR1,
VAR42, VAR19, VAR32, VAR22,
VAR24, VAR62, VAR10, VAR46,
VAR15,
VAR61, VAR25, VAR54, VAR13,
VAR27, VAR16, VAR59, VAR49,
VAR40
);
parameter VAR20 = 32'hd0000000;
parameter VAR5 = 32'h800;
parameter VAR8 = 32'h2000;
parameter VAR47 = 32'h10000;
input VAR55, VAR53;
input [31:0] VAR4, VAR14;
input [3:0] VAR51;
input VAR31, VAR50, VAR44;
output [31:0] VAR45;
output VAR58, VAR57;
input [31:0] VAR6, VAR17;
input [3:0] VAR36;
input VAR35, VAR43, VAR3;
output [31:0] VAR33;
output VAR9, VAR1;
input [31:0] VAR46;
input VAR62, VAR10;
output [31:0] VAR42, VAR15;
output [3:0] VAR19;
output VAR32, VAR22, VAR24;
input [31:0] VAR49;
input VAR16, VAR59;
output [31:0] VAR61, VAR40;
output [3:0] VAR25;
output VAR54, VAR13, VAR27;
reg VAR12;
reg VAR39;
reg [31:0] VAR42;
reg [3:0] VAR19;
reg VAR32;
reg [31:0] VAR15;
reg VAR22;
reg VAR24;
reg [31:0] VAR61;
reg [3:0] VAR25;
reg VAR54;
reg [31:0] VAR40;
reg VAR13;
reg VAR27;
reg VAR58;
reg [31:0] VAR45;
reg VAR9;
reg [31:0] VAR33;
reg VAR57;
reg VAR1;
wire VAR34;
wire VAR63 = (VAR4 >= VAR20) &
(VAR4 < (VAR20 + VAR5));
wire VAR28 = (VAR4 >= VAR8) &
(VAR4 < (VAR8 + VAR47));
wire VAR21 = (VAR6 >= VAR20) &
(VAR6 < (VAR20 + VAR5));
wire VAR18 = (VAR6 >= VAR8) &
(VAR6 < (VAR8 + VAR47));
wire VAR30 = VAR31 & VAR50 & (VAR63 | VAR28);
wire VAR26 = VAR35 & VAR43 & (VAR21 | VAR18);
always @ (posedge VAR55 or posedge VAR53)
begin
if(VAR53)
begin
VAR12 <= 0;
VAR39 <= 0;
VAR42 <= 0;
VAR19 <= 0;
VAR32 <= 0;
VAR15 <= 0;
VAR22 <= 0;
VAR24 <= 0;
VAR61 <= 0;
VAR25 <= 0;
VAR54 <= 0;
VAR40 <= 0;
VAR13 <= 0;
VAR27 <= 0;
end
else
begin
case({VAR12, VAR39, VAR30, VAR26, VAR34}) 5'b00100, 5'b00110 :
begin
VAR12 <= 1'b1; if(VAR63)
begin
VAR42 <= VAR4;
VAR19 <= VAR51;
VAR32 <= VAR44;
VAR15 <= VAR14;
VAR22 <= 1'b1;
VAR24 <= 1'b1;
end
else if(VAR28)
begin
VAR61 <= VAR4;
VAR25 <= VAR51;
VAR54 <= VAR44;
VAR40 <= VAR14;
VAR13 <= 1'b1;
VAR27 <= 1'b1;
end
else
(%VAR37)VAR29 VAR60: VAR11 address VAR52 VAR48", );
end
5'b00010 :
begin
VAR39 <= 1'b1; if(VAR21)
begin
VAR42 <= VAR6;
VAR19 <= VAR36;
VAR32 <= VAR3;
VAR15 <= VAR17;
VAR22 <= 1'b1;
VAR24 <= 1'b1;
end
else if(VAR18)
begin
VAR61 <= VAR6;
VAR25 <= VAR36;
VAR54 <= VAR3;
VAR40 <= VAR17;
VAR13 <= 1'b1;
VAR27 <= 1'b1;
end
else
(%VAR37)VAR29 VAR60: VAR11 address VAR52 VAR48", );
end
5'b10101, 5'b10111 :
begin
VAR12 <= 1'b0; if(VAR63)
begin
VAR22 <= 1'b0;
VAR24 <= 1'b0;
end
else if(VAR28)
begin
VAR13 <= 1'b0;
VAR27 <= 1'b0;
end
end
5'b01011, 5'b01111 :
begin
VAR39 <= 1'b0; if(VAR21)
begin
VAR22 <= 1'b0;
VAR24 <= 1'b0;
end
else if(VAR18)
begin
VAR13 <= 1'b0;
VAR27 <= 1'b0;
end
end
endcase
end
end
always @ (VAR12 or VAR4 or VAR62 or VAR16 or VAR46 or VAR49 or VAR63 or VAR28)
begin
if(VAR12)
begin
if(VAR63) begin
VAR58 <= VAR62;
VAR45 <= VAR46;
end
else if(VAR28) begin
VAR58 <= VAR16;
VAR45 <= VAR49;
end
end
else
VAR58 <= 0;
end
always @ (VAR39 or VAR6 or VAR62 or VAR16 or VAR46 or VAR49 or VAR21 or VAR18)
begin
if(VAR39)
begin
if(VAR21) begin
VAR9 <= VAR62;
VAR33 <= VAR46;
end
else if(VAR18) begin
VAR9 <= VAR16;
VAR33 <= VAR49;
end
end
else
VAR9 <= 0;
end
always @ (VAR12 or VAR4 or VAR10 or VAR59 or VAR21 or VAR18 or
VAR31 or VAR50)
begin
if(VAR12) begin
if(VAR63)
VAR57 <= VAR10;
end
else if(VAR28)
VAR57 <= VAR59;
end
else if(VAR31 & VAR50 & ~VAR63 & ~VAR28)
VAR57 <= 1'b1;
else
VAR57 <= 1'b0;
end
always @ (VAR39 or VAR6 or VAR10 or VAR59 or VAR21 or VAR18 or
VAR35 or VAR43)
begin
if(VAR39) begin
if(VAR21)
VAR1 <= VAR10;
end
else if(VAR18)
VAR1 <= VAR59;
end
else if(VAR35 & VAR43 & ~VAR21 & ~VAR18)
VAR1 <= 1'b1;
else
VAR1 <= 1'b0;
end
assign VAR34 = VAR58 | VAR57 | VAR9 | VAR1;
integer VAR41;
always @ (posedge VAR55 or posedge VAR53)
begin
if(VAR53)
VAR41 <= 0;
end
else
if(VAR62 | VAR10 | VAR16 | VAR59)
VAR41 <= 0;
else
if(VAR22 | VAR13)
VAR41 <= VAR41+1;
end
always @ (posedge VAR55)
begin
if(VAR41==1000) begin
(%VAR37) VAR60: VAR23 VAR7 ??? ", );
if(VAR22) begin
end
else if(VAR13) begin
end
end
end
always @ (posedge VAR55)
begin
if(VAR10 & VAR22) begin
VAR60: VAR23 VAR2 VAR56 with VAR38 acknowledge ", );
end
if(VAR59 & VAR13) begin
VAR60: VAR23 VAR2 VAR56 with VAR38 acknowledge ", );
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_power_hvc_wpadv2/sky130_fd_io__top_power_hvc_wpadv2.behavioral.v
| 1,103 |
module MODULE1 ( VAR1, VAR11, VAR4
);
inout VAR1;
inout VAR11;
inout VAR4;
supply1 VAR7;
supply1 VAR6;
supply0 VAR10;
supply1 VAR13;
supply1 VAR15;
supply1 VAR17;
supply1 VAR9;
supply1 VAR18;
supply1 VAR5;
supply1 VAR12;
supply1 VAR3;
supply1 VAR16;
supply0 VAR19;
supply0 VAR14;
supply0 VAR8;
supply0 VAR20;
tran VAR2 (VAR13, VAR1);
endmodule
|
apache-2.0
|
chriswynnyk/american-put-verilog
|
american_put_cyclone/src/AUDIO_DAC.v
| 8,754 |
module MODULE1 ( VAR41,VAR17,
VAR46,VAR42,
VAR5,VAR47,
VAR20,
VAR13,
VAR34,
VAR25,
VAR10,
VAR48 );
parameter VAR39 = 18432000; parameter VAR14 = 48000; parameter VAR24 = 16; parameter VAR9 = 2;
parameter VAR32 = 48;
parameter VAR40 = 1048576; parameter VAR6 = 4194304; parameter VAR45 = 262144;
parameter VAR21= 20; parameter VAR19= 22; parameter VAR33= 18;
parameter VAR38= 8; parameter VAR35= 16; parameter VAR36= 16;
parameter VAR16 = 0;
parameter VAR29 = 1;
parameter VAR43 = 2;
parameter VAR15 = 3;
output [VAR21-1:0] VAR41;
input [VAR38-1:0] VAR17;
output [VAR19:0] VAR46;
input [VAR35-1:0] VAR42;
output [VAR33:0] VAR5;
input [VAR36-1:0] VAR47;
output VAR13;
output VAR34;
output reg VAR20;
input [1:0] VAR25;
input VAR10;
input VAR48;
reg [3:0] VAR8;
reg [8:0] VAR11;
reg [7:0] VAR31;
reg [6:0] VAR26;
reg [3:0] VAR4;
reg [5:0] VAR23;
reg [VAR21-1:0] VAR28;
reg [VAR19-1:0] VAR49;
reg [VAR33-1:0] VAR7;
reg [VAR24-1:0] VAR30;
reg [VAR24-1:0] VAR44;
reg [VAR24-1:0] VAR1;
reg [VAR24-1:0] VAR37;
reg [VAR24-1:0] VAR12;
reg [VAR24-1:0] VAR2;
reg [VAR24-1:0] VAR18;
reg VAR27;
reg VAR22;
reg VAR3;
always@(posedge VAR10 or negedge VAR48)
begin
if(!VAR48)
begin
VAR8 <= 0;
VAR20 <= 0;
end
else
begin
if(VAR8 >= VAR39/(VAR14*VAR24*VAR9*2)-1 )
begin
VAR8 <= 0;
VAR20 <= ~VAR20;
end
else
VAR8 <= VAR8+1;
end
end
always@(posedge VAR10 or negedge VAR48)
begin
if(!VAR48)
begin
VAR11 <= 0;
VAR31 <= 0;
VAR26 <= 0;
VAR27 <= 0;
VAR22 <= 0;
VAR3 <= 0;
end
else
begin
if(VAR11 >= VAR39/(VAR14*2)-1 )
begin
VAR11 <= 0;
VAR27 <= ~VAR27;
end
else
VAR11 <= VAR11+1;
if(VAR31 >= VAR39/(VAR14*4)-1 )
begin
VAR31 <= 0;
VAR22 <= ~VAR22;
end
else
VAR31 <= VAR31+1;
if(VAR26 >= VAR39/(VAR14*8)-1 )
begin
VAR26 <= 0;
VAR3 <= ~VAR3;
end
else
VAR26 <= VAR26+1;
end
end
assign VAR34 = VAR27;
always@(negedge VAR27 or negedge VAR48)
begin
if(!VAR48)
VAR23 <= 0;
end
else
begin
if(VAR23 < VAR32-1 )
VAR23 <= VAR23+1;
end
else
VAR23 <= 0;
end
end
always@(negedge VAR3 or negedge VAR48)
begin
if(!VAR48)
VAR28 <= 0;
end
else
begin
if(VAR28 < VAR40-1 )
VAR28 <= VAR28+1;
end
else
VAR28 <= 0;
end
end
assign VAR41 = VAR28;
always@(posedge VAR3 or negedge VAR48)
begin
if(!VAR48)
VAR12 <= 0;
end
else
begin
if(VAR28[0])
VAR12[15:8] <= VAR17;
end
else
VAR12[7:0] <= VAR17;
end
end
always@(negedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR44 <= 0;
end
else
VAR44 <= VAR12;
end
always@(negedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR49 <= 0;
end
else
begin
if(VAR49 < VAR6-1 )
VAR49 <= VAR49+1;
end
else
VAR49 <= 0;
end
end
assign VAR46 = VAR49;
always@(posedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR2 <= 0;
end
else
VAR2 <= VAR42;
end
always@(negedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR1 <= 0;
end
else
VAR1 <= VAR2;
end
always@(negedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR7 <= 0;
end
else
begin
if(VAR7 < VAR45-1 )
VAR7 <= VAR7+1;
end
else
VAR7 <= 0;
end
end
assign VAR5 = VAR7;
always@(posedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR18 <= 0;
end
else
VAR18 <= VAR47;
end
always@(negedge VAR22 or negedge VAR48)
begin
if(!VAR48)
VAR37 <= 0;
end
else
VAR37 <= VAR18;
end
always@(negedge VAR20 or negedge VAR48)
begin
if(!VAR48)
VAR4 <= 0;
end
else
VAR4 <= VAR4+1;
end
assign VAR13 = (VAR25==VAR16) ? VAR30[~VAR4] :
(VAR25==VAR29) ? VAR44[~VAR4]:
(VAR25==VAR43) ? VAR1[~VAR4]:
VAR37[~VAR4] ;
always@(VAR23)
begin
case(VAR23)
0 : VAR30 <= 0 ;
1 : VAR30 <= 4276 ;
2 : VAR30 <= 8480 ;
3 : VAR30 <= 12539 ;
4 : VAR30 <= 16383 ;
5 : VAR30 <= 19947 ;
6 : VAR30 <= 23169 ;
7 : VAR30 <= 25995 ;
8 : VAR30 <= 28377 ;
9 : VAR30 <= 30272 ;
10 : VAR30 <= 31650 ;
11 : VAR30 <= 32486 ;
12 : VAR30 <= 32767 ;
13 : VAR30 <= 32486 ;
14 : VAR30 <= 31650 ;
15 : VAR30 <= 30272 ;
16 : VAR30 <= 28377 ;
17 : VAR30 <= 25995 ;
18 : VAR30 <= 23169 ;
19 : VAR30 <= 19947 ;
20 : VAR30 <= 16383 ;
21 : VAR30 <= 12539 ;
22 : VAR30 <= 8480 ;
23 : VAR30 <= 4276 ;
24 : VAR30 <= 0 ;
25 : VAR30 <= 61259 ;
26 : VAR30 <= 57056 ;
27 : VAR30 <= 52997 ;
28 : VAR30 <= 49153 ;
29 : VAR30 <= 45589 ;
30 : VAR30 <= 42366 ;
31 : VAR30 <= 39540 ;
32 : VAR30 <= 37159 ;
33 : VAR30 <= 35263 ;
34 : VAR30 <= 33885 ;
35 : VAR30 <= 33049 ;
36 : VAR30 <= 32768 ;
37 : VAR30 <= 33049 ;
38 : VAR30 <= 33885 ;
39 : VAR30 <= 35263 ;
40 : VAR30 <= 37159 ;
41 : VAR30 <= 39540 ;
42 : VAR30 <= 42366 ;
43 : VAR30 <= 45589 ;
44 : VAR30 <= 49152 ;
45 : VAR30 <= 52997 ;
46 : VAR30 <= 57056 ;
47 : VAR30 <= 61259 ;
default :
VAR30 <= 0 ;
endcase
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/muxb4to1/sky130_fd_sc_hdll__muxb4to1.pp.symbol.v
| 1,387 |
module MODULE1 (
input [3:0] VAR7 ,
output VAR3 ,
input [3:0] VAR1 ,
input VAR4 ,
input VAR2,
input VAR6,
input VAR5
);
endmodule
|
apache-2.0
|
Nrpickle/ECE272
|
Lab4_SmartTekbotRemote_WORKING/Section4_Verilog.v
| 1,141 |
module MODULE1(
input VAR29,
input VAR12,
input VAR3,
input VAR14,
input VAR27,
output VAR21,
output VAR18,
output VAR17,
output VAR10
);
wire VAR9;
wire VAR15;
wire VAR35;
wire VAR2;
wire VAR8;
wire VAR5;
wire VAR20;
wire VAR4;
supply0 VAR19;
assign VAR4 = VAR14 & VAR27;
MODULE3 VAR28(.VAR29(VAR29), .VAR13(VAR9));
MODULE3 VAR25(.VAR29(VAR12), .VAR13(VAR15));
MODULE3 VAR26(.VAR29(VAR3), .VAR13(VAR35));
VAR24 VAR23(.VAR29(VAR9),
.VAR12(VAR15),
.VAR3(VAR35),
.VAR32(VAR2),
.VAR11(VAR8),
.VAR16(VAR5),
.VAR1(VAR20)
);
MODULE2 MODULE1(.VAR6(VAR14), .VAR30(VAR2), .VAR34(VAR4), .VAR22(VAR18));
MODULE2 MODULE2(.VAR6(VAR19), .VAR30(VAR8), .VAR34(VAR4), .VAR22(VAR21));
MODULE2 MODULE6(.VAR6(VAR27), .VAR30(VAR5), .VAR34(VAR4), .VAR22(VAR10));
MODULE2 MODULE4(.VAR6(VAR19), .VAR30(VAR20), .VAR34(VAR4), .VAR22(VAR17));
endmodule
module MODULE2(
input VAR6,
input VAR30,
input VAR34,
output VAR22);
assign VAR22 = (VAR34) ? VAR30 : VAR6;
endmodule
module MODULE3 (input VAR29,
output VAR13);
assign VAR13 = ~VAR29;
endmodule
|
mit
|
fallen/milkymist-mmu
|
cores/tmu2/rtl/tmu2_fdest.v
| 3,950 |
module MODULE1 #(
parameter VAR18 = 26
) (
input VAR3,
input VAR21,
output [VAR18-1:0] VAR15,
output reg VAR10,
input VAR17,
input [63:0] VAR9,
input VAR5,
output VAR11,
input VAR14,
input VAR6,
output reg VAR16,
input [15:0] VAR7,
input [VAR18-1-1:0] VAR4,
output VAR8,
input VAR23,
output reg [15:0] VAR1,
output [VAR18-1-1:0] VAR20,
output reg [15:0] VAR19
);
reg valid;
reg [VAR18-1-1-4:0] VAR24;
reg [VAR18-1-1:0] VAR2;
reg VAR13;
reg VAR12;
always @(posedge VAR3) begin
if(VAR21) begin
valid <= 1'b0;
VAR13 <= 1'b0;
VAR2 <= {VAR18-1{1'b0}};
end else begin
if(VAR12)
valid <= 1'b1;
if(VAR5)
valid <= 1'b0;
if(VAR6 & VAR16) begin
VAR13 <= valid & (VAR24 == VAR4[VAR18-1-1:4]);
VAR2 <= VAR4;
end
end
end
always @(posedge VAR3) begin
if(VAR21)
VAR24 <= {VAR18-1-4{1'b0}};
end
else if(VAR12)
VAR24 <= VAR2[VAR18-1-1:4];
end
always @(posedge VAR3) begin
if(VAR21)
VAR1 <= 16'd0;
end
else if(VAR6 & VAR16)
VAR1 <= VAR7;
end
assign VAR20 = VAR2;
reg [63:0] VAR22[0:3];
|
lgpl-3.0
|
AbhishekShah212/School_Projects
|
ELEN232/pset1/Problem4.v
| 1,299 |
module MODULE1(
input VAR3,
input VAR4,
input VAR1,
input VAR2,
input VAR5,
output VAR6
);
assign VAR6 = (~VAR3 & ~VAR4 & ~VAR1 & VAR2 & ~VAR5) | (~VAR3 & ~VAR4 & ~VAR1 & VAR2 & VAR5) | (~VAR3 & ~VAR4 & VAR1 & ~VAR2 & VAR5) | (~VAR3 & ~VAR4 & VAR1 & VAR2 & VAR5) | (~VAR3 & VAR4 & ~VAR1 & ~VAR2 & VAR5) | (~VAR3 & VAR4 & ~VAR1 & VAR2 & VAR5) | (~VAR3 & VAR4 & VAR1 & ~VAR2 & VAR5) | (VAR3 & ~VAR4 & ~VAR1 & ~VAR2 & VAR5) |(VAR3 & ~VAR4 & ~VAR1 & VAR2 & VAR5) | (VAR3 & ~VAR4 & VAR1 & VAR2 & VAR5) | (VAR3 & VAR4 & VAR1 & ~VAR2 & VAR5) | (VAR3 & VAR4 & VAR1 & VAR2 & VAR5);
endmodule
|
mit
|
Cognoscan/BoostDSP
|
verilog/src/iirFilters/BiquadCascade.v
| 4,775 |
module MODULE1 #(
parameter VAR1 = 18, parameter VAR20 = 18, parameter VAR24 = 0, parameter VAR15 = 0, parameter VAR16 = 0, parameter VAR14 = 0, parameter VAR6 = 0, parameter VAR3 = 0 )
(
input clk, input rst, input VAR23, input signed [VAR1-1:0] VAR19, output reg VAR18, output signed [VAR1-1:0] VAR28 );
localparam VAR7 = 0;
localparam VAR30 = 1;
localparam VAR13 = 2;
localparam VAR22 = 3;
localparam VAR4 = 4;
localparam VAR12 = 5;
reg signed [VAR1-1:0] VAR8;
reg signed [VAR20-1:0] VAR26;
reg signed [VAR1+VAR20-1:0] VAR9;
reg signed [VAR1+VAR20+2:0] VAR5; reg signed [VAR1-1:0] VAR2;
reg signed [VAR1-1:0] VAR25;
reg signed [VAR1-1:0] VAR11;
reg signed [VAR1-1:0] VAR27;
reg signed [VAR1-1:0] VAR17;
reg [2:0] state;
reg [2:0] VAR29;
reg [2:0] VAR21;
reg VAR10;
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a2111o/sky130_fd_sc_ls__a2111o.blackbox.v
| 1,394 |
module MODULE1 (
VAR7 ,
VAR9,
VAR4,
VAR1,
VAR5,
VAR10
);
output VAR7 ;
input VAR9;
input VAR4;
input VAR1;
input VAR5;
input VAR10;
supply1 VAR2;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/srdlxtp/sky130_fd_sc_lp__srdlxtp.symbol.v
| 1,383 |
module MODULE1 (
input VAR7 ,
output VAR8 ,
input VAR3 ,
input VAR9
);
supply1 VAR2;
supply1 VAR5 ;
supply0 VAR1 ;
supply1 VAR6 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfrtn/sky130_fd_sc_ms__dfrtn.behavioral.pp.v
| 2,391 |
module MODULE1 (
VAR10 ,
VAR17 ,
VAR15 ,
VAR19,
VAR7 ,
VAR4 ,
VAR5 ,
VAR18
);
output VAR10 ;
input VAR17 ;
input VAR15 ;
input VAR19;
input VAR7 ;
input VAR4 ;
input VAR5 ;
input VAR18 ;
wire VAR13 ;
wire VAR1 ;
wire VAR20 ;
reg VAR8 ;
wire VAR2 ;
wire VAR9;
wire VAR6 ;
wire VAR21 ;
wire VAR12 ;
wire VAR3 ;
not VAR14 (VAR1 , VAR9 );
not VAR22 (VAR20, VAR6 );
VAR16 VAR23 (VAR13 , VAR2, VAR20, VAR1, VAR8, VAR7, VAR4);
assign VAR21 = ( VAR7 === 1'b1 );
assign VAR12 = ( VAR21 && ( VAR9 === 1'b1 ) );
assign VAR3 = ( VAR21 && ( VAR19 === 1'b1 ) );
buf VAR11 (VAR10 , VAR13 );
endmodule
|
apache-2.0
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/video/video_render.v
| 4,021 |
module MODULE1(
input wire clk,
input wire [63:0] VAR22,
input wire VAR8,
input wire VAR10,
input wire VAR19, input wire VAR20,
input wire VAR11,
input wire VAR16,
input wire [ 2:0] VAR5,
output wire [ 3:0] VAR9,
input wire [10:0] VAR1,
input wire [ 7:0] VAR15,
input wire VAR18,
input wire VAR12,
input wire VAR14, input wire VAR17, input wire VAR21, input wire VAR7, input wire VAR3, input wire VAR13,
input wire VAR4,
output wire [ 7:0] VAR2
);
reg [4:0] VAR6;
wire VAR23;
begin
begin
|
gpl-3.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_093.v
| 1,617 |
module MODULE1 (
VAR11,
VAR10
);
input [31:0] VAR11;
output [31:0]
VAR10;
wire [31:0]
VAR9,
VAR7,
VAR13,
VAR2,
VAR14,
VAR16,
VAR1,
VAR3,
VAR4,
VAR6,
VAR12;
assign VAR9 = VAR11;
assign VAR1 = VAR14 + VAR16;
assign VAR7 = VAR9 << 7;
assign VAR13 = VAR9 + VAR7;
assign VAR3 = VAR13 << 7;
assign VAR2 = VAR13 << 4;
assign VAR14 = VAR9 + VAR2;
assign VAR4 = VAR1 + VAR3;
assign VAR12 = VAR4 + VAR6;
assign VAR6 = VAR9 << 5;
assign VAR16 = VAR14 << 2;
assign VAR10 = VAR12;
endmodule
module MODULE2(
VAR11,
VAR10,
clk
);
input [31:0] VAR11;
output [31:0] VAR10;
reg [31:0] VAR10;
input clk;
reg [31:0] VAR5;
wire [30:0] VAR8;
always @(posedge clk) begin
VAR5 <= VAR11;
VAR10 <= VAR8;
end
MODULE1 MODULE1(
.VAR11(VAR5),
.VAR10(VAR8)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlxbn/sky130_fd_sc_lp__dlxbn.symbol.v
| 1,368 |
module MODULE1 (
input VAR1 ,
output VAR8 ,
output VAR3 ,
input VAR6
);
supply1 VAR7;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a22oi/sky130_fd_sc_ls__a22oi.behavioral.v
| 1,641 |
module MODULE1 (
VAR6 ,
VAR2,
VAR15,
VAR16,
VAR3
);
output VAR6 ;
input VAR2;
input VAR15;
input VAR16;
input VAR3;
supply1 VAR11;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR9 ;
wire VAR1 ;
wire VAR8 ;
wire VAR13;
nand VAR4 (VAR1 , VAR15, VAR2 );
nand VAR5 (VAR8 , VAR3, VAR16 );
and VAR14 (VAR13, VAR1, VAR8);
buf VAR12 (VAR6 , VAR13 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/mux2i/sky130_fd_sc_hs__mux2i.symbol.v
| 1,308 |
module MODULE1 (
input VAR5,
input VAR3,
output VAR2 ,
input VAR4
);
supply1 VAR1;
supply0 VAR6;
endmodule
|
apache-2.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/top/top_ctrl.v
| 18,366 |
module MODULE1(
clk ,
VAR52 ,
VAR34 ,
VAR26 ,
VAR15 ,
VAR1 ,
VAR24 ,
VAR8 ,
VAR56 ,
VAR54 ,
VAR18 ,
VAR25 ,
VAR12 ,
VAR29 ,
VAR38 ,
VAR4 ,
VAR40 ,
VAR9 ,
VAR30 ,
VAR57 ,
VAR53 ,
VAR59 ,
VAR21 ,
VAR32 ,
VAR35 ,
VAR39 ,
VAR14 ,
VAR6 ,
VAR22
);
localparam VAR48 = 00 ,
VAR28 = 01 ,
VAR41 = 02 ,
VAR55 = 03 ,
VAR49 = 04 ,
VAR47 = 05 ,
VAR10 = 06 ,
VAR43 = 07 ,
VAR58 = 08 ,
VAR46 = 09 ,
VAR50 = 10 ;
localparam VAR31 = 0 ,
VAR33 = 1 ;
input clk ; input VAR52 ; input [VAR45-1 : 0] VAR34 ; input [VAR5-1 : 0] VAR26 ; input VAR15 ; input VAR1 ; input VAR24 ; output reg VAR8 ; output reg VAR56 ; output reg VAR54 ; output reg VAR18 ; output reg VAR25 ; output reg VAR12 ; input VAR29 ; input VAR38 ; input VAR4 ; input VAR40 ;
input VAR9 ;
input VAR30 ;
output [VAR45-1 : 0] VAR57 ; output [VAR5-1 : 0] VAR53 ; output reg [VAR45-1 : 0] VAR59 ; output reg [VAR5-1 : 0] VAR21 ; output [VAR45-1 : 0] VAR32 ;
output [VAR5-1 : 0] VAR35 ;
output reg [VAR45-1 : 0] VAR39 ;
output reg [VAR5-1 : 0] VAR14 ;
output reg [VAR45-1 : 0] VAR6 ;
output reg [VAR5-1 : 0] VAR22 ;
reg [3 : 0] VAR27 ;
reg [3 : 0] VAR11 ; reg VAR42 ; reg VAR13 ; reg VAR3 ; reg VAR20 ; reg VAR44 ; reg VAR16 ;
reg VAR17 ;
reg VAR23 ;
reg VAR51 ;
reg VAR2 ;
reg VAR36 ;
reg VAR37 ;
reg [VAR45-1 : 0] VAR7 ;
reg [VAR5-1 : 0] VAR19 ;
always @(posedge clk or negedge VAR52) begin
if(!VAR52)
VAR11 <= VAR48 ;
end
else begin
VAR11 <= VAR27 ;
end
end
always @begin
VAR42 = 0 ;
VAR13 = 0 ;
VAR3 = 0 ;
VAR20 = 0 ;
VAR44 = 0 ;
VAR13 = 0 ;
case(VAR27)
VAR48 : { VAR42, VAR3 ,VAR20 ,VAR44 ,VAR13 } = 2'b00 ;
VAR28 : { VAR42, VAR13 } = 2'b10 ;
VAR41 : { VAR42, VAR13 } = 2'b11 ;
VAR55 : { VAR42, VAR13 } = 2'b01 ;
VAR49 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b1000 ;
VAR47 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b1100 ;
VAR10 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b1110 ;
VAR43 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b1111 ;
VAR58 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b0111 ;
VAR46 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b0011 ;
VAR50 : { VAR3 ,VAR20 ,VAR44 ,VAR13 } = 4'b0001 ;
default: { VAR42, VAR3 ,VAR20 ,VAR44 ,VAR13 } = 5'b00000 ;
endcase
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR16 <= 1'b0;
end
else if ( VAR29 )
VAR16 <= 1'b1;
else if ( VAR8 ) begin
VAR16 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR17 <= 1'b0;
end
else if ( VAR38 )
VAR17 <= 1'b1;
else if ( VAR8 ) begin
VAR17 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR23 <= 1'b0;
end
else if ( VAR4 )
VAR23 <= 1'b1;
else if ( VAR8 ) begin
VAR23 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR51 <= 1'b0;
end
else if ( VAR40 )
VAR51 <= 1'b1;
else if ( VAR8 ) begin
VAR51 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR2 <= 1'b0;
end
else if ( VAR9 )
VAR2 <= 1'b1;
else if ( VAR8 ) begin
VAR2 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR36 <= 1'b0;
end
else if ( VAR30 )
VAR36 <= 1'b1;
else if ( VAR8 ) begin
VAR36 <= 1'b0;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR37 <= 1'b0 ;
end
else if ( VAR11==VAR48 )
VAR37 <= 1'b0 ;
else if ( VAR8 )
VAR37 <= 1'b1 ;
else if ( VAR24 ) begin
VAR37 <= 1'b0 ;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )
VAR8 <= 0 ;
end
else case( VAR11 )
VAR48 : VAR8 <= 0 ;
VAR28 : if( (!VAR8) & VAR16 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR41 : if( (!VAR8) & VAR16 & VAR17 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR55 : if( (!VAR8) & VAR17 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR49 : if( (!VAR8) & VAR23 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR47 : if( (!VAR8) & VAR23 & VAR51 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR10 : if( (!VAR8) & VAR23 & VAR51 & VAR2 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR43 : if( (!VAR8) & VAR23 & VAR51 & VAR2 & VAR17 & VAR36 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR58 : if( (!VAR8) & VAR51 & VAR2 & VAR17 & VAR36 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR46 : if( (!VAR8) & VAR2 & VAR17 & VAR36 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
VAR50 : if( (!VAR8) & VAR17 & VAR36 ) VAR8 <= 1 ;
else VAR8 <= 0 ;
endcase
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 )begin
VAR7 <= 0;
VAR19 <= 0;
end
else if( VAR11 == VAR48 )begin
VAR7 <= 0 ;
VAR19 <= 0 ;
end
else if( VAR24 )begin
if(VAR7 == VAR34)begin
VAR7 <= 0 ;
if (VAR19 == VAR26)
VAR19 <= 0 ;
end
else begin
VAR19 <= VAR19 + 1 ;
end
end
else begin
VAR7 <= VAR7 + 1 ;
VAR19 <= VAR19 ;
end
end
end
assign VAR57 = VAR7 ;
assign VAR53 = VAR19 ;
always @(posedge clk or negedge VAR52) begin
if( !VAR52 ) begin
VAR59 <= 0;
VAR21 <= 0;
end
else if( VAR11==VAR48 )begin
VAR59 <= 0;
VAR21 <= 0;
end
else if( VAR24 )begin
if( VAR1==VAR31 ) begin
VAR59 <= VAR57;
VAR21 <= VAR53;
end
else begin
VAR59 <= VAR6;
VAR21 <= VAR22;
end
end
end
assign VAR32 = VAR7 ;
assign VAR35 = VAR19 ;
always @(posedge clk or negedge VAR52) begin
if( !VAR52 ) begin
VAR39 <= 0;
VAR14 <= 0;
end
else if( VAR11==VAR48 )begin
VAR39 <= 0;
VAR14 <= 0;
end
else if( VAR24 )begin
VAR39 <= VAR32;
VAR14 <= VAR35;
end
end
always @(posedge clk or negedge VAR52) begin
if( !VAR52 ) begin
VAR6 <= 'b0;
VAR22 <= 'b0;
end
else if( VAR11==VAR48 )begin
VAR6 <= 'b0;
VAR22 <= 'b0;
end
else if( VAR24 )begin
VAR6 <= VAR39;
VAR22 <= VAR14;
end
end
endmodule
|
gpl-3.0
|
Gifts/descrypt-ztex-bruteforcer
|
user_cores/des/src/Delay.v
| 1,654 |
module MODULE3(
input [31:0] VAR4,
output [31:0] VAR5,
input VAR2
);
reg [31:0]VAR3 [1:0];
reg VAR1;
always @(posedge VAR2)
begin
VAR3[0] <= VAR4;
VAR3[1] <= VAR3[0];
end
assign VAR5 = VAR3[1];
endmodule
module MODULE2(
input [31:0] VAR4,
output [31:0] VAR5,
input VAR2
);
reg [31:0]VAR3 ;
always @(posedge VAR2)
begin
VAR3 <= VAR4;
end
assign VAR5 = VAR3;
endmodule
module MODULE1(
input [67:0] VAR4,
output [67:0] VAR5,
input VAR2
);
reg [67:0]VAR3 [1:0];
always @(posedge VAR2)
begin
VAR3[0] <= VAR4;
VAR3[1] <= VAR3[0];
end
assign VAR5 = VAR3[1];
endmodule
|
gpl-3.0
|
davidjabon/Verilog
|
Quadrature_Decoder/quadrature_encoder.v
| 1,736 |
module MODULE1(
input clk,
input reset,
input VAR3,
input VAR2,
output VAR8,
output [31:0] VAR6
);
reg [2:0] VAR7;
reg [2:0] VAR4;
reg VAR1=1'b0;
reg [31:0] VAR5=0;
always @(posedge clk)
begin
VAR7 <= {VAR7[1:0],VAR3};
VAR4 <= {VAR4[1:0],VAR2};
end
always @(posedge clk or posedge reset)
begin
if (reset == 1'b1) begin
{VAR1, VAR5} <= {1'b0, 32'b0};
end
else
case ({VAR7[2],VAR4[2],VAR7[1],VAR4[1]})
4'b0010: {VAR1,VAR5} <={1'b1,VAR5+32'b1};
4'b1011: {VAR1,VAR5} <={1'b1,VAR5+32'b1};
4'b1101: {VAR1,VAR5} <={1'b1,VAR5+32'b1};
4'b0100: {VAR1,VAR5} <={1'b1,VAR5+32'b1};
4'b0001: {VAR1,VAR5} <={1'b0,VAR5-32'b1};
4'b0111: {VAR1,VAR5} <={1'b0,VAR5-32'b1};
4'b1110: {VAR1,VAR5} <={1'b0,VAR5-32'b1};
4'b1000: {VAR1,VAR5} <={1'b0,VAR5-32'b1};
default: {VAR1,VAR5} <= {VAR1,VAR5};
endcase
end
assign VAR6=VAR5;
assign VAR8 = VAR1;
endmodule
|
gpl-2.0
|
olgirard/openmsp430
|
fpga/xilinx_avnet_lx9microbard/rtl/verilog/openmsp430/omsp_dbg_hwbrk.v
| 10,409 |
module MODULE1 (
VAR54, VAR48, VAR29,
VAR11, VAR3, VAR25, VAR46, VAR32, VAR28, VAR2, VAR10, VAR44, VAR7 );
output VAR54; output VAR48; output [15:0] VAR29;
input [3:0] VAR11; input [3:0] VAR3; input VAR25; input [15:0] VAR46; input VAR32; input VAR28; input [15:0] VAR2; input VAR10; input [1:0] VAR44; input [15:0] VAR7;
wire VAR6;
wire VAR26;
wire VAR51;
wire VAR37;
wire VAR57;
wire VAR53;
parameter VAR1 = 0,
VAR31 = 1,
VAR34 = 2,
VAR60 = 3;
reg [4:0] VAR55;
wire VAR21 = VAR3[VAR1];
always @ (posedge VAR25 or posedge VAR32)
if (VAR32) VAR55 <= 5'h00;
else if (VAR21) VAR55 <= {VAR59 & VAR46[4], VAR46[3:0]};
wire [7:0] VAR22 = {3'b000, VAR55};
reg [5:0] VAR42;
wire VAR43 = VAR3[VAR31];
wire [5:0] VAR24 = {VAR6 & VAR59,
VAR26 & VAR59,
VAR51, VAR37,
VAR57, VAR53};
wire [5:0] VAR16 = ~VAR46[5:0];
always @ (posedge VAR25 or posedge VAR32)
if (VAR32) VAR42 <= 6'h00;
else if (VAR43) VAR42 <= ((VAR42 & VAR16) | VAR24);
else VAR42 <= (VAR42 | VAR24);
wire [7:0] VAR47 = {2'b00, VAR42};
wire VAR48 = |VAR42;
reg [15:0] VAR14;
wire VAR36 = VAR3[VAR34];
always @ (posedge VAR25 or posedge VAR32)
if (VAR32) VAR14 <= 16'h0000;
else if (VAR36) VAR14 <= VAR46;
reg [15:0] VAR39;
wire VAR41 = VAR3[VAR60];
always @ (posedge VAR25 or posedge VAR32)
if (VAR32) VAR39 <= 16'h0000;
else if (VAR41) VAR39 <= VAR46;
wire [15:0] VAR13 = {8'h00, VAR22} & {16{VAR11[VAR1]}};
wire [15:0] VAR30 = {8'h00, VAR47} & {16{VAR11[VAR31]}};
wire [15:0] VAR20 = VAR14 & {16{VAR11[VAR34]}};
wire [15:0] VAR19 = VAR39 & {16{VAR11[VAR60]}};
wire [15:0] VAR29 = VAR13 |
VAR30 |
VAR20 |
VAR19;
wire VAR40 = VAR10 & (VAR2==VAR14) & ~VAR55[VAR38];
wire VAR17 = VAR10 & (VAR2==VAR39) & ~VAR55[VAR38];
wire VAR23 = VAR10 & ((VAR2>=VAR14) & (VAR2<=VAR39)) &
VAR55[VAR38] & VAR59;
wire VAR35 = VAR28 & (VAR7==VAR14) & ~VAR55[VAR38];
wire VAR49 = VAR28 & (VAR7==VAR39) & ~VAR55[VAR38];
wire VAR15 = VAR28 & ((VAR7>=VAR14) & (VAR7<=VAR39)) &
VAR55[VAR38] & VAR59;
wire VAR5 = VAR35 & VAR55[VAR52];
wire VAR50 = VAR49 & VAR55[VAR52];
wire VAR33 = VAR15 & VAR55[VAR52];
wire VAR4 = VAR40 & ~VAR55[VAR52] & |VAR44;
wire VAR12 = VAR17 & ~VAR55[VAR52] & |VAR44;
wire VAR45 = VAR23 & ~VAR55[VAR52] & |VAR44;
wire VAR18 = VAR40 & ~VAR55[VAR52] & ~|VAR44;
wire VAR9 = VAR17 & ~VAR55[VAR52] & ~|VAR44;
wire VAR27 = VAR23 & ~VAR55[VAR52] & ~|VAR44;
assign VAR53 = VAR55[VAR58] & (VAR18 | VAR5);
assign VAR57 = VAR55[VAR8] & VAR4;
assign VAR37 = VAR55[VAR58] & (VAR9 | VAR50);
assign VAR51 = VAR55[VAR8] & VAR12;
assign VAR26 = VAR55[VAR58] & (VAR27 | VAR33);
assign VAR6 = VAR55[VAR8] & VAR45;
assign VAR54 = VAR55[VAR56] & |VAR24;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a32oi/sky130_fd_sc_ls__a32oi.pp.blackbox.v
| 1,467 |
module MODULE1 (
VAR2 ,
VAR5 ,
VAR6 ,
VAR10 ,
VAR8 ,
VAR4 ,
VAR9,
VAR1,
VAR3 ,
VAR7
);
output VAR2 ;
input VAR5 ;
input VAR6 ;
input VAR10 ;
input VAR8 ;
input VAR4 ;
input VAR9;
input VAR1;
input VAR3 ;
input VAR7 ;
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.