repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or2/sky130_fd_sc_lp__or2_4.v
| 2,075 |
module MODULE1 (
VAR2 ,
VAR4 ,
VAR8 ,
VAR9,
VAR6,
VAR7 ,
VAR1
);
output VAR2 ;
input VAR4 ;
input VAR8 ;
input VAR9;
input VAR6;
input VAR7 ;
input VAR1 ;
VAR5 VAR3 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR2,
VAR4,
VAR8
);
output VAR2;
input VAR4;
input VAR8;
supply1 VAR9;
supply0 VAR6;
supply1 VAR7 ;
supply0 VAR1 ;
VAR5 VAR3 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_035.v
| 1,598 |
module MODULE1 (
VAR14,
VAR13
);
input [31:0] VAR14;
output [31:0]
VAR13;
wire [31:0]
VAR6,
VAR12,
VAR1,
VAR10,
VAR16,
VAR11,
VAR3,
VAR5,
VAR15,
VAR9,
VAR4;
assign VAR6 = VAR14;
assign VAR4 = VAR3 + VAR9;
assign VAR15 = VAR5 - VAR6;
assign VAR5 = VAR6 << 7;
assign VAR11 = VAR6 << 8;
assign VAR10 = VAR6 << 2;
assign VAR9 = VAR15 << 5;
assign VAR12 = VAR6 << 13;
assign VAR1 = VAR6 + VAR12;
assign VAR16 = VAR1 + VAR10;
assign VAR3 = VAR16 + VAR11;
assign VAR13 = VAR4;
endmodule
module MODULE2(
VAR14,
VAR13,
clk
);
input [31:0] VAR14;
output [31:0] VAR13;
reg [31:0] VAR13;
input clk;
reg [31:0] VAR8;
wire [30:0] VAR7;
always @(posedge clk) begin
VAR8 <= VAR14;
VAR13 <= VAR7;
end
MODULE1 MODULE1(
.VAR14(VAR8),
.VAR13(VAR7)
);
endmodule
|
mit
|
dvanmali/Superscalar_Pipeline_Processor
|
mainmem.v
| 1,787 |
module MODULE1(clk, VAR12,VAR1, address, VAR13,VAR6,VAR4, VAR2, VAR10, VAR14, VAR5, VAR7, VAR15, VAR8);
input clk, VAR6,VAR4, VAR12,VAR1, VAR2, VAR8;
input [31:0] address,VAR13;
input [127:0] VAR14;
input [19:0] VAR10;
input [127:0] VAR7;
output reg [127:0] VAR5;
output reg [127:0] VAR15;
reg VAR16;
reg [127:0] memory[1048575:0];
reg [1:0] VAR3;
reg [127:0] VAR11;
integer VAR9;
|
apache-2.0
|
SymbiFlow/yosys
|
techlibs/intel/common/altpll_bb.v
| 14,300 |
module MODULE1
( VAR291,
VAR306,
VAR142,
VAR165,
VAR52,
VAR124,
VAR55,
VAR139,
VAR82,
VAR204,
VAR38,
VAR290,
VAR300,
VAR288,
VAR242,
VAR156,
VAR166,
VAR129,
VAR40,
clk,
VAR227,
VAR146,
VAR299,
VAR131,
VAR174,
VAR245,
VAR113,
VAR127,
VAR80,
VAR261,
VAR219,
VAR321,
VAR312,
VAR167,
VAR200,
VAR119,
VAR68,
VAR309,
VAR235,
VAR24,
VAR185,
VAR307);
parameter VAR110 = "VAR98 10";
parameter VAR95 = "VAR152";
parameter VAR137 = "VAR44";
parameter VAR150 = "VAR234";
parameter VAR303 = "VAR194";
parameter VAR238 = "VAR192";
parameter VAR202 = "VAR184";
parameter VAR297 = 1000;
parameter VAR289 = 0;
parameter VAR271 = "VAR265";
parameter VAR153 = 0;
parameter VAR237 = 1;
parameter VAR251 = 0;
parameter VAR258 = 1;
parameter VAR148 = 5;
parameter VAR81 = "VAR44";
parameter VAR29 = "VAR234" ;
parameter VAR135 = "VAR234" ;
parameter VAR84 = "VAR234";
parameter VAR109 = 0;
parameter VAR132 = "VAR125" ;
parameter VAR248 = 0;
parameter VAR183 = "VAR176";
parameter VAR140 = "VAR176";
parameter VAR304 = 0;
parameter VAR58 = "0.0";
parameter VAR169 = "VAR234";
parameter VAR160 = "VAR234";
parameter VAR229 = "0.05";
parameter VAR222 = 6;
parameter VAR254 = 4;
parameter VAR313 = 9999;
parameter VAR32 = 9999;
parameter VAR18 = 9999;
parameter VAR293 = "VAR176";
parameter VAR15 = 1;
parameter VAR133 = 1;
parameter VAR93 = 1;
parameter VAR8 = 1;
parameter VAR4 = 1;
parameter VAR262 = 1;
parameter VAR314 = 1;
parameter VAR11 = 1;
parameter VAR269 = 1;
parameter VAR284 = 1;
parameter VAR151 = 1;
parameter VAR207 = 1;
parameter VAR46 = 1;
parameter VAR159 = 1;
parameter VAR247 = 1;
parameter VAR264 = 1;
parameter VAR83 = 1;
parameter VAR6 = 1;
parameter VAR296 = 1;
parameter VAR145 = 1;
parameter VAR31 = "0";
parameter VAR96 = "0";
parameter VAR67 = "0";
parameter VAR92 = "0";
parameter VAR295 = "0";
parameter VAR210 = "0";
parameter VAR37 = "0";
parameter VAR318 = "0";
parameter VAR48 = "0";
parameter VAR170 = "0";
parameter VAR231 = 50;
parameter VAR226 = 50;
parameter VAR102 = 50;
parameter VAR310 = 50;
parameter VAR177 = 50;
parameter VAR193 = 50;
parameter VAR10 = 50;
parameter VAR23 = 50;
parameter VAR134 = 50;
parameter VAR308 = 50;
parameter VAR294 = "VAR234";
parameter VAR17 = "VAR234";
parameter VAR28 = "VAR234";
parameter VAR236 = "VAR234";
parameter VAR79 = "VAR234";
parameter VAR171 = "VAR234";
parameter VAR266 = "VAR234";
parameter VAR12 = "VAR234";
parameter VAR272 = "VAR234";
parameter VAR116 = "VAR234";
parameter VAR224 = "VAR234";
parameter VAR280 = "VAR234";
parameter VAR42 = "VAR234";
parameter VAR220 = "VAR234";
parameter VAR88 = "VAR234";
parameter VAR27 = "VAR234";
parameter VAR53 = "VAR234";
parameter VAR117 = "VAR234";
parameter VAR216 = "VAR234";
parameter VAR72 = "VAR234";
parameter VAR47 = 0;
parameter VAR240 = 0;
parameter VAR190 = 0;
parameter VAR197 = 0;
parameter VAR161 = 0;
parameter VAR16 = 0;
parameter VAR187 = 0;
parameter VAR277 = 0;
parameter VAR30 = 1;
parameter VAR301 = 0;
parameter VAR90 = 1;
parameter VAR122 = 1;
parameter VAR255 = 1;
parameter VAR270 = 0;
parameter VAR182 = 1;
parameter VAR225 = 1;
parameter VAR203 = 1;
parameter VAR73 = 1;
parameter VAR215 = 1;
parameter VAR36 = 1;
parameter VAR173 = 1;
parameter VAR228 = 1;
parameter VAR130 = 1;
parameter VAR178 = 1;
parameter VAR257 = 1;
parameter VAR60 = 1;
parameter VAR106 = 1;
parameter VAR138 = 1;
parameter VAR34 = 1;
parameter VAR112 = 1;
parameter VAR61 = 1;
parameter VAR91 = 1;
parameter VAR180 = 1;
parameter VAR111 = 1;
parameter VAR97 = 1;
parameter VAR218 = 1;
parameter VAR157 = 1;
parameter VAR158 = 1;
parameter VAR78 = 1;
parameter VAR155 = 1;
parameter VAR205 = 1;
parameter VAR154 = 1;
parameter VAR279 = 1;
parameter VAR252 = 1;
parameter VAR164 = "VAR63";
parameter VAR191 = "VAR63";
parameter VAR22 = "VAR63";
parameter VAR74 = "VAR63";
parameter VAR214 = "VAR63";
parameter VAR123 = "VAR63";
parameter VAR311 = "VAR63";
parameter VAR50 = "VAR63";
parameter VAR115 = "VAR63";
parameter VAR13 = "VAR63";
parameter VAR206 = 0;
parameter VAR246 = 0;
parameter VAR121 = 0;
parameter VAR21 = 0;
parameter VAR69 = 0;
parameter VAR107 = 0;
parameter VAR198 = 0;
parameter VAR213 = 0;
parameter VAR85 = 0;
parameter VAR195 = 0;
parameter VAR189 = 0;
parameter VAR20 = 0;
parameter VAR105 = 0;
parameter VAR66 = 0;
parameter VAR221 = 0;
parameter VAR319 = 0;
parameter VAR25 = 0;
parameter VAR317 = 0;
parameter VAR56 = 0;
parameter VAR223 = 0;
parameter VAR147 = 0;
parameter VAR99 = 0;
parameter VAR118 = 0;
parameter VAR212 = "VAR57" ;
parameter VAR186 = "VAR188" ;
parameter VAR320 = "VAR70" ;
parameter VAR209 = "VAR199" ;
parameter VAR35 = "VAR162" ;
parameter VAR163 = "VAR256" ;
parameter VAR7 = "VAR292" ;
parameter VAR241 = "VAR5" ;
parameter VAR273 = "VAR62" ;
parameter VAR26 = "VAR87" ;
parameter VAR126 = "VAR283" ;
parameter VAR75 = "VAR43" ;
parameter VAR108 = "VAR144" ;
parameter VAR141 = "VAR100" ;
parameter VAR14 = "VAR87";
parameter VAR305 = "VAR87";
parameter VAR49 = 2;
parameter VAR77 = "1.0";
parameter VAR45 = 5;
parameter VAR128 = 0;
parameter VAR71 = "VAR44";
parameter VAR259 = 0;
parameter VAR249 = "MODULE1";
parameter VAR243 = "VAR1";
parameter VAR268 = "VAR1";
parameter VAR274 = "VAR1";
parameter VAR201 = "VAR1";
parameter VAR282 = "VAR1";
parameter VAR232 = "VAR1";
parameter VAR239 = "VAR1";
parameter VAR276 = "VAR1";
parameter VAR65 = "VAR1";
parameter VAR143 = "VAR1";
parameter VAR298 = "VAR1";
parameter VAR76 = "VAR1";
parameter VAR286 = "VAR1";
parameter VAR285 = "VAR1";
parameter VAR54 = "VAR1";
parameter VAR250 = "VAR1";
parameter VAR244 = "VAR1";
parameter VAR33 = "VAR1";
parameter VAR263 = "VAR1";
parameter VAR168 = "VAR1";
parameter VAR302 = "VAR1";
parameter VAR19 = "VAR1";
parameter VAR196 = "VAR1";
parameter VAR114 = "VAR1";
parameter VAR287 = "VAR1";
parameter VAR9 = "VAR1";
parameter VAR59 = "VAR1";
parameter VAR175 = "VAR1";
parameter VAR179 = "VAR1";
parameter VAR230 = "VAR1";
parameter VAR275 = "VAR1";
parameter VAR281 = "VAR1";
parameter VAR316 = "VAR1";
parameter VAR267 = "VAR1";
parameter VAR253 = "VAR1";
parameter VAR41 = "VAR1";
parameter VAR101 = "VAR1";
parameter VAR103 = "VAR1";
parameter VAR278 = "VAR1";
parameter VAR94 = "VAR1";
parameter VAR64 = "VAR1";
parameter VAR39 = "VAR1";
parameter VAR208 = "VAR1";
parameter VAR172 = "VAR1";
parameter VAR315 = "VAR1";
parameter VAR3 = "VAR1";
parameter VAR120 = "VAR1";
parameter VAR51 = "VAR1";
parameter VAR2 = "VAR1";
parameter VAR89 = "VAR1";
parameter VAR104 = "VAR1";
parameter VAR149 = "VAR1";
parameter VAR136 = "VAR1";
parameter VAR217 = "VAR1";
parameter VAR233 = "VAR1";
parameter VAR260 = "VAR1";
parameter VAR181 = "VAR211";
input [1:0] VAR291;
input VAR306;
input VAR142;
input VAR165;
input VAR52;
input VAR124;
input VAR55;
input VAR139;
input VAR82;
input VAR204;
input VAR38;
input VAR290;
input VAR300;
input VAR288;
input VAR242;
input VAR156;
input VAR166;
input VAR129;
inout VAR40;
output [VAR222-1:0] clk;
output [3:0] VAR227;
output [1:0] VAR146;
output VAR299;
output VAR131;
output VAR174;
output VAR245;
output VAR113;
output VAR127;
output VAR80;
output VAR261;
output VAR219;
output VAR321;
output VAR312;
output VAR167;
output VAR200;
output VAR119;
output VAR68;
output VAR309, VAR235, VAR24, VAR185, VAR307;
endmodule VAR86
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdlclkp/sky130_fd_sc_lp__sdlclkp.symbol.v
| 1,338 |
module MODULE1 (
input VAR6 ,
input VAR4 ,
input VAR1,
output VAR5
);
supply1 VAR8;
supply0 VAR3;
supply1 VAR7 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
csail-csg/connectal
|
verilog/altera/BRAM2.v
| 3,660 |
module MODULE1(VAR5,
VAR8,
VAR17,
VAR2,
VAR21,
VAR19,
VAR14,
VAR1,
VAR9,
VAR22,
VAR11,
VAR6
);
parameter VAR4 = 0;
parameter VAR12 = 1;
parameter VAR7 = 1;
parameter VAR3 = 1;
input VAR5;
input VAR8;
input VAR17;
input [VAR12-1:0] VAR2;
input [VAR7-1:0] VAR21;
output [VAR7-1:0] VAR19;
input VAR14;
input VAR1;
input VAR9;
input [VAR12-1:0] VAR22;
input [VAR7-1:0] VAR11;
output [VAR7-1:0] VAR6;
reg [VAR7-1:0] VAR18[0:VAR3-1] ;
reg [VAR7-1:0] VAR20;
reg [VAR7-1:0] VAR15;
reg [VAR7-1:0] VAR10;
reg [VAR7-1:0] VAR13;
integer VAR16;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/fill/sky130_fd_sc_hvl__fill.blackbox.v
| 1,170 |
module MODULE1 ();
supply1 VAR2;
supply0 VAR4;
supply1 VAR1 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
Gifts/descrypt-ztex-bruteforcer
|
user_cores/des/src/pc2.v
| 1,413 |
module MODULE1(
input [0:55] VAR1,
output [0:47] VAR2
);
assign VAR2 = {VAR1[13], VAR1[16], VAR1[10], VAR1[23], VAR1[0], VAR1[4], VAR1[2], VAR1[27], VAR1[14], VAR1[5], VAR1[20], VAR1[9], VAR1[22], VAR1[18], VAR1[11], VAR1[3], VAR1[25], VAR1[7], VAR1[15], VAR1[6], VAR1[26], VAR1[19], VAR1[12], VAR1[1], VAR1[40], VAR1[51], VAR1[30], VAR1[36], VAR1[46], VAR1[54], VAR1[29], VAR1[39], VAR1[50], VAR1[44], VAR1[32], VAR1[47], VAR1[43], VAR1[48], VAR1[38], VAR1[55], VAR1[33], VAR1[52], VAR1[45], VAR1[41], VAR1[49], VAR1[35], VAR1[28], VAR1[31]};
endmodule
|
gpl-3.0
|
samyk/proxmark3
|
fpga/hi_get_trace.v
| 4,091 |
module MODULE1(
VAR11,
VAR9, VAR8, VAR19,
VAR14, VAR10, VAR3
);
input VAR11;
input [7:0] VAR9;
input VAR8;
input [2:0] VAR19;
output VAR14, VAR10, VAR3;
reg [6:0] VAR16;
always @(negedge VAR11)
begin
VAR16 <= VAR16 + 1;
end
reg [2:0] VAR18;
always @(negedge VAR11)
begin
if (VAR18 == 3'd7)
VAR18 <= 3'd0;
end
else
VAR18 <= VAR18 + 1;
end
reg [11:0] addr;
reg [11:0] VAR17;
reg [2:0] VAR12;
reg VAR7;
reg VAR20;
always @(negedge VAR11)
begin
VAR12 <= VAR19;
if (VAR19 == VAR2)
begin
VAR7 <= 1'b0;
VAR20 <= 1'b0;
if (VAR12 != VAR2) addr <= VAR17;
if (VAR16 == 7'd0)
begin
if (addr == 12'd3071)
addr <= 12'd0;
end
else
addr <= addr + 1;
end
end
else if (VAR19 != VAR13)
begin
if (VAR8)
begin
if (addr[11] == 1'b0)
begin
VAR7 <= 1'b1;
VAR20 <= 1'b0;
end
else
begin
VAR7 <= 1'b0;
VAR20 <= 1'b1;
end
if (VAR18 == 3'b000)
begin
if (addr == 12'd3071)
begin
addr <= 12'd0;
VAR7 <= 1'b1;
VAR20 <= 1'b0;
end
else
begin
addr <= addr + 1;
end
end
end
else
begin
VAR7 <= 1'b0;
VAR20 <= 1'b0;
VAR17 <= addr;
end
end
else begin
VAR7 <= 1'b0;
VAR20 <= 1'b0;
if (VAR12 != VAR13 && VAR12 != VAR2) begin
VAR17 <= addr;
end
end
end
reg [7:0] VAR4, VAR5;
reg [7:0] VAR15 [2047:0]; reg [7:0] VAR6 [1023:0];
always @(negedge VAR11)
begin
if (VAR7)
begin
VAR15[addr[10:0]] <= VAR9;
VAR4 <= VAR9;
end
else
VAR4 <= VAR15[addr[10:0]];
if (VAR20)
begin
VAR6[addr[9:0]] <= VAR9;
VAR5 <= VAR9;
end
else
VAR5 <= VAR6[addr[9:0]];
end
reg VAR3;
reg VAR14;
reg [7:0] VAR1;
always @(negedge VAR11)
begin
if (VAR16[3:0] == 4'd0) begin
if (VAR16[6:4] == 3'd0) begin
if (addr[11] == 1'b0)
VAR1 <= VAR4;
end
else
VAR1 <= VAR5;
end
else
begin
VAR1[7:1] <= VAR1[6:0];
end
end
VAR3 <= ~VAR16[3];
if (VAR16[6:4] == 3'b000) VAR14 <= 1'b1;
else
VAR14 <= 1'b0;
end
assign VAR10 = VAR1[7];
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o21ba/sky130_fd_sc_hd__o21ba.pp.symbol.v
| 1,383 |
module MODULE1 (
input VAR7 ,
input VAR3 ,
input VAR5,
output VAR1 ,
input VAR6 ,
input VAR2,
input VAR8,
input VAR4
);
endmodule
|
apache-2.0
|
dekuNukem/FAP_Z80
|
FAP_modules/video_card/FPGA_code/src/mojo_top.v
| 2,531 |
module MODULE1(
input clk,
input VAR18,
input VAR8,
output[7:0]VAR17,
output VAR34,
input VAR21,
input VAR40,
input VAR39,
output [3:0] VAR45,
input VAR7, output VAR13, input VAR30,
output VAR14,
output VAR24,
output VAR29,
output VAR23,
output [12:0] VAR4,
inout [7:0] VAR6,
output [12:0] VAR31,
inout [7:0] VAR49,
output VAR46,
output VAR37,
input [15:0] VAR16,
inout [7:0] VAR5,
input VAR3,
input VAR28,
input VAR42,
output [1:0] VAR19,
output [1:0] VAR27,
output [1:0] VAR36,
output VAR44
);
wire rst = ~VAR18;
wire VAR43;
wire VAR15;
wire VAR26;
wire [7:0] VAR20;
VAR47 VAR10(
.clk(clk),
.VAR9(rst),
.VAR43(VAR43)
);
assign VAR34 = 1'VAR48;
assign VAR13 = 1'VAR48;
assign VAR45 = 4'VAR33;
assign VAR44 = 1'VAR48;
VAR22 VAR25(
.VAR12(clk),
.VAR43(VAR43),
.VAR9(rst),
.VAR14(VAR14),
.VAR24(VAR24),
.VAR15(VAR15),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR29(VAR29),
.VAR11(VAR19),
.VAR38(VAR27),
.VAR35(VAR36)
);
VAR2 VAR1(
.clk(VAR43),
.VAR15(VAR15),
.VAR20(VAR20),
.VAR23(VAR23),
.VAR46(VAR46),
.VAR26(VAR26),
.VAR6(VAR6),
.VAR49(VAR49),
.VAR4(VAR4),
.VAR31(VAR31)
);
VAR32 VAR41(
.clk(clk),
.VAR26(VAR26),
.VAR3(VAR3),
.VAR28(VAR28),
.VAR20(VAR20),
.VAR42(VAR42),
.VAR16(VAR16),
.VAR5(VAR5),
.VAR37(VAR37),
.VAR46(VAR46),
.VAR31(VAR31),
.VAR49(VAR49)
);
endmodule
|
mit
|
FAST-Switch/fast
|
lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_sgmii/altera_tse_rgmii_module.v
| 8,713 |
module MODULE1 ( VAR37,
VAR50,
VAR32,
VAR41,
VAR5,
VAR53,
VAR55,
VAR25,
VAR57,
VAR26,
VAR31,
VAR43,
VAR2,
VAR45,
VAR42,
VAR1,
VAR24,
VAR52,
VAR48,
VAR56,
VAR15,
VAR21,
VAR27
);
parameter VAR20 = 3;
output [ 3: 0] VAR45;
output [ 7: 0] VAR42;
output [ 3: 0] VAR1;
output VAR24;
output VAR52;
output VAR48;
output VAR56;
output VAR15;
output VAR21;
output VAR27;
input [ 3: 0] VAR37;
input VAR50;
input [ 7: 0] VAR32;
input [ 3: 0] VAR41;
input VAR5;
input VAR53;
input VAR55;
input VAR25;
input VAR57;
input VAR26;
input VAR31;
input VAR43;
input VAR2;
wire [ 3: 0] VAR45;
wire [ 7: 0] VAR42;
wire VAR24;
wire VAR52;
wire VAR48;
wire VAR56;
wire VAR15;
reg VAR8;
reg VAR21;
reg VAR23;
reg VAR29;
wire VAR27;
reg [ 7: 0] VAR33;
reg VAR16;
reg VAR51;
wire [ 7:0 ] VAR10;
reg [ 7:0 ] VAR12;
reg [ 7:0 ] VAR47;
wire [ 1:0 ] VAR17;
reg [ 1:0 ] VAR9;
wire VAR34;
reg VAR19;
reg VAR54;
reg VAR61;
reg VAR60;
assign VAR42 = VAR12;
assign VAR1 = VAR12[3:0];
VAR59 VAR46
(
.VAR39 (), .VAR30 (VAR37), .VAR35 (VAR10[7 : 4]), .VAR22 (VAR10[3 : 0]), .VAR38 (VAR31) );
VAR58 VAR28
(
.VAR39 (), .VAR30 (VAR43), .VAR35 (VAR17[1]), .VAR22 (VAR17[0]), .VAR38 (VAR31) );
always @(posedge VAR31 or posedge VAR57)
begin
if (VAR57 == 1'b1) begin
VAR47 <= {8{1'b0}};
VAR9 <= {2{1'b0}};
end
else begin
VAR47 <= VAR10;
VAR9 <= VAR17;
end
end
always @(posedge VAR31 or posedge VAR57)
begin
if (VAR57 == 1'b1) begin
VAR12 <= {8{1'b0}};
VAR29 <= 1'b0;
VAR23 <= 1'b0;
end
else begin
VAR12 <= {VAR10[3:0], VAR47[7:4]};
VAR29 <= VAR17[0];
VAR23 <= VAR9[1];
end
end
always @(VAR23 or VAR29 or VAR12)
begin
VAR21 = 1'b0;
if ((VAR23 == 1'b1) || (VAR23 == 1'b0 && VAR29 == 1'b1 && VAR12 == 8'hFF ) || (VAR23 == 1'b0 && VAR29 == 1'b1 && VAR12 == 8'h0E ) || (VAR23 == 1'b0 && VAR29 == 1'b1 && VAR12 == 8'h0F ) || (VAR23 == 1'b0 && VAR29 == 1'b1 && VAR12 == 8'h1F ) )
begin
VAR21 = 1'b1; end
end
always @(posedge VAR2 or posedge VAR26)
begin
if(VAR26 == 1'b1)
begin
VAR19 <= 1'b0;
VAR54 <= 1'b0;
VAR61 <= 1'b0;
VAR60 <= 1'b0;
end
else
begin
VAR19 <= VAR53;
VAR54 <= VAR19;
VAR61 <= VAR54;
VAR60 <= VAR61;
end
end
always @(VAR60 or VAR21 or VAR23)
begin
VAR8 = 1'b0;
if ( VAR60 == 1'b1 & (VAR21 == 1'b1 | VAR23 == 1'b1))
begin
VAR8 = 1'b1;
end
end
VAR49 #(VAR20) VAR7(
.clk(VAR2), .VAR11(~VAR26), .din(VAR8), .dout(VAR15));
VAR49 #(VAR20) VAR18(
.clk(VAR2), .VAR11(~VAR26), .din(VAR50), .dout(VAR34));
assign VAR48 = VAR29 ^ VAR23;
assign VAR24 = VAR23;
assign VAR56 = VAR29 ^ VAR23;
assign VAR52 = VAR23;
always @
begin
case (VAR34)
1'b1:
begin
VAR16 = VAR5; VAR51 = VAR5 ^ VAR55;
end
1'b0:
begin
VAR16 = VAR53;
VAR51 = VAR53 ^ VAR25;
end
endcase
end
VAR13 VAR3
(
.VAR39 (VAR26), .VAR4 (VAR33[3 : 0]), .VAR14 (VAR33[7 : 4]), .VAR40 (VAR45), .VAR36 (VAR2) );
VAR6 VAR44
(
.VAR39 (VAR26), .VAR4 (VAR16), .VAR14 (VAR51), .VAR40 (VAR27), .VAR36 (VAR2) );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a221oi/sky130_fd_sc_ls__a221oi_2.v
| 2,457 |
module MODULE1 (
VAR3 ,
VAR10 ,
VAR6 ,
VAR7 ,
VAR12 ,
VAR1 ,
VAR8,
VAR11,
VAR9 ,
VAR5
);
output VAR3 ;
input VAR10 ;
input VAR6 ;
input VAR7 ;
input VAR12 ;
input VAR1 ;
input VAR8;
input VAR11;
input VAR9 ;
input VAR5 ;
VAR2 VAR4 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR3 ,
VAR10,
VAR6,
VAR7,
VAR12,
VAR1
);
output VAR3 ;
input VAR10;
input VAR6;
input VAR7;
input VAR12;
input VAR1;
supply1 VAR8;
supply0 VAR11;
supply1 VAR9 ;
supply0 VAR5 ;
VAR2 VAR4 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR12(VAR12),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
titorgalaxy/Titor
|
rtl/verilog/unused/Sign_Ext_Imm.v
| 1,323 |
module MODULE1 (
VAR3,
VAR2,
enable
);
output [VAR6-1:0] VAR3;
input [VAR6-1:0] VAR2;
input enable;
VAR1 VAR7(
.VAR3(VAR3),
.VAR2(VAR2),
.VAR5(VAR4),
.VAR8(enable)
);
endmodule
|
gpl-3.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_21.v
| 16,089 |
module MODULE3 (
clk,
reset,
VAR12,
VAR62,
VAR104,
VAR131,
VAR41
);
parameter VAR30 = 18;
parameter VAR119 = 21;
parameter VAR2 = 11;
localparam VAR29 = 22;
input clk;
input reset;
input VAR12;
input VAR62;
input [VAR30-1:0] VAR104; output VAR131;
output [VAR30-1:0] VAR41;
localparam VAR3 = 18; localparam VAR106 = 36; localparam VAR113 = 17;
localparam VAR90 = 21;
reg [VAR30-1:0] VAR21;
reg [VAR30-1:0] VAR14;
reg [VAR30-1:0] VAR50;
reg [VAR30-1:0] VAR45;
reg [VAR30-1:0] VAR83;
reg [VAR30-1:0] VAR109;
reg [VAR30-1:0] VAR89;
reg [VAR30-1:0] VAR111;
reg [VAR30-1:0] VAR80;
reg [VAR30-1:0] VAR85;
reg [VAR30-1:0] VAR42;
always@(posedge clk) begin
VAR21 <= 18'd88;
VAR14 <= 18'd0;
VAR50 <= -18'd97;
VAR45 <= -18'd197;
VAR83 <= -18'd294;
VAR109 <= -18'd380;
VAR89 <= -18'd447;
VAR111 <= -18'd490;
VAR80 <= -18'd504;
VAR85 <= -18'd481;
VAR42 <= -18'd420;
end
reg [VAR29-1:0] VAR43;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR43 <= 0;
end else begin
if(VAR12) begin
VAR43 <= {VAR43[VAR29-2:0], VAR62};
end else begin
VAR43 <= VAR43;
end
end
end
wire [VAR30-1:0] VAR58;
wire [VAR30-1:0] VAR130;
wire [VAR30-1:0] VAR59;
wire [VAR30-1:0] VAR126;
wire [VAR30-1:0] VAR79;
wire [VAR30-1:0] VAR114;
wire [VAR30-1:0] VAR56;
wire [VAR30-1:0] VAR23;
wire [VAR30-1:0] VAR101;
wire [VAR30-1:0] VAR69;
wire [VAR30-1:0] VAR78;
wire [VAR30-1:0] VAR55;
wire [VAR30-1:0] VAR57;
wire [VAR30-1:0] VAR140;
wire [VAR30-1:0] VAR141;
wire [VAR30-1:0] VAR60;
wire [VAR30-1:0] VAR46;
wire [VAR30-1:0] VAR70;
wire [VAR30-1:0] VAR47;
wire [VAR30-1:0] VAR34;
wire [VAR30-1:0] VAR117;
MODULE2 MODULE27(
.clk(clk), .VAR12(VAR12),
.VAR52(VAR104),
.VAR108(VAR58),
.VAR40(VAR130),
.VAR122(VAR59),
.VAR49(VAR126),
.VAR81(VAR79),
.VAR73(VAR114),
.VAR39(VAR56),
.VAR107(VAR23),
.VAR139(VAR101),
.VAR134(VAR69),
.VAR118(VAR78),
.VAR95(VAR55),
.VAR63(VAR57),
.VAR37(VAR140),
.VAR11(VAR141),
.VAR51(VAR60),
.VAR64(VAR46),
.VAR76(VAR70),
.VAR98(VAR47),
.VAR35(VAR34),
.VAR120(VAR117),
.reset(reset) );
wire [VAR30-1:0] VAR48;
wire [VAR30-1:0] VAR38;
wire [VAR30-1:0] VAR102;
wire [VAR30-1:0] VAR115;
wire [VAR30-1:0] VAR17;
wire [VAR30-1:0] VAR66;
wire [VAR30-1:0] VAR65;
wire [VAR30-1:0] VAR26;
wire [VAR30-1:0] VAR125;
wire [VAR30-1:0] VAR33;
wire [VAR30-1:0] VAR97;
MODULE5 VAR18(
.VAR8 (VAR58),
.VAR93 (VAR117),
.VAR87(VAR48)
);
MODULE5 VAR88(
.VAR8 (VAR130),
.VAR93 (VAR34),
.VAR87(VAR38)
);
MODULE5 VAR137(
.VAR8 (VAR59),
.VAR93 (VAR47),
.VAR87(VAR102)
);
MODULE5 VAR133(
.VAR8 (VAR126),
.VAR93 (VAR70),
.VAR87(VAR115)
);
MODULE5 VAR7(
.VAR8 (VAR79),
.VAR93 (VAR46),
.VAR87(VAR17)
);
MODULE5 VAR84(
.VAR8 (VAR114),
.VAR93 (VAR60),
.VAR87(VAR66)
);
MODULE5 VAR22(
.VAR8 (VAR56),
.VAR93 (VAR141),
.VAR87(VAR65)
);
MODULE5 VAR68(
.VAR8 (VAR23),
.VAR93 (VAR140),
.VAR87(VAR26)
);
MODULE5 VAR121(
.VAR8 (VAR101),
.VAR93 (VAR57),
.VAR87(VAR125)
);
MODULE5 VAR1(
.VAR8 (VAR69),
.VAR93 (VAR55),
.VAR87(VAR33)
);
MODULE4 VAR10(
.VAR8 (VAR78),
.VAR87(VAR97)
);
wire [VAR30-1:0] VAR61;
wire [VAR30-1:0] VAR54;
wire [VAR30-1:0] VAR124;
wire [VAR30-1:0] VAR138;
wire [VAR30-1:0] VAR19;
wire [VAR30-1:0] VAR110;
wire [VAR30-1:0] VAR127;
wire [VAR30-1:0] VAR36;
wire [VAR30-1:0] VAR82;
wire [VAR30-1:0] VAR15;
wire [VAR30-1:0] VAR105;
MODULE1 VAR136(
.VAR8 (VAR48),
.VAR93 (VAR21),
.VAR87(VAR61)
);
MODULE1 VAR13(
.VAR8 (VAR38),
.VAR93 (VAR14),
.VAR87(VAR54)
);
MODULE1 VAR5(
.VAR8 (VAR102),
.VAR93 (VAR50),
.VAR87(VAR124)
);
MODULE1 VAR123(
.VAR8 (VAR115),
.VAR93 (VAR45),
.VAR87(VAR138)
);
MODULE1 VAR27(
.VAR8 (VAR17),
.VAR93 (VAR83),
.VAR87(VAR19)
);
MODULE1 VAR96(
.VAR8 (VAR66),
.VAR93 (VAR109),
.VAR87(VAR110)
);
MODULE1 VAR94(
.VAR8 (VAR65),
.VAR93 (VAR89),
.VAR87(VAR127)
);
MODULE1 VAR112(
.VAR8 (VAR26),
.VAR93 (VAR111),
.VAR87(VAR36)
);
MODULE1 VAR28(
.VAR8 (VAR125),
.VAR93 (VAR80),
.VAR87(VAR82)
);
MODULE1 VAR74(
.VAR8 (VAR33),
.VAR93 (VAR85),
.VAR87(VAR15)
);
MODULE1 VAR31(
.VAR8 (VAR97),
.VAR93 (VAR42),
.VAR87(VAR105)
);
wire [VAR30-1:0] VAR16;
wire [VAR30-1:0] VAR67;
wire [VAR30-1:0] VAR100;
wire [VAR30-1:0] VAR24;
wire [VAR30-1:0] VAR132;
wire [VAR30-1:0] VAR44;
MODULE5 VAR32(
.VAR8 (VAR61),
.VAR93 (VAR54),
.VAR87(VAR16)
);
MODULE5 VAR116(
.VAR8 (VAR124),
.VAR93 (VAR138),
.VAR87(VAR67)
);
MODULE5 VAR9(
.VAR8 (VAR19),
.VAR93 (VAR110),
.VAR87(VAR100)
);
MODULE5 VAR99(
.VAR8 (VAR127),
.VAR93 (VAR36),
.VAR87(VAR24)
);
MODULE5 VAR53(
.VAR8 (VAR82),
.VAR93 (VAR15),
.VAR87(VAR132)
);
MODULE4 VAR4(
.VAR8 (VAR105),
.VAR87(VAR44)
);
wire [VAR30-1:0] VAR92;
wire [VAR30-1:0] VAR135;
wire [VAR30-1:0] VAR25;
MODULE5 VAR75(
.VAR8 (VAR16),
.VAR93 (VAR67),
.VAR87(VAR92)
);
MODULE5 VAR91(
.VAR8 (VAR100),
.VAR93 (VAR24),
.VAR87(VAR135)
);
MODULE5 VAR71(
.VAR8 (VAR132),
.VAR93 (VAR44),
.VAR87(VAR25)
);
wire [VAR30-1:0] VAR77;
wire [VAR30-1:0] VAR103;
MODULE5 VAR129(
.VAR8 (VAR92),
.VAR93 (VAR135),
.VAR87(VAR77)
);
MODULE4 VAR20(
.VAR8 (VAR25),
.VAR87(VAR103)
);
wire [VAR30-1:0] VAR86;
MODULE5 VAR6(
.VAR8 (VAR77),
.VAR93 (VAR103),
.VAR87(VAR86)
);
reg [17:0] VAR41;
always @(posedge clk) begin
if(VAR12) begin
VAR41 <= VAR86;
end
end
assign VAR131 = VAR43[VAR29-1];
endmodule
module MODULE2 (
clk,
VAR12,
VAR52,
VAR108,
VAR40,
VAR122,
VAR49,
VAR81,
VAR73,
VAR39,
VAR107,
VAR139,
VAR134,
VAR118,
VAR95,
VAR63,
VAR37,
VAR11,
VAR51,
VAR64,
VAR76,
VAR98,
VAR35,
VAR120,
reset);
parameter VAR72 = 1;
input clk;
input VAR12;
input [VAR72-1:0] VAR52;
output [VAR72-1:0] VAR108;
output [VAR72-1:0] VAR40;
output [VAR72-1:0] VAR122;
output [VAR72-1:0] VAR49;
output [VAR72-1:0] VAR81;
output [VAR72-1:0] VAR73;
output [VAR72-1:0] VAR39;
output [VAR72-1:0] VAR107;
output [VAR72-1:0] VAR139;
output [VAR72-1:0] VAR134;
output [VAR72-1:0] VAR118;
output [VAR72-1:0] VAR95;
output [VAR72-1:0] VAR63;
output [VAR72-1:0] VAR37;
output [VAR72-1:0] VAR11;
output [VAR72-1:0] VAR51;
output [VAR72-1:0] VAR64;
output [VAR72-1:0] VAR76;
output [VAR72-1:0] VAR98;
output [VAR72-1:0] VAR35;
output [VAR72-1:0] VAR120;
reg [VAR72-1:0] VAR108;
reg [VAR72-1:0] VAR40;
reg [VAR72-1:0] VAR122;
reg [VAR72-1:0] VAR49;
reg [VAR72-1:0] VAR81;
reg [VAR72-1:0] VAR73;
reg [VAR72-1:0] VAR39;
reg [VAR72-1:0] VAR107;
reg [VAR72-1:0] VAR139;
reg [VAR72-1:0] VAR134;
reg [VAR72-1:0] VAR118;
reg [VAR72-1:0] VAR95;
reg [VAR72-1:0] VAR63;
reg [VAR72-1:0] VAR37;
reg [VAR72-1:0] VAR11;
reg [VAR72-1:0] VAR51;
reg [VAR72-1:0] VAR64;
reg [VAR72-1:0] VAR76;
reg [VAR72-1:0] VAR98;
reg [VAR72-1:0] VAR35;
reg [VAR72-1:0] VAR120;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR108 <= 0;
VAR40 <= 0;
VAR122 <= 0;
VAR49 <= 0;
VAR81 <= 0;
VAR73 <= 0;
VAR39 <= 0;
VAR107 <= 0;
VAR139 <= 0;
VAR134 <= 0;
VAR118 <= 0;
VAR95 <= 0;
VAR63 <= 0;
VAR37 <= 0;
VAR11 <= 0;
VAR51 <= 0;
VAR64 <= 0;
VAR76 <= 0;
VAR98 <= 0;
VAR35 <= 0;
VAR120 <= 0;
end else begin
if(VAR12) begin
VAR108 <= VAR52;
VAR40 <= VAR108;
VAR122 <= VAR40;
VAR49 <= VAR122;
VAR81 <= VAR49;
VAR73 <= VAR81;
VAR39 <= VAR73;
VAR107 <= VAR39;
VAR139 <= VAR107;
VAR134 <= VAR139;
VAR118 <= VAR134;
VAR95 <= VAR118;
VAR63 <= VAR95;
VAR37 <= VAR63;
VAR11 <= VAR37;
VAR51 <= VAR11;
VAR64 <= VAR51;
VAR76 <= VAR64;
VAR98 <= VAR76;
VAR35 <= VAR98;
VAR120 <= VAR35;
end end
end
endmodule
module MODULE5 (
VAR8,
VAR93,
VAR87);
input clk;
input VAR12;
input [17:0] VAR8;
input [17:0] VAR93;
output [17:0] VAR87;
assign VAR87 = VAR8 + VAR93;
endmodule
module MODULE1 (
VAR8,
VAR93,
VAR87);
input clk;
input VAR12;
input [17:0] VAR8;
input [17:0] VAR93;
output [17:0] VAR87;
assign VAR87 = VAR8 * VAR93;
endmodule
module MODULE4 (
VAR8,
VAR87);
input clk;
input VAR12;
input [17:0] VAR8;
output [17:0] VAR87;
assign VAR87 = VAR8;
endmodule
|
mit
|
impedimentToProgress/ProbableCause
|
ddr2/cores/ddr2/ddr2_phy_ctl_io.v
| 9,767 |
module MODULE1 #
(
parameter VAR27 = 2,
parameter VAR68 = 1,
parameter VAR37 = 10,
parameter VAR12 = 1,
parameter VAR2 = 0,
parameter VAR15 = 1,
parameter VAR36 = 1,
parameter VAR58 = 14,
parameter VAR8 = 1
)
(
input VAR35,
input VAR29,
input VAR52,
input VAR54,
input [VAR58-1:0] VAR22,
input [VAR27-1:0] VAR24,
input VAR62,
input VAR61,
input VAR10,
input [VAR12-1:0] VAR1,
input [VAR58-1:0] VAR67,
input [VAR27-1:0] VAR13,
input VAR53,
input VAR38,
input VAR5,
input [VAR12-1:0] VAR42,
input [VAR68-1:0] VAR50,
input VAR4,
input [VAR12-1:0] VAR34,
output [VAR58-1:0] VAR47,
output [VAR27-1:0] VAR20,
output VAR41,
output VAR28,
output VAR49,
output [VAR68-1:0] VAR26,
output [VAR15-1:0] VAR55,
output [VAR36-1:0] VAR23
);
reg [VAR58-1:0] VAR6;
reg [VAR27-1:0] VAR46;
reg VAR65;
reg [VAR12-1:0] VAR43;
reg VAR64;
reg VAR56;
generate if(VAR2) begin always @ VAR60 VAR44
(
.VAR30 (VAR41),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR64),
.VAR11 (VAR52)
) ;
VAR60 VAR18
(
.VAR30 (VAR28),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR65),
.VAR11 (VAR52)
) ;
VAR60 VAR31
(
.VAR30 (VAR49),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR56),
.VAR11 (VAR52)
) ;
genvar VAR7;
generate
for (VAR7 = 0; VAR7 < VAR68; VAR7 = VAR7 + 1) begin: VAR45
VAR60 VAR63
(
.VAR30 (VAR26[VAR7]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (VAR52),
.VAR33 (VAR50[VAR7]),
.VAR11 (1'b0)
) ;
end
endgenerate
genvar VAR17;
generate
for(VAR17 = 0; VAR17 < VAR15; VAR17 = VAR17 + 1) begin: VAR25
if(VAR2) begin
VAR60 VAR51
(
.VAR30 (VAR55[VAR17]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR43[(VAR17*VAR12)/VAR15]),
.VAR11 (VAR52)
) ;
end else begin VAR60 VAR51
(
.VAR30 (VAR55[VAR17]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR43[(VAR17*VAR12)/VAR15]),
.VAR11 (VAR52)
) ;
end end
endgenerate
genvar VAR14;
generate
for (VAR14 = 0; VAR14 < VAR58; VAR14 = VAR14 + 1) begin: VAR21
VAR60 VAR9
(
.VAR30 (VAR47[VAR14]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR6[VAR14]),
.VAR11 (1'b0)
) ;
end
endgenerate
genvar VAR32;
generate
for (VAR32 = 0; VAR32 < VAR27; VAR32 = VAR32 + 1) begin: VAR48
VAR60 VAR19
(
.VAR30 (VAR20[VAR32]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (1'b0),
.VAR33 (VAR46[VAR32]),
.VAR11 (1'b0)
) ;
end
endgenerate
genvar VAR59;
generate
if (VAR8 > 0) begin: VAR57
for (VAR59 = 0; VAR59 < VAR36; VAR59 = VAR59 + 1) begin: VAR16
VAR60 VAR3
(
.VAR30 (VAR23[VAR59]),
.VAR66 (VAR35),
.VAR40 (1'b1),
.VAR39 (VAR52),
.VAR33 (VAR34[(VAR59*VAR12)/VAR36]),
.VAR11 (1'b0)
) ;
end
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o221ai/sky130_fd_sc_hdll__o221ai.pp.symbol.v
| 1,417 |
module MODULE1 (
input VAR8 ,
input VAR1 ,
input VAR10 ,
input VAR6 ,
input VAR4 ,
output VAR9 ,
input VAR2 ,
input VAR7,
input VAR5,
input VAR3
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlxbp/sky130_fd_sc_lp__dlxbp.functional.v
| 1,693 |
module MODULE1 (
VAR4 ,
VAR11 ,
VAR8 ,
VAR1
);
output VAR4 ;
output VAR11 ;
input VAR8 ;
input VAR1;
wire VAR9 ;
wire VAR6;
wire VAR3 ;
VAR2 VAR12 VAR7 (VAR9 , VAR8, VAR1 );
buf VAR5 (VAR4 , VAR9 );
not VAR10 (VAR11 , VAR9 );
endmodule
|
apache-2.0
|
olgirard/openmsp430
|
core/synthesis/altera/src/megawizard/stratix2_pmem.v
| 7,466 |
module MODULE1 (
address,
VAR29,
VAR14,
VAR41,
VAR44,
VAR46,
VAR27);
input [11:0] address;
input [1:0] VAR29;
input VAR14;
input VAR41;
input [15:0] VAR44;
input VAR46;
output [15:0] VAR27;
tri1 [1:0] VAR29;
tri1 VAR14;
tri1 VAR41;
wire [15:0] VAR42;
wire [15:0] VAR27 = VAR42[15:0];
VAR6 VAR13 (
.VAR30 (VAR14),
.VAR19 (VAR46),
.VAR8 (VAR41),
.VAR52 (VAR29),
.VAR50 (address),
.VAR34 (VAR44),
.VAR40 (VAR42),
.VAR28 (1'b0),
.VAR51 (1'b0),
.VAR49 (1'b1),
.VAR31 (1'b0),
.VAR17 (1'b0),
.VAR3 (1'b1),
.VAR35 (1'b1),
.VAR10 (1'b1),
.VAR7 (1'b1),
.VAR32 (1'b1),
.VAR43 (1'b1),
.VAR9 (),
.VAR21 (),
.VAR39 (1'b1),
.VAR11 (1'b1),
.VAR1 (1'b0));
VAR13.VAR4 = 8,
VAR13.VAR45 = "VAR47",
VAR13.VAR54 = "VAR15",
VAR13.VAR25 = "VAR33 VAR18",
VAR13.VAR26 = "VAR5=VAR12",
VAR13.VAR16 = "VAR6",
VAR13.VAR37 = 4096,
VAR13.VAR22 = "VAR48",
VAR13.VAR36 = "VAR56",
VAR13.VAR53 = "VAR23",
VAR13.VAR2 = "VAR38",
VAR13.VAR20 = 12,
VAR13.VAR55 = 16,
VAR13.VAR24 = 2;
endmodule
|
bsd-3-clause
|
James534/SubZero
|
SubZero/fpga/fpga_hw/top_level/DE0_Nano_SOPC/synthesis/submodules/DE0_Nano_SOPC_sysid.v
| 1,408 |
module MODULE1 (
address,
VAR3,
VAR1,
VAR2
)
;
output [ 31: 0] VAR2;
input address;
input VAR3;
input VAR1;
wire [ 31: 0] VAR2;
assign VAR2 = address ? 1435703700 : 0;
endmodule
|
mit
|
aap/pdp6
|
verilog/memif.v
| 1,589 |
module MODULE1(
input wire clk,
input wire reset,
input wire [1:0] VAR12,
input wire VAR5,
input wire VAR18,
input wire [31:0] VAR13,
output reg [31:0] VAR14,
output wire VAR16,
output wire [17:0] VAR11,
output reg VAR6,
output reg VAR4,
output wire [35:0] VAR1,
input wire [35:0] VAR8,
input wire VAR2
);
reg [17:0] addr;
reg [35:0] word;
assign VAR11 = addr;
assign VAR1 = word;
wire VAR15, VAR10;
VAR17 VAR7(clk, reset, VAR5, VAR15);
VAR17 VAR3(clk, reset, VAR18, VAR10);
reg VAR9;
wire req = (VAR15|VAR10) & VAR12 == 2'h2;
assign VAR16 = req | VAR9;
always @(posedge clk or negedge reset) begin
if(~reset) begin
VAR6 <= 0;
VAR4 <= 0;
VAR9 <= 0;
addr <= 0;
word <= 0;
end else begin
if(VAR15) begin
case(VAR12)
2'h0: addr <= VAR13[17:0];
2'h1: word[17:0] <= VAR13[17:0];
2'h2: word[35:18] <= VAR13[17:0];
endcase
end
if(req) begin
VAR9 <= 1;
if(VAR5)
VAR6 <= 1;
end
else if(VAR18)
VAR4 <= 1;
end
if(VAR6 & ~VAR2) begin
VAR6 <= 0;
VAR9 <= 0;
end
if(VAR4 & ~VAR2) begin
VAR4 <= 0;
VAR9 <= 0;
word <= VAR8;
end
end
end
always @(*) begin
case(VAR12)
2'h1: VAR14 <= { 14'b0, word[17:0] };
2'h2: VAR14 <= { 14'b0, word[35:18] };
default: VAR14 <= 32'b0;
endcase
end
endmodule
|
mit
|
m-labs/milkymist
|
cores/tmu2/rtl/tmu2_divider17.v
| 1,501 |
module MODULE1(
input VAR3,
input VAR8,
input VAR6,
input [16:0] VAR4,
input [16:0] VAR9,
output ready,
output [16:0] VAR7,
output [16:0] VAR5
);
reg [33:0] VAR1;
assign VAR5 = VAR1[33:17];
assign VAR7 = VAR1[16:0];
reg [4:0] counter;
assign ready = (counter == 5'd0);
reg [16:0] VAR10;
wire [17:0] VAR2 = VAR1[33:16] - {1'b0, VAR10};
always @(posedge VAR3) begin
if(VAR8)
counter = 5'd0;
end
else begin
if(VAR6) begin
counter = 5'd17;
VAR1 = {17'd0, VAR4};
VAR10 = VAR9;
end else begin
if(~ready) begin
if(VAR2[17])
VAR1 = {VAR1[32:0], 1'b0};
end
else
VAR1 = {VAR2[16:0], VAR1[15:0], 1'b1};
counter = counter - 5'd1;
end
end
end
end
endmodule
|
lgpl-3.0
|
iafnan/es2-hardwaresecurity
|
or1200/bench/verilog/dbg_if_model.v
| 15,211 |
module MODULE1(
VAR32, VAR18, VAR17, VAR43, VAR34,
VAR23, VAR12, VAR39, VAR42, VAR41,
VAR16, VAR31, VAR1, VAR29, VAR40,
VAR13, VAR21, VAR19, VAR36, VAR7, VAR30,
VAR38, VAR27,
VAR11, VAR20, VAR37, VAR5, VAR22, VAR35,
VAR3, VAR44, VAR45, VAR33
);
parameter VAR28 = 1;
input VAR32; input VAR18; input VAR17; input VAR43; output VAR34;
output VAR23;
output VAR12;
output VAR39;
output VAR42;
input VAR41;
input VAR16; input [31:0] VAR1; input [10:0] VAR40; input VAR13; input [3:0] VAR19; input [1:0] VAR36; output [31:0] VAR31; output [31:0] VAR29; output [VAR2-1:0] VAR21; output VAR7; output VAR30;
input VAR38; input VAR27;
output [31:0] VAR11;
output [31:0] VAR20;
input [31:0] VAR37;
output VAR5;
output VAR22;
output [3:0] VAR35;
output VAR3;
input VAR44;
output VAR45;
input VAR33;
reg [31:0] VAR31;
reg [31:0] VAR29;
reg [VAR2-1:0] VAR21;
reg VAR8;
reg VAR15;
reg VAR24;
integer VAR25, VAR26, VAR9, VAR10, VAR4, VAR6, VAR14;
assign VAR34 = 1'b0;
assign VAR23 = 1'b0;
assign VAR12 = 1'b0;
assign VAR39 = 1'b0;
assign VAR42 = 1'b0;
assign VAR30 = 1'b0;
assign VAR7 = VAR15 | VAR8;
assign VAR45 = 1'b0;
always @(posedge VAR38 or posedge VAR13)
if (VAR38)
VAR15 <= 1'b0;
else if (VAR13)
VAR15 <= 1'b1;
begin
begin
begin
begin
begin
begin
|
gpl-3.0
|
mcoughli/root_of_trust
|
operational_os/hls/contact_discovery_axi_one_db_load/solution1/impl/verilog/contact_discoverycud.v
| 1,805 |
module MODULE1 (VAR9, VAR5, VAR4, VAR12, VAR1, VAR2, VAR11, VAR7, clk);
parameter VAR8 = 8;
parameter VAR10 = 19;
parameter VAR3 = 480000;
input[VAR10-1:0] VAR9;
input VAR5;
input[VAR8-1:0] VAR4;
input VAR12;
output reg[VAR8-1:0] VAR1;
input[VAR10-1:0] VAR2;
input VAR11;
output reg[VAR8-1:0] VAR7;
input clk;
reg [VAR8-1:0] VAR6[0:VAR3-1];
begin
begin
begin
end
begin
begin
|
gpl-3.0
|
alexforencich/xfcp
|
example/S10MX_DK/fpga/rtl/eth_xcvr_phy_wrapper.v
| 5,234 |
module MODULE1 (
input wire VAR85,
input wire VAR96,
input wire VAR59,
input wire VAR38,
input wire VAR31,
input wire VAR35,
output wire VAR24,
output wire VAR33,
output wire VAR22,
output wire VAR69,
output wire VAR2,
output wire VAR10,
input wire VAR26,
input wire VAR80,
output wire VAR82,
input wire VAR20,
output wire VAR23,
output wire VAR21,
input wire VAR8,
input wire VAR40,
output wire VAR95,
output wire VAR73,
input wire [63:0] VAR83,
input wire [7:0] VAR84,
output wire VAR61,
output wire VAR50,
output wire [63:0] VAR30,
output wire [7:0] VAR94,
output wire VAR48,
output wire VAR13
);
wire VAR90;
wire VAR36;
assign VAR95 = VAR90;
assign VAR61 = VAR36;
wire [1:0] VAR86;
wire [63:0] VAR74;
wire [1:0] VAR78;
wire [63:0] VAR60;
wire [1:0] VAR89;
wire [63:0] VAR76;
wire [1:0] VAR56;
wire [63:0] VAR39;
wire VAR98;
assign {VAR86, VAR74} = {VAR76, VAR89};
assign {VAR39, VAR56} = {VAR78, VAR60};
VAR55 VAR49 (
.VAR17 (VAR59),
.VAR6 (VAR38),
.VAR70 (VAR31),
.VAR87 (VAR35),
.VAR32 (VAR24),
.VAR42 (VAR33),
.VAR12 (VAR22),
.VAR58 (VAR69),
.VAR63 (VAR2),
.VAR93 (VAR10),
.VAR57 (VAR26),
.VAR41 (VAR80),
.VAR92 (VAR82),
.VAR3 (VAR20),
.VAR97 (VAR23),
.VAR11 (VAR21),
.VAR54 (VAR90),
.VAR68 (VAR36),
.VAR81 (VAR90),
.VAR44 (),
.VAR16 (VAR36),
.VAR79 (),
.VAR66 (VAR74),
.VAR51 (VAR86),
.VAR37 (1'b1),
.VAR53 (13'd0),
.VAR52 (VAR60),
.VAR88 (VAR78),
.VAR5 (),
.VAR47 (),
.VAR27 (VAR98)
);
VAR25 #(
.VAR91(4)
)
VAR29 (
.clk(VAR95),
.rst(~VAR8),
.out(VAR73)
);
VAR25 #(
.VAR91(4)
)
VAR9 (
.clk(VAR61),
.rst(~VAR40),
.out(VAR50)
);
VAR34 #(
.VAR77(0),
.VAR72(32),
.VAR28(32)
)
VAR45 (
.VAR46(VAR95),
.VAR62(VAR73),
.VAR15(VAR61),
.VAR67(VAR50),
.VAR71(VAR83),
.VAR1(VAR84),
.VAR18(VAR30),
.VAR19(VAR94),
.VAR65(VAR76),
.VAR64(VAR89),
.VAR7(VAR39),
.VAR14(VAR56),
.VAR4(VAR98),
.VAR43(VAR48),
.VAR75(VAR13)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/mux2/sky130_fd_sc_hs__mux2.pp.blackbox.v
| 1,242 |
module MODULE1 (
VAR5 ,
VAR4 ,
VAR2 ,
VAR1 ,
VAR3,
VAR6
);
output VAR5 ;
input VAR4 ;
input VAR2 ;
input VAR1 ;
input VAR3;
input VAR6;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a311o/sky130_fd_sc_hs__a311o.pp.blackbox.v
| 1,368 |
module MODULE1 (
VAR7 ,
VAR2 ,
VAR5 ,
VAR1 ,
VAR8 ,
VAR4 ,
VAR6,
VAR3
);
output VAR7 ;
input VAR2 ;
input VAR5 ;
input VAR1 ;
input VAR8 ;
input VAR4 ;
input VAR6;
input VAR3;
endmodule
|
apache-2.0
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_018bits.v
| 1,917 |
module MODULE2 (
clk,
VAR6, VAR1, VAR4, VAR27, VAR33, VAR30, VAR14, VAR22,
sum,
);
input clk;
input [VAR18+0-1:0] VAR6, VAR1, VAR4, VAR27, VAR33, VAR30, VAR14, VAR22;
output [VAR18 :0] sum;
reg [VAR18 :0] sum;
wire [VAR18+3-1:0] VAR32;
wire [VAR18+2-1:0] VAR5, VAR3;
wire [VAR18+1-1:0] VAR34, VAR24, VAR7, VAR13;
reg [VAR18+0-1:0] VAR20, VAR15, VAR29, VAR31, VAR8, VAR9, VAR12, VAR23;
MODULE1 VAR28(VAR5, VAR3, VAR32 );
MODULE1 VAR25(VAR34, VAR24, VAR5 );
MODULE1 VAR2(VAR7, VAR13, VAR3 );
MODULE1 VAR26(VAR20, VAR15, VAR34);
MODULE1 VAR10(VAR29, VAR31, VAR24);
MODULE1 VAR19(VAR8, VAR9, VAR7);
MODULE1 VAR17(VAR12, VAR23, VAR13);
always @(posedge clk) begin
VAR20 <= VAR6;
VAR15 <= VAR1;
VAR29 <= VAR4;
VAR31 <= VAR27;
VAR8 <= VAR33;
VAR9 <= VAR30;
VAR12 <= VAR14;
VAR23 <= VAR22;
sum <= VAR32;
sum <= VAR5;
end
endmodule
module MODULE1(VAR11,VAR16,sum);
parameter VAR21 = 0;
input [VAR18+VAR21-1:0] VAR11;
input [VAR18+VAR21-1:0] VAR16;
output [VAR18+VAR21:0] sum;
assign sum = VAR11 + VAR16;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/or3b/sky130_fd_sc_hs__or3b.functional.v
| 1,871 |
module MODULE1 (
VAR4,
VAR7,
VAR12 ,
VAR9 ,
VAR6 ,
VAR14
);
input VAR4;
input VAR7;
output VAR12 ;
input VAR9 ;
input VAR6 ;
input VAR14 ;
wire VAR3 ;
wire VAR8 ;
wire VAR1;
not VAR11 (VAR3 , VAR14 );
or VAR13 (VAR8 , VAR6, VAR9, VAR3 );
VAR2 VAR10 (VAR1, VAR8, VAR4, VAR7);
buf VAR5 (VAR12 , VAR1 );
endmodule
|
apache-2.0
|
OrganicMonkeyMotion/fpga_experiments
|
bmax10/Bemicro_m10_embedded_lab_14_0/bemicro_m10_embedded_lab_14_0/ip/i2c_opencores/i2c_master_byte_ctrl.v
| 10,547 |
module MODULE1 (
clk, rst, VAR23, VAR32, VAR3, VAR41, VAR17, read, write, VAR39, din,
VAR24, VAR11, dout, VAR8, VAR1, VAR20, VAR9, VAR34, VAR10, VAR21, VAR31 );
input clk; input rst; input VAR23; input VAR32;
input [15:0] VAR3;
input VAR41;
input VAR17;
input read;
input write;
input VAR39;
input [7:0] din;
output VAR24;
reg VAR24;
output VAR11;
reg VAR11;
output VAR8;
output VAR1;
output [7:0] dout;
input VAR20;
output VAR9;
output VAR34;
input VAR10;
output VAR21;
output VAR31;
parameter [4:0] VAR38 = 5'b00000;
parameter [4:0] VAR13 = 5'b00001;
parameter [4:0] VAR25 = 5'b00010;
parameter [4:0] VAR19 = 5'b00100;
parameter [4:0] VAR15 = 5'b01000;
parameter [4:0] VAR6 = 5'b10000;
reg [3:0] VAR35;
reg VAR18;
wire VAR14, VAR16;
reg [7:0] VAR30; reg VAR42, VAR36;
wire VAR4;
reg [2:0] VAR29;
wire VAR12;
VAR22 VAR43 (
.clk ( clk ),
.rst ( rst ),
.VAR23 ( VAR23 ),
.VAR32 ( VAR32 ),
.VAR3 ( VAR3 ),
.VAR26 ( VAR35 ),
.VAR24 ( VAR14 ),
.VAR2 ( VAR8 ),
.VAR28 ( VAR1 ),
.din ( VAR18 ),
.dout ( VAR16 ),
.VAR20 ( VAR20 ),
.VAR9 ( VAR9 ),
.VAR34 ( VAR34 ),
.VAR10 ( VAR10 ),
.VAR21 ( VAR21 ),
.VAR31 ( VAR31 )
);
assign VAR4 = (read | write | VAR17) & ~VAR24;
assign dout = VAR30;
always @(posedge clk or negedge VAR23)
if (!VAR23)
VAR30 <= 8'h0;
else if (rst)
VAR30 <= 8'h0;
else if (VAR36)
VAR30 <= din;
else if (VAR42)
VAR30 <= {VAR30[6:0], VAR16};
always @(posedge clk or negedge VAR23)
if (!VAR23)
VAR29 <= 3'h0;
else if (rst)
VAR29 <= 3'h0;
else if (VAR36)
VAR29 <= 3'h7;
else if (VAR42)
VAR29 <= VAR29 - 3'h1;
assign VAR12 = ~(|VAR29);
reg [4:0] VAR5;
always @(posedge clk or negedge VAR23)
if (!VAR23)
begin
VAR35 <= VAR40;
VAR18 <= 1'b0;
VAR42 <= 1'b0;
VAR36 <= 1'b0;
VAR24 <= 1'b0;
VAR5 <= VAR38;
VAR11 <= 1'b0;
end
else if (rst | VAR1)
begin
VAR35 <= VAR40;
VAR18 <= 1'b0;
VAR42 <= 1'b0;
VAR36 <= 1'b0;
VAR24 <= 1'b0;
VAR5 <= VAR38;
VAR11 <= 1'b0;
end
else
begin
VAR18 <= VAR30[7];
VAR42 <= 1'b0;
VAR36 <= 1'b0;
VAR24 <= 1'b0;
case (VAR5) VAR38:
if (VAR4)
begin
if (VAR41)
begin
VAR5 <= VAR13;
VAR35 <= VAR7;
end
else if (read)
begin
VAR5 <= VAR25;
VAR35 <= VAR33;
end
else if (write)
begin
VAR5 <= VAR19;
VAR35 <= VAR37;
end
else begin
VAR5 <= VAR6;
VAR35 <= VAR27;
end
VAR36 <= 1'b1;
end
VAR13:
if (VAR14)
begin
if (read)
begin
VAR5 <= VAR25;
VAR35 <= VAR33;
end
else
begin
VAR5 <= VAR19;
VAR35 <= VAR37;
end
VAR36 <= 1'b1;
end
VAR19:
if (VAR14)
if (VAR12)
begin
VAR5 <= VAR15;
VAR35 <= VAR33;
end
else
begin
VAR5 <= VAR19; VAR35 <= VAR37; VAR42 <= 1'b1;
end
VAR25:
if (VAR14)
begin
if (VAR12)
begin
VAR5 <= VAR15;
VAR35 <= VAR37;
end
else
begin
VAR5 <= VAR25; VAR35 <= VAR33; end
VAR42 <= 1'b1;
VAR18 <= VAR39;
end
VAR15:
if (VAR14)
begin
if (VAR17)
begin
VAR5 <= VAR6;
VAR35 <= VAR27;
end
else
begin
VAR5 <= VAR38;
VAR35 <= VAR40;
VAR24 <= 1'b1;
end
VAR11 <= VAR16;
VAR18 <= 1'b1;
end
else
VAR18 <= VAR39;
VAR6:
if (VAR14)
begin
VAR5 <= VAR38;
VAR35 <= VAR40;
VAR24 <= 1'b1;
end
endcase
end
endmodule
|
unlicense
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_lsbuf_lh_isowell_tap/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap.blackbox.v
| 1,496 |
module MODULE1 (
VAR2,
VAR1
);
output VAR2;
input VAR1;
wire VAR6;
supply1 VAR5 ;
supply0 VAR3 ;
supply1 VAR4 ;
endmodule
|
apache-2.0
|
johan92/yafpgatetris
|
ip_cores/ps2_keyboard/PS2_Controller.v
| 8,089 |
module MODULE1 #(parameter VAR13 = 0) (
VAR4,
reset,
VAR29,
VAR2,
VAR35, VAR14,
VAR31,
VAR16,
VAR10,
VAR19 );
input VAR4;
input reset;
input [7:0] VAR29;
input VAR2;
inout VAR35;
inout VAR14;
output VAR31;
output VAR16;
output [7:0] VAR10;
output VAR19;
wire [7:0] VAR9;
wire VAR24, VAR5, VAR30;
generate
if(VAR13) begin
assign VAR9 = VAR7 ? VAR29 : 8'hf4;
assign VAR24 = VAR7 ? VAR2 : (!VAR5 && !VAR30);
assign VAR31 = VAR7 ? VAR5 : 0;
assign VAR16 = VAR7 ? VAR30 : 1;
reg VAR7;
always @(posedge VAR4)
if(reset) VAR7 <= 0;
end
else if(VAR5) VAR7 <= 1;
end else begin
assign VAR9 = VAR29;
assign VAR24 = VAR2;
assign VAR31 = VAR5;
assign VAR16 = VAR30;
end
endgenerate
localparam VAR25 = 3'h0,
VAR26 = 3'h1,
VAR11 = 3'h2,
VAR6 = 3'h3,
VAR33 = 3'h4;
wire VAR22;
wire VAR28;
wire VAR21;
wire VAR3;
reg [7:0] VAR27;
reg VAR8;
reg VAR17;
reg VAR34;
reg [2:0] VAR20;
reg [2:0] VAR15;
always @(posedge VAR4)
begin
if (reset == 1'b1)
VAR15 <= VAR25;
end
else
VAR15 <= VAR20;
end
always @(*)
begin
VAR20 = VAR25;
case (VAR15)
VAR25:
begin
if ((VAR27 == 8'hFF) &&
(VAR2 == 1'b1))
VAR20 = VAR11;
end
else if ((VAR17 == 1'b0) && (VAR22 == 1'b1))
VAR20 = VAR26;
end
else
VAR20 = VAR25;
end
VAR26:
begin
if ((VAR19 == 1'b1))
VAR20 = VAR25;
end
else
VAR20 = VAR26;
end
VAR11:
begin
if ((VAR31 == 1'b1) ||
(VAR16 == 1'b1))
VAR20 = VAR6;
end
else
VAR20 = VAR11;
end
VAR6:
begin
if (VAR2 == 1'b0)
VAR20 = VAR25;
end
else if ((VAR17 == 1'b0) && (VAR22 == 1'b1))
VAR20 = VAR33;
else
VAR20 = VAR6;
end
VAR33:
begin
if (VAR19 == 1'b1)
begin
if (VAR2 == 1'b0)
VAR20 = VAR25;
end
else
VAR20 = VAR6;
end
else
VAR20 = VAR33;
end
default:
VAR20 = VAR25;
endcase
end
always @(posedge VAR4)
begin
if (reset == 1'b1)
begin
VAR34 <= 1'b1;
VAR8 <= 1'b1;
VAR17 <= 1'b1;
end
else
begin
VAR34 <= VAR8;
VAR8 <= VAR35;
VAR17 <= VAR14;
end
end
always @(posedge VAR4)
begin
if (reset == 1'b1)
VAR27 <= 6'h00;
end
else if ((VAR15 == VAR25) &&
(VAR27 != 8'hFF))
VAR27 <= VAR27 + 6'h01;
else if (VAR15 != VAR25)
VAR27 <= 6'h00;
end
assign VAR22 =
((VAR8 == 1'b1) && (VAR34 == 1'b0)) ? 1'b1 : 1'b0;
assign VAR28 =
((VAR8 == 1'b0) && (VAR34 == 1'b1)) ? 1'b1 : 1'b0;
assign VAR21 = (VAR15 == VAR26);
assign VAR3 =
(VAR15 == VAR6);
VAR18 VAR23 (
.clk (VAR4),
.reset (reset),
.VAR3 (VAR3),
.VAR21 (VAR21),
.VAR22 (VAR22),
.VAR28 (VAR28),
.VAR1 (VAR17),
.VAR10 (VAR10),
.VAR19 (VAR19)
);
VAR32 VAR12 (
.clk (VAR4),
.reset (reset),
.VAR29 (VAR9),
.VAR2 (VAR24),
.VAR22 (VAR22),
.VAR28 (VAR28),
.VAR35 (VAR35),
.VAR14 (VAR14),
.VAR31 (VAR5),
.VAR16 (VAR30)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlymetal6s4s/sky130_fd_sc_ms__dlymetal6s4s.pp.symbol.v
| 1,358 |
module MODULE1 (
input VAR4 ,
output VAR6 ,
input VAR1 ,
input VAR5,
input VAR3,
input VAR2
);
endmodule
|
apache-2.0
|
peteasa/parallella-fpga
|
AdiHDLLib/library/common/ad_pnmon.v
| 4,533 |
module MODULE1 (
VAR2,
VAR4,
VAR8,
VAR11,
VAR16,
VAR17);
parameter VAR14 = 16;
localparam VAR6 = VAR14 - 1;
input VAR2;
input VAR4;
input [VAR6:0] VAR8;
input [VAR6:0] VAR11;
output VAR16;
output VAR17;
reg VAR12 = 'd0;
reg VAR1 = 'd0;
reg VAR3 = 'd0;
reg VAR17 = 'd0;
reg VAR16 = 'd0;
reg [ 3:0] VAR13 = 'd0;
wire VAR10;
wire VAR5;
wire VAR7;
wire VAR9;
wire VAR15;
assign VAR10 = (VAR8 == VAR11) ? 1'b1 : 1'b0;
assign VAR5 = (VAR8 == 'd0) ? 1'b0 : 1'b1;
assign VAR7 = VAR1 & VAR3;
assign VAR9 = ~(VAR16 ^ VAR7);
assign VAR15 = ~(VAR16 | VAR7);
always @(posedge VAR2) begin
VAR12 <= VAR4;
VAR1 <= VAR10;
VAR3 <= VAR5;
if (VAR12 == 1'b1) begin
VAR17 <= VAR15;
if ((VAR9 == 1'b1) && (VAR13 >= 15)) begin
VAR16 <= ~VAR16;
end
if (VAR9 == 1'b1) begin
VAR13 <= VAR13 + 1'b1;
end else begin
VAR13 <= 'd0;
end
end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nand4/sky130_fd_sc_hd__nand4_4.v
| 2,253 |
module MODULE2 (
VAR9 ,
VAR2 ,
VAR3 ,
VAR11 ,
VAR8 ,
VAR5,
VAR10,
VAR1 ,
VAR7
);
output VAR9 ;
input VAR2 ;
input VAR3 ;
input VAR11 ;
input VAR8 ;
input VAR5;
input VAR10;
input VAR1 ;
input VAR7 ;
VAR6 VAR4 (
.VAR9(VAR9),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR9,
VAR2,
VAR3,
VAR11,
VAR8
);
output VAR9;
input VAR2;
input VAR3;
input VAR11;
input VAR8;
supply1 VAR5;
supply0 VAR10;
supply1 VAR1 ;
supply0 VAR7 ;
VAR6 VAR4 (
.VAR9(VAR9),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/clkbuf/gf180mcu_fd_sc_mcu9t5v0__clkbuf_2.behavioral.pp.v
| 1,174 |
module MODULE1( VAR6, VAR4, VAR7, VAR2 );
input VAR6;
inout VAR7, VAR2;
output VAR4;
VAR1 VAR5(.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR2(VAR2));
VAR1 VAR3(.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR2(VAR2));
|
apache-2.0
|
rurume/openrisc_vision_hardware
|
ISE/or1200_gmultp2_32x32.v
| 4,878 |
module MODULE1 ( VAR2, VAR3, VAR11, VAR9, VAR5 );
input [VAR4-1:0] VAR2;
input [VAR4-1:0] VAR3;
input VAR11;
input VAR9;
output [VAR1-1:0] VAR5;
reg [VAR1-1:0] VAR10;
reg [VAR1-1:0] VAR8;
integer VAR6;
integer VAR7;
always @(VAR2)
VAR6 <= VAR2;
always @(VAR3)
VAR7 <= VAR3;
always @(posedge VAR11 or posedge VAR9)
if (VAR9)
VAR10 <= VAR1'b0;
else
VAR10 <= VAR6 * VAR7;
always @(posedge VAR11 or posedge VAR9)
if (VAR9)
VAR8 <= VAR1'b0;
else
VAR8 <= VAR10;
assign VAR5 = VAR8;
endmodule
|
gpl-2.0
|
davidkoltak/tawas-core
|
ip/rcn/rtl/avalon2rcn.v
| 2,446 |
module MODULE1
(
input VAR20,
input VAR8,
output VAR6,
input [21:0] VAR4,
input VAR14,
input VAR23,
input [3:0] VAR5,
input [31:0] VAR19,
output [31:0] VAR15,
output VAR12,
input [68:0] VAR13,
output [68:0] VAR11
);
parameter VAR18 = 6'h3F;
reg [68:0] VAR22;
reg [68:0] VAR21;
reg [2:0] VAR1;
reg [2:0] VAR10;
reg [2:0] VAR3;
reg [2:0] VAR16;
assign VAR11 = VAR21;
wire [5:0] VAR24 = VAR18;
wire VAR7 = VAR22[68] && !VAR22[67] && (VAR22[65:60] == VAR24) &&
((VAR22[66]) ? (VAR22[33:32] == VAR16[1:0]) : (VAR22[33:32] == VAR10[1:0]));
wire VAR17 = (VAR22[68] && !VAR7) || ((VAR23) ? (VAR1 == VAR10) : (VAR3 == VAR16));
assign VAR6 = VAR17;
wire VAR2;
wire [68:0] req;
always @ (posedge VAR20 or posedge VAR8)
if (VAR8)
begin
VAR22 <= 69'd0;
VAR21 <= 69'd0;
VAR1 <= 3'b000;
VAR10 <= 3'b100;
VAR3 <= 3'b000;
VAR16 <= 3'b100;
end
else
begin
VAR22 <= VAR13;
VAR21 <= (VAR2) ? req : (VAR7) ? 69'd0 : VAR22;
VAR1 <= (VAR2 && VAR23) ? VAR1 + 3'd1 : VAR1;
VAR10 <= (VAR7 && !VAR22[66]) ? VAR10 + 3'd1 : VAR10;
VAR3 <= (VAR2 && VAR14) ? VAR3 + 3'd1 : VAR3;
VAR16 <= (VAR7 && VAR22[66]) ? VAR16 + 3'd1 : VAR16;
end
assign VAR2 = (VAR14 || VAR23) && !VAR17;
wire [1:0] VAR9 = (VAR23) ? VAR1[1:0] : VAR3[1:0];
assign req = {1'b1, 1'b1, VAR14, VAR24, VAR5, VAR4[21:0], VAR9, VAR19};
assign VAR12 = VAR7 && !VAR22[66];
assign VAR15 = VAR22[31:0];
endmodule
|
mit
|
somethingnew2-0/CS552-CPU
|
RoadRunner/provided_modules/clk_and_rst_n.v
| 1,202 |
module MODULE1;
parameter VAR7=1;
parameter VAR9=5;
parameter VAR4=100000;
reg clk, VAR11;
wire VAR3;
wire [15:0] VAR2;
integer VAR8;
VAR6 VAR1 (.clk(clk), .VAR11(VAR11), .VAR10(VAR3), .VAR5(VAR2));
VAR12 clk = VAR7;
always #VAR9 clk <= ~clk;
begin
begin
begin
begin
|
mit
|
ElegantLin/My-CPU
|
Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/ctrl.v
| 1,541 |
module MODULE1(
input wire rst,
input wire[31:0] VAR12,
input wire[VAR2] VAR3,
input wire VAR6,
input wire VAR9,
input wire VAR8,
output reg[VAR2] VAR5,
output reg VAR13,
output reg[5:0] VAR11
);
always @ (*) begin
if(rst == VAR7) begin
VAR11 <= 6'b000000;
VAR13 <= 1'b0;
VAR5 <= VAR1;
end else if(VAR12 != VAR1) begin
VAR13 <= 1'b1;
VAR11 <= 6'b000000;
case (VAR12)
32'h00000001: begin VAR5 <= 32'h4;
end
32'h00000008: begin VAR5 <= 32'h4;
end
32'h0000000a: begin VAR5 <= 32'h00000040;
end
32'h0000000d: begin VAR5 <= 32'h4;
end
32'h0000000c: begin VAR5 <= 32'h4;
end
32'h0000000e: begin VAR5 <= VAR3;
end
default : begin
end
endcase
end else if(VAR9 == VAR10) begin
VAR11 <= 6'b001111;
VAR13 <= 1'b0;
end else if(VAR6 == VAR10) begin
VAR11 <= 6'b000111;
VAR13 <= 1'b0;
end else if(VAR8 == VAR4) begin
VAR11 <= 6'b000010;
VAR13 <= 1'b0;
end else begin
VAR11 <= 6'b000000;
VAR13 <= 1'b0;
VAR5 <= VAR1;
end end
endmodule
|
gpl-3.0
|
zYeoman/32BIT-MIPS-CPU
|
Single/InstructionMem.v
| 5,767 |
module MODULE1 (
input [31:0] addr,
output reg [31:0] VAR1
);
parameter VAR3 = 128;
parameter VAR2 = 7;
always @ (*)
case (addr[VAR2+1:2])
7'd0: VAR1 = 32'h08000003;
7'd1: VAR1 = 32'h0800004b;
7'd2: VAR1 = 32'h08000002;
7'd3: VAR1 = 32'h20080014;
7'd4: VAR1 = 32'h01000008;
7'd5: VAR1 = 32'h3c104000;
7'd6: VAR1 = 32'h200bf000;
7'd7: VAR1 = 32'hae000008;
7'd8: VAR1 = 32'hae0b0000;
7'd9: VAR1 = 32'h200cffff;
7'd10: VAR1 = 32'h20110000;
7'd11: VAR1 = 32'h20120100;
7'd12: VAR1 = 32'hae0c0004;
7'd13: VAR1 = 32'hae000020;
7'd14: VAR1 = 32'h20130000;
7'd15: VAR1 = 32'h20080040;
7'd16: VAR1 = 32'hae680000;
7'd17: VAR1 = 32'h20080079;
7'd18: VAR1 = 32'hae680004;
7'd19: VAR1 = 32'h20080024;
7'd20: VAR1 = 32'hae680008;
7'd21: VAR1 = 32'h20080030;
7'd22: VAR1 = 32'hae68000c;
7'd23: VAR1 = 32'h20080019;
7'd24: VAR1 = 32'hae680010;
7'd25: VAR1 = 32'h20080012;
7'd26: VAR1 = 32'hae680014;
7'd27: VAR1 = 32'h20080002;
7'd28: VAR1 = 32'hae680018;
7'd29: VAR1 = 32'h20080078;
7'd30: VAR1 = 32'hae68001c;
7'd31: VAR1 = 32'h20080000;
7'd32: VAR1 = 32'hae680020;
7'd33: VAR1 = 32'h20080010;
7'd34: VAR1 = 32'hae680024;
7'd35: VAR1 = 32'h20080008;
7'd36: VAR1 = 32'hae680028;
7'd37: VAR1 = 32'h20080003;
7'd38: VAR1 = 32'hae68002c;
7'd39: VAR1 = 32'h20080046;
7'd40: VAR1 = 32'hae680030;
7'd41: VAR1 = 32'h20080021;
7'd42: VAR1 = 32'hae680034;
7'd43: VAR1 = 32'h20080006;
7'd44: VAR1 = 32'hae680038;
7'd45: VAR1 = 32'h2008000e;
7'd46: VAR1 = 32'hae68003c;
7'd47: VAR1 = 32'h8e0e0020;
7'd48: VAR1 = 32'h31ce0008;
7'd49: VAR1 = 32'h11c0fffd;
7'd50: VAR1 = 32'h8e09001c;
7'd51: VAR1 = 32'h8e0e0020;
7'd52: VAR1 = 32'h31ce0008;
7'd53: VAR1 = 32'h11c0fffd;
7'd54: VAR1 = 32'h8e0a001c;
7'd55: VAR1 = 32'h200d0003;
7'd56: VAR1 = 32'h312900ff;
7'd57: VAR1 = 32'h314a00ff;
7'd58: VAR1 = 32'h00092020;
7'd59: VAR1 = 32'h000a2820;
7'd60: VAR1 = 32'hae0d0008;
7'd61: VAR1 = 32'h112a0007;
7'd62: VAR1 = 32'h012a702a;
7'd63: VAR1 = 32'h11c00001;
7'd64: VAR1 = 32'h08000043;
7'd65: VAR1 = 32'h012a4822;
7'd66: VAR1 = 32'h0800003d;
7'd67: VAR1 = 32'h01495022;
7'd68: VAR1 = 32'h0800003d;
7'd69: VAR1 = 32'hae090018;
7'd70: VAR1 = 32'h8e0e0020;
7'd71: VAR1 = 32'h31ce0004;
7'd72: VAR1 = 32'h11c0fffd;
7'd73: VAR1 = 32'hae09000c;
7'd74: VAR1 = 32'h0800002f;
7'd75: VAR1 = 32'h8e0d0008;
7'd76: VAR1 = 32'h2018fff9;
7'd77: VAR1 = 32'h01b86824;
7'd78: VAR1 = 32'hae0d0008;
7'd79: VAR1 = 32'h12200006;
7'd80: VAR1 = 32'h2236ffff;
7'd81: VAR1 = 32'h12c00008;
7'd82: VAR1 = 32'h22d6ffff;
7'd83: VAR1 = 32'h12c0000a;
7'd84: VAR1 = 32'h22d6ffff;
7'd85: VAR1 = 32'h12c0000c;
7'd86: VAR1 = 32'h3088000f;
7'd87: VAR1 = 32'h00084080;
7'd88: VAR1 = 32'h0113a020;
7'd89: VAR1 = 32'h08000066;
7'd90: VAR1 = 32'h308800f0;
7'd91: VAR1 = 32'h00084082;
7'd92: VAR1 = 32'h0113a020;
7'd93: VAR1 = 32'h08000066;
7'd94: VAR1 = 32'h30a8000f;
7'd95: VAR1 = 32'h00084080;
7'd96: VAR1 = 32'h0113a020;
7'd97: VAR1 = 32'h08000066;
7'd98: VAR1 = 32'h30a800f0;
7'd99: VAR1 = 32'h00084082;
7'd100: VAR1 = 32'h0113a020;
7'd101: VAR1 = 32'h08000066;
7'd102: VAR1 = 32'h8e950000;
7'd103: VAR1 = 32'h02b2a820;
7'd104: VAR1 = 32'hae150014;
7'd105: VAR1 = 32'h22310001;
7'd106: VAR1 = 32'h20080004;
7'd107: VAR1 = 32'h12280002;
7'd108: VAR1 = 32'h00129040;
7'd109: VAR1 = 32'h08000070;
7'd110: VAR1 = 32'h20110000;
7'd111: VAR1 = 32'h20120100;
7'd112: VAR1 = 32'h8e0d0008;
7'd113: VAR1 = 32'h35ad0002;
7'd114: VAR1 = 32'hae0d0008;
7'd115: VAR1 = 32'h03400008;
default: VAR1 =32'h80000000;
endcase
endmodule
|
gpl-2.0
|
lneuhaus/pyrpl
|
pyrpl/fpga/rtl/red_pitaya_asg.v
| 16,343 |
module MODULE1 (
output [ 14-1: 0] VAR12 , output [ 14-1: 0] VAR33 , input VAR64 , input VAR81, input VAR84 , input VAR60 , output [ 2-1: 0] VAR74,
input VAR23 ,
output [ 14-1: 0] VAR63,
input [ 32-1: 0] VAR65 , input [ 32-1: 0] VAR67 , input [ 4-1: 0] VAR19 , input VAR35 , input VAR57 , output reg [ 32-1: 0] VAR24 , output reg VAR113 , output reg VAR99 );
localparam VAR10 = 14 ;
reg [VAR10+15: 0] VAR2 , VAR44 ;
reg [VAR10+15: 0] VAR97 , VAR58 ;
reg [VAR10+15: 0] VAR108 , VAR43 ;
reg VAR36 , VAR107 ;
reg VAR20 , VAR110 ;
reg VAR115 , VAR89 ;
reg [ 14-1: 0] VAR75 , VAR39 ;
reg [ 14-1: 0] VAR91 , VAR112 ;
reg VAR7 , VAR55 ;
reg [ 32-1: 0] VAR52 , VAR34 ;
reg [ 16-1: 0] VAR51 , VAR21 ;
reg [ 32-1: 0] VAR32 , VAR59 ;
reg VAR80 , VAR28 ;
reg VAR111 , VAR92 ;
reg [ VAR10-1: 0] VAR46 , VAR16 ;
wire [ 14-1: 0] VAR1 , VAR77 ;
wire [ VAR10-1: 0] VAR42 , VAR114 ;
reg [ 32-1: 0] VAR100, VAR29;
reg VAR31 , VAR96 ;
reg [ 3-1: 0] VAR71 , VAR27 ;
wire VAR48 , VAR83 ;
reg VAR26 , VAR41 ;
wire [ VAR10-1: 0] VAR98;
reg [64-1:0] VAR14;
reg VAR69;
reg VAR85;
reg VAR121;
wire VAR49;
VAR105 VAR9 (
.VAR64 (VAR64) ,
.VAR61 (VAR69),
.VAR88 (VAR84) ,
.VAR54 (VAR49) ,
.VAR90 (VAR85),
.VAR40 (VAR121),
.VAR70 (VAR14) );
reg [64-1:0] VAR117;
reg VAR15;
reg VAR87;
reg VAR13;
wire VAR116;
VAR105 VAR122 (
.VAR64 (VAR64) ,
.VAR61 (VAR15),
.VAR88 (VAR60) ,
.VAR54 (VAR116) ,
.VAR90 (VAR87),
.VAR40 (VAR13),
.VAR70 (VAR117) );
VAR76 #(.VAR10 (VAR10)) VAR62 [1:0] (
.VAR8 ({VAR33 , VAR12 }), .VAR64 ({VAR64 , VAR64 }), .VAR81 ({VAR81 , VAR81 }), .VAR82 ({VAR96 , VAR31 }), .VAR45 ({VAR49 , VAR116 }), .VAR103 ({VAR27 , VAR71 }), .VAR18 ({VAR83 , VAR48 }), .VAR66 ({VAR92 , VAR111 }), .VAR37 ({VAR16 , VAR46 }), .VAR47 ({VAR67[14-1:0], VAR67[14-1:0]}), .VAR3 ({VAR77 , VAR1 }), .VAR118 ({VAR114 , VAR42 }), .VAR53 ({VAR44 , VAR2 }), .VAR30 ({VAR58 , VAR97 }), .VAR101 ({VAR43 , VAR108 }), .VAR93 ({VAR107 , VAR36 }), .VAR17 ({VAR110 , VAR20 }), .VAR78 ({VAR89 , VAR115 }), .VAR95 ({VAR39 , VAR75 }), .VAR79 ({VAR112 , VAR91 }), .VAR109 ({VAR55 , VAR7 }), .VAR104 ({VAR34 , VAR52 }), .VAR50 ({VAR21 , VAR51 }), .VAR120 ({VAR59 , VAR32 }), .VAR56 ({VAR28 , VAR80 }), .VAR22 ({VAR41 , VAR26 }),
.VAR94 ({VAR98 , VAR98 })
);
reg [VAR10-1: 0] VAR68 ;
reg [VAR10-1: 0] VAR86 ;
always @(posedge VAR64) begin
if (VAR81 == 1'b0) begin
VAR68 <= {VAR10{1'b1}} ;
VAR86 <= {VAR10{1'b1}} ;
end
else if (VAR23) begin
VAR68 <= VAR42;
VAR86 <= VAR114;
end
end
always @(posedge VAR64)
begin
VAR111 <= VAR35 && (VAR65[19:VAR10+2] == 'h1);
VAR92 <= VAR35 && (VAR65[19:VAR10+2] == 'h2);
VAR46 <= VAR65[VAR10+1:2] ; VAR16 <= VAR65[VAR10+1:2] ; end
assign VAR74 = {VAR83,VAR48};
reg [3-1: 0] VAR73 ;
reg VAR102 ;
always @(posedge VAR64)
if (VAR81 == 1'b0) begin
VAR31 <= 1'b0 ;
VAR71 <= 3'h0 ;
VAR75 <= 14'h2000 ;
VAR91 <= 14'h0 ;
VAR7 <= 1'b0 ;
VAR36 <= 1'b0 ;
VAR20 <= 1'b0 ;
VAR115 <= 1'b0 ;
VAR2 <= {VAR10+16{1'b1}} ;
VAR108 <= {VAR10+16{1'b0}} ;
VAR97 <={{VAR10+15{1'b0}},1'b0} ;
VAR52 <= 32'h0 ;
VAR51 <= 16'h0 ;
VAR32 <= 32'h0 ;
VAR80 <= 1'b0 ;
VAR96 <= 1'b0 ;
VAR27 <= 3'h0 ;
VAR39 <= 14'h2000 ;
VAR112 <= 14'h0 ;
VAR55 <= 1'b0 ;
VAR107 <= 1'b0 ;
VAR110 <= 1'b0 ;
VAR89 <= 1'b0 ;
VAR44 <= {VAR10+16{1'b1}} ;
VAR43 <= {VAR10+16{1'b0}} ;
VAR58 <={{VAR10+15{1'b0}},1'b0} ;
VAR34 <= 32'h0 ;
VAR21 <= 16'h0 ;
VAR59 <= 32'h0 ;
VAR28 <= 1'b0 ;
VAR73 <= 3'h0 ;
VAR102 <= 1'b0 ;
VAR14 <= {64{1'b0}};
VAR69 <= 1'b1;
VAR85 <= 1'b0;
VAR121 <= 1'b0;
VAR117 <= {64{1'b0}};
VAR15 <= 1'b1;
VAR87 <= 1'b0;
VAR13 <= 1'b0;
VAR26 <= 1'b0;
VAR41 <= 1'b0;
end else begin
VAR31 <= VAR35 && (VAR65[19:0]==20'h0) && VAR67[0] ;
if (VAR35 && (VAR65[19:0]==20'h0))
VAR71 <= VAR67[2:0] ;
VAR96 <= VAR35 && (VAR65[19:0]==20'h0) && VAR67[16] ;
if (VAR35 && (VAR65[19:0]==20'h0))
VAR27 <= VAR67[19:16] ;
if (VAR35) begin
if (VAR65[19:0]==20'h0) {VAR26, VAR121, VAR85, VAR69, VAR80, VAR7, VAR36, VAR20, VAR115} <= VAR67[12: 4] ;
if (VAR65[19:0]==20'h0) {VAR41, VAR13, VAR87, VAR15, VAR28, VAR55, VAR107, VAR110, VAR89} <= VAR67[28:20] ;
if (VAR65[19:0]==20'h4) VAR75 <= VAR67[ 0+13: 0] ;
if (VAR65[19:0]==20'h4) VAR91 <= VAR67[ 16+13:16] ;
if (VAR65[19:0]==20'h8) VAR2 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'hC) VAR108 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'h10) VAR97 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'h18) VAR52 <= VAR67[ 32-1: 0] ;
if (VAR65[19:0]==20'h1C) VAR51 <= VAR67[ 16-1: 0] ;
if (VAR65[19:0]==20'h20) VAR32 <= VAR67[ 32-1: 0] ;
if (VAR65[19:0]==20'h24) VAR39 <= VAR67[ 0+13: 0] ;
if (VAR65[19:0]==20'h24) VAR112 <= VAR67[ 16+13:16] ;
if (VAR65[19:0]==20'h28) VAR44 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'h2C) VAR43 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'h30) VAR58 <= VAR67[VAR10+15: 0] ;
if (VAR65[19:0]==20'h38) VAR34 <= VAR67[ 32-1: 0] ;
if (VAR65[19:0]==20'h3C) VAR21 <= VAR67[ 16-1: 0] ;
if (VAR65[19:0]==20'h40) VAR59 <= VAR67[ 32-1: 0] ;
if (VAR65[19:0]==20'h118) VAR14[32-1:0] <= VAR67[32-1: 0] ;
if (VAR65[19:0]==20'h11C) VAR14[64-1:32] <= VAR67[32-1: 0] ;
if (VAR65[19:0]==20'h138) VAR117[32-1:0] <= VAR67[32-1: 0] ;
if (VAR65[19:0]==20'h13C) VAR117[64-1:32] <= VAR67[32-1: 0] ;
end
VAR73 <= {VAR73[3-2:0], VAR57};
VAR102 <= VAR73[3-1] || VAR35 ;
end
wire [32-1: 0] VAR6 = {3'h0,VAR41,VAR13,VAR87,VAR15,VAR28, VAR55,VAR107,VAR110,VAR89, 1'b0,VAR27,
3'h0,VAR26,VAR121,VAR85,VAR69,VAR80, VAR7,VAR36,VAR20,VAR115, 1'b0,VAR71 };
wire VAR4;
assign VAR4 = VAR35 | VAR57;
always @(posedge VAR64)
if (VAR81 == 1'b0) begin
VAR113 <= 1'b0 ;
VAR99 <= 1'b0 ;
end else begin
VAR113 <= 1'b0 ;
casez (VAR65[19:0])
20'h00000 : begin VAR99 <= VAR4; VAR24 <= VAR6 ; end
20'h00004 : begin VAR99 <= VAR4; VAR24 <= {2'h0, VAR91, 2'h0, VAR75} ; end
20'h00008 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR2} ; end
20'h0000C : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR108} ; end
20'h00010 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR97} ; end
20'h00014 : begin VAR99 <= VAR4; VAR24 <= VAR100 ; end
20'h00018 : begin VAR99 <= VAR4; VAR24 <= VAR52 ; end
20'h0001C : begin VAR99 <= VAR4; VAR24 <= {{32-16{1'b0}},VAR51} ; end
20'h00020 : begin VAR99 <= VAR4; VAR24 <= VAR32 ; end
20'h00024 : begin VAR99 <= VAR4; VAR24 <= {2'h0, VAR112, 2'h0, VAR39} ; end
20'h00028 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR44} ; end
20'h0002C : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR43} ; end
20'h00030 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-16{1'b0}},VAR58} ; end
20'h00034 : begin VAR99 <= VAR4; VAR24 <= VAR29 ; end
20'h00038 : begin VAR99 <= VAR4; VAR24 <= VAR34 ; end
20'h0003C : begin VAR99 <= VAR4; VAR24 <= {{32-16{1'b0}},VAR21} ; end
20'h00040 : begin VAR99 <= VAR4; VAR24 <= VAR59 ; end
20'h00114 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-2{1'b0}},VAR68} ; end
20'h00118 : begin VAR99 <= VAR4; VAR24 <= VAR14[32-1:0] ; end
20'h0011C : begin VAR99 <= VAR4; VAR24 <= VAR14[64-1:32] ; end
20'h00134 : begin VAR99 <= VAR4; VAR24 <= {{32-VAR10-2{1'b0}},VAR86} ; end
20'h00138 : begin VAR99 <= VAR4; VAR24 <= VAR117[32-1:0] ; end
20'h0013C : begin VAR99 <= VAR4; VAR24 <= VAR117[64-1:32] ; end
20'VAR25 : begin VAR99 <= VAR102; VAR24 <= {{32-14{1'b0}},VAR1} ; end
20'VAR72 : begin VAR99 <= VAR102; VAR24 <= {{32-14{1'b0}},VAR77} ; end
default : begin VAR99 <= VAR4; VAR24 <= 32'h0 ; end
endcase
end
assign VAR63 = VAR42;
VAR119 #(.VAR38 (VAR10)) VAR5 (
.VAR106 (VAR64 ), .VAR61 (VAR81 ), .VAR11 (VAR98)
);
endmodule
|
mit
|
mgohde/MiniMicroII
|
RevisionB/ALU.v
| 2,470 |
module MODULE1(
VAR14,
VAR6,
VAR1,
VAR16,
VAR11,
out,
VAR18
);
input [3:0] VAR14;
input [7:0] VAR6;
input [15:0] VAR1;
input [15:0] VAR16;
output [7:0] VAR11;
output [15:0] out;
output VAR18;
wire [15:0] VAR5;
wire [16:0] VAR2;
wire [16:0] VAR17;
wire [15:0] VAR3;
wire [15:0] VAR4;
wire [15:0] VAR8;
wire [15:0] VAR15;
wire [15:0] VAR23;
wire [15:0] VAR12;
wire [15:0] VAR7;
wire [15:0] VAR13;
wire [15:0] VAR20[15:0];
assign VAR5=0;
assign VAR2=VAR1+VAR16;
assign VAR17=VAR1+VAR16+VAR6[1];
assign VAR3=VAR1-VAR16;
assign VAR4=VAR1-VAR16-VAR6[1];
assign VAR8=VAR1<<VAR16;
assign VAR15=VAR1>>VAR16;
assign VAR23=VAR1&VAR16;
assign VAR12=VAR1|VAR16;
assign VAR7=~VAR1;
assign VAR13=VAR1^VAR16;
assign VAR20[0]=VAR5;
assign VAR20[1]=VAR2[15:0];
assign VAR20[2]=VAR17[15:0];
assign VAR20[3]=VAR3;
assign VAR20[4]=VAR4;
assign VAR20[5]=VAR8;
assign VAR20[6]=VAR15;
assign VAR20[7]=VAR23;
assign VAR20[8]=VAR12;
assign VAR20[9]=VAR7;
assign VAR20[10]=VAR13;
assign VAR20[11]=VAR5;
assign VAR20[12]=VAR5;
assign VAR20[13]=VAR5;
assign VAR20[14]=VAR5;
assign VAR20[15]=VAR5;
assign out=VAR20[VAR14];
assign VAR18=VAR14>0&&VAR14<11;
wire VAR22, VAR21, VAR9, VAR10;
wire VAR19[10:0];
assign VAR19[0]=VAR5==0;
assign VAR19[1]=VAR2==0;
assign VAR19[2]=VAR17==0;
assign VAR19[3]=VAR3==0;
assign VAR19[4]=VAR4==0;
assign VAR19[5]=VAR8==0;
assign VAR19[6]=VAR15==0;
assign VAR19[7]=VAR23==0;
assign VAR19[8]=VAR12==0;
assign VAR19[9]=VAR7==0;
assign VAR19[10]=VAR13==0;
assign VAR22=VAR19[VAR14];
assign VAR21=VAR2[16]&&(VAR14==1)||VAR17[16]&&(VAR14==2);
assign VAR9=0;
assign VAR10=VAR3<0&&(VAR14==3)||VAR4<0&&(VAR14==4);
assign VAR11[0]=VAR22;
assign VAR11[1]=VAR21;
assign VAR11[2]=VAR9;
assign VAR11[3]=VAR10;
assign VAR11[7:4]=0;
endmodule
|
bsd-2-clause
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/mem/rtl_model/rom_1p.v
| 2,832 |
module MODULE1 (
clk ,
VAR8 ,
VAR3 ,
VAR10 ,
VAR6
);
parameter VAR1 = 32;
parameter VAR9 = 8;
input clk; input VAR8; input VAR3; input [VAR9-1:0] VAR10; output [VAR1-1:0] VAR6;
reg [VAR1-1:0] VAR5[(1<<VAR9)-1:0];
reg [VAR1-1:0] VAR7;
always @(posedge clk) begin
if (!VAR8)
VAR7 <= VAR5[VAR10];
end
else
VAR7 <= 'VAR4;
end
assign VAR6 = VAR3 ? 'VAR2 : VAR7;
endmodule
|
gpl-3.0
|
fbalakirev/red-pitaya-notes
|
projects/red_pitaya_0_92/red_pitaya_pid_block.v
| 5,021 |
module MODULE1 #(
parameter VAR2 = 12 ,
parameter VAR4 = 18 ,
parameter VAR3 = 10
)
(
input VAR11 , input VAR19 , input [ 14-1: 0] VAR10 , output [ 14-1: 0] VAR13 ,
input [ 14-1: 0] VAR8 , input [ 14-1: 0] VAR16 , input [ 14-1: 0] VAR24 , input [ 14-1: 0] VAR23 , input VAR6 );
reg [ 15-1: 0] VAR15 ;
always @(posedge VAR11) begin
if (VAR19 == 1'b0) begin
VAR15 <= 15'h0 ;
end
else begin
VAR15 <= (VAR8) - (VAR10) ;
end
end
reg [29-VAR2-1: 0] VAR14 ;
wire [ 29-1: 0] VAR18 ;
always @(posedge VAR11) begin
if (VAR19 == 1'b0) begin
VAR14 <= {29-VAR2{1'b0}};
end
else begin
VAR14 <= VAR18[29-1:VAR2] ;
end
end
assign VAR18 = (VAR15) * (VAR16);
reg [ 29-1: 0] VAR22 ;
wire [ 33-1: 0] VAR25 ;
reg [ 32-1: 0] VAR21 ;
wire [32-VAR4-1: 0] VAR12 ;
always @(posedge VAR11) begin
if (VAR19 == 1'b0) begin
VAR22 <= {29{1'b0}};
VAR21 <= {32{1'b0}};
end
else begin
VAR22 <= (VAR15) * (VAR24) ;
if (VAR6)
end
VAR21 <= 32'h0; else if (VAR25[33-1:33-2] == 2'b01) VAR21 <= 32'h7FFFFFFF; else if (VAR25[33-1:33-2] == 2'b10) VAR21 <= 32'h80000000; else
VAR21 <= VAR25[32-1:0]; end
end
assign VAR25 = (VAR22) + (VAR21) ;
assign VAR12 = VAR21[32-1:VAR4] ;
wire [ 29-1: 0] VAR7 ;
reg [29-VAR3-1: 0] VAR5 ;
reg [29-VAR3-1: 0] VAR9 ;
reg [29-VAR3 : 0] VAR20 ;
always @(posedge VAR11) begin
if (VAR19 == 1'b0) begin
VAR5 <= {29-VAR3{1'b0}};
VAR9 <= {29-VAR3{1'b0}};
VAR20 <= {29-VAR3+1{1'b0}};
end
else begin
VAR5 <= VAR7[29-1:VAR3] ;
VAR9 <= VAR5;
VAR20 <= (VAR5) - (VAR9);
end
end
assign VAR7 = (VAR15) * (VAR23) ;
wire [ 33-1: 0] VAR1 ; reg [ 14-1: 0] VAR17 ;
always @(posedge VAR11) begin
if (VAR19 == 1'b0) begin
VAR17 <= 14'b0 ;
end
else begin
if ({VAR1[33-1],|VAR1[32-2:13]} == 2'b01) VAR17 <= 14'h1FFF ;
end
else if ({VAR1[33-1],&VAR1[33-2:13]} == 2'b10) VAR17 <= 14'h2000 ;
end
else
VAR17 <= VAR1[14-1:0] ;
end
end
assign VAR1 = (VAR14) + (VAR12) + (VAR20) ;
assign VAR13 = VAR17 ;
endmodule
|
mit
|
CeesWolfs/ceespu
|
src/intr/int_controller.v
| 1,113 |
module MODULE1(
input VAR1,
input VAR3,
input [2:0] VAR7,
input VAR4,
output reg VAR5 = 0,
output reg [1:0] VAR8 = 0
);
reg VAR2 = 0;
reg [2:0] VAR6 = 0;
always @(posedge VAR1) begin
if (VAR7[1]) begin
VAR6[1] <= 1;
end
if (VAR7[2]) begin
VAR6[2] <= 1;
end
if (VAR2) begin
if (VAR4) begin
VAR2 <= 0;
VAR6[VAR8] <= 0;
VAR5 <= 0;
end
end
else begin
if(VAR6[1]) begin
VAR5 <= 1;
VAR8 <= 1;
VAR2 <= 1;
end
else if (VAR6[2]) begin
VAR5 <= 1;
VAR8 <= 2;
VAR2 <= 1;
end
end
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/mux4/gf180mcu_fd_sc_mcu9t5v0__mux4_4.functional.v
| 1,384 |
module MODULE1( VAR6, VAR15, VAR16, VAR17, VAR14, VAR19, VAR13 );
input VAR13, VAR19, VAR6, VAR16, VAR15, VAR14;
output VAR17;
wire VAR7;
not VAR2( VAR7, VAR15 );
wire VAR3;
not VAR12( VAR3, VAR14 );
wire VAR10;
and VAR20( VAR10, VAR7, VAR3, VAR13 );
wire VAR18;
and VAR1( VAR18, VAR3, VAR19, VAR15 );
wire VAR5;
and VAR4( VAR5, VAR7, VAR6, VAR14 );
wire VAR9;
and VAR8( VAR9, VAR16, VAR15, VAR14 );
or VAR11( VAR17, VAR10, VAR18, VAR5, VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/tapvgnd2/sky130_fd_sc_ms__tapvgnd2.blackbox.v
| 1,256 |
module MODULE1 ();
supply1 VAR1;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
CeesWolfs/ceespu
|
src/gpu/ceespu_font_mem.v
| 7,558 |
module MODULE1 (
input clk,
input [6:0] VAR37,
input [3:0] VAR27,
input [2:0] VAR3,
output wire VAR75
);
VAR44 VAR58 (
.VAR61(clk),
.VAR26(1'b1),
.VAR35(1'b0),
.VAR33({VAR37[6:1], ~VAR37[0], VAR27, ~VAR3}),
.VAR38(1'b0),
.VAR69(1'b0),
.VAR46(VAR75)
);
endmodule
|
mit
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v
| 1,677 |
module MODULE1
, parameter VAR15 = VAR4(VAR16)
)
(
input [VAR11(VAR16, 2):0] VAR10
, output logic [VAR15-1:0] VAR13
);
if (VAR16 == 1) begin: VAR12
assign VAR13 = 1'b0;
end
else begin: VAR6
for (genvar VAR8 = 0; VAR8 < VAR15; VAR8++) begin: VAR14
if (VAR8 == 0) begin: VAR2
assign VAR13[VAR15-1] = VAR10[0];
end
else begin: VAR7
VAR17 #(
.VAR18(1)
,.VAR1(2**VAR8)
) mux (
.VAR5(VAR10[((2**VAR8)-1)+:(2**VAR8)])
,.VAR3(VAR13[VAR15-1-:VAR8])
,.VAR9(VAR13[VAR15-1-VAR8])
);
end
end
end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlymetal6s4s/sky130_fd_sc_ms__dlymetal6s4s.functional.pp.v
| 1,868 |
module MODULE1 (
VAR7 ,
VAR10 ,
VAR11,
VAR6,
VAR9 ,
VAR4
);
output VAR7 ;
input VAR10 ;
input VAR11;
input VAR6;
input VAR9 ;
input VAR4 ;
wire VAR2 ;
wire VAR1;
buf VAR3 (VAR2 , VAR10 );
VAR12 VAR8 (VAR1, VAR2, VAR11, VAR6);
buf VAR5 (VAR7 , VAR1 );
endmodule
|
apache-2.0
|
thinkoco/de1_soc_opencl
|
de10_nano_sharedonly_hdmi/ip/i2c/I2C_Controller.v
| 2,478 |
module MODULE1 (
input VAR9,
input [23:0]VAR18,
input VAR21,
input VAR13,
input VAR7,
inout VAR1,
output VAR22,
output VAR3,
output VAR16
);
wire VAR10 ;
assign VAR1 = VAR10?1'VAR8 :0 ;
VAR2 VAR15(
.VAR17 ( VAR13),
.VAR14 ( VAR9),
.VAR21 ( VAR21 ),
.VAR5 ( VAR3 ),
.VAR12 ( VAR16 ),
.VAR6 ( 2 ), .VAR20 ( VAR1 ), .VAR10 ( VAR10 ), .VAR11 ( VAR22 ),
.VAR19( VAR18[23:16] ),
.VAR4 ( VAR18[15:0] )
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
models/udp_isolatch_pp_pkg_sn/sky130_fd_sc_lp__udp_isolatch_pp_pkg_sn.symbol.v
| 1,525 |
module MODULE1 (
input VAR3 ,
output VAR2 ,
input VAR1 ,
input VAR5 ,
input VAR6,
input VAR4 ,
input VAR7
);
endmodule
|
apache-2.0
|
HFoxtail/Mu80
|
trunk/icarus.v
| 1,728 |
module MODULE1;
reg clk;
reg VAR8;
wire [3:0] VAR6;
reg [7:0] VAR3;
wire [7:0] VAR2;
wire [19:0] address;
wire VAR12;
wire [15:0] VAR13;
wire [7:0] VAR4; wire [7:0] VAR9; wire VAR7;
wire [2:0] VAR1;
VAR8 VAR11(VAR8, VAR3, VAR2, address, VAR12, VAR6, VAR13, VAR4, VAR9, VAR7);
VAR10 VAR5(VAR7, VAR13, VAR4, VAR9, VAR1);
always clk = ~clk;
always VAR8 = ~VAR8;
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or3/sky130_fd_sc_lp__or3_m.v
| 2,150 |
module MODULE2 (
VAR3 ,
VAR6 ,
VAR1 ,
VAR9 ,
VAR7,
VAR5,
VAR8 ,
VAR4
);
output VAR3 ;
input VAR6 ;
input VAR1 ;
input VAR9 ;
input VAR7;
input VAR5;
input VAR8 ;
input VAR4 ;
VAR2 VAR10 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR3,
VAR6,
VAR1,
VAR9
);
output VAR3;
input VAR6;
input VAR1;
input VAR9;
supply1 VAR7;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR4 ;
VAR2 VAR10 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dlatch_pr_pp_pkg_sn/sky130_fd_sc_hs__udp_dlatch_pr_pp_pkg_sn.blackbox.v
| 1,546 |
module MODULE1 (
VAR5 ,
VAR9 ,
VAR8 ,
VAR3 ,
VAR2 ,
VAR6,
VAR1 ,
VAR4 ,
VAR7
);
output VAR5 ;
input VAR9 ;
input VAR8 ;
input VAR3 ;
input VAR2 ;
input VAR6;
input VAR1 ;
input VAR4 ;
input VAR7 ;
endmodule
|
apache-2.0
|
sh-chris110/chris
|
FPGA/chris.convolution.ok/db/ip/soc_design/submodules/soc_design_SystemID.v
| 2,203 |
module MODULE1 (
address,
VAR2,
VAR1,
VAR3
)
;
output [ 31: 0] VAR3;
input address;
input VAR2;
input VAR1;
wire [ 31: 0] VAR3;
assign VAR3 = address ? 1500949681 : 255;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/inputiso1n/sky130_fd_sc_lp__inputiso1n.functional.v
| 1,359 |
module MODULE1 (
VAR4 ,
VAR1 ,
VAR5
);
output VAR4 ;
input VAR1 ;
input VAR5;
wire VAR2;
not VAR3 (VAR2 , VAR5 );
or VAR6 (VAR4 , VAR1, VAR2 );
endmodule
|
apache-2.0
|
jhol/butterflylogic
|
rtl/sram_interface.v
| 7,425 |
module MODULE1 #(
parameter VAR3 = 6*1024, parameter VAR8 = 13, parameter VAR20 = 32 )(
input wire clk,
input wire rst,
input wire VAR5,
input wire [3:0] VAR15,
input wire write,
input wire VAR18,
input wire [VAR20-1:0] VAR6,
input wire VAR11,
output reg VAR10,
output reg [3:0] VAR7,
output wire [VAR20-1:0] VAR14
);
reg VAR17, VAR13;
reg [1:0] VAR19, VAR16;
reg [3:0] VAR1, VAR22;
reg [3:0] VAR21, VAR23;
reg [VAR8-1:0] address, VAR9;
reg [3:0] VAR12;
wire VAR2 = &address[VAR8-1-2:0] & address[VAR8-1]; wire VAR4 = ~|address;
begin
begin
begin
begin
begin
begin
begin
|
gpl-2.0
|
joaocarlos/udlx-verilog
|
rtl/execute/alu.v
| 6,833 |
module MODULE1
parameter VAR2 = 'd32,
parameter VAR4 = 6,
parameter VAR14 = 6,
parameter VAR22 = 'd32,
parameter VAR28 = 4
)
(
input clk,
input VAR35,
input en,
input [VAR2-1:0] VAR26, input [VAR2-1:0] VAR11, input [VAR4-1:0] VAR16, input [VAR14-1:0] VAR5, input [VAR22-1:0] VAR36,
output reg VAR37,
output [VAR2-1:0] VAR31, output [VAR2-1:0] VAR30
);
reg [VAR2:0] VAR21;
reg [VAR2-1:0] VAR33;
reg VAR38;
reg VAR29;
reg VAR23;
reg VAR1;
reg [VAR28-1:0] VAR27;
wire [VAR2-1:0] VAR34;
wire [VAR2-1:0] VAR24;
wire [VAR2-1:0] VAR25;
wire [VAR2-1:0] VAR9;
wire [2*VAR2-1:0] VAR7;
wire [VAR2-1:0] VAR18;
wire [VAR2-1:0] VAR12;
assign VAR34 = VAR26 + VAR11;
assign VAR24 = VAR26 - VAR11;
assign VAR25 = VAR26 & VAR11;
assign VAR9 = VAR26 | VAR11;
assign VAR10 = VAR26 == {VAR2{1'b0}};
assign VAR7 = VAR26*VAR11;
assign VAR18 = VAR26/VAR11;
assign VAR12 = VAR26%VAR11;
assign VAR31 = VAR21;
assign VAR30 = VAR33;
always@ begin
case (VAR16)
VAR19 :
VAR37 = VAR10;
VAR6 :
VAR37 = ~VAR10;
VAR13 :
VAR37 = VAR27 == VAR11[3:0];
default :
VAR37 = {VAR2{1'b0}};
endcase
end
always@(*)
begin
VAR38 = 1'b0;
VAR29 = 1'b0;
VAR23 = 1'b0;
VAR1 = 1'b0;
if(VAR16 == VAR8) begin
case (VAR5)
VAR17,VAR32,VAR15,VAR3 :
begin
if(VAR26[VAR2-1] == VAR11[VAR2-1] && VAR21[VAR2-1] != VAR26[VAR2-1]) begin
VAR38 = 1'b1;
end
if(VAR16 == VAR3) begin
if(VAR11 == {VAR2{1'b0}}) begin
VAR1 = 1'b1;
end
end
end
VAR20 :
begin
if(VAR21 == {VAR2{1'b0}}) begin
VAR23 = 1'b1;
end
if(VAR26 > VAR11 && VAR26[15] == VAR11[15]) begin
VAR29 = 1'b1;
end
end
default :
VAR1 = 1'b1;
endcase
end
end
always@(posedge clk or negedge VAR35) begin
if(~VAR35) begin
VAR27 <= {VAR28{1'b0}};
end else if(en)
VAR27 <= {VAR38,VAR29,VAR23,VAR1};
end
endmodule
|
lgpl-3.0
|
monotone-RK/FACE
|
IEICE-Trans/8-way/src/riffa/rx_port_requester_mux.v
| 6,428 |
module MODULE1 (
input VAR1,
input VAR16,
input VAR3, input [9:0] VAR28, input [63:0] VAR24, output VAR29,
input VAR18, input [9:0] VAR25, input [63:0] VAR2, output VAR8,
input VAR14, input [9:0] VAR15, input [63:0] VAR9, output VAR10,
output VAR27, input VAR19, output [1:0] VAR31, output [63:0] VAR20, output [9:0] VAR12,
output VAR22 );
reg VAR23=0, VAR23=0;
reg [1:0] VAR5=VAR21, VAR5=VAR21;
reg [9:0] VAR17=0, VAR17=0;
reg [63:0] VAR7=64'd0, VAR7=64'd0;
reg VAR11=0, VAR11=0;
reg VAR6=0, VAR6=0;
reg VAR13=0, VAR13=0;
reg VAR26=0, VAR26=0;
assign VAR29 = VAR11;
assign VAR8 = VAR6;
assign VAR10 = VAR13;
assign VAR27 = VAR5[1]; assign VAR31 = {VAR6, VAR11};
assign VAR20 = VAR7;
assign VAR12 = VAR17;
assign VAR22 = VAR26;
always @ (posedge VAR16) begin
VAR23 <= (VAR1 ? 1'd0 : VAR23);
end
always @ begin
VAR5 = VAR5;
VAR17 = VAR17;
VAR7 = VAR7;
VAR11 = VAR11;
VAR6 = VAR6;
VAR13 = VAR13;
VAR26 = VAR26;
case (VAR5)
VAR17 = VAR28;
VAR7 = VAR24;
VAR11 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else if (VAR18) begin
VAR17 = VAR25;
VAR7 = VAR2;
VAR6 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else if (VAR14) begin
VAR17 = VAR15;
VAR7 = VAR9;
VAR13 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else begin
VAR5 = VAR4;
end
end
VAR17 = VAR25;
VAR7 = VAR2;
VAR6 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else if (VAR3) begin
VAR17 = VAR28;
VAR7 = VAR24;
VAR11 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else if (VAR14) begin
VAR17 = VAR15;
VAR7 = VAR9;
VAR13 = 1;
VAR26 = 1;
VAR5 = VAR30;
end
else begin
VAR5 = VAR21;
end
end
if (VAR23) begin
VAR11 = 0;
VAR6 = 0;
VAR13 = 0;
if (VAR11)
VAR5 = VAR4;
end
else
VAR5 = VAR21;
end
end
default: begin
VAR5 = VAR21;
end
endcase
end
endmodule
|
mit
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/ethmac/rtl/verilog/eth_rxstatem.v
| 7,052 |
module MODULE1 (VAR12, VAR17, VAR10, VAR4, VAR18, VAR1, VAR6, VAR19,
VAR3, VAR16, VAR22, VAR20, VAR11, VAR13,
VAR15
);
input VAR12;
input VAR17;
input VAR10;
input VAR4;
input VAR18;
input VAR6;
input VAR1;
input VAR19;
input VAR3;
input VAR16;
output [1:0] VAR22;
output VAR20;
output VAR15;
output VAR11;
output VAR13;
reg VAR14;
reg VAR2;
reg VAR20;
reg VAR15;
reg VAR11;
reg VAR13;
wire VAR8;
wire VAR9;
wire VAR23;
wire VAR5;
wire VAR21;
wire VAR7;
assign VAR8 = ~VAR10 & (VAR15 | VAR11 | VAR13 | (|VAR22));
assign VAR21 = VAR10 & ~VAR6 & (VAR20 & ~VAR1);
assign VAR7 = VAR10 & VAR6 & (VAR20 & ~VAR1 | VAR11);
assign VAR23 = VAR10 & (VAR13 & VAR19 & VAR3 | VAR2);
assign VAR5 = VAR10 & VAR14 & (~VAR16);
assign VAR9 = VAR10 & (VAR20 & VAR1 | VAR13 & ~VAR3 &
VAR19 | VAR14 & VAR16);
always @ (posedge VAR12 or posedge VAR17)
begin
if(VAR17)
begin
VAR20 <= 1'b0;
VAR15 <= 1'b1;
VAR11 <= 1'b0;
VAR13 <= 1'b0;
VAR14 <= 1'b0;
VAR2 <= 1'b0;
end
else
begin
if(VAR21 | VAR7 | VAR9)
VAR20 <= 1'b0;
end
else
if(VAR8)
VAR20 <= 1'b1;
if(VAR8)
VAR15 <= 1'b0;
end
else
if(VAR9)
VAR15 <= 1'b1;
if(VAR7 | VAR8 | VAR9)
VAR11 <= 1'b0;
else
if(VAR21)
VAR11 <= 1'b1;
if(VAR21 | VAR8 | VAR23 | VAR9)
VAR13 <= 1'b0;
else
if(VAR7)
VAR13 <= 1'b1;
if(VAR8 | VAR5 | VAR9)
VAR14 <= 1'b0;
else
if(VAR23)
VAR14 <= 1'b1;
if(VAR8 | VAR23 | VAR9)
VAR2 <= 1'b0;
else
if(VAR5)
VAR2 <= 1'b1;
end
end
assign VAR22[1:0] = {VAR2, VAR14};
endmodule
|
gpl-2.0
|
Gurint/EPC-Gen2-RFID-Tag-Baseband-Processor
|
cmd_buf.v
| 2,623 |
module MODULE1
(
output reg [7:0]VAR6,
output [51:0]VAR4,
output VAR7,
output VAR12,
output VAR10,
input VAR1,
input VAR8,
input VAR17,
input sync
);
parameter VAR9 = 8'b00001100;
parameter VAR3 = 8'b00001101;
parameter VAR18 = 8'b00111000;
parameter VAR13 = 8'b00111001;
parameter VAR14 = 8'b00111010;
parameter VAR5 = 8'b11000000;
parameter VAR16 = 8'b11000001;
parameter VAR19 = 8'b11000010;
parameter VAR20 = 8'b11000100;
parameter VAR2 = 8'b11000101;
reg VAR11;
reg [52:0]VAR15;
assign VAR4 = VAR15[51:0];
assign VAR12 = (VAR11 & VAR6 != VAR18)? 1'b0 : 1'b1;
assign VAR10 = (VAR11 & VAR6 != VAR14 & VAR6 != VAR16 & VAR6 != VAR19 & VAR6 != VAR20 & VAR6 != VAR2)? 1'b0 : 1'b1;
assign VAR7 = (VAR6 == VAR9 & VAR15[2])? 1'b1 :
(VAR6 == VAR3 & VAR15[16])? 1'b1 :
(VAR6 == VAR18 & VAR15[18])? 1'b1 :
(VAR6 == VAR13 & VAR15[5])? 1'b1 :
(VAR6 == VAR14 & VAR15[52])? 1'b1 :
(VAR6 == VAR5)? 1'b1 :
(VAR6 == VAR16 & VAR15[32])? 1'b1 :
(VAR6 == VAR19 & VAR15[50])? 1'b1 :
(VAR6 == VAR20 & VAR15[51])? 1'b1 :
(VAR6 == VAR2 & VAR15[52])? 1'b1 : 1'b0;
always@(*) begin
if(VAR6 == VAR9 | VAR6 == VAR3 | VAR6 == VAR18 |
VAR6 == VAR13 | VAR6 == VAR14 | VAR6 == VAR5 |
VAR6 == VAR16 | VAR6 == VAR19 | VAR6 == VAR20 | VAR6 == VAR2) VAR11 = 1'b1;
end
else VAR11 = 1'b0;
end
always@(posedge VAR1 or negedge VAR8) begin
if(~VAR8) VAR6 <= 8'b00000011;
end
else begin
if(sync & ~VAR11) VAR6 <= {VAR6[6:0], VAR17};
end
end
always@(posedge VAR1 or negedge VAR8) begin
if(~VAR8) VAR15 <= 53'b1;
end
else begin
if(VAR11 & ~VAR7) VAR15 <= {VAR15[51:0], VAR17};
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/xnor2/sky130_fd_sc_hs__xnor2_1.v
| 2,005 |
module MODULE1 (
VAR3 ,
VAR5 ,
VAR7 ,
VAR2,
VAR1
);
output VAR3 ;
input VAR5 ;
input VAR7 ;
input VAR2;
input VAR1;
VAR6 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR3,
VAR5,
VAR7
);
output VAR3;
input VAR5;
input VAR7;
supply1 VAR2;
supply0 VAR1;
VAR6 VAR4 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
rkrajnc/minimig-mist
|
rtl/minimig/ciaa.v
| 16,052 |
module MODULE1
(
input clk, input VAR37,
input VAR17,
input VAR9, input rd, input wr, input reset, input [3:0] VAR69, input [7:0] VAR60, output [7:0] VAR99, input VAR78, input VAR46, output irq, input [7:2] VAR50, output [3:0] VAR49, output VAR87, inout VAR20, inout VAR40, input VAR67, input VAR45,
input VAR22,
input [1:0] VAR57,
input [7:0] VAR7,
output [7:0] VAR79, output VAR77,
output VAR25,
output [5:0] VAR94,
output VAR44, output VAR90, input VAR23, output [5:0] VAR71,
output [5:0] VAR39,
input VAR76
);
wire [7:0] VAR6;
wire [7:0] VAR75;
wire [7:0] VAR81;
wire [7:0] VAR53;
wire [7:0] VAR26;
reg [7:0] VAR32;
reg [7:0] VAR1;
wire [7:0] VAR52;
wire VAR12; wire VAR11; reg [3:0] VAR16; reg VAR73;
reg VAR31;
wire VAR21,VAR47,VAR85,VAR2,VAR91,VAR54,VAR30,VAR51,VAR34,VAR92,VAR70,VAR27,VAR35,VAR83,VAR88;
wire enable;
assign enable = VAR9 & (rd | wr);
assign VAR21 = (enable && VAR69==4'h0) ? 1'b1 : 1'b0;
assign VAR47 = (enable && VAR69==4'h1) ? 1'b1 : 1'b0;
assign VAR85 = (enable && VAR69==4'h2) ? 1'b1 : 1'b0;
assign VAR2 = (enable && VAR69==4'h3) ? 1'b1 : 1'b0;
assign VAR54 = (enable && VAR69==4'h4) ? 1'b1 : 1'b0;
assign VAR30 = (enable && VAR69==4'h5) ? 1'b1 : 1'b0;
assign VAR34 = (enable && VAR69==4'h6) ? 1'b1 : 1'b0;
assign VAR92 = (enable && VAR69==4'h7) ? 1'b1 : 1'b0;
assign VAR70 = (enable && VAR69==4'h8) ? 1'b1 : 1'b0;
assign VAR27 = (enable && VAR69==4'h9) ? 1'b1 : 1'b0;
assign VAR35 = (enable && VAR69==4'hA) ? 1'b1 : 1'b0;
assign VAR88 = (enable && VAR69==4'hC) ? 1'b1 : 1'b0;
assign VAR83 = (enable && VAR69==4'hD) ? 1'b1 : 1'b0;
assign VAR91 = (enable && VAR69==4'hE) ? 1'b1 : 1'b0;
assign VAR51 = (enable && VAR69==4'hF) ? 1'b1 : 1'b0;
assign VAR99 = VAR6 | VAR75 | VAR81 | VAR53 | VAR26 | VAR1 | VAR32;
wire VAR58;
wire VAR93;
wire [7:0] VAR97;
reg [7:0] VAR42;
wire VAR68;
VAR5 VAR55
(
.clk(clk),
.VAR37(VAR37),
.reset(reset),
.VAR41(VAR20),
.VAR38(VAR40),
.VAR61(~VAR49[1]), .VAR33(VAR23), .VAR44(VAR44),
.VAR87(VAR87),
.VAR97(VAR97[7:0]),
.VAR58(VAR58),
.VAR93(VAR93),
.VAR79(VAR79),
.VAR77(VAR77),
.VAR25(VAR25),
.VAR94(VAR94),
.VAR90(VAR68),
.VAR71(VAR71),
.VAR39(VAR39)
);
assign VAR90 = VAR76 && VAR68;
always @(posedge clk)
if (VAR37) begin
if (reset)
VAR42[7:0] <= 8'h00;
end
else if (VAR58 & ~VAR67)
VAR42[7:0] <= ~{VAR97[6:0],VAR97[7]};
else if (wr & VAR88)
VAR42[7:0] <= VAR60[7:0];
end
reg [ 2:0] VAR28;
wire VAR63;
reg [ 7:0] VAR80[0:1];
wire [ 7:0] VAR74;
reg [ 1:0] VAR65[0:1];
wire [ 1:0] VAR62;
reg [ 7:0] VAR66;
reg VAR59=0;
always @ (posedge clk) begin
if (VAR37) begin
VAR28 <= {VAR28[1:0], VAR22};
end
end
assign VAR63 = VAR28[2] ^ VAR28[1];
assign VAR62 = VAR65[1];
assign VAR74 = VAR80[1];
always @ (posedge clk) begin
if (VAR37) begin
VAR80[0] <= VAR7;
VAR80[1] <= VAR80[0];
VAR65[0] <= VAR57;
VAR65[1] <= VAR65[0];
end
end
always @ (posedge clk) begin
if (VAR37) begin
if (reset) begin
VAR42[7:0] <= 8'h00;
VAR59 <= 1'b0;
end else if (VAR63 && (VAR62 == 2) && ~VAR67) begin
VAR42[7:0] <= ~{VAR74[6:0],VAR74[7]};
if (VAR76 && (VAR74 == 8'h5f)) VAR59 <= 1'b1;
end
else VAR59 <= 1'b0;
end else if (wr & VAR88) begin
VAR42[7:0] <= VAR60[7:0];
end
end
end
always @ (posedge clk) begin
if (VAR37) begin
if (reset)
VAR66[7:0] <= 8'd0;
end
else if (VAR63 && ((VAR62 == 2) || (VAR62 == 3)))
VAR66[7:0] <= VAR7;
end
end
assign VAR87 = 1'b0;
assign VAR77 = 1'b1;
assign VAR25 = 1'b1;
assign VAR94 = 6'b111111;
assign VAR39 = 6'b111111;
assign VAR71 = 6'b111111;
assign VAR90 = VAR59;
assign VAR44 = 1'b0;
assign VAR58 = VAR63 && ((VAR62 == 2));
assign VAR79 = VAR66;
assign VAR87 = 1'b0;
assign VAR77 = 1'b1;
assign VAR25 = 1'b1;
assign VAR94 = 6'b111111;
assign VAR39 = 6'b111111;
assign VAR71 = 6'b111111;
reg VAR59=0;
assign VAR90 = VAR59;
assign VAR44 = 1'b0;
reg [7:0] VAR66;
reg VAR48;
assign VAR58 = VAR48 && ((VAR57 == 2) || (VAR57 == 3));
assign VAR79 = VAR66;
reg VAR72, VAR8;
always @(posedge clk)
if (VAR37) begin
VAR72 <= VAR45;
end
always @(posedge clk) begin
if (VAR17) begin
VAR8 <= VAR72;
VAR48 <= VAR72 && !VAR8;
end
end
always @(posedge clk) begin
if (VAR37) begin
if (reset) begin
VAR42[7:0] <= 8'h00;
VAR66[7:0] <= 8'd0;
VAR59 <= 1'b0;
end else begin
if (VAR58 && (VAR57 == 2) && ~VAR67) begin
VAR42[7:0] <= ~{VAR7[6:0],VAR7[7]};
if (VAR76 && (VAR7 == 8'h5f)) VAR59 <= 1'b1;
end
else VAR59 <= 1'b0;
end else if (wr & VAR88)
VAR42[7:0] <= VAR60[7:0];
if(VAR58 && ((VAR57 == 2) || (VAR57 == 3)))
VAR66[7:0] <= VAR7;
end
end
end
assign VAR26 = (!wr && VAR88) ? VAR42[7:0] : 8'h00;
assign VAR93 = (!wr && VAR88) ? 1'b1 : 1'b0;
always @(posedge clk)
if (VAR37) begin
if (reset || !VAR12) VAR73 <= 0;
end
else if (VAR88 && wr) VAR73 <= 1;
end
else if (VAR11) VAR73 <= 0;
end
always @(posedge clk)
if (VAR37) begin
if (!VAR73)
VAR16 <= 4'd0;
end
else if (VAR13) VAR16 <= VAR16 + 4'd1;
end
assign VAR11 = &VAR16 & VAR13;
reg [7:2] VAR24;
reg [3:0] VAR4;
reg [7:0] VAR82;
always @(posedge clk)
if (VAR37) begin
VAR24[7:2] <= VAR50[7:2];
end
always @(posedge clk)
if (VAR37) begin
if (reset)
VAR4[3:0] <= 4'd0;
end
else if (wr && VAR21)
VAR4[3:0] <= {VAR60[7:6], VAR60[1:0]};
end
always @(posedge clk)
if (VAR37) begin
if (reset)
VAR82[7:0] <= 8'd0;
end
else if (wr && VAR85)
VAR82[7:0] <= VAR60[7:0];
end
always @
begin
if (!wr && VAR47)
VAR1[7:0] = (VAR52[7:0]);
end
else if (!wr && VAR2)
VAR1[7:0] = (VAR29[7:0]);
else
VAR1[7:0] = 8'h00;
end
assign VAR52[7:0] = ((~VAR29[7:0]) | (VAR43[7:0]));
always @(posedge clk)
if (VAR37) begin
VAR31 <= VAR78;
end
VAR18 VAR95
(
.clk(clk),
.VAR37(VAR37),
.wr(wr),
.reset(reset),
.VAR83(VAR83),
.VAR10(VAR10),
.VAR84(VAR84),
.flag(1'b0),
.VAR98(VAR58 & ~VAR67 | VAR11),
.VAR60(VAR60),
.VAR99(VAR6),
.irq(irq)
);
VAR100 VAR19
(
.clk(clk),
.VAR37(VAR37),
.wr(wr),
.reset(reset),
.VAR96(VAR54),
.VAR56(VAR30),
.VAR64(VAR91),
.VAR60(VAR60),
.VAR99(VAR75),
.VAR46(VAR46),
.VAR12(VAR12),
.VAR13(VAR13),
.irq(VAR10)
);
VAR15 VAR36
(
.clk(clk),
.VAR37(VAR37),
.wr(wr),
.reset(reset),
.VAR96(VAR34),
.VAR56(VAR92),
.VAR64(VAR51),
.VAR60(VAR60),
.VAR99(VAR81),
.VAR46(VAR46),
.VAR13(VAR13),
);
VAR86 VAR3
(
.clk(clk),
.VAR37(VAR37),
.wr(wr),
.reset(reset),
.VAR96(VAR70),
.VAR89(VAR27),
.VAR56(VAR35),
.VAR64(VAR51),
.VAR60(VAR60),
.VAR99(VAR53),
.VAR14(VAR78 & ~VAR31),
.irq(VAR84)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/edfxbp/sky130_fd_sc_lp__edfxbp.functional.pp.v
| 2,082 |
module MODULE1 (
VAR4 ,
VAR11 ,
VAR1 ,
VAR10 ,
VAR17 ,
VAR14,
VAR12,
VAR13 ,
VAR3
);
output VAR4 ;
output VAR11 ;
input VAR1 ;
input VAR10 ;
input VAR17 ;
input VAR14;
input VAR12;
input VAR13 ;
input VAR3 ;
wire VAR15 ;
wire VAR6;
VAR7 VAR18 (VAR6, VAR15, VAR10, VAR17 );
VAR9 VAR2 VAR5 (VAR15 , VAR6, VAR1, , VAR14, VAR12);
buf VAR8 (VAR4 , VAR15 );
not VAR16 (VAR11 , VAR15 );
endmodule
|
apache-2.0
|
Murailab-arch/magukara
|
boards/ecp3versa/rtl/ipexpress/ecp3/pciex1/pcie_eval/models/ecp3/rx_gear.v
| 6,134 |
module MODULE1 #(
parameter VAR13 = 14
)
(
input wire VAR20 , input wire VAR10 , input wire VAR1 ,
input wire VAR2, input wire [VAR13-1:0] VAR7 ,
output wire [VAR13*2-1:0] VAR3 );
reg [1:0] VAR15 ; reg [1:0] VAR18 ; reg VAR16 ;
reg [VAR13-1:0] VAR8 ; reg [VAR13-1:0] VAR12 ;
integer VAR17 ;
integer VAR9 ;
reg [VAR13-1:0] VAR14[0:3] ;
reg [VAR13-1:0] VAR6[0:3] ;
reg VAR21 ;
reg VAR11 ;
reg VAR4;
reg VAR19;
reg[1:0] VAR5;
always @(posedge VAR20, negedge VAR1) begin
if (!VAR1) begin
VAR21 <= 1'b0;
VAR11 <= 1'b0;
end
else begin
VAR21 <= VAR2;
VAR11 <= VAR21;
end
end
always @(posedge VAR10, negedge VAR1) begin
if (!VAR1)
VAR4 <= 1'b0;
end
else
VAR4 <= VAR2;
end
always @(posedge VAR20, negedge VAR1) begin
if (!VAR1)
VAR15 <= 2'b00;
end
else if (VAR16 & VAR11)
VAR15 <= VAR15 + 2'b01;
else
VAR15 <= VAR15;
end
always @(posedge VAR20, negedge VAR1) begin
if (!VAR1)
VAR16 <= 1'b0;
end
else if(~VAR11)
VAR16 <= 1'b0;
else
VAR16 <= ~VAR16;
end
always @(posedge VAR10, negedge VAR1) begin
if (!VAR1)
VAR18 <= 2'b10;
end
else if(VAR4)
VAR18 <= VAR18 + 2'b01;
else
VAR18 <= VAR18;
end
assign VAR3 = {VAR8,VAR12};
always @(posedge VAR20, negedge VAR1) begin
if (!VAR1)
for (VAR17=0;VAR17<=3;VAR17=VAR17+1) begin
VAR14[VAR17] <= 0;
end
else if (!VAR16)
VAR14[VAR15] <= VAR7 ;
end
always @(posedge VAR10, negedge VAR1) begin
if (!VAR1)
VAR8 <= 14'b00000000000010;
end
else begin
if(VAR19)
VAR8 <= VAR14[VAR18] ;
end
else VAR8 <= 14'b00000000000010;
end
end
always @(posedge VAR20, negedge VAR1) begin
if (!VAR1)
for (VAR9=0;VAR9<=3;VAR9=VAR9+1) begin
VAR6[VAR9] <= 0;
end
else if (VAR16)
VAR6[VAR15] <= VAR7 ;
end
always @(posedge VAR10, negedge VAR1) begin
if (!VAR1)
VAR12 <= 14'b00000000000010;
end
else begin
if(VAR19)
VAR12 <= VAR6[VAR18] ;
end
else VAR12 <= 14'b00000000000010;
end
end
always @(posedge VAR10, negedge VAR1) begin
if (!VAR1) begin
VAR5 <= 2'b00;
VAR19 <= 1'b0;
end
else begin
if(VAR4)
VAR5 <= VAR5 + 2'b01;
end
else
VAR5 <= 2'b00;
if(~VAR4)
VAR19 <= 1'b0;
end
else if(VAR5 == 2'b11)
VAR19 <= 1'b1;
end
end
endmodule
|
gpl-3.0
|
alexforencich/hdg2000
|
fpga/lib/axis/rtl/axis_srl_fifo_64.v
| 4,021 |
module MODULE1 #
(
parameter VAR5 = 64,
parameter VAR14 = (VAR5/8),
parameter VAR3 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR5-1:0] VAR17,
input wire [VAR14-1:0] VAR12,
input wire VAR6,
output wire VAR25,
input wire VAR31,
input wire VAR1,
output wire [VAR5-1:0] VAR8,
output wire [VAR14-1:0] VAR4,
output wire VAR30,
input wire VAR28,
output wire VAR24,
output wire VAR11,
output wire [VAR29(VAR3+1)-1:0] VAR23
);
reg [VAR5+VAR14+2-1:0] VAR26[VAR3-1:0];
reg [VAR29(VAR3+1)-1:0] VAR2 = 0, VAR19;
reg VAR16 = 0, VAR20;
reg VAR27 = 1, VAR21;
assign {VAR24, VAR11, VAR4, VAR8} = VAR26[VAR2-1];
assign VAR25 = ~VAR16;
assign VAR30 = ~VAR27;
assign VAR23 = VAR2;
wire VAR7 = VAR2 == 0;
wire VAR22 = VAR2 == 1;
wire VAR10 = VAR2 == VAR3;
wire VAR32 = VAR2 == VAR3-1;
reg VAR18;
reg VAR9;
reg VAR13;
integer VAR15;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_ms__udp_dlatch_p_pp_pg_n.blackbox.v
| 1,420 |
module MODULE1 (
VAR3 ,
VAR6 ,
VAR1 ,
VAR2,
VAR4 ,
VAR5
);
output VAR3 ;
input VAR6 ;
input VAR1 ;
input VAR2;
input VAR4 ;
input VAR5 ;
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/dram_v6_mig37/mig_37/example_design/rtl/phy/phy_rddata_sync.v
| 23,750 |
module MODULE1 #
(
parameter VAR3 = 100, parameter VAR71 = 64, parameter VAR19 = 8, parameter VAR64 = 8, parameter VAR53 = 4, parameter VAR61 = 4, parameter VAR52 = 4, parameter VAR47 = 4, parameter VAR14 = 32'h03020100, parameter VAR36 = 32'h07060504, parameter VAR31 = 0, parameter VAR22 = 0 )
(
input clk,
input [3:0] VAR12,
input [3:0] VAR2,
input [VAR71-1:0] VAR40,
input [VAR71-1:0] VAR59,
input [VAR71-1:0] VAR24,
input [VAR71-1:0] VAR54,
input [VAR19-1:0] VAR18,
input [VAR19-1:0] VAR68,
input [VAR19-1:0] VAR65,
input [VAR19-1:0] VAR16,
output reg [4*VAR71-1:0] VAR49,
output reg [4*VAR19-1:0] VAR38
);
localparam VAR32 = (VAR53 > 0) ? VAR53 : 1;
localparam VAR57 = (VAR61 > 0) ? VAR61 : 1;
localparam VAR48 = (VAR52 > 0) ? VAR52 : 1;
localparam VAR37 = (VAR47 > 0) ? VAR47 : 1;
reg [4*VAR64*VAR32-1:0] VAR55;
reg [4*VAR64*VAR57-1:0] VAR34;
reg [4*VAR64*VAR48-1:0] VAR27;
reg [4*VAR64*VAR37-1:0] VAR30;
reg [VAR71-1:0] VAR26;
reg [VAR71-1:0] VAR73;
reg [VAR71-1:0] VAR21;
reg [VAR71-1:0] VAR58;
wire [4*VAR64*VAR32-1:0] VAR20;
wire [4*VAR64*VAR57-1:0] VAR33;
wire [4*VAR64*VAR48-1:0] VAR35;
wire [4*VAR64*VAR37-1:0] VAR51;
reg [4*VAR32-1:0] VAR74;
reg [4*VAR57-1:0] VAR10;
reg [4*VAR48-1:0] VAR17;
reg [4*VAR37-1:0] VAR42;
reg [VAR19-1:0] VAR62;
reg [VAR19-1:0] VAR6;
reg [VAR19-1:0] VAR28;
reg [VAR19-1:0] VAR29;
wire [4*VAR32-1:0] VAR25;
wire [4*VAR57-1:0] VAR4;
wire [4*VAR48-1:0] VAR70;
wire [4*VAR37-1:0] VAR63;
generate
genvar VAR13;
if (VAR53 > 0) begin: VAR41
for (VAR13 = 0; VAR13 < VAR53; VAR13 = VAR13 + 1) begin: VAR45
always @(VAR68 or VAR16 or
VAR18 or VAR65)
VAR74[4*(VAR13+1)-1-:4]
= {VAR16[VAR14[(8*(VAR13+1))-1:8*VAR13]],
VAR65[VAR14[(8*(VAR13+1))-1:8*VAR13]],
VAR68[VAR14[(8*(VAR13+1))-1:8*VAR13]],
VAR18[VAR14[(8*(VAR13+1))-1:8*VAR13]]};
always @(VAR40 or VAR24 or
VAR59 or VAR54)
VAR55[4*VAR64*(VAR13+1)-1-:4*VAR64]
= {VAR54[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])],
VAR24[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])],
VAR59[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])],
VAR40[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])]};
always @(VAR25[4*VAR13] or
VAR25[4*VAR13+1] or
VAR25[4*VAR13+2] or
VAR25[4*VAR13+3]) begin
VAR6[VAR14[(8*(VAR13+1))-1:8*VAR13]]
= VAR25[4*VAR13+3];
VAR29[VAR14[(8*(VAR13+1))-1:8*VAR13]]
= VAR25[4*VAR13+2];
VAR62[VAR14[(8*(VAR13+1))-1:8*VAR13]]
= VAR25[4*VAR13+1];
VAR28[VAR14[(8*(VAR13+1))-1:8*VAR13]]
= VAR25[4*VAR13];
end
always @(VAR20[4*VAR64*VAR13+VAR64-1:4*VAR64*VAR13] or
VAR20[4*VAR64*VAR13+2*VAR64-1:4*VAR64*VAR13+VAR64] or
VAR20[4*VAR64*VAR13+3*VAR64-1:4*VAR64*VAR13+2*VAR64] or
VAR20[4*VAR64*VAR13+4*VAR64-1:4*VAR64*VAR13+3*VAR64]) begin
VAR73[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])]
= VAR20[4*VAR64*VAR13+4*VAR64-1:4*VAR64*VAR13+3*VAR64];
VAR58[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])]
= VAR20[4*VAR64*VAR13+3*VAR64-1:4*VAR64*VAR13+2*VAR64];
VAR26[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])]
= VAR20[4*VAR64*VAR13+2*VAR64-1:4*VAR64*VAR13+VAR64];
VAR21[VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13]+1)-1:
VAR64*(VAR14[(8*(VAR13+1))-1:8*VAR13])]
= VAR20[4*VAR64*VAR13+VAR64-1:4*VAR64*VAR13];
end
end
VAR43 #
(
.VAR3 (VAR3),
.VAR72 ((4*VAR53)+(4*VAR64*VAR53)),
.VAR50 (6)
)
VAR39
(
.VAR7 (clk),
.VAR15 (VAR12[0]),
.rst (VAR2[0]),
.VAR44 ({VAR74,VAR55}),
.VAR75 ({VAR25,VAR20})
);
end
endgenerate
generate
genvar VAR23;
if (VAR61 > 0) begin: VAR9
for (VAR23 = 0; VAR23 < VAR61; VAR23 = VAR23 + 1) begin: VAR60
always @(VAR68 or VAR16 or
VAR18 or VAR65)
VAR10[4*(VAR23+1)-1-:4]
= {VAR16[VAR36[(8*(VAR23+1))-1:8*VAR23]],
VAR65[VAR36[(8*(VAR23+1))-1:8*VAR23]],
VAR68[VAR36[(8*(VAR23+1))-1:8*VAR23]],
VAR18[VAR36[(8*(VAR23+1))-1:8*VAR23]]};
always @(VAR40 or VAR24 or
VAR59 or VAR54)
VAR34[4*VAR64*(VAR23+1)-1-:4*VAR64]
= {VAR54[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])],
VAR24[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])],
VAR59[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])],
VAR40[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])]};
always @(VAR4[4*VAR23] or
VAR4[4*VAR23+1] or
VAR4[4*VAR23+2] or
VAR4[4*VAR23+3] ) begin
VAR6[VAR36[(8*(VAR23+1))-1:8*VAR23]]
= VAR4[4*VAR23+3];
VAR29[VAR36[(8*(VAR23+1))-1:8*VAR23]]
= VAR4[4*VAR23+2];
VAR62[VAR36[(8*(VAR23+1))-1:8*VAR23]]
= VAR4[4*VAR23+1];
VAR28[VAR36[(8*(VAR23+1))-1:8*VAR23]]
= VAR4[4*VAR23];
end
always @(VAR33[4*VAR64*VAR23+VAR64-1:4*VAR64*VAR23] or
VAR33[4*VAR64*VAR23+2*VAR64-1:4*VAR64*VAR23+VAR64] or
VAR33[4*VAR64*VAR23+3*VAR64-1:4*VAR64*VAR23+2*VAR64] or
VAR33[4*VAR64*VAR23+4*VAR64-1:4*VAR64*VAR23+3*VAR64]) begin
VAR73[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])]
= VAR33[4*VAR64*VAR23+4*VAR64-1:4*VAR64*VAR23+3*VAR64];
VAR58[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])]
= VAR33[4*VAR64*VAR23+3*VAR64-1:4*VAR64*VAR23+2*VAR64];
VAR26[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])]
= VAR33[4*VAR64*VAR23+2*VAR64-1:4*VAR64*VAR23+VAR64];
VAR21[VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23]+1)-1:
VAR64*(VAR36[(8*(VAR23+1))-1:8*VAR23])]
= VAR33[4*VAR64*VAR23+VAR64-1:4*VAR64*VAR23];
end
end
VAR43 #
(
.VAR3 (VAR3),
.VAR72 ((4*VAR61)+(4*VAR64*VAR61)),
.VAR50 (6)
)
VAR69
(
.VAR7 (clk),
.VAR15 (VAR12[1]),
.rst (VAR2[1]),
.VAR44 ({VAR10,VAR34}),
.VAR75 ({VAR4,VAR33})
);
end
endgenerate
generate
genvar VAR66;
if (VAR52 > 0) begin: VAR67
for (VAR66 = 0; VAR66 < VAR52; VAR66 = VAR66 + 1) begin: VAR5
always @(VAR68 or VAR16 or
VAR18 or VAR65)
VAR17[4*(VAR66+1)-1-:4]
= {VAR16[VAR31[(8*(VAR66+1))-1:8*VAR66]],
VAR65[VAR31[(8*(VAR66+1))-1:8*VAR66]],
VAR68[VAR31[(8*(VAR66+1))-1:8*VAR66]],
VAR18[VAR31[(8*(VAR66+1))-1:8*VAR66]]};
always @(VAR59 or VAR54 or
VAR40 or VAR24)
VAR27[4*VAR64*(VAR66+1)-1-:4*VAR64]
= {VAR54[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])],
VAR24[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])],
VAR59[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])],
VAR40[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])]};
always @(VAR70[4*VAR66] or
VAR70[4*VAR66+1] or
VAR70[4*VAR66+2] or
VAR70[4*VAR66+3] ) begin
VAR6[VAR31[(8*(VAR66+1))-1:8*VAR66]]
= VAR70[4*VAR66+3];
VAR29[VAR31[(8*(VAR66+1))-1:8*VAR66]]
= VAR70[4*VAR66+2];
VAR62[VAR31[(8*(VAR66+1))-1:8*VAR66]]
= VAR70[4*VAR66+1];
VAR28[VAR31[(8*(VAR66+1))-1:8*VAR66]]
= VAR70[4*VAR66];
end
always @(VAR35[4*VAR64*VAR66+VAR64-1:4*VAR64*VAR66] or
VAR35[4*VAR64*VAR66+2*VAR64-1:4*VAR64*VAR66+VAR64] or
VAR35[4*VAR64*VAR66+3*VAR64-1:4*VAR64*VAR66+2*VAR64] or
VAR35[4*VAR64*VAR66+4*VAR64-1:4*VAR64*VAR66+3*VAR64]) begin
VAR73[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])]
= VAR35[4*VAR64*VAR66+4*VAR64-1:4*VAR64*VAR66+3*VAR64];
VAR58[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])]
= VAR35[4*VAR64*VAR66+3*VAR64-1:4*VAR64*VAR66+2*VAR64];
VAR26[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])]
= VAR35[4*VAR64*VAR66+2*VAR64-1:4*VAR64*VAR66+VAR64];
VAR21[VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66]+1)-1:
VAR64*(VAR31[(8*(VAR66+1))-1:8*VAR66])]
= VAR35[4*VAR64*VAR66+VAR64-1:4*VAR64*VAR66];
end
end
VAR43 #
(
.VAR3 (VAR3),
.VAR72 ((4*VAR52)+(4*VAR64*VAR52)),
.VAR50 (6)
)
VAR56
(
.VAR7 (clk),
.VAR15 (VAR12[2]),
.rst (VAR2[2]),
.VAR44 ({VAR17,VAR27}),
.VAR75 ({VAR70,VAR35})
);
end
endgenerate
generate
genvar VAR11;
if (VAR47 > 0) begin: VAR1
for (VAR11 = 0; VAR11 < VAR47; VAR11 = VAR11 + 1) begin: VAR46
always @(VAR68 or VAR16 or
VAR18 or VAR65)
VAR42[4*(VAR11+1)-1-:4]
= {VAR16[VAR22[(8*(VAR11+1))-1:8*VAR11]],
VAR65[VAR22[(8*(VAR11+1))-1:8*VAR11]],
VAR68[VAR22[(8*(VAR11+1))-1:8*VAR11]],
VAR18[VAR22[(8*(VAR11+1))-1:8*VAR11]]};
always @(VAR59 or VAR54 or
VAR40 or VAR24)
VAR30[4*VAR64*(VAR11+1)-1-:4*VAR64]
= {VAR54[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])],
VAR24[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])],
VAR59[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])],
VAR40[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])]};
always @(VAR63[4*VAR11] or
VAR63[4*VAR11+1] or
VAR63[4*VAR11+2] or
VAR63[4*VAR11+3]) begin
VAR6[VAR22[(8*(VAR11+1))-1:8*VAR11]]
= VAR63[4*VAR11+3];
VAR29[VAR22[(8*(VAR11+1))-1:8*VAR11]]
= VAR63[4*VAR11+2];
VAR62[VAR22[(8*(VAR11+1))-1:8*VAR11]]
= VAR63[4*VAR11+1];
VAR28[VAR22[(8*(VAR11+1))-1:8*VAR11]]
= VAR63[4*VAR11];
end
always @(VAR51[4*VAR64*VAR11+VAR64-1:4*VAR64*VAR11] or
VAR51[4*VAR64*VAR11+2*VAR64-1:4*VAR64*VAR11+VAR64] or
VAR51[4*VAR64*VAR11+3*VAR64-1:4*VAR64*VAR11+2*VAR64] or
VAR51[4*VAR64*VAR11+4*VAR64-1:4*VAR64*VAR11+3*VAR64]) begin
VAR73[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])]
= VAR51[4*VAR64*VAR11+4*VAR64-1:4*VAR64*VAR11+3*VAR64];
VAR58[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])]
= VAR51[4*VAR64*VAR11+3*VAR64-1:4*VAR64*VAR11+2*VAR64];
VAR26[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])]
= VAR51[4*VAR64*VAR11+2*VAR64-1:4*VAR64*VAR11+VAR64];
VAR21[VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11]+1)-1:
VAR64*(VAR22[(8*(VAR11+1))-1:8*VAR11])]
= VAR51[4*VAR64*VAR11+VAR64-1:4*VAR64*VAR11];
end
end
VAR43 #
(
.VAR3 (VAR3),
.VAR72 ((4*VAR47)+(4*VAR64*VAR47)),
.VAR50 (6)
)
VAR8
(
.VAR7 (clk),
.VAR15 (VAR12[3]),
.rst (VAR2[3]),
.VAR44 ({VAR42,VAR30}),
.VAR75 ({VAR63,VAR51})
);
end
endgenerate
always @(posedge clk) begin
VAR58,
VAR26,
VAR21};
VAR29,
VAR62,
VAR28};
end
endmodule
|
lgpl-3.0
|
olajep/oh
|
src/common/hdl/oh_iddr.v
| 1,317 |
module MODULE1 #(parameter VAR4 = 1 )
(
input clk, input VAR3, input [VAR4-1:0] din, output reg [VAR4-1:0] VAR1, output reg [VAR4-1:0] VAR2 );
reg [VAR4-1:0] VAR5;
reg [VAR4-1:0] VAR6;
always @ (posedge clk)
if(VAR3)
VAR5[VAR4-1:0] <= din[VAR4-1:0];
always @ (negedge clk)
VAR6[VAR4-1:0] <= din[VAR4-1:0];
always @ (posedge clk)
begin
VAR1[VAR4-1:0] <= VAR5[VAR4-1:0];
VAR2[VAR4-1:0] <= VAR6[VAR4-1:0];
end
endmodule
|
mit
|
sirchuckalot/zet
|
cores/vga/rtl/fml/vga_fifo.v
| 8,385 |
module MODULE1 (
clk,
VAR17,
VAR6,
VAR3,
VAR9,
VAR19,
VAR4,
VAR14,
VAR11,
VAR12,
VAR13,
VAR10
);
parameter VAR8 = 3; parameter VAR16 = 8;
input clk; input VAR17; input VAR6;
input VAR3; input VAR9; input [VAR16:1] VAR19; output [VAR16:1] VAR4;
output [VAR8:0] VAR14;
output VAR11; output VAR12;
output VAR13; output VAR10;
reg [VAR8:0] VAR14;
reg VAR11, VAR12;
reg [VAR8:1] VAR21, VAR15;
wire [VAR16:1] VAR1;
wire VAR7, VAR5;
function VAR18;
input [VAR8:1] VAR4;
case (VAR8)
2: VAR18 = ~VAR4[2];
3: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[3] ^ VAR4[2]);
4: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[4] ^ VAR4[3]);
5: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[5] ^ VAR4[3]);
6: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[6] ^ VAR4[5]);
7: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[7] ^ VAR4[6]);
8: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[8] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4]);
9: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[9] ^ VAR4[5]);
10: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[10] ^ VAR4[7]);
11: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[11] ^ VAR4[9]);
12: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[12] ^ VAR4[6] ^ VAR4[4] ^ VAR4[1]);
13: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[13] ^ VAR4[4] ^ VAR4[3] ^ VAR4[1]);
14: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[14] ^ VAR4[5] ^ VAR4[3] ^ VAR4[1]);
15: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[15] ^ VAR4[14]);
16: VAR18 = &VAR4[VAR8-1:1] ^ ~(VAR4[16] ^ VAR4[15] ^ VAR4[13] ^ VAR4[4]);
endcase
endfunction
function VAR18;
input [VAR8:1] VAR4;
case (VAR8)
2: VAR18 = ~VAR4[2];
3: VAR18 = ~(VAR4[3] ^ VAR4[2]);
4: VAR18 = ~(VAR4[4] ^ VAR4[3]);
5: VAR18 = ~(VAR4[5] ^ VAR4[3]);
6: VAR18 = ~(VAR4[6] ^ VAR4[5]);
7: VAR18 = ~(VAR4[7] ^ VAR4[6]);
8: VAR18 = ~(VAR4[8] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4]);
9: VAR18 = ~(VAR4[9] ^ VAR4[5]);
10: VAR18 = ~(VAR4[10] ^ VAR4[7]);
11: VAR18 = ~(VAR4[11] ^ VAR4[9]);
12: VAR18 = ~(VAR4[12] ^ VAR4[6] ^ VAR4[4] ^ VAR4[1]);
13: VAR18 = ~(VAR4[13] ^ VAR4[4] ^ VAR4[3] ^ VAR4[1]);
14: VAR18 = ~(VAR4[14] ^ VAR4[5] ^ VAR4[3] ^ VAR4[1]);
15: VAR18 = ~(VAR4[15] ^ VAR4[14]);
16: VAR18 = ~(VAR4[16] ^ VAR4[15] ^ VAR4[13] ^ VAR4[4]);
endcase
endfunction
assign VAR7 = VAR3 & ~VAR12;
assign VAR5 = VAR9 & ~VAR11;
assign VAR7 = VAR3;
assign VAR5 = VAR9;
always @(posedge clk or negedge VAR17)
if (~VAR17) VAR21 <= 0;
else if (VAR6) VAR21 <= 0;
else if (VAR5) VAR21 <= {VAR21[VAR8-1:1], VAR18(VAR21)};
always @(posedge clk or negedge VAR17)
if (~VAR17) VAR15 <= 0;
else if (VAR6) VAR15 <= 0;
else if (VAR7) VAR15 <= {VAR15[VAR8-1:1], VAR18(VAR15)};
reg [VAR16:1] VAR20 [(1<<VAR8) -1:0];
always @(posedge clk)
if (VAR7)
VAR20[VAR15] <= VAR19;
assign VAR4 = VAR20[VAR21];
assign VAR13 = (VAR21[VAR8-1:1] == VAR15[VAR8:2]) & (VAR18(VAR21) == VAR15[1]) & VAR5 & ~VAR7;
always @(posedge clk or negedge VAR17)
if (~VAR17)
VAR11 <= 1'b1;
else if (VAR6)
VAR11 <= 1'b1;
else
VAR11 <= VAR13 | (VAR11 & (~VAR7 + VAR5));
assign VAR10 = (VAR15[VAR8-1:1] == VAR21[VAR8:2]) & (VAR18(VAR15) == VAR21[1]) & VAR7 & ~VAR5;
always @(posedge clk or negedge VAR17)
if (~VAR17)
VAR12 <= 1'b0;
else if (VAR6)
VAR12 <= 1'b0;
else
VAR12 <= VAR10 | ( VAR12 & (~VAR5 + VAR7) );
always @(posedge clk or negedge VAR17)
if (~VAR17)
VAR14 <= 0;
else if (VAR6)
VAR14 <= 0;
else
begin
if (VAR3 & !VAR9)
VAR14 <= VAR14 +1;
end
else if (VAR9 & !VAR3)
VAR14 <= VAR14 -1;
end
always @(posedge clk)
if (VAR12 & VAR7)
\VAR2");
always @(posedge clk)
if (VAR11 & VAR5)
\VAR2");
endmodule
|
gpl-3.0
|
hpeng2/ECE492_Group4_Project
|
ECE_492_Project_new/Video_System/synthesis/submodules/Video_System_Onchip_Memory.v
| 3,968 |
module MODULE1 (
address,
VAR10,
VAR24,
clk,
VAR25,
reset,
write,
VAR23,
VAR16
)
;
parameter VAR30 = "../MODULE1.VAR29";
output [ 31: 0] VAR16;
input [ 11: 0] address;
input [ 3: 0] VAR10;
input VAR24;
input clk;
input VAR25;
input reset;
input write;
input [ 31: 0] VAR23;
wire [ 31: 0] VAR16;
wire VAR4;
assign VAR4 = VAR24 & write;
VAR28 VAR26
(
.VAR13 (address),
.VAR31 (VAR10),
.VAR14 (clk),
.VAR20 (VAR25),
.VAR11 (VAR23),
.VAR6 (VAR16),
.VAR22 (VAR4)
);
VAR26.VAR1 = VAR30,
VAR26.VAR33 = "VAR28",
VAR26.VAR19 = 4096,
VAR26.VAR27 = 4096,
VAR26.VAR9 = "VAR2",
VAR26.VAR7 = "VAR5",
VAR26.VAR8 = "VAR15",
VAR26.VAR3 = "VAR32",
VAR26.VAR12 = 32,
VAR26.VAR17 = 4,
VAR26.VAR21 = 12;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdfrbp/sky130_fd_sc_hd__sdfrbp_1.v
| 2,695 |
module MODULE1 (
VAR1 ,
VAR3 ,
VAR10 ,
VAR12 ,
VAR11 ,
VAR8 ,
VAR2,
VAR6 ,
VAR5 ,
VAR7 ,
VAR4
);
output VAR1 ;
output VAR3 ;
input VAR10 ;
input VAR12 ;
input VAR11 ;
input VAR8 ;
input VAR2;
input VAR6 ;
input VAR5 ;
input VAR7 ;
input VAR4 ;
VAR9 VAR13 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR1 ,
VAR3 ,
VAR10 ,
VAR12 ,
VAR11 ,
VAR8 ,
VAR2
);
output VAR1 ;
output VAR3 ;
input VAR10 ;
input VAR12 ;
input VAR11 ;
input VAR8 ;
input VAR2;
supply1 VAR6;
supply0 VAR5;
supply1 VAR7 ;
supply0 VAR4 ;
VAR9 VAR13 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/xnor2/gf180mcu_fd_sc_mcu9t5v0__xnor2_1.behavioral.pp.v
| 1,495 |
module MODULE1( VAR6, VAR2, VAR1, VAR3, VAR7 );
input VAR2, VAR6;
inout VAR3, VAR7;
output VAR1;
VAR4 VAR8(.VAR6(VAR6),.VAR2(VAR2),.VAR1(VAR1),.VAR3(VAR3),.VAR7(VAR7));
VAR4 VAR5(.VAR6(VAR6),.VAR2(VAR2),.VAR1(VAR1),.VAR3(VAR3),.VAR7(VAR7));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/or2/sky130_fd_sc_ls__or2.behavioral.pp.v
| 1,774 |
module MODULE1 (
VAR13 ,
VAR12 ,
VAR4 ,
VAR2,
VAR11,
VAR6 ,
VAR7
);
output VAR13 ;
input VAR12 ;
input VAR4 ;
input VAR2;
input VAR11;
input VAR6 ;
input VAR7 ;
wire VAR3 ;
wire VAR8;
or VAR1 (VAR3 , VAR4, VAR12 );
VAR10 VAR5 (VAR8, VAR3, VAR2, VAR11);
buf VAR9 (VAR13 , VAR8 );
endmodule
|
apache-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/sdr_lib/cic_interp.v
| 2,581 |
module MODULE1
(input VAR19,
input reset,
input enable,
input [7:0] VAR12,
input VAR3,
input VAR18,
input [VAR17-1:0] VAR15,
output reg [VAR17-1:0] VAR11);
integer VAR14;
localparam VAR7 = (VAR1-1)*VAR8;
wire [VAR17+VAR7-1:0] VAR2;
reg [VAR17+VAR7-1:0] VAR9 [0:VAR1-1];
reg [VAR17+VAR7-1:0] VAR10 [0:VAR1-1];
reg [VAR17+VAR7-1:0] VAR13 [0:VAR1-1];
VAR16 #(VAR17,VAR17+VAR7)
VAR4 (.in(VAR15),.out(VAR2));
always @(posedge VAR19)
if(reset | ~enable)
for(VAR14=0;VAR14<VAR1;VAR14=VAR14+1)
VAR9[VAR14] <= 0;
else if (enable & VAR18)
begin
if(VAR3)
VAR9[0] <= VAR9[0] + VAR13[VAR1-1];
for(VAR14=1;VAR14<VAR1;VAR14=VAR14+1)
VAR9[VAR14] <= VAR9[VAR14] + VAR9[VAR14-1];
end
always @(posedge VAR19)
if(reset | ~enable)
begin
for(VAR14=0;VAR14<VAR1;VAR14=VAR14+1)
begin
VAR10[VAR14] <= 0;
VAR13[VAR14] <= 0;
end
end
else if (enable && VAR3)
begin
VAR10[0] <= VAR2;
VAR13[0] <= VAR2 - VAR10[0];
for(VAR14=1;VAR14<VAR1;VAR14=VAR14+1)
begin
VAR10[VAR14] <= VAR13[VAR14-1];
VAR13[VAR14] <= VAR13[VAR14-1] - VAR10[VAR14];
end
end
wire [VAR17-1:0] VAR6;
VAR5 #(VAR17)
VAR5(VAR12,VAR9[VAR1-1],VAR6);
always @(posedge VAR19)
VAR11 <= VAR6;
endmodule
|
gpl-2.0
|
yunqu/PYNQ
|
boards/ip/fsm_io_switch_1.1/hdl/fsm_io_switch_v1_1_S_AXI.v
| 23,131 |
module MODULE1 #
(
parameter VAR9 = 20,
parameter VAR58 = 0,
parameter integer VAR118 = 32,
parameter integer VAR43 = 5
)
(
input [VAR9-1:0] VAR38,
input [3:0] VAR17,
output [VAR9-1:0] VAR78,
output [7:0]VAR20,
input [VAR9-1:0] VAR6,
output [VAR9-1:0] VAR33,
input wire VAR64,
input wire VAR50,
input wire [VAR43-1 : 0] VAR86,
input wire [2 : 0] VAR105,
input wire VAR42,
output wire VAR44,
input wire [VAR118-1 : 0] VAR47,
input wire [(VAR118/8)-1 : 0] VAR81,
input wire VAR37,
output wire VAR46,
output wire [1 : 0] VAR104,
output wire VAR49,
input wire VAR48,
input wire [VAR43-1 : 0] VAR63,
input wire [2 : 0] VAR70,
input wire VAR85,
output wire VAR59,
output wire [VAR118-1 : 0] VAR29,
output wire [1 : 0] VAR89,
output wire VAR36,
input wire VAR55
);
reg [VAR43-1 : 0] VAR100;
reg VAR96;
reg VAR107;
reg [1 : 0] VAR82;
reg VAR109;
reg [VAR43-1 : 0] VAR83;
reg VAR103;
reg [VAR118-1 : 0] VAR92;
reg [1 : 0] VAR68;
reg VAR84;
wire [VAR9-1:0] VAR76, VAR4, VAR99, VAR45, VAR10, VAR25, VAR73, VAR51, VAR66, VAR22, VAR11;
wire [VAR9-1:0] VAR30, VAR52, VAR3, VAR13, VAR62, VAR119, VAR94, VAR106, VAR113;
wire VAR1, VAR12, VAR27, VAR115;
assign VAR78 = VAR76 | VAR4 | VAR99 | VAR45 | VAR10 | VAR25 | VAR73 | VAR51 | VAR66 | VAR22 |
VAR11 | VAR30 | VAR52 | VAR3 | VAR13 | VAR62 | VAR119 | VAR94 | VAR106 | VAR113;
localparam integer VAR40 = (VAR118/32) + 1;
localparam integer VAR2 = 2;
reg [VAR118-1:0] VAR67;
reg [VAR118-1:0] VAR21;
reg [VAR118-1:0] VAR35;
reg [VAR118-1:0] VAR28;
reg [VAR118-1:0] VAR60;
reg [VAR118-1:0] VAR88;
reg [VAR118-1:0] VAR15;
reg [VAR118-1:0] VAR93;
wire VAR97;
wire VAR91;
reg [VAR118-1:0] VAR61;
integer VAR117;
reg VAR69;
assign VAR44 = VAR96;
assign VAR46 = VAR107;
assign VAR104 = VAR82;
assign VAR49 = VAR109;
assign VAR59 = VAR103;
assign VAR29 = VAR92;
assign VAR89 = VAR68;
assign VAR36 = VAR84;
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR96 <= 1'b0;
VAR69 <= 1'b1;
end
else
begin
if (~VAR96 && VAR42 && VAR37 && VAR69)
begin
VAR96 <= 1'b1;
VAR69 <= 1'b0;
end
else if (VAR48 && VAR109)
begin
VAR69 <= 1'b1;
VAR96 <= 1'b0;
end
else
begin
VAR96 <= 1'b0;
end
end
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR100 <= 0;
end
else
begin
if (~VAR96 && VAR42 && VAR37 && VAR69)
begin
VAR100 <= VAR86;
end
end
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR107 <= 1'b0;
end
else
begin
if (~VAR107 && VAR37 && VAR42 && VAR69 )
begin
VAR107 <= 1'b1;
end
else
begin
VAR107 <= 1'b0;
end
end
end
assign VAR91 = VAR107 && VAR37 && VAR96 && VAR42;
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR67 <= 0;
VAR21 <= 0;
VAR35 <= 0;
VAR28 <= 0;
VAR60 <= 0;
VAR88 <= 0;
VAR15 <= 0;
VAR93 <= 0;
end
else begin
if (VAR91)
begin
case ( VAR100[VAR40+VAR2:VAR40] )
3'h0:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR67[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h1:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR21[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h2:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR35[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h3:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR28[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h4:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR60[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h5:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR88[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h6:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR15[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
3'h7:
for ( VAR117 = 0; VAR117 <= (VAR118/8)-1; VAR117 = VAR117+1 )
if ( VAR81[VAR117] == 1 ) begin
VAR93[(VAR117*8) +: 8] <= VAR47[(VAR117*8) +: 8];
end
default : begin
VAR67 <= VAR67;
VAR21 <= VAR21;
VAR35 <= VAR35;
VAR28 <= VAR28;
VAR60 <= VAR60;
VAR88 <= VAR88;
VAR15 <= VAR15;
VAR93 <= VAR93;
end
endcase
end
end
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR109 <= 0;
VAR82 <= 2'b0;
end
else
begin
if (VAR96 && VAR42 && ~VAR109 && VAR107 && VAR37)
begin
VAR109 <= 1'b1;
VAR82 <= 2'b0; end else
begin
if (VAR48 && VAR109)
begin
VAR109 <= 1'b0;
end
end
end
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR103 <= 1'b0;
VAR83 <= 32'b0;
end
else
begin
if (~VAR103 && VAR85)
begin
VAR103 <= 1'b1;
VAR83 <= VAR63;
end
else
begin
VAR103 <= 1'b0;
end
end
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR84 <= 0;
VAR68 <= 0;
end
else
begin
if (VAR103 && VAR85 && ~VAR84)
begin
VAR84 <= 1'b1;
VAR68 <= 2'b0; end
else if (VAR84 && VAR55)
begin
VAR84 <= 1'b0;
end
end
end
assign VAR97 = VAR103 & VAR85 & ~VAR84;
always @(*)
begin
case ( VAR83[VAR40+VAR2:VAR40] )
3'h0 : VAR61 <= VAR67;
3'h1 : VAR61 <= VAR21;
3'h2 : VAR61 <= VAR35;
3'h3 : VAR61 <= VAR28;
3'h4 : VAR61 <= VAR60;
3'h5 : VAR61 <= VAR88;
3'h6 : VAR61 <= VAR15;
3'h7 : VAR61 <= VAR93;
default : VAR61 <= 0;
endcase
end
always @( posedge VAR64 )
begin
if ( VAR50 == 1'b0 )
begin
VAR92 <= 0;
end
else
begin
if (VAR97)
begin
VAR92 <= VAR61; end
end
end
VAR72 VAR32(
.sel(VAR67[7]),
.VAR18(VAR17[0]),
.VAR41(VAR1),
.VAR71(VAR20[0])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR79(
.sel(VAR67[4:0]),
.VAR41(VAR38),
.VAR71(VAR1)
);
VAR72 VAR110(
.sel(VAR67[15]),
.VAR18(VAR17[1]),
.VAR41(VAR12),
.VAR71(VAR20[1])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR111(
.sel(VAR67[12:8]),
.VAR41(VAR38),
.VAR71(VAR12)
);
VAR72 VAR116(
.sel(VAR67[23]),
.VAR18(VAR17[2]),
.VAR41(VAR27),
.VAR71(VAR20[2])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR80(
.sel(VAR67[20:16]),
.VAR41(VAR38),
.VAR71(VAR27)
);
VAR72 VAR112(
.sel(VAR67[31]),
.VAR18(VAR17[3]),
.VAR41(VAR115),
.VAR71(VAR20[3])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR90(
.sel(VAR67[28:24]),
.VAR41(VAR38),
.VAR71(VAR115)
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR54(
.sel(VAR21[4:0]),
.VAR41(VAR38),
.VAR71(VAR20[4])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR26(
.sel(VAR21[12:8]),
.VAR41(VAR38),
.VAR71(VAR20[5])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR101(
.sel(VAR21[20:16]),
.VAR41(VAR38),
.VAR71(VAR20[6])
);
VAR95 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR19(
.sel(VAR21[28:24]),
.VAR41(VAR38),
.VAR71(VAR20[7])
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR74(
.sel(VAR35[4:0]),
.VAR41(VAR6[0]),
.VAR23(VAR76)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR14(
.sel(VAR35[12:8]),
.VAR41(VAR6[1]),
.VAR23(VAR4)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR16(
.sel(VAR35[20:16]),
.VAR41(VAR6[2]),
.VAR23(VAR99)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR57(
.sel(VAR35[28:24]),
.VAR41(VAR6[3]),
.VAR23(VAR45)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR39(
.sel(VAR28[4:0]),
.VAR41(VAR6[4]),
.VAR23(VAR10)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR53(
.sel(VAR28[12:8]),
.VAR41(VAR6[5]),
.VAR23(VAR25)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR87(
.sel(VAR28[20:16]),
.VAR41(VAR6[6]),
.VAR23(VAR73)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR31(
.sel(VAR28[28:24]),
.VAR41(VAR6[7]),
.VAR23(VAR51)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR108(
.sel(VAR60[4:0]),
.VAR41(VAR6[8]),
.VAR23(VAR66)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR24(
.sel(VAR60[12:8]),
.VAR41(VAR6[9]),
.VAR23(VAR22)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR75(
.sel(VAR60[20:16]),
.VAR41(VAR6[10]),
.VAR23(VAR11)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR65(
.sel(VAR60[28:24]),
.VAR41(VAR6[11]),
.VAR23(VAR30)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR8(
.sel(VAR88[4:0]),
.VAR41(VAR6[12]),
.VAR23(VAR52)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR56(
.sel(VAR88[12:8]),
.VAR41(VAR6[13]),
.VAR23(VAR3)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR114(
.sel(VAR88[20:16]),
.VAR41(VAR6[14]),
.VAR23(VAR13)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR102(
.sel(VAR88[28:24]),
.VAR41(VAR6[15]),
.VAR23(VAR62)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR34(
.sel(VAR15[4:0]),
.VAR41(VAR6[16]),
.VAR23(VAR119)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR5(
.sel(VAR15[12:8]),
.VAR41(VAR6[17]),
.VAR23(VAR94)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR7(
.sel(VAR15[20:16]),
.VAR41(VAR6[18]),
.VAR23(VAR106)
);
VAR98 # (
.VAR9(VAR9),
.VAR58(VAR58)
) VAR77 (
.sel(VAR15[28:24]),
.VAR41(VAR6[19]),
.VAR23(VAR113)
);
assign VAR33 = VAR93[VAR9-1:0];
endmodule
|
bsd-3-clause
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_mc_controller/motor_driver.v
| 10,123 |
module MODULE1
parameter VAR13 = 11,
localparam VAR63 = VAR13 - 1
)
(
input VAR47,
input VAR36,
input VAR56,
input VAR52,
input VAR30, input VAR34, input [2:0] VAR62,
input [VAR63:0] VAR29,
output VAR22,
output VAR35,
output VAR37,
output VAR20,
output VAR42,
output VAR19
);
reg VAR23;
reg [ 3:0] VAR41;
reg [15:0] VAR27;
reg [ 2:0] VAR3;
reg [VAR63:0] VAR24;
wire VAR49;
wire [VAR63:0] VAR60;
wire [1:0] VAR53[0:2];
wire VAR33;
wire VAR26;
wire VAR39;
wire VAR2;
wire VAR7;
wire VAR50;
wire VAR5;
wire VAR1;
wire VAR6;
wire VAR57;
wire VAR11;
wire VAR32;
localparam VAR51 = 3'b001;
localparam VAR45 = 3'b010;
localparam VAR17 = 3'b100;
localparam VAR58 = 20;
localparam [VAR63:0] VAR31 = 2**(VAR63) + 2**(VAR63-3);
localparam [15:0] VAR48 = 16'h8000;
localparam [1:0] VAR55[0:5] = { 2'd1,-2'd1, 2'd1,-2'd1, 2'd1,-2'd1};
localparam [1:0] VAR12[0:5] = {-2'd1, 2'd1, 2'd1,-2'd1,-2'd1, 2'd1};
localparam [1:0] VAR25[0:5] = {-2'd1,-2'd1,-2'd1, 2'd1, 2'd1, 2'd1};
localparam [1:0] VAR28[0:5] = {-2'd1, 2'd1,-2'd1, 2'd1,-2'd1, 2'd1};
localparam [1:0] VAR59[0:5] = { 2'd1,-2'd1,-2'd1, 2'd1, 2'd1,-2'd1};
localparam [1:0] VAR9[0:5] = { 2'd1, 2'd1, 2'd1,-2'd1,-2'd1,-2'd1};
localparam [1:0] VAR43[0:5] = { 2'd1,-2'd1, 2'd0, 2'd0, 2'd1,-2'd1};
localparam [1:0] VAR16[0:5] = { 2'd0, 2'd1, 2'd1,-2'd1,-2'd1, 2'd0};
localparam [1:0] VAR14[0:5] = {-2'd1, 2'd0,-2'd1, 2'd1, 2'd0, 2'd1};
localparam [1:0] VAR8[0:5] = {-2'd1, 2'd1, 2'd0, 2'd0, -2'd1, 2'd1};
localparam [1:0] VAR61[0:5] = { 2'd0,-2'd1,-2'd1, 2'd1, 2'd1, 2'd0};
localparam [1:0] VAR15[0:5] = { 2'd1, 2'd0, 2'd1,-2'd1, 2'd0,-2'd1};
delay #(
.VAR38(VAR58))
VAR54 (
.VAR47 (VAR47),
.VAR56 (VAR26),
.VAR46 (VAR26),
.VAR10 (VAR1));
delay #(
.VAR38(VAR58))
VAR44 (
.VAR47 (VAR47),
.VAR56 (VAR33),
.VAR46 (VAR33),
.VAR10 (VAR5));
delay #(
.VAR38(VAR58))
VAR40 (
.VAR47 (VAR47),
.VAR56 (VAR2),
.VAR46 (VAR2),
.VAR10 (VAR57));
delay #(
.VAR38(VAR58))
VAR18 (
.VAR47 (VAR47),
.VAR56 (VAR39),
.VAR46 (VAR39),
.VAR10 (VAR6));
delay #(
.VAR38(VAR58))
VAR4 (
.VAR47 (VAR47),
.VAR56 (VAR50),
.VAR46 (VAR50),
.VAR10 (VAR32));
delay #(
.VAR38(VAR58))
VAR21 (
.VAR47 (VAR47),
.VAR56 (VAR7),
.VAR46 (VAR7),
.VAR10 (VAR11));
assign VAR49 = VAR27 < VAR48 ? 0 : 1;
assign VAR60 = VAR41 == VAR51 ? 0 :
VAR41 == VAR45 ? VAR31 : VAR29;
assign VAR53[0] = VAR30 ?
VAR34 ? VAR43[VAR3] : VAR8[VAR3] :
VAR34 ? VAR55[VAR3] : VAR28[VAR3];
assign VAR53[1] = VAR30 ?
VAR34 ? VAR16[VAR3] : VAR61[VAR3] :
VAR34 ? VAR12[VAR3] : VAR59[VAR3];
assign VAR53[2] = VAR30 ?
VAR34 ? VAR14[VAR3] : VAR15[VAR3] :
VAR34 ? VAR25[VAR3] : VAR9[VAR3];
assign VAR26 = VAR53[0] == 2'd1 ? ~VAR23 : VAR53[0] == -2'd1 ? VAR23 : 0;
assign VAR33 = VAR53[0] == 2'd1 ? VAR23 : VAR53[0] == -2'd1 ? ~VAR23 : 0;
assign VAR2 = VAR53[1] == 2'd1 ? ~VAR23 : VAR53[1] == -2'd1 ? VAR23 : 0;
assign VAR39 = VAR53[1] == 2'd1 ? VAR23 : VAR53[1] == -2'd1 ? ~VAR23 : 0;
assign VAR50 = VAR53[2] == 2'd1 ? ~VAR23 : VAR53[2] == -2'd1 ? VAR23 : 0;
assign VAR7 = VAR53[2] == 2'd1 ? VAR23 : VAR53[2] == -2'd1 ? ~VAR23 : 0;
assign VAR20 = VAR1? 0 : VAR5;
assign VAR22 = VAR1;
assign VAR42 = VAR57 ? 0 : VAR6;
assign VAR35 = VAR57;
assign VAR19 = VAR32 ? 0 : VAR11;
assign VAR37 = VAR32;
always @(posedge VAR47)
begin
if(VAR56 == 1'b0)
begin
VAR41 <= VAR51;
VAR27 <= 0;
end
else
begin
case(VAR41)
VAR51:
begin
VAR3 <= 0;
VAR41 <= (VAR52 == 1'b1 ? VAR45 : VAR51);
end
VAR45:
begin
VAR3 <= 0;
if(VAR49 == 1'b1)
begin
VAR41 <= (VAR52 == 1'b1 ? VAR17 : VAR51);
end
else
begin
VAR41 <= (VAR52 == 1'b1 ? VAR45 : VAR51);
end
end
VAR17:
begin
VAR3 <= VAR62 - 1;
VAR41 <= (VAR52 == 1'b1 ? VAR17 : VAR51);
end
default:
begin
VAR41 <= VAR51;
end
endcase
VAR27 <= VAR41 == VAR45 ? VAR27 + 1 : 0;
end
end
always @(posedge VAR36)
begin
if((VAR56 == 1'b0))
begin
VAR24 <= 0;
end
else
begin
VAR24 <= VAR24 < (2**VAR13 - 1) ? VAR24 + 1 : 0;
end
VAR23 <= VAR24 < VAR60 ? 1 : 0;
end
endmodule
|
gpl-3.0
|
HighlandersFRC/fpga
|
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_gen_reset.v
| 4,864 |
module MODULE1(
VAR37,
VAR41,
VAR13,
VAR19,
VAR35,
VAR15,
VAR6,
VAR16,
VAR20,
VAR34,
VAR24,
VAR18,
VAR22,
VAR21,
VAR5,
VAR39,
VAR40,
VAR29,
VAR11,
VAR42,
VAR32,
VAR45,
VAR30,
VAR46,
VAR27,
VAR4,
VAR26,
VAR12,
VAR7,
VAR17,
VAR43,
VAR1,
VAR44,
VAR28
);
input VAR37;
input VAR41;
input VAR19;
input VAR35;
input VAR15;
input VAR6;
input VAR16;
input VAR20;
input VAR34;
input VAR24;
input VAR18;
output VAR22;
output VAR21;
output VAR5;
output VAR39;
output VAR40;
output VAR29;
output VAR11;
output VAR42;
output VAR32;
output VAR13;
output VAR45;
output VAR30;
output VAR46;
output VAR27;
output VAR4;
output VAR26;
output VAR12;
output VAR7;
output VAR17;
output VAR43;
output VAR1;
output VAR44;
output VAR28;
reg [31:0] VAR2;
reg VAR33;
reg VAR3;
reg VAR23;
reg VAR25;
reg VAR31;
reg VAR14;
reg VAR10;
reg VAR8;
reg VAR38;
assign VAR13 = VAR37 & VAR41;
assign VAR27 = !VAR2[0];
assign VAR46 = !VAR2[1];
assign VAR30 = !VAR2[2];
assign VAR45 = !VAR2[3];
assign VAR4 = !VAR2[24];
assign VAR28 = !VAR2[23];
assign VAR44 = !VAR2[22];
assign VAR1 = !VAR2[21];
assign VAR43 = !VAR2[20];
assign VAR17 = !VAR2[17];
assign VAR7 = !VAR2[16];
assign VAR12 = !VAR2[13];
assign VAR26 = !VAR2[12];
assign VAR22 = (!VAR37 & !VAR41)? 1'b0 : VAR33;
assign VAR21 = (!VAR37 & !VAR41)? 1'b0 : VAR3;
assign VAR5 = (!VAR37 & !VAR41)? 1'b0 : VAR23;
assign VAR39 = (!VAR37 & !VAR41)? 1'b0 : VAR25;
assign VAR40 = (!VAR37 & !VAR41)? 1'b0 : VAR31;
assign VAR29 = (!VAR37 & !VAR41)? 1'b0 : VAR14;
assign VAR11 = (!VAR37 & !VAR41)? 1'b0 : VAR10;
assign VAR42 = (!VAR37 & !VAR41)? 1'b0 : VAR8;
assign VAR32 = (!VAR37 & !VAR41)? 1'b0 : VAR38;
task VAR36;
input[31:0] VAR9;
begin
VAR2[0] = VAR9[0];
VAR2[1] = VAR9[1];
VAR2[2] = VAR9[2];
VAR2[3] = VAR9[3];
VAR2[12] = VAR9[12];
VAR2[13] = VAR9[13];
VAR2[16] = VAR9[16];
VAR2[17] = VAR9[17];
VAR2[20] = VAR9[20];
VAR2[21] = VAR9[21];
VAR2[22] = VAR9[22];
VAR2[23] = VAR9[23];
VAR2[24] = VAR9[24];
end
endtask
always@(negedge VAR37 or negedge VAR41) VAR2 = 32'h01f3300f;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/xor2/sky130_fd_sc_hdll__xor2.pp.symbol.v
| 1,302 |
module MODULE1 (
input VAR6 ,
input VAR2 ,
output VAR7 ,
input VAR5 ,
input VAR3,
input VAR1,
input VAR4
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/or2b/sky130_fd_sc_ls__or2b.functional.pp.v
| 1,924 |
module MODULE1 (
VAR2 ,
VAR1 ,
VAR10 ,
VAR5,
VAR11,
VAR14 ,
VAR13
);
output VAR2 ;
input VAR1 ;
input VAR10 ;
input VAR5;
input VAR11;
input VAR14 ;
input VAR13 ;
wire VAR15 ;
wire VAR7 ;
wire VAR12;
not VAR3 (VAR15 , VAR10 );
or VAR9 (VAR7 , VAR15, VAR1 );
VAR6 VAR4 (VAR12, VAR7, VAR5, VAR11);
buf VAR8 (VAR2 , VAR12 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/sdlclkp/sky130_fd_sc_hd__sdlclkp.symbol.v
| 1,338 |
module MODULE1 (
input VAR7 ,
input VAR6 ,
input VAR1,
output VAR5
);
supply1 VAR8;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
marmolejo/zet
|
cores/flash/flash8_r2.v
| 3,665 |
module MODULE1 (
input VAR5,
input VAR9,
input [15:0] VAR16,
output reg [15:0] VAR10,
input VAR6,
input VAR17,
input [ 1:0] VAR3,
input VAR13,
input VAR18,
output reg VAR11,
output reg [22:0] VAR14,
input [ 7:0] VAR12,
output VAR21,
output reg VAR1,
output reg VAR7,
output VAR2
);
wire VAR22;
wire VAR4;
wire VAR20;
reg [21:0] address;
wire word;
wire VAR23;
reg [ 3:0] VAR8;
reg [ 7:0] VAR19;
assign VAR22 = VAR13 & VAR18;
assign word = VAR3==2'b11;
assign VAR23 = VAR22 & word & !VAR6;
assign VAR2 = 1'b1;
assign VAR21 = 1'b1;
assign VAR20 = (VAR3==2'b10) | (word & |VAR8[2:1]);
assign VAR4 = VAR22 & VAR6;
always @(posedge VAR5)
VAR14 <= { address, VAR20 };
always @(posedge VAR5)
VAR1 <= !(VAR22 & !VAR6);
always @(posedge VAR5)
VAR7 <= !(VAR22 & !VAR6);
always @(posedge VAR5)
VAR10 <= VAR9 ? 16'h0
: (VAR8[2] ? (VAR3[1] ? { VAR12, VAR19 }
: { 8'h0, VAR12 })
: VAR10);
always @(posedge VAR5)
VAR11 <= VAR9 ? 1'b0
: (VAR11 ? 1'b0 : (VAR22 & (VAR6 ? 1'b1 : VAR8[2])));
always @(posedge VAR5)
VAR8 <= VAR9 ? 4'h0
: (VAR22 & VAR8==4'h0 ? (word ? 4'b0001 : 4'b0100)
: { VAR8[2:0], 1'b0 });
always @(posedge VAR5)
VAR19 <= VAR9 ? 8'h0 : (VAR23 & VAR8[1] ? VAR12 : 8'h0);
address <= 22'h000000; else
if(VAR4) case(VAR17) VAR15: address[15: 0] <= VAR16;
default: ; endcase
endmodule
|
gpl-3.0
|
rfotino/consolite-hardware
|
proj/ipcore_dir/s6_lpddr_ram/user_design/rtl/mcb_controller/mcb_soft_calibration.v
| 57,110 |
module MODULE1 # (
parameter VAR19 = 10'd512, parameter VAR120 = "VAR15", parameter VAR111 = "VAR74", parameter VAR205 = 1'b0, parameter VAR253 = 1'b0, parameter VAR54 = 1'b1, parameter VAR28 = "VAR222"
)
(
input wire VAR230, input wire VAR153, output reg VAR33,
input wire VAR172, input wire VAR189,
input wire VAR143,
output reg VAR7 ,
output reg VAR175,
output wire VAR97, output wire VAR141, input wire VAR209, input wire VAR198, output reg VAR133 = 1'b0, input wire VAR128, input wire VAR160, output reg VAR150 = 1'b0, output wire VAR156, output wire VAR218, input wire VAR219, input wire VAR59, input wire VAR24, output wire VAR83, output reg VAR193 = 1'b1, output wire VAR76, output reg [4:0] VAR145 = 5'b0, output reg VAR78 = 1'b1, output reg VAR51 = 1'b0, output reg VAR246 = 1'b0,
output reg VAR197 = 1'b0,
output reg VAR162 = 1'b0,
output reg VAR69 = 1'b0,
output reg VAR144 = 1'b0,
output reg VAR227 = 1'b0,
output wire VAR125, output reg VAR29 = 1'b0,
output reg VAR16 = 1'b0,
output reg VAR9 = 1'b0, output reg VAR68,
output reg VAR88,
output reg [3:0] VAR79,
input wire [7:0] VAR130,
input wire VAR146,
input wire VAR65,
input wire VAR22,
output wire VAR250, output reg [7:0] VAR122,
output reg VAR190
);
localparam [4:0]
VAR154 = {4'h0, 1'b1},
VAR93 = {4'h0, 1'b0},
VAR138 = {4'h1, 1'b1},
VAR55 = {4'h1, 1'b0},
VAR216 = {4'h2, 1'b1},
VAR42 = {4'h2, 1'b0},
VAR131 = {4'h3, 1'b1},
VAR214 = {4'h3, 1'b0},
VAR63 = {4'h4, 1'b1},
VAR77 = {4'h4, 1'b0},
VAR99 = {4'h5, 1'b1},
VAR100 = {4'h5, 1'b0},
VAR34 = {4'h6, 1'b1},
VAR31 = {4'h6, 1'b0},
VAR252 = {4'h7, 1'b1},
VAR149 = {4'h7, 1'b0},
VAR220 = {4'h8, 1'b1},
VAR173 = {4'h8, 1'b0},
VAR233 = {4'h9, 1'b1},
VAR5 = {4'h9, 1'b0},
VAR6 = {4'hA, 1'b1},
VAR118 = {4'hA, 1'b0},
VAR136 = {4'hB, 1'b1},
VAR139 = {4'hB, 1'b0},
VAR3 = {4'hC, 1'b1},
VAR110 = {4'hC, 1'b0},
VAR85 = {4'hD, 1'b1},
VAR157 = {4'hD, 1'b0},
VAR101 = {4'hE, 1'b1},
VAR247 = {4'hE, 1'b0},
VAR245 = {4'hF, 1'b1},
VAR2 = {4'hF, 1'b0};
localparam [5:0] VAR43 = 6'h00,
VAR17 = 6'h01,
VAR12 = 6'h02,
VAR165 = 6'h03,
VAR217 = 6'h04,
VAR202 = 6'h05,
VAR195 = 6'h06,
VAR58 = 6'h07,
VAR105 = 6'h08,
VAR155 = 6'h09,
VAR185 = 6'h0A,
VAR168 = 6'h0B,
VAR137 = 6'h0C,
VAR73 = 6'h0D,
VAR174 = 6'h0E,
VAR200 = 6'h0F,
VAR64 = 6'h10,
VAR44 = 6'h11,
VAR11 = 6'h12,
VAR49 = 6'h13,
VAR80 = 6'h14,
VAR180 = 6'h15,
VAR201 = 6'h16,
VAR18 = 6'h17,
VAR166 = 6'h18,
VAR119 = 6'h19,
VAR103 = 6'h1A,
VAR249 = 6'h1B,
VAR244 = 6'h1C,
VAR60 = 6'h1D,
VAR109 = 6'h1E,
VAR176 = 6'h1F,
VAR10 = 6'h20,
VAR178 = 6'h21,
VAR177 = 6'h22,
VAR212 = 6'h23,
VAR56 = 6'h24,
VAR226 = 6'h25,
VAR21 = 6'h26,
VAR96 = 6'h27,
VAR171 = 6'h28,
VAR228 = 6'h29,
VAR38 = 6'h2A;
localparam [1:0] VAR81 = 2'b00,
VAR67 = 2'b01,
VAR52 = 2'b11;
localparam VAR98 = 1'b0;
localparam VAR132 = 1'b1;
localparam [7:0] VAR1 = 8'h00,
VAR234 = 8'h01,
VAR8 = 8'h02,
VAR158 = 8'h03,
VAR112 = 8'h04,
VAR39 = 8'h05,
VAR170 = 8'h06,
VAR148 = 8'h07,
VAR122 = 8'h08;
localparam [7:0] VAR71 = 8'h80,
VAR126 = 8'h81,
VAR53 = 8'h82,
VAR40 = 8'h83,
VAR27 = 8'h84,
VAR159 = 8'h85,
VAR41 = 8'h86,
VAR36 = 8'h87,
VAR87 = 8'h88;
localparam VAR107 = 7;
localparam VAR62 = 4;
localparam VAR117 = 1'b1; localparam VAR232 = 9;
localparam VAR192 = 8;
localparam VAR237 = 7;
localparam VAR23 = 8;
localparam VAR45 = 3;
localparam VAR240 = 8;
localparam VAR129= 8'h03;
reg [5:0] VAR126 ;
reg [6:0] VAR40 ;
reg [5:0] VAR167 ;
reg [6:0] VAR26 ;
reg [5:0] VAR75 = VAR43;
reg [7:0] VAR161 ;
reg [7:0] VAR231 ;
reg [1:0] VAR186 ;
reg VAR248 = 1'b0;
reg VAR82 = 1'b0;
reg VAR134 = 1'b0;
reg VAR211 = 1'b0;
reg VAR13 = 1'b0;
reg VAR94 = 1'b1 ; reg VAR243;
reg [7:0] VAR30 = 8'b0 ;
reg [5:0] VAR142 = 6'd0; reg VAR50 = 1'b0; reg VAR204 = 1'b0; reg VAR4 = 1'b1; reg [7:0] VAR92 = 8'b0 ;
reg [7:0] VAR70 ; reg [7:0] VAR183; reg [7:0] VAR57; reg [7:0] VAR242;
wire [7:0] VAR203;
wire VAR199;
wire VAR187;
wire [7:0] VAR206;
reg VAR221;
reg VAR135;
reg VAR115;
wire [2:0] VAR102; wire [7:0] VAR95; wire [7:0] VAR25; wire [7:0] VAR152; wire [7:0] VAR20;
reg [9:0] VAR116 = 10'h0;
wire VAR66;
reg VAR184;
reg VAR86;
wire VAR210; wire VAR48;
wire VAR251; wire [7:0] VAR140; wire [7:0] VAR182; wire VAR151;wire VAR179; wire [7:0] VAR208;
wire [7:0] VAR235;
reg VAR163;
reg VAR194;
reg VAR215;
reg VAR90;
reg VAR114;
reg VAR106;
reg VAR225;
reg VAR124;
reg VAR238;
reg VAR191;
reg VAR84;
reg VAR213;
reg VAR196, VAR236;
wire VAR127;
reg [15:0] VAR207;
assign VAR102 = {VAR210,VAR48,VAR24};
assign VAR95 = VAR122 - VAR30;
assign VAR25 = {1'b0,VAR95[7:1]};
assign VAR152 = VAR30 - VAR122;
assign VAR20 = {1'b0,VAR152[7:1]};
assign VAR251 = (VAR120 == "VAR15") ? 1'b1 : 1'b0; assign VAR235 = VAR122 >> 1;
assign VAR208 = VAR122 >> 2;
assign VAR140 = VAR208; assign VAR182 = VAR235; assign VAR151 = VAR54 || VAR205; assign VAR179 = ~VAR251 || VAR253;
always @ (posedge VAR230)
VAR33 <= ((VAR92 != 8'h00) || (VAR75 == VAR38)) && VAR59;
VAR113 VAR113(
.VAR72 (VAR161),
.VAR89 (VAR231),
.VAR108 (VAR203),
.VAR229 (VAR248),
.VAR35 (VAR82),
.VAR104 (VAR199),
.VAR223 (1'b0),
.VAR123 (VAR221),
.VAR61 (VAR230),
.VAR121 (VAR187),
.VAR239 (VAR141),
.VAR241 (VAR97),
.VAR164 (VAR243),
.VAR14 ()
);
VAR224 VAR224(
.VAR72 (VAR161),
.VAR89 (VAR231),
.VAR108 (VAR206),
.VAR229 (VAR248),
.VAR35 (VAR211),
.VAR104 (VAR188),
.VAR223 (VAR13),
.VAR91 (VAR145),
.VAR123 (VAR221),
.VAR61 (VAR230),
.VAR121 (VAR83),
.VAR239 (VAR218),
.VAR241 (VAR156),
.VAR14 (VAR76),
.VAR164 (VAR219),
.VAR125 (VAR125)
);
function [7:0] VAR46;
input [7:0] VAR47;
input [7:0] VAR37;
input [7:0] VAR32;
reg [3:0] VAR142;
reg [15:0] VAR147;
begin
VAR147 = 0;
for (VAR142 = 0; VAR142 < VAR37; VAR142 = VAR142+1) begin
VAR147 = VAR147 + VAR47;
end
VAR147 = VAR147 / VAR32;
VAR46 = VAR147[7:0];
end
endfunction
generate
if (VAR111 == "VAR74") begin: VAR181
always @ (posedge VAR230, posedge VAR153)
begin
if (VAR153)
VAR207 <= 'b0;
end
else
if (VAR207[15]) VAR207 <= VAR207 ;
end
else
VAR207 <= VAR207 + 1'b1;
end
end
else begin: VAR169
begin
begin
end
begin
end
begin
end
|
mit
|
ShepardSiegel/ocpi
|
libsrc/hdl/ocpi/fpgaTop_ml555.v
| 1,094 |
module MODULE1(
input wire VAR1, input wire VAR7, input wire VAR19, input wire VAR10, input wire VAR8, output wire [7:0] VAR18, output wire [7:0] VAR2,
input wire [7:0] VAR17,
input wire [7:0] VAR4,
output wire [2:0] VAR12, input wire VAR9, output wire VAR6 );
VAR5 VAR13(
.VAR1 (VAR1),
.VAR7 (VAR7),
.VAR19 (VAR19),
.VAR10 (VAR10),
.VAR8 (VAR8),
.VAR14 (VAR17),
.VAR11 (VAR4),
.VAR20 (VAR18),
.VAR16 (VAR2),
.VAR12 (VAR12),
.VAR15 (VAR9),
.VAR3 (VAR6)
);
endmodule
|
lgpl-3.0
|
csturton/wirepatch
|
system/hardware/cores/or1200/or1200_spram_128x32.v
| 7,595 |
module MODULE1(
VAR17, VAR28, VAR16,
clk, rst, VAR18, VAR6, VAR24, addr, VAR3, VAR23
);
parameter VAR21 = 7;
parameter VAR4 = 32;
input VAR17;
input [VAR5 - 1:0] VAR16;
output VAR28;
input clk; input rst; input VAR18; input VAR6; input VAR24; input [VAR21-1:0] addr; input [VAR4-1:0] VAR3; output [VAR4-1:0] VAR23;
VAR19 VAR26(
.VAR10(clk),
.VAR2(1'b0),
.VAR25({1'b0, addr}),
.VAR15(VAR3[15:0]),
.VAR13(VAR18),
.VAR1(VAR6),
.VAR14(VAR23[15:0])
);
VAR19 VAR20(
.VAR10(clk),
.VAR2(1'b0),
.VAR25({1'b0, addr}),
.VAR15(VAR3[31:16]),
.VAR13(VAR18),
.VAR1(VAR6),
.VAR14(VAR23[31:16])
);
VAR9 VAR11(
.VAR10(clk),
.VAR22(1'b0),
.VAR25({2'b00, addr}),
.VAR15(VAR3),
.VAR7(4'h0),
.VAR13(VAR18),
.VAR1(VAR6),
.VAR14(VAR23),
.VAR8()
);
reg [VAR4-1:0] VAR27 [(1<<VAR21)-1:0]; reg [VAR21-1:0] VAR29;
assign VAR23 = (VAR24) ? VAR27[VAR29] : {VAR4{1'b0}};
always @(posedge clk or VAR12 rst)
if (rst == VAR30)
VAR29 <= {VAR21{1'b0}};
else if (VAR18)
VAR29 <= addr;
always @(posedge clk)
if (VAR18 && VAR6)
VAR27[addr] <= VAR3;
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai33/gf180mcu_fd_sc_mcu9t5v0__oai33_1.functional.pp.v
| 1,864 |
module MODULE1( VAR6, VAR21, VAR22, VAR2, VAR14, VAR18, VAR12, VAR8, VAR16 );
input VAR14, VAR18, VAR12, VAR22, VAR21, VAR6;
inout VAR8, VAR16;
output VAR2;
wire VAR17;
not VAR4( VAR17, VAR14 );
wire VAR5;
not VAR25( VAR5, VAR18 );
wire VAR3;
not VAR9( VAR3, VAR12 );
wire VAR13;
and VAR24( VAR13, VAR17, VAR5, VAR3 );
wire VAR7;
not VAR26( VAR7, VAR22 );
wire VAR11;
not VAR23( VAR11, VAR21 );
wire VAR15;
not VAR20( VAR15, VAR6 );
wire VAR1;
and VAR10( VAR1, VAR7, VAR11, VAR15 );
or VAR19( VAR2, VAR13, VAR1 );
endmodule
|
apache-2.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/memory_if/ui_top.v
| 13,780 |
module MODULE1 #
(
parameter VAR53 = 100,
parameter VAR51 = 256,
parameter VAR74 = 32,
parameter VAR1 = 3,
parameter VAR35 = 12,
parameter VAR3 = 5,
parameter VAR38 = "VAR28",
parameter VAR69 = "VAR28",
parameter VAR33 = "VAR2",
parameter VAR10 = 4,
parameter VAR66 = 2,
parameter VAR36 = 16,
parameter VAR60 = "VAR13"
)
(
VAR47, VAR22, VAR43, VAR25, VAR50, VAR32, VAR75,
VAR20, VAR18, VAR30, VAR17, VAR58, VAR56, VAR24,
VAR73, VAR59, VAR67,
VAR11, VAR42,
VAR46, VAR37, VAR48, rst, VAR49,
VAR52, VAR54, VAR31, VAR23, VAR62, clk,
VAR40, VAR44, VAR16, VAR45, VAR39,
VAR14, VAR9, VAR5, VAR41, VAR19, VAR72,
VAR26, VAR29
);
input VAR26;
localparam VAR34 = VAR66 + VAR1 + VAR36 + VAR35;
input VAR29;
output wire VAR42;
assign VAR42 = VAR29;
input VAR72; input [VAR34-1:0] VAR19; input [2:0] VAR41; input VAR5; input VAR9; input [3:0] VAR14; input VAR39; input [VAR51-1:0] VAR45; input VAR16; input [VAR74-1:0] VAR44; input VAR40; input clk; input [3:0] VAR62; input [VAR51-1:0] VAR23; input [3:0] VAR31; input VAR54; input VAR52; input VAR49; input rst; input [3:0] VAR48; input VAR37; input VAR46;
output [3:0] VAR11; output [VAR51-1:0] VAR67; output VAR59; output VAR73; output VAR24; output VAR56; output [VAR1-1:0] VAR58; output [2:0] VAR17; output [VAR35-1:0] VAR30; output [3:0] VAR18; output VAR20; output [VAR66-1:0] VAR75; output [3:0] VAR32; output [VAR36-1:0] VAR50; output VAR25; output VAR43; output [VAR51-1:0] VAR22; output [VAR74-1:0] VAR47;
wire [3:0] VAR8; wire VAR6; wire VAR21; wire VAR7; wire [3:0] VAR4; wire VAR63; wire [3:0] VAR57; wire VAR27; wire [VAR34-1 : 0] VAR55;
reg [9:0] VAR65;
reg VAR15;
always @(posedge clk) begin
VAR65 <= {VAR65[8:0],rst};
end
always @(posedge clk) begin
VAR15 <= VAR65[9];
end
generate
if ( VAR10 > 1 )
assign VAR55 = VAR19;
else
assign VAR55 = {1'b0,VAR19[VAR34-2 : 0]};
endgenerate
VAR68 #
(
.VAR53 (VAR53),
.VAR34 (VAR34),
.VAR1 (VAR1),
.VAR35 (VAR35),
.VAR66 (VAR66),
.VAR36 (VAR36),
.VAR10 (VAR10),
.VAR60 (VAR60))
VAR12
(
.VAR24 (VAR24),
.VAR43 (VAR43),
.VAR75 (VAR75[VAR66-1:0]),
.VAR58 (VAR58[VAR1-1:0]),
.VAR50 (VAR50[VAR36-1:0]),
.VAR30 (VAR30[VAR35-1:0]),
.VAR25 (VAR25),
.VAR17 (VAR17[2:0]),
.VAR20 (VAR20),
.VAR21 (VAR21),
.VAR63 (VAR63),
.VAR18 (VAR18[3:0]),
.rst (VAR15),
.clk (clk),
.VAR72 (VAR72),
.VAR7 (VAR7),
.VAR27 (VAR27),
.VAR19 (VAR55[VAR34-1:0]),
.VAR41 (VAR41[2:0]),
.VAR39 (VAR39),
.VAR9 (VAR9),
.VAR5 (VAR5),
.VAR57 (VAR57[3:0]),
.VAR4 (VAR4[3:0]));
VAR64 #
(
.VAR53 (VAR53),
.VAR51 (VAR51),
.VAR74 (VAR74),
.VAR38 (VAR38),
.VAR69 (VAR69),
.VAR3 (VAR3))
VAR61
(
.VAR56 (VAR56),
.VAR27 (VAR27),
.VAR57 (VAR57[3:0]),
.VAR22 (VAR22[VAR51-1:0]),
.VAR47 (VAR47[VAR74-1:0]),
.VAR32 (VAR32[3:0]),
.rst (VAR15),
.clk (clk),
.VAR45 (VAR45[VAR51-1:0]),
.VAR44 (VAR44[VAR74-1:0]),
.VAR14 (VAR14[3:0]),
.VAR40 (VAR40),
.VAR16 (VAR16),
.VAR46 (VAR46),
.VAR48 (VAR48[3:0]),
.VAR37 (VAR37),
.VAR63 (VAR63),
.VAR6 (VAR6),
.VAR8 (VAR8[3:0]));
VAR71 #
(
.VAR53 (VAR53),
.VAR51 (VAR51),
.VAR38 (VAR38),
.VAR33 (VAR33))
VAR70
(
.VAR6 (VAR6),
.VAR8 (VAR8[3:0]),
.VAR73 (VAR73),
.VAR59 (VAR59),
.VAR67 (VAR67[VAR51-1:0]),
.VAR11 (VAR11[3:0]),
.VAR7 (VAR7),
.VAR4 (VAR4[3:0]),
.rst (VAR15),
.clk (clk),
.VAR54 (VAR54),
.VAR31 (VAR31[3:0]),
.VAR49 (VAR49),
.VAR52 (VAR52),
.VAR23 (VAR23[VAR51-1:0]),
.VAR62 (VAR62[3:0]),
.VAR21 (VAR21));
endmodule
|
mit
|
MiddleMan5/233
|
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_prog_rom_0_0/RAT_prog_rom_0_0_stub.v
| 1,298 |
module MODULE1(VAR2, VAR1, VAR3)
;
input [9:0]VAR2;
output [17:0]VAR1;
input VAR3;
endmodule
|
mit
|
andykarpov/radio-86rk-wxeda
|
src/rom/biossd.v
| 6,468 |
module MODULE1 (
address,
VAR43,
VAR33);
input [11:0] address;
input VAR43;
output [7:0] VAR33;
tri1 VAR43;
wire [7:0] VAR3;
wire [7:0] VAR33 = VAR3[7:0];
VAR15 VAR11 (
.VAR13 (VAR43),
.VAR47 (address),
.VAR18 (VAR3),
.VAR4 (1'b0),
.VAR50 (1'b0),
.VAR9 (1'b1),
.VAR32 (1'b0),
.VAR40 (1'b0),
.VAR45 (1'b1),
.VAR51 (1'b1),
.VAR37 (1'b1),
.VAR16 (1'b1),
.VAR39 (1'b1),
.VAR49 (1'b1),
.VAR5 (1'b1),
.VAR6 ({8{1'b1}}),
.VAR41 (1'b1),
.VAR44 (),
.VAR42 (),
.VAR21 (1'b1),
.VAR28 (1'b1),
.VAR35 (1'b0),
.VAR22 (1'b0));
VAR11.VAR36 = "VAR52",
VAR11.VAR27 = "VAR52",
VAR11.VAR26 = "./VAR20/VAR24/MODULE1.VAR10"
VAR11.VAR26 = "./VAR20/VAR24/MODULE1.VAR46"
,
VAR11.VAR12 = "VAR2 VAR1",
VAR11.VAR8 = "VAR29=VAR34",
VAR11.VAR17 = "VAR15",
VAR11.VAR53 = 4096,
VAR11.VAR25 = "VAR31",
VAR11.VAR14 = "VAR38",
VAR11.VAR23 = "VAR7",
VAR11.VAR19 = 12,
VAR11.VAR48 = 8,
VAR11.VAR30 = 1;
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/fill/sky130_fd_sc_lp__fill.behavioral.v
| 1,110 |
module MODULE1 ();
supply1 VAR4;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
yahniukov/FIFO_Verilog
|
src/design/fifo.v
| 2,950 |
module MODULE1 ( VAR31, VAR29, VAR3, VAR18, VAR15, VAR33, VAR24, VAR5, VAR16, reset );
parameter VAR9 = 1;
parameter VAR8 = 8;
localparam VAR13 = VAR12(VAR8);
input wire [VAR9 - 1 : 0] VAR31;
input wire VAR29, VAR3;
output wire [VAR9 - 1 : 0] VAR18;
input wire VAR15, VAR33;
output wire VAR24;
output wire VAR5, VAR16;
input wire reset;
wire [VAR13 - 1 : 0] VAR17, VAR4;
wire [VAR8 - 1 : 0] VAR7;
function integer VAR12;
input integer VAR25;
begin
VAR25 = VAR25-1;
for (VAR12=0; VAR25>0; VAR12=VAR12+1)
VAR25 = VAR25>>1;
end
endfunction
counter #( .VAR13(VAR13) )
VAR6 (
.clk(VAR29),
.en(VAR3),
.reset(reset),
.addr(VAR17)
);
counter #( .VAR13(VAR13) )
VAR11 (
.clk(VAR15),
.en(VAR33),
.reset(reset),
.addr(VAR4)
);
VAR27 #(
.VAR10(VAR13),
.VAR21(VAR8 - 1)
) VAR32 (
.VAR14(VAR17),
.VAR23(VAR5)
);
VAR27 #(
.VAR10(VAR13),
.VAR21(0)
) VAR20 (
.VAR14(VAR4),
.VAR23(VAR16)
);
VAR26 #(
.VAR34(VAR9),
.VAR19(VAR13),
.VAR2(VAR8)
) VAR26 (
.VAR31(VAR31),
.VAR29(VAR29),
.VAR3(VAR3),
.VAR17(VAR17),
.VAR7(VAR7),
.VAR5(VAR5),
.reset(reset)
);
VAR28 #(
.VAR1(VAR9),
.VAR22(VAR13),
.VAR2(VAR8)
) VAR28 (
.VAR7({VAR31, VAR7[VAR8 - 2 : 0]}),
.VAR5(VAR5),
.VAR18(VAR18),
.VAR15(VAR15),
.VAR33(VAR33),
.VAR4(VAR4),
.reset(reset | VAR5)
);
VAR30 #(
.VAR8(VAR8),
.VAR13(VAR13)
) VAR30 (
.VAR5(VAR5),
.VAR15(VAR15),
.reset(reset),
.VAR24(VAR24)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o211a/sky130_fd_sc_lp__o211a_0.v
| 2,348 |
module MODULE2 (
VAR11 ,
VAR2 ,
VAR6 ,
VAR8 ,
VAR5 ,
VAR7,
VAR1,
VAR4 ,
VAR10
);
output VAR11 ;
input VAR2 ;
input VAR6 ;
input VAR8 ;
input VAR5 ;
input VAR7;
input VAR1;
input VAR4 ;
input VAR10 ;
VAR9 VAR3 (
.VAR11(VAR11),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR11 ,
VAR2,
VAR6,
VAR8,
VAR5
);
output VAR11 ;
input VAR2;
input VAR6;
input VAR8;
input VAR5;
supply1 VAR7;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR10 ;
VAR9 VAR3 (
.VAR11(VAR11),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ip/design_1_xbar_1/synth/design_1_xbar_1.v
| 19,518 |
module MODULE1 (
VAR66,
VAR85,
VAR70,
VAR20,
VAR99,
VAR12,
VAR98,
VAR9,
VAR17,
VAR3,
VAR131,
VAR88,
VAR67,
VAR84,
VAR5,
VAR65,
VAR55,
VAR123,
VAR58,
VAR63,
VAR25,
VAR120,
VAR80,
VAR100,
VAR72,
VAR56,
VAR101,
VAR31,
VAR32,
VAR93,
VAR122,
VAR44,
VAR91,
VAR103,
VAR76,
VAR37,
VAR45,
VAR75,
VAR69,
VAR81,
VAR23,
VAR27,
VAR51,
VAR30,
VAR114,
VAR87,
VAR130,
VAR50,
VAR124,
VAR35,
VAR19,
VAR119,
VAR64,
VAR29,
VAR36,
VAR94,
VAR106,
VAR126,
VAR22,
VAR41,
VAR113,
VAR92,
VAR97,
VAR15,
VAR26,
VAR62,
VAR52,
VAR116,
VAR96,
VAR11,
VAR107,
VAR48,
VAR53,
VAR7,
VAR6,
VAR34,
VAR74,
VAR59
);
input wire VAR66;
input wire VAR85;
input wire [1 : 0] VAR70;
input wire [63 : 0] VAR20;
input wire [15 : 0] VAR99;
input wire [5 : 0] VAR12;
input wire [3 : 0] VAR98;
input wire [1 : 0] VAR9;
input wire [7 : 0] VAR17;
input wire [5 : 0] VAR3;
input wire [7 : 0] VAR131;
input wire [1 : 0] VAR88;
output wire [1 : 0] VAR67;
input wire [127 : 0] VAR84;
input wire [15 : 0] VAR5;
input wire [1 : 0] VAR65;
input wire [1 : 0] VAR55;
output wire [1 : 0] VAR123;
output wire [1 : 0] VAR58;
output wire [3 : 0] VAR63;
output wire [1 : 0] VAR25;
input wire [1 : 0] VAR120;
input wire [1 : 0] VAR80;
input wire [63 : 0] VAR100;
input wire [15 : 0] VAR72;
input wire [5 : 0] VAR56;
input wire [3 : 0] VAR101;
input wire [1 : 0] VAR31;
input wire [7 : 0] VAR32;
input wire [5 : 0] VAR93;
input wire [7 : 0] VAR122;
input wire [1 : 0] VAR44;
output wire [1 : 0] VAR91;
output wire [1 : 0] VAR103;
output wire [127 : 0] VAR76;
output wire [3 : 0] VAR37;
output wire [1 : 0] VAR45;
output wire [1 : 0] VAR75;
input wire [1 : 0] VAR69;
output wire [0 : 0] VAR81;
output wire [31 : 0] VAR23;
output wire [7 : 0] VAR27;
output wire [2 : 0] VAR51;
output wire [1 : 0] VAR30;
output wire [0 : 0] VAR114;
output wire [3 : 0] VAR87;
output wire [2 : 0] VAR130;
output wire [3 : 0] VAR50;
output wire [3 : 0] VAR124;
output wire [0 : 0] VAR35;
input wire [0 : 0] VAR19;
output wire [63 : 0] VAR119;
output wire [7 : 0] VAR64;
output wire [0 : 0] VAR29;
output wire [0 : 0] VAR36;
input wire [0 : 0] VAR94;
input wire [0 : 0] VAR106;
input wire [1 : 0] VAR126;
input wire [0 : 0] VAR22;
output wire [0 : 0] VAR41;
output wire [0 : 0] VAR113;
output wire [31 : 0] VAR92;
output wire [7 : 0] VAR97;
output wire [2 : 0] VAR15;
output wire [1 : 0] VAR26;
output wire [0 : 0] VAR62;
output wire [3 : 0] VAR52;
output wire [2 : 0] VAR116;
output wire [3 : 0] VAR96;
output wire [3 : 0] VAR11;
output wire [0 : 0] VAR107;
input wire [0 : 0] VAR48;
input wire [0 : 0] VAR53;
input wire [63 : 0] VAR7;
input wire [1 : 0] VAR6;
input wire [0 : 0] VAR34;
input wire [0 : 0] VAR74;
output wire [0 : 0] VAR59;
VAR73 #(
.VAR95("VAR109"),
.VAR68(2),
.VAR46(1),
.VAR127(1),
.VAR18(32),
.VAR77(64),
.VAR86(0),
.VAR42(1),
.VAR33(64'VAR39),
.VAR2(32'VAR24),
.VAR121(64'VAR110),
.VAR40(64'VAR39),
.VAR78(0),
.VAR54(1),
.VAR1(1),
.VAR21(1),
.VAR102(1),
.VAR49(1),
.VAR117(32'VAR83),
.VAR112(32'VAR13),
.VAR105(0),
.VAR71(64'VAR39),
.VAR115(64'VAR4),
.VAR89(64'VAR4),
.VAR108(32'VAR111),
.VAR125(32'VAR111),
.VAR60(64'VAR39),
.VAR47(32'VAR10),
.VAR129(1)
) VAR38 (
.VAR66(VAR66),
.VAR85(VAR85),
.VAR70(VAR70),
.VAR20(VAR20),
.VAR99(VAR99),
.VAR12(VAR12),
.VAR98(VAR98),
.VAR9(VAR9),
.VAR17(VAR17),
.VAR3(VAR3),
.VAR131(VAR131),
.VAR43(2'VAR16),
.VAR88(VAR88),
.VAR67(VAR67),
.VAR104(2'VAR16),
.VAR84(VAR84),
.VAR5(VAR5),
.VAR65(VAR65),
.VAR28(2'VAR16),
.VAR55(VAR55),
.VAR123(VAR123),
.VAR58(VAR58),
.VAR63(VAR63),
.VAR8(),
.VAR25(VAR25),
.VAR120(VAR120),
.VAR80(VAR80),
.VAR100(VAR100),
.VAR72(VAR72),
.VAR56(VAR56),
.VAR101(VAR101),
.VAR31(VAR31),
.VAR32(VAR32),
.VAR93(VAR93),
.VAR122(VAR122),
.VAR57(2'VAR16),
.VAR44(VAR44),
.VAR91(VAR91),
.VAR103(VAR103),
.VAR76(VAR76),
.VAR37(VAR37),
.VAR45(VAR45),
.VAR128(),
.VAR75(VAR75),
.VAR69(VAR69),
.VAR81(VAR81),
.VAR23(VAR23),
.VAR27(VAR27),
.VAR51(VAR51),
.VAR30(VAR30),
.VAR114(VAR114),
.VAR87(VAR87),
.VAR130(VAR130),
.VAR50(VAR50),
.VAR124(VAR124),
.VAR82(),
.VAR35(VAR35),
.VAR19(VAR19),
.VAR90(),
.VAR119(VAR119),
.VAR64(VAR64),
.VAR29(VAR29),
.VAR14(),
.VAR36(VAR36),
.VAR94(VAR94),
.VAR106(VAR106),
.VAR126(VAR126),
.VAR79(1'VAR16),
.VAR22(VAR22),
.VAR41(VAR41),
.VAR113(VAR113),
.VAR92(VAR92),
.VAR97(VAR97),
.VAR15(VAR15),
.VAR26(VAR26),
.VAR62(VAR62),
.VAR52(VAR52),
.VAR116(VAR116),
.VAR96(VAR96),
.VAR11(VAR11),
.VAR118(),
.VAR107(VAR107),
.VAR48(VAR48),
.VAR53(VAR53),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR34(VAR34),
.VAR61(1'VAR16),
.VAR74(VAR74),
.VAR59(VAR59)
);
endmodule
|
gpl-3.0
|
myriadrf/A2300
|
hdl/wca/WcaWriteFifo8W32R.v
| 1,805 |
module MODULE1(
input wire reset,
input wire VAR10, input wire VAR5, output wire [31:0] out,
output wire VAR8, output wire VAR13,
input wire [11:0] VAR2, inout wire [7:0] VAR3 );
parameter VAR9 = 0;
wire VAR1 = (VAR9 == VAR2[11:4]) & VAR2[2];
wire VAR6 = VAR1 & VAR2[1];
VAR11 VAR12 (
.rst(reset), .VAR4(VAR2[0]), .VAR10(VAR10), .din(VAR3), .VAR6(VAR1), .VAR5(VAR5 ), .dout(out), .VAR13(VAR13), .VAR8(VAR8), .VAR14(),
.VAR7()
);
endmodule
|
gpl-2.0
|
onchipuis/mriscv_vivado
|
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/ip_top/mig_7series_v4_0_memc_ui_top_axi.v
| 57,364 |
module MODULE1 #
(
parameter VAR353 = 100,
parameter VAR343 = "135", parameter VAR401 = 64,
parameter VAR61 = "VAR53",
parameter VAR362 = "0", parameter VAR142 = 3, parameter VAR225 = 2, parameter VAR294 = "8", parameter VAR133 = "VAR16", parameter VAR372 = "VAR368", parameter VAR333 = 1, parameter VAR205 = 5,
parameter VAR239 = 12, parameter VAR30 = "VAR356", parameter VAR23 = 1, parameter VAR346 = 1, parameter VAR365 = 5,
parameter VAR19 = 64,
parameter VAR114 = 5,
parameter VAR47 = 1,
parameter VAR255 = "VAR11", parameter VAR9 = 8, parameter VAR313 = 6, parameter VAR10 = 64, parameter VAR156 = 3, parameter VAR271 = 8, parameter VAR243 = "VAR351",
parameter VAR226 = 8, parameter VAR183 = "VAR368",
parameter VAR242 = 8,
parameter VAR216 = "VAR368",
parameter VAR383 = 31,
parameter VAR426 = 0, parameter VAR273 = 0, parameter VAR275 = 4,
parameter VAR108 = 2, parameter VAR436 = 1, parameter VAR336 = "VAR203",
parameter VAR169 = "VAR368",
parameter VAR159 = "VAR305", parameter VAR349 = "VAR35", parameter VAR72 = "VAR356", parameter VAR259 = "VAR264",
parameter VAR178 = "VAR125",
parameter VAR303 = 1,
parameter VAR186 = "VAR102",
parameter VAR128 = "VAR368",
parameter VAR429 = "60",
parameter VAR394 = "120",
parameter VAR139 = 2,
parameter VAR357 = 2500, parameter VAR82 = 10000, parameter VAR117 = 40000, parameter VAR295 = 1000000, parameter VAR185 = 37500, parameter VAR425 = 12500, parameter VAR74 = 7800000, parameter VAR230 = 110000, parameter VAR50 = 12500, parameter VAR1 = 10000, parameter VAR223 = 7500, parameter VAR428 = 7500, parameter VAR124 = 128000000, parameter VAR86 = 64, parameter VAR177 = "VAR368", parameter VAR315 = "VAR356", parameter VAR445 = "VAR368",
parameter VAR282 = "VAR368",
parameter VAR25 = "VAR110",
parameter VAR155 = 1,
parameter VAR151 = 4,
parameter VAR408 = 1,
parameter VAR235 = 16, parameter VAR385 = 32,
parameter VAR75 = 8,
parameter VAR63 = 64,
parameter [3:0] VAR80 = 4'b1111,
parameter [3:0] VAR160 = 4'b1111,
parameter [3:0] VAR202 = 4'b1111,
parameter [3:0] VAR387 = 4'b1111,
parameter [3:0] VAR214 = 4'b1111,
parameter [3:0] VAR287 = 4'hc,
parameter [3:0] VAR418 = 4'hf,
parameter [3:0] VAR221 = 4'hf,
parameter [3:0] VAR233 = 4'h0,
parameter [3:0] VAR111 = 4'h0,
parameter [47:0] VAR39 = 48'h000000000000,
parameter [47:0] VAR153 = 48'h000000000000,
parameter [47:0] VAR354 = 48'h000000000000,
parameter [143:0] VAR370
= 144'h000000000000000000000000000000000000,
parameter [191:0] VAR348
= 192'h000000000000000000000000000000000000000000000000,
parameter [35:0] VAR99 = 36'h000000000,
parameter [11:0] VAR228 = 12'h000,
parameter [7:0] VAR208 = 8'h00,
parameter [95:0] VAR312 = 96'h000000000000000000000000,
parameter [95:0] VAR31 = 96'h000000000000000000000000,
parameter VAR457 = "VAR109",
parameter [119:0] VAR283 = 120'h000000000000000000000000000000,
parameter [11:0] VAR375 = 12'h000,
parameter [11:0] VAR33 = 12'h000,
parameter [11:0] VAR149 = 12'h000,
parameter [143:0] VAR266
= 144'h000000000000000000000000000000000000,
parameter [95:0] VAR93 = 96'h000000000000000000000000,
parameter [95:0] VAR79 = 96'h000000000000000000000000,
parameter [95:0] VAR276 = 96'h000000000000000000000000,
parameter [95:0] VAR404 = 96'h000000000000000000000000,
parameter [95:0] VAR182 = 96'h000000000000000000000000,
parameter [95:0] VAR147 = 96'h000000000000000000000000,
parameter [95:0] VAR424 = 96'h000000000000000000000000,
parameter [95:0] VAR443 = 96'h000000000000000000000000,
parameter [95:0] VAR22 = 96'h000000000000000000000000,
parameter [95:0] VAR350 = 96'h000000000000000000000000,
parameter [95:0] VAR405 = 96'h000000000000000000000000,
parameter [95:0] VAR277 = 96'h000000000000000000000000,
parameter [95:0] VAR12 = 96'h000000000000000000000000,
parameter [95:0] VAR382 = 96'h000000000000000000000000,
parameter [95:0] VAR360 = 96'h000000000000000000000000,
parameter [95:0] VAR154 = 96'h000000000000000000000000,
parameter [95:0] VAR170 = 96'h000000000000000000000000,
parameter [95:0] VAR44 = 96'h000000000000000000000000,
parameter [107:0] VAR268 = 108'h000000000000000000000000000,
parameter [107:0] VAR291 = 108'h000000000000000000000000000,
parameter [7:0] VAR329 = 8'b00000001,
parameter [7:0] VAR278 = 8'b00000000,
parameter VAR452 = "VAR184",
parameter [15:0] VAR314 = 16'h0000, parameter [11:0] VAR407 = 12'h000, parameter [2:0] VAR326 = 3'h0, parameter VAR438 = "VAR368",
parameter VAR62 = 300.0,
parameter VAR118 = 1, parameter VAR423 = 1, parameter VAR256 = 1, parameter VAR120 = "VAR356", parameter VAR213 = "VAR356", parameter VAR386 = "VAR356", parameter VAR219 = "VAR356", parameter VAR38 = "VAR109",
parameter VAR361 = 56,
parameter VAR306 = 4,
parameter VAR413 = 30,
parameter VAR24 = 32,
parameter VAR231 = 1,
parameter VAR371 = "VAR14",
parameter VAR116 = 20'h00000,
parameter VAR300 = 20'h00000,
parameter VAR400 = 32,
parameter VAR145 = 32,
parameter VAR311 = 32'h00000000,
parameter VAR6 = 1,
parameter VAR320 = 8,
parameter VAR345 = "VAR301"
)
(
input clk,
input VAR143,
input VAR211,
input [1:0] VAR267,
input VAR2 ,
input VAR240 ,
input VAR435,
input VAR419 ,
input VAR138,
input VAR330,
input rst,
inout [VAR10-1:0] VAR73,
inout [VAR271-1:0] VAR237,
inout [VAR271-1:0] VAR3,
output [VAR235-1:0] VAR254,
output [VAR142-1:0] VAR197,
output VAR393,
output [VAR333-1:0] VAR4,
output [VAR333-1:0] VAR341,
output [VAR346-1:0] VAR432,
output [VAR23*VAR436-1:0] VAR85,
output [VAR9-1:0] VAR165,
output [VAR408-1:0] VAR279,
output VAR322,
output VAR252,
output VAR332,
output VAR78,
output [VAR225-1:0] VAR126,
output [2*VAR108-1:0] VAR260,
output [2*VAR108-1:0] VAR215,
input VAR302,
output VAR455,
input VAR415,
output VAR58,
input VAR43,
output VAR323,
output VAR334,
input [6:0] VAR249,
input VAR363,
input [7:0] VAR104,
input VAR190,
input [11:0] VAR54,
output VAR96,
output VAR251,
input VAR89,
input VAR338,
input VAR195,
input VAR65,
input VAR129,
input VAR163,
input [VAR156-1:0] VAR217,
output [6*VAR271*VAR151-1:0] VAR270,
output [6*VAR271*VAR151-1:0] VAR265,
output [VAR271-1:0] VAR289,
output [2*VAR108*VAR10-1:0] VAR101,
output [1:0] VAR60,
output [1:0] VAR113,
output [1:0] VAR440,
output [5:0] VAR430,
output VAR204,
output VAR166,
output VAR433,
output VAR152,
output [6*VAR271-1:0] VAR352,
output [3*VAR271-1:0] VAR378,
input VAR390,
input [VAR306-1:0] VAR281,
input [VAR413-1:0] VAR187,
input [7:0] VAR88,
input [2:0] VAR409,
input [1:0] VAR64,
input [0:0] VAR158,
input [3:0] VAR397,
input [2:0] VAR299,
input [3:0] VAR66,
input VAR358,
output VAR335,
input [VAR24-1:0] VAR13,
input [VAR24/8-1:0] VAR250,
input VAR308,
input VAR296,
output VAR71,
input VAR106,
output [VAR306-1:0] VAR307,
output [1:0] VAR241,
output VAR135,
input [VAR306-1:0] VAR396,
input [VAR413-1:0] VAR392,
input [7:0] VAR188,
input [2:0] VAR49,
input [1:0] VAR262,
input [0:0] VAR77,
input [3:0] VAR412,
input [2:0] VAR192,
input [3:0] VAR196,
input VAR83,
output VAR263,
input VAR447,
output [VAR306-1:0] VAR87,
output [VAR24-1:0] VAR406,
output [1:0] VAR70,
output VAR148,
output VAR324,
input VAR18,
output VAR451,
input [VAR400-1:0] VAR427,
input VAR297,
output VAR227,
input [VAR145-1:0] VAR134,
output VAR100,
input VAR449,
output [1:0] VAR112,
input VAR439,
output VAR253,
input [VAR400-1:0] VAR284,
output VAR232,
input VAR175,
output [VAR145-1:0] VAR193,
output [1:0] VAR115,
output interrupt,
output VAR245,
input VAR103,
input VAR236,
input [VAR156:0] VAR224,
input VAR389,
input VAR261,
input VAR258,
input VAR181,
input VAR51,
output [6*VAR271*VAR151-1:0] VAR342,
output [5*VAR271*VAR151-1:0] VAR92,
output VAR293,
output [6*VAR271-1:0] VAR130,
output [3*VAR271-1:0] VAR364,
output VAR367,
input VAR122,
input VAR369,
output [6*VAR151-1:0] VAR8,
output [255:0] VAR247,
output [255:0] VAR304,
output [255:0] VAR164,
output [99:0] VAR442,
output [255:0] VAR450,
output [255:0] VAR132,
output [255:0] VAR107,
output [5:0] VAR36,
output [8:0] VAR374,
output VAR179,
output VAR81,
output VAR98,
output VAR136,
output VAR448,
output VAR456,
output VAR198,
output VAR21,
output VAR274,
output [11:0] VAR140,
output [11:0] VAR246,
output [6*VAR151-1:0] VAR90,
output [6*VAR151-1:0] VAR340,
output [5:0] VAR123,
output [5:0] VAR37,
output [5:0] VAR59,
output VAR292,
output VAR94,
output [255:0] VAR172,
output [VAR226*16 -1:0] VAR40,
output [6*VAR271*VAR151-1:0] VAR421,
output [6*VAR271*VAR151-1:0] VAR167,
output [6*VAR271*VAR151-1:0] VAR161,
output [1023:0] VAR69
);
localparam VAR319 = (VAR357 <= 1500)? VAR178 : VAR259;
localparam VAR234 = "VAR189";
localparam VAR434 = "VAR7";
localparam VAR5 = 2*VAR108*VAR19 ;
wire VAR381;
wire [2*VAR108-1:0] VAR191;
wire [2*VAR108-1:0] VAR67;
wire [2*VAR108-1:0] VAR150;
wire [VAR383-1:0] VAR201;
wire VAR26;
wire VAR105;
wire [2*VAR108-1:0] VAR168;
wire [VAR10/8-1:0] VAR391;
wire [VAR10-1:0] VAR174;
wire [VAR47-1:0] VAR17;
wire VAR52;
wire [VAR114-1:0] VAR446;
wire [VAR47-1:0] VAR384;
wire VAR27;
wire [VAR114-1:0] VAR327;
wire VAR377;
wire VAR310;
wire [2*VAR108*VAR401-1:0] VAR437;
wire VAR325;
wire VAR42;
wire VAR229;
wire [VAR235-1:0] VAR127;
wire [VAR155-1:0] VAR146;
wire VAR316;
wire [VAR114-1:0] VAR339;
wire [VAR239-1:0] VAR416;
wire [2:0] VAR309;
wire [VAR142-1:0] VAR220;
wire [2*VAR108*VAR401-1:0] VAR347;
wire [2*VAR108*VAR401/8-1:0] VAR157;
wire [VAR63-1:0] VAR257;
wire [VAR5-1:0] VAR269;
wire VAR422;
wire VAR379;
wire VAR55;
wire VAR453;
wire [VAR385-1:0] VAR206;
wire [2:0] VAR68;
wire VAR194;
wire VAR56;
wire VAR46;
wire [VAR63-1:0] VAR97;
wire [VAR5-1:0] VAR388;
wire VAR337;
wire [VAR75-1:0] VAR399;
wire [VAR5/8-1:0] VAR209;
wire VAR162;
wire VAR328;
wire VAR210;
wire VAR212;
wire VAR420;
wire VAR366;
wire VAR272;
wire VAR318;
wire VAR285;
wire VAR144;
reg reset ;
reg VAR288;
always @(posedge clk)
VAR288 <= VAR245;
always @(posedge clk)
VAR402 #
(
.VAR353 (VAR353),
.VAR343 (VAR343),
.VAR401 (VAR401),
.VAR61 (VAR61),
.VAR362 (VAR362),
.VAR142 (VAR142),
.VAR225 (VAR225),
.VAR294 (VAR294),
.VAR133 (VAR133),
.VAR372 (VAR372),
.VAR333 (VAR333),
.VAR239 (VAR239),
.VAR30 (VAR30),
.VAR23 (VAR23),
.VAR436 (VAR436),
.VAR346 (VAR346),
.VAR19 (VAR19),
.VAR114 (VAR114),
.VAR426 (VAR426),
.VAR47 (VAR47),
.VAR255 (VAR255),
.VAR9 (VAR9),
.VAR313 (VAR313),
.VAR10 (VAR10),
.VAR156 (VAR156),
.VAR271 (VAR271),
.VAR243 (VAR243),
.VAR226 (VAR226),
.VAR183 (VAR183),
.VAR242 (VAR242),
.VAR383 (VAR383),
.VAR62 (VAR62),
.VAR273 (VAR273),
.VAR275 (VAR275),
.VAR108 (VAR108),
.VAR336 (VAR336),
.VAR186 (VAR186),
.VAR169 (VAR169),
.VAR159 (VAR159),
.VAR349 (VAR349),
.VAR72 (VAR72),
.VAR319 (VAR319),
.VAR303 (VAR303),
.VAR128 (VAR128),
.VAR429 (VAR429),
.VAR394 (VAR394),
.VAR205 (VAR205),
.VAR365 (VAR365),
.VAR357 (VAR357),
.VAR82 (VAR82),
.VAR117 (VAR117),
.VAR295 (VAR295),
.VAR185 (VAR185),
.VAR425 (VAR425),
.VAR74 (VAR74),
.VAR230 (VAR230),
.VAR50 (VAR50),
.VAR1 (VAR1),
.VAR223 (VAR223),
.VAR428 (VAR428),
.VAR124 (VAR124),
.VAR86 (VAR86),
.VAR177 (VAR177),
.VAR315 (VAR315),
.VAR445 (VAR445),
.VAR282 (VAR282),
.VAR25 (VAR25),
.VAR155 (VAR155),
.VAR151 (VAR151),
.VAR408 (VAR408),
.VAR235 (VAR235),
.VAR438 (VAR438),
.VAR80 (VAR80),
.VAR160 (VAR160),
.VAR202 (VAR202),
.VAR387 (VAR387),
.VAR214 (VAR214),
.VAR287 (VAR287),
.VAR418 (VAR418),
.VAR221 (VAR221),
.VAR233 (VAR233),
.VAR111 (VAR111),
.VAR39 (VAR39),
.VAR153 (VAR153),
.VAR354 (VAR354),
.VAR370 (VAR370),
.VAR348 (VAR348),
.VAR99 (VAR99),
.VAR228 (VAR228),
.VAR208 (VAR208),
.VAR312 (VAR312),
.VAR31 (VAR31),
.VAR457 (VAR457),
.VAR283 (VAR283),
.VAR375 (VAR375),
.VAR33 (VAR33),
.VAR149 (VAR149),
.VAR266 (VAR266),
.VAR93 (VAR93),
.VAR79 (VAR79),
.VAR276 (VAR276),
.VAR404 (VAR404),
.VAR182 (VAR182),
.VAR147 (VAR147),
.VAR424 (VAR424),
.VAR443 (VAR443),
.VAR22 (VAR22),
.VAR350 (VAR350),
.VAR405 (VAR405),
.VAR277 (VAR277),
.VAR12 (VAR12),
.VAR382 (VAR382),
.VAR360 (VAR360),
.VAR154 (VAR154),
.VAR170 (VAR170),
.VAR44 (VAR44),
.VAR268 (VAR268),
.VAR291 (VAR291),
.VAR329 (VAR329),
.VAR278 (VAR278),
.VAR314 (VAR314),
.VAR407 (VAR407),
.VAR326 (VAR326),
.VAR139 (VAR139),
.VAR118 (VAR118),
.VAR423 (VAR423),
.VAR256 (VAR256),
.VAR120 (VAR120),
.VAR213 (VAR213),
.VAR386 (VAR386),
.VAR219 (VAR219),
.VAR361 (VAR361),
.VAR38 (VAR38),
.VAR345 (VAR345)
)
VAR45
(
.clk (clk),
.VAR143 (VAR143),
.VAR211 (VAR211),
.VAR267 (VAR357 <= 1500 ? VAR267[1] : VAR267[0]),
.VAR2 (VAR2), .VAR240 (VAR240),
.VAR435 (VAR435),
.VAR419 (VAR419),
.VAR138 (VAR138),
.VAR330 (VAR330),
.rst (rst),
.VAR285 (VAR285),
.reset (reset),
.VAR318 (VAR318),
.VAR73 (VAR73),
.VAR237 (VAR237),
.VAR3 (VAR3),
.VAR254 (VAR254),
.VAR197 (VAR197),
.VAR393 (VAR393),
.VAR4 (VAR4),
.VAR341 (VAR341),
.VAR432 (VAR432),
.VAR85 (VAR85),
.VAR165 (VAR165),
.VAR279 (VAR279),
.VAR322 (VAR322),
.VAR252 (VAR252),
.VAR332 (VAR332),
.VAR78 (VAR78),
.VAR180 (VAR329),
.VAR28 (VAR278),
.VAR381 (VAR381),
.VAR220 (VAR220),
.VAR309 (VAR309),
.VAR416 (VAR416),
.VAR339 (VAR339),
.VAR347 (VAR347),
.VAR157 (VAR157),
.VAR146 (VAR146),
.VAR191 (VAR191),
.VAR127 (VAR127),
.VAR316 (VAR316),
.VAR229 (VAR229),
.VAR42 (VAR42),
.VAR377 (VAR377),
.VAR310 (VAR310),
.VAR67 (VAR67),
.VAR150 (VAR150),
.VAR201 (VAR201),
.VAR437 (VAR437),
.VAR327 (VAR327),
.VAR27 (VAR27),
.VAR325 (VAR325),
.VAR384 (VAR384),
.VAR446 (VAR446),
.VAR52 (VAR52),
.VAR17 (VAR17),
.VAR126 (VAR126),
.VAR245 (VAR245),
.VAR144 (VAR144),
.VAR302 (VAR328),
.VAR455 (VAR210),
.VAR415 (VAR212),
.VAR58 (VAR420),
.VAR43 (VAR366),
.VAR323 (VAR272),
.VAR334 (VAR334),
.VAR363 (VAR363),
.VAR249 (VAR249),
.VAR104 (VAR104),
.VAR190 (VAR190),
.VAR54 (VAR54),
.VAR96 (VAR96),
.VAR251 (VAR251),
.VAR89 (VAR89),
.VAR391 (VAR391),
.VAR174 (VAR174),
.VAR65 (VAR65),
.VAR338 (VAR338),
.VAR129 (VAR129),
.VAR195 (VAR195),
.VAR217 (VAR217),
.VAR163 (VAR163),
.VAR247 (VAR247),
.VAR270 (VAR270),
.VAR265 (VAR265),
.VAR164 (VAR164),
.VAR442 (VAR442),
.VAR352 (VAR352),
.VAR378 (VAR378),
.VAR289 (VAR289),
.VAR101 (VAR101),
.VAR60 (VAR60),
.VAR113 (VAR113),
.VAR440 (VAR440),
.VAR430 (VAR430),
.VAR204 (VAR204),
.VAR166 (VAR166),
.VAR433 (VAR433),
.VAR152 (VAR152),
.VAR103 (VAR103),
.VAR236 (VAR236),
.VAR224 (VAR224),
.VAR389 (VAR389),
.VAR261 (VAR261),
.VAR258 (VAR258),
.VAR181 (VAR181),
.VAR51 (VAR51),
.VAR342 (VAR342),
.VAR92 (VAR92),
.VAR293 (VAR293),
.VAR130 (VAR130),
.VAR364 (VAR364),
.VAR304 (VAR304),
.VAR36 (VAR36),
.VAR374 (VAR374),
.VAR367 (VAR367),
.VAR122 (VAR122),
.VAR369 (VAR369),
.VAR8 (VAR8),
.VAR450 (VAR450),
.VAR132 (VAR132),
.VAR107 (VAR107),
.VAR179 (VAR179),
.VAR81 (VAR81),
.VAR98 (VAR98),
.VAR136 (VAR136),
.VAR448 (VAR448),
.VAR456 (VAR456),
.VAR198 (VAR198),
.VAR21 (VAR21),
.VAR274 (VAR274),
.VAR140 (VAR140),
.VAR246 (VAR246),
.VAR90 (VAR90),
.VAR340 (VAR340),
.VAR123 (VAR123),
.VAR37 (VAR37),
.VAR59 (VAR59),
.VAR172 (VAR172),
.VAR40 (VAR40),
.VAR292 (VAR292),
.VAR94 (VAR94),
.VAR199 (VAR421),
.VAR167 (VAR167),
.VAR161 (VAR161),
.VAR69 (VAR69[1023:0])
);
genvar VAR29;
generate
if(VAR216 == "VAR356") begin
if(VAR10 == 72) begin
for(VAR29=0;VAR29<8;VAR29=VAR29+1) begin
assign VAR97[VAR29*72+:72] = {VAR388[VAR29*64+:8],VAR388[VAR29*64+:64]} ;
assign VAR399[VAR29*9+:9] = {VAR209[VAR29*8],VAR209[VAR29*8+:8]} ;
end
end else begin
end
end else begin
assign VAR97 = VAR388 ;
assign VAR399 = VAR209 ;
end
endgenerate
genvar VAR41;
generate
if(VAR216 == "VAR356") begin
if(VAR10 == 72) begin
for(VAR41=0;VAR41<8;VAR41=VAR41+1) begin
assign VAR269[VAR41*64+:64] = VAR257[VAR41*72+:64];
end
end
end else begin
assign VAR269 = VAR257;
end
endgenerate
VAR317 #
(
.VAR353 (VAR353),
.VAR63 (VAR63),
.VAR75 (VAR75),
.VAR142 (VAR142),
.VAR239 (VAR239),
.VAR365 (VAR365),
.VAR114 (VAR114),
.VAR183 (VAR183),
.VAR216 (VAR216),
.VAR108 (VAR108),
.VAR336 (VAR336),
.VAR151 (VAR151),
.VAR155 (VAR155),
.VAR235 (VAR235),
.VAR452 (VAR452)
)
VAR431
(
.VAR157 (VAR157[VAR75-1:0]),
.VAR347 (VAR347[VAR63-1:0]),
.VAR42 (VAR42),
.VAR229 (VAR229),
.VAR127 (VAR127),
.VAR191 (VAR191),
.VAR146 (VAR146),
.VAR316 (VAR316),
.VAR339 (VAR339),
.VAR416 (VAR416),
.VAR309 (VAR309),
.VAR220 (VAR220),
.VAR453 (VAR453),
.VAR55 (VAR55),
.VAR379 (VAR379),
.VAR422 (VAR422),
.VAR257 (VAR257),
.VAR381 (VAR381),
.VAR17 (VAR17),
.VAR52 (VAR52),
.VAR446 (VAR446),
.rst (reset),
.VAR384 (VAR384),
.VAR325 (VAR325),
.VAR27 (VAR27),
.VAR327 (VAR327),
.VAR437 (VAR437[VAR63-1:0]),
.VAR150 (VAR150),
.VAR67 (VAR67),
.clk (clk),
.VAR162 (VAR162),
.VAR399 (VAR399),
.VAR337 (VAR337),
.VAR97 (VAR97),
.VAR46 (VAR46),
.VAR56 (VAR56),
.VAR194 (VAR194),
.VAR68 (VAR68),
.VAR206 (VAR206),
.VAR310 (VAR310),
.VAR377 (VAR377),
.VAR168 (VAR168),
.VAR171 (VAR260),
.VAR215 (VAR215),
.VAR26 (VAR105),
.VAR302 (VAR302),
.VAR137 (VAR328),
.VAR20 (VAR210),
.VAR455 (VAR455),
.VAR415 (VAR415),
.VAR403 (VAR212),
.VAR218 (VAR420),
.VAR58 (VAR58),
.VAR43 (VAR43),
.VAR441 (VAR366),
.VAR200 (VAR272),
.VAR323 (VAR323)
);
VAR34 #
(
.VAR434 (VAR434),
.VAR306 (VAR306),
.VAR413 (VAR413),
.VAR24 (VAR24),
.VAR444 (VAR5),
.VAR359 (VAR385),
.VAR280 (VAR294),
.VAR131 (VAR108),
.VAR231 (VAR231),
.VAR371 (VAR371),
.VAR116 (VAR116),
.VAR300 (VAR300),
.VAR410 (VAR183)
)
VAR95
(
.VAR238 (clk),
.VAR390 (VAR390),
.VAR281 (VAR281),
.VAR187 (VAR187),
.VAR88 (VAR88),
.VAR409 (VAR409),
.VAR64 (VAR64),
.VAR158 (VAR158),
.VAR397 (VAR397),
.VAR299 (VAR299),
.VAR66 (VAR66),
.VAR358 (VAR358),
.VAR335 (VAR335),
.VAR13 (VAR13),
.VAR250 (VAR250),
.VAR308 (VAR308),
.VAR296 (VAR296),
.VAR71 (VAR71),
.VAR307 (VAR307),
.VAR241 (VAR241),
.VAR135 (VAR135),
.VAR106 (VAR106),
.VAR396 (VAR396),
.VAR392 (VAR392),
.VAR188 (VAR188),
.VAR49 (VAR49),
.VAR262 (VAR262),
.VAR77 (VAR77),
.VAR412 (VAR412),
.VAR192 (VAR192),
.VAR196 (VAR196),
.VAR83 (VAR83),
.VAR263 (VAR263),
.VAR87 (VAR87),
.VAR406 (VAR406),
.VAR70 (VAR70),
.VAR148 (VAR148),
.VAR324 (VAR324),
.VAR447 (VAR447),
.VAR290 (VAR194),
.VAR173 (VAR68),
.VAR414 (VAR46),
.VAR48 (VAR206),
.VAR411 (VAR56),
.VAR207 (VAR55),
.VAR76 (VAR288),
.VAR380 (VAR162),
.VAR373 (VAR209),
.VAR176 (VAR388),
.VAR121 (VAR337),
.VAR15 (VAR453),
.VAR244 (VAR379),
.VAR84 (VAR269),
.VAR298 (VAR422),
.VAR222 (VAR260)
);
generate
if (VAR183 == "VAR356") begin : VAR141
reg [2*VAR108*VAR10-1:0] VAR321;
VAR119 #
(
.VAR400 (VAR400) ,
.VAR145 (VAR145) ,
.VAR413 (VAR413) ,
.VAR311 (VAR311) ,
.VAR454 (VAR216) ,
.VAR355 (VAR10) ,
.VAR398 (VAR242) ,
.VAR248 (VAR452) ,
.VAR57 (VAR142) ,
.VAR417 (VAR235) ,
.VAR331 (VAR239) ,
.VAR6 (VAR6) ,
.VAR320 (VAR320) ,
.VAR376 (VAR108) ,
.VAR286 (VAR383)
)
VAR91
(
.VAR238 (clk) ,
.VAR390 (VAR390) ,
.VAR358 (VAR18) ,
.VAR335 (VAR451) ,
.VAR187 (VAR427) ,
.VAR296 (VAR297) ,
.VAR71 (VAR227) ,
.VAR13 (VAR134) ,
.VAR135 (VAR100) ,
.VAR106 (VAR449) ,
.VAR241 (VAR112) ,
.VAR83 (VAR439) ,
.VAR263 (VAR253) ,
.VAR392 (VAR284) ,
.VAR324 (VAR232) ,
.VAR447 (VAR175) ,
.VAR406 (VAR193) ,
.VAR70 (VAR115) ,
.interrupt (interrupt) ,
.VAR395 (VAR288) ,
.VAR67 (VAR67) ,
.VAR150 (VAR150) ,
.VAR201 (VAR201) ,
.VAR26 (VAR26) ,
.VAR32 (VAR321) ,
.VAR391 (VAR391) ,
.VAR174 (VAR174)
);
always @(posedge clk) begin
VAR321 <= VAR101;
end
assign VAR168 = {2*VAR108{1'b0}};
assign VAR105 = VAR26 ;
end
else begin : VAR344
assign VAR451 = 1'b0;
assign VAR227 = 1'b0;
assign VAR100 = 1'b0;
assign VAR112 = 2'b0;
assign VAR253 = 1'b0;
assign VAR232 = 1'b0;
assign VAR193 = {VAR145{1'b0}};
assign VAR115 = 2'b0;
assign interrupt = 1'b0;
assign VAR26 = 1'b1;
assign VAR168 = 4'b0;
assign VAR391 = {VAR10/8{1'b0}};
assign VAR174 = {VAR10{1'b0}};
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dfrtp/sky130_fd_sc_ls__dfrtp.behavioral.v
| 2,202 |
module MODULE1 (
VAR11 ,
VAR10 ,
VAR5 ,
VAR18
);
output VAR11 ;
input VAR10 ;
input VAR5 ;
input VAR18;
supply1 VAR3;
supply0 VAR12;
supply1 VAR16 ;
supply0 VAR20 ;
wire VAR4 ;
wire VAR21 ;
reg VAR9 ;
wire VAR19 ;
wire VAR14;
wire VAR15 ;
wire VAR2 ;
wire VAR6 ;
wire VAR8 ;
not VAR17 (VAR21 , VAR14 );
VAR1 VAR13 (VAR4 , VAR19, VAR15, VAR21, VAR9, VAR3, VAR12);
assign VAR2 = ( VAR3 === 1'b1 );
assign VAR6 = ( VAR2 && ( VAR14 === 1'b1 ) );
assign VAR8 = ( VAR2 && ( VAR18 === 1'b1 ) );
buf VAR7 (VAR11 , VAR4 );
endmodule
|
apache-2.0
|
ThotIP/async_fifo
|
src/vlog/fifomem_dp.v
| 2,839 |
module MODULE1
parameter VAR12 = 8, parameter VAR15 = 4, parameter VAR20 = "VAR24" ) (
input wire VAR3,
input wire [VAR12-1:0] VAR2,
output wire [VAR12-1:0] VAR16,
input wire [VAR15-1:0] VAR9,
input wire VAR8,
input wire VAR7,
input wire VAR6,
input wire [VAR12-1:0] VAR11,
output wire [VAR12-1:0] VAR23,
input wire [VAR15-1:0] VAR14,
input wire VAR4,
input wire VAR10
);
reg [VAR12-1:0] VAR13;
reg [VAR12-1:0] VAR5;
generate
localparam VAR1 = 1<<VAR15;
reg [VAR12-1:0] VAR18 [0:VAR1-1];
if (VAR20 == "VAR24") begin : VAR21
always @(posedge VAR3)
if (VAR7)
VAR18[VAR9] <= VAR2;
assign VAR16 = VAR18[VAR9];
always @(posedge VAR6)
if (VAR10)
VAR18[VAR14] <= VAR11;
assign VAR23 = VAR18[VAR14];
end else begin : VAR22
wire VAR17 = VAR8 | VAR7;
always @(posedge VAR3)
if (VAR17) begin
if (VAR7)
VAR18[VAR9] <= VAR2;
VAR13 <= VAR18[VAR9];
end
assign VAR16 = VAR13;
wire VAR19 = VAR4 | VAR10;
always @(posedge VAR6)
if (VAR19) begin
if (VAR10)
VAR18[VAR14] <= VAR11;
VAR5 <= VAR18[VAR14];
end
assign VAR23 = VAR5;
end endgenerate
endmodule
|
apache-2.0
|
lerwys/bpm-sw-old-backup
|
hdl/modules/dbe_wishbone/wb_rs232_syscon/auto_baud.v
| 25,631 |
module MODULE1
(
VAR1,
VAR28,
VAR34,
VAR4,
VAR23
);
parameter VAR32 = 8; parameter VAR17 = 16;
parameter VAR31 = 4'h0; parameter VAR26 = 4'h1; parameter VAR36 = 4'h2; parameter VAR5 = 4'h3; parameter VAR8 = 4'h4; parameter VAR15 = 4'h5; parameter VAR2 = 4'h8; parameter VAR9 = 4'h9; parameter VAR13 = 4'h6; parameter VAR27 = 4'h7;
input VAR1; input VAR28; input VAR34;
output VAR4; output VAR23;
wire VAR11; wire VAR33; wire VAR6; wire VAR29; wire VAR10;
reg [3:0] VAR24;
reg [3:0] VAR12;
always @(posedge VAR1 or posedge VAR28)
begin
if (VAR28) VAR35 <= 0;
end
else if (VAR18) VAR35 <= 0;
else if (VAR29)
begin if (VAR6) VAR35 <= 0;
end
else VAR35 <= VAR35 + 1;
end
end
assign VAR6 = (VAR35 == (VAR32-1));
assign VAR11 = (
(VAR35 == ((VAR32>>1)-1))
&& VAR29
);
always @(posedge VAR1 or posedge VAR28)
begin
if (VAR28) VAR19 <= 0;
end
else begin
if (VAR18) VAR19 <= 0;
end
else if (VAR38 && VAR11) VAR19 <= VAR19 + 1;
begin
if (VAR33) VAR19 <= 0;
end
else VAR19 <= VAR19 + 1;
end
end
end
always @(posedge VAR1 or posedge VAR28)
begin
if (VAR28) VAR14 <= VAR22;
end
else begin
end
end
assign VAR10 = (
(VAR14 == 1)
&& VAR11
);
always @(posedge VAR1 or posedge VAR28)
begin
if (VAR28) VAR7 <= 0;
end
else begin
if (VAR3) VAR7 <= 0;
&& (VAR14[0] ^ VAR34)) VAR7 <= 1;
end
end
always @(posedge VAR1 or posedge VAR3)
begin
if (VAR3) VAR37 <= -1;
end
else if (VAR18) VAR37 <= (VAR19>>1);
end
assign VAR33 = (VAR19 == VAR37);
assign VAR23 = (VAR33 && VAR30);
always @(posedge VAR1 or posedge VAR28)
begin : VAR16
end
if (VAR28) VAR24 <= VAR31; else VAR24 <= VAR12;
end
always @(VAR24
or VAR11
or VAR34
or VAR10
or VAR7
)
begin : VAR20
VAR3 <= 1'b0;
VAR30 <= 1'b0;
VAR38 <= 1'b0;
VAR18 <= 1'b0;
case (VAR24)
VAR31 :
begin
VAR3 <= 1'b1;
if (VAR34 == 0) VAR12 <= VAR26;
end
else VAR12 <= VAR31;
end
VAR26 :
begin
VAR38 <= 1'b1;
if (VAR11 && ~VAR34) VAR12 <= VAR36;
end
else if (VAR11 && VAR34) VAR12 <= VAR31;
else VAR12 <= VAR26;
end
VAR36 :
begin
VAR38 <= 1'b1;
if (VAR34) VAR12 <= VAR5;
end
else VAR12 <= VAR36;
end
VAR5 :
begin
VAR38 <= 1'b1;
if (VAR11 && VAR34) VAR12 <= VAR8;
end
else if (VAR11 && ~VAR34) VAR12 <= VAR31;
else VAR12 <= VAR5;
end
VAR8 :
begin
VAR38 <= 1'b1;
if (!VAR34) VAR12 <= VAR15;
end
else VAR12 <= VAR8;
end
VAR15 :
begin
VAR18 <= 1'b1; VAR12 <= VAR2;
end
VAR2 : begin
if (VAR10) VAR12 <= VAR9;
end
else VAR12 <= VAR2;
end
VAR9 : begin
if (VAR7) VAR12 <= VAR27;
end
else VAR12 <= VAR13;
end
VAR27 : begin
VAR18 <= 1'b1;
VAR12 <= VAR31;
end
VAR13 :
begin
VAR30 <= 1'b1;
VAR12 <= VAR13;
end
default : VAR12 <= VAR31;
endcase
end
assign VAR4 = VAR30;
endmodule
|
lgpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.