repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand2/sky130_fd_sc_hs__nand2.functional.pp.v
1,690
module MODULE1 ( VAR7, VAR1, VAR10 , VAR4 , VAR9 ); input VAR7; input VAR1; output VAR10 ; input VAR4 ; input VAR9 ; wire VAR11 ; wire VAR6; nand VAR5 (VAR11 , VAR9, VAR4 ); VAR8 VAR2 (VAR6, VAR11, VAR7, VAR1); buf VAR3 (VAR10 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o211ai/sky130_fd_sc_lp__o211ai_4.v
2,361
module MODULE1 ( VAR7 , VAR10 , VAR11 , VAR2 , VAR6 , VAR5, VAR4, VAR8 , VAR1 ); output VAR7 ; input VAR10 ; input VAR11 ; input VAR2 ; input VAR6 ; input VAR5; input VAR4; input VAR8 ; input VAR1 ; VAR3 VAR9 ( .VAR7(VAR7), .VAR10(VAR10), .VAR11(VAR11), .VAR2(VAR2), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1) ); endmodule module MODULE1 ( VAR7 , VAR10, VAR11, VAR2, VAR6 ); output VAR7 ; input VAR10; input VAR11; input VAR2; input VAR6; supply1 VAR5; supply0 VAR4; supply1 VAR8 ; supply0 VAR1 ; VAR3 VAR9 ( .VAR7(VAR7), .VAR10(VAR10), .VAR11(VAR11), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/cpu/cpu.v
85,541
module MODULE1 ( input wire VAR15, input wire VAR317, input wire VAR275, input wire VAR138, input wire VAR110, input wire VAR13, input wire [ 7:0] din, output wire [ 7:0] dout, output wire [15:0] VAR319, output reg VAR209, input wire [ 3:0] VAR303, input wire [ 7:0] VAR335, input wire VAR234, output reg [ 7:0] VAR231, output reg VAR176 ); localparam [7:0] VAR89 = 8'h6D, VAR397 = 8'h7D, VAR294 = 8'h79, VAR394 = 8'h69, VAR273 = 8'h61, VAR351 = 8'h71, VAR116 = 8'h65, VAR168 = 8'h75, VAR229 = 8'h2D, VAR150 = 8'h3D, VAR337 = 8'h39, VAR216 = 8'h29, VAR52 = 8'h21, VAR348 = 8'h31, VAR53 = 8'h25, VAR214 = 8'h35, VAR97 = 8'h0E, VAR42 = 8'h1E, VAR257 = 8'h0A, VAR278 = 8'h06, VAR362 = 8'h16, VAR332 = 8'h90, VAR143 = 8'hB0, VAR403 = 8'hF0, VAR90 = 8'h2C, VAR101 = 8'h24, VAR264 = 8'h30, VAR174 = 8'hD0, VAR55 = 8'h10, VAR10 = 8'h00, VAR296 = 8'h50, VAR210 = 8'h70, VAR374 = 8'h18, VAR346 = 8'hD8, VAR367 = 8'h58, VAR188 = 8'hB8, VAR249 = 8'hCD, VAR366 = 8'hDD, VAR118 = 8'hD9, VAR200 = 8'hC9, VAR336 = 8'hC1, VAR341 = 8'hD1, VAR313 = 8'hC5, VAR161 = 8'hD5, VAR28 = 8'hEC, VAR212 = 8'hE0, VAR137 = 8'hE4, VAR224 = 8'hCC, VAR409 = 8'hC0, VAR235 = 8'hC4, VAR247 = 8'hCE, VAR258 = 8'hDE, VAR14 = 8'hC6, VAR120 = 8'hD6, VAR292 = 8'hCA, VAR58 = 8'h88, VAR253 = 8'h4D, VAR233 = 8'h5D, VAR202 = 8'h59, VAR108 = 8'h49, VAR232 = 8'h41, VAR391 = 8'h51, VAR39 = 8'h45, VAR135 = 8'h55, VAR30 = 8'h02, VAR382 = 8'hEE, VAR375 = 8'hFE, VAR56 = 8'hE6, VAR395 = 8'hF6, VAR129 = 8'hE8, VAR238 = 8'hC8, VAR49 = 8'h4C, VAR133 = 8'h6C, VAR368 = 8'h20, VAR320 = 8'hAD, VAR285 = 8'hBD, VAR310 = 8'hB9, VAR326 = 8'hA9, VAR33 = 8'hA1, VAR281 = 8'hB1, VAR126 = 8'hA5, VAR93 = 8'hB5, VAR312 = 8'hAE, VAR266 = 8'hBE, VAR405 = 8'hA2, VAR263 = 8'hA6, VAR86 = 8'hB6, VAR139 = 8'hAC, VAR277 = 8'hBC, VAR357 = 8'hA0, VAR198 = 8'hA4, VAR298 = 8'hB4, VAR54 = 8'h4E, VAR243 = 8'h5E, VAR390 = 8'h4A, VAR122 = 8'h46, VAR207 = 8'h56, VAR398 = 8'hEA, VAR153 = 8'h0D, VAR100 = 8'h1D, VAR227 = 8'h19, VAR244 = 8'h09, VAR132 = 8'h01, VAR333 = 8'h11, VAR41 = 8'h05, VAR146 = 8'h15, VAR350 = 8'h48, VAR134 = 8'h08, VAR213 = 8'h68, VAR128 = 8'h28, VAR245 = 8'h2E, VAR195 = 8'h3E, VAR388 = 8'h2A, VAR169 = 8'h26, VAR283 = 8'h36, VAR107 = 8'h6E, VAR334 = 8'h7E, VAR130 = 8'h6A, VAR83 = 8'h66, VAR182 = 8'h76, VAR377 = 8'h40, VAR140 = 8'h60, VAR396 = 8'h8F, VAR26 = 8'h83, VAR256 = 8'h87, VAR190 = 8'h97, VAR193 = 8'hED, VAR219 = 8'hFD, VAR96 = 8'hF9, VAR170 = 8'hE9, VAR181 = 8'hE1, VAR88 = 8'hF1, VAR352 = 8'hE5, VAR340 = 8'hF5, VAR215 = 8'h38, VAR318 = 8'hF8, VAR19 = 8'h78, VAR121 = 8'h8D, VAR18 = 8'h9D, VAR265 = 8'h99, VAR325 = 8'h81, VAR68 = 8'h91, VAR77 = 8'h85, VAR284 = 8'h95, VAR386 = 8'h8E, VAR177 = 8'h86, VAR220 = 8'h96, VAR81 = 8'h8C, VAR203 = 8'h84, VAR76 = 8'h94, VAR302 = 8'hAA, VAR124 = 8'hA8, VAR95 = 8'hBA, VAR23 = 8'h8A, VAR276 = 8'h9A, VAR321 = 8'h98; (((VAR70) == VAR89 ) || ((VAR70) == VAR397) || ((VAR70) == VAR294) || ((VAR70) == VAR394 ) || \ ((VAR70) == VAR273) || ((VAR70) == VAR351) || ((VAR70) == VAR116 ) || ((VAR70) == VAR168 ) || \ ((VAR70) == VAR229 ) || ((VAR70) == VAR150) || ((VAR70) == VAR337) || ((VAR70) == VAR216 ) || \ ((VAR70) == VAR52) || ((VAR70) == VAR348) || ((VAR70) == VAR53 ) || ((VAR70) == VAR214 ) || \ ((VAR70) == VAR97 ) || ((VAR70) == VAR42) || ((VAR70) == VAR257 ) || ((VAR70) == VAR278 ) || \ ((VAR70) == VAR362 ) || ((VAR70) == VAR332 ) || ((VAR70) == VAR143 ) || ((VAR70) == VAR403 ) || \ ((VAR70) == VAR90 ) || ((VAR70) == VAR101 ) || ((VAR70) == VAR264 ) || ((VAR70) == VAR174 ) || \ ((VAR70) == VAR55 ) || ((VAR70) == VAR10 ) || ((VAR70) == VAR296 ) || ((VAR70) == VAR210 ) || \ ((VAR70) == VAR374 ) || ((VAR70) == VAR346 ) || ((VAR70) == VAR367 ) || ((VAR70) == VAR188 ) || \ ((VAR70) == VAR249 ) || ((VAR70) == VAR366) || ((VAR70) == VAR118) || ((VAR70) == VAR200 ) || \ ((VAR70) == VAR336) || ((VAR70) == VAR341) || ((VAR70) == VAR313 ) || ((VAR70) == VAR161 ) || \ ((VAR70) == VAR28 ) || ((VAR70) == VAR212 ) || ((VAR70) == VAR137 ) || ((VAR70) == VAR224 ) || \ ((VAR70) == VAR409 ) || ((VAR70) == VAR235 ) || ((VAR70) == VAR247 ) || ((VAR70) == VAR258) || \ ((VAR70) == VAR14 ) || ((VAR70) == VAR120 ) || ((VAR70) == VAR292 ) || ((VAR70) == VAR58 ) || \ ((VAR70) == VAR253 ) || ((VAR70) == VAR233) || ((VAR70) == VAR202) || ((VAR70) == VAR108 ) || \ ((VAR70) == VAR232) || ((VAR70) == VAR391) || ((VAR70) == VAR39 ) || ((VAR70) == VAR135 ) || \ ((VAR70) == VAR30 ) || ((VAR70) == VAR382 ) || ((VAR70) == VAR375) || ((VAR70) == VAR56 ) || \ ((VAR70) == VAR395 ) || ((VAR70) == VAR129 ) || ((VAR70) == VAR238 ) || ((VAR70) == VAR49 ) || \ ((VAR70) == VAR133 ) || ((VAR70) == VAR368 ) || ((VAR70) == VAR320 ) || ((VAR70) == VAR285) || \ ((VAR70) == VAR310) || ((VAR70) == VAR326 ) || ((VAR70) == VAR33) || ((VAR70) == VAR281) || \ ((VAR70) == VAR126 ) || ((VAR70) == VAR93 ) || ((VAR70) == VAR312 ) || ((VAR70) == VAR266) || \ ((VAR70) == VAR405 ) || ((VAR70) == VAR263 ) || ((VAR70) == VAR86 ) || ((VAR70) == VAR139 ) || \ ((VAR70) == VAR277) || ((VAR70) == VAR357 ) || ((VAR70) == VAR198 ) || ((VAR70) == VAR298 ) || \ ((VAR70) == VAR54 ) || ((VAR70) == VAR243) || ((VAR70) == VAR390 ) || ((VAR70) == VAR122 ) || \ ((VAR70) == VAR207 ) || ((VAR70) == VAR398 ) || ((VAR70) == VAR153 ) || ((VAR70) == VAR100) || \ ((VAR70) == VAR227) || ((VAR70) == VAR244 ) || ((VAR70) == VAR132) || ((VAR70) == VAR333) || \ ((VAR70) == VAR41 ) || ((VAR70) == VAR146 ) || ((VAR70) == VAR350 ) || ((VAR70) == VAR134 ) || \ ((VAR70) == VAR213 ) || ((VAR70) == VAR128 ) || ((VAR70) == VAR245 ) || ((VAR70) == VAR195) || \ ((VAR70) == VAR388 ) || ((VAR70) == VAR169 ) || ((VAR70) == VAR283 ) || ((VAR70) == VAR107 ) || \ ((VAR70) == VAR334) || ((VAR70) == VAR130 ) || ((VAR70) == VAR83 ) || ((VAR70) == VAR182 ) || \ ((VAR70) == VAR377 ) || ((VAR70) == VAR140 ) || ((VAR70) == VAR396 ) || ((VAR70) == VAR26) || \ ((VAR70) == VAR256 ) || ((VAR70) == VAR190 ) || ((VAR70) == VAR193 ) || ((VAR70) == VAR219) || \ ((VAR70) == VAR96) || ((VAR70) == VAR170 ) || ((VAR70) == VAR181) || ((VAR70) == VAR88) || \ ((VAR70) == VAR352 ) || ((VAR70) == VAR340 ) || ((VAR70) == VAR215 ) || ((VAR70) == VAR318 ) || \ ((VAR70) == VAR19 ) || ((VAR70) == VAR121 ) || ((VAR70) == VAR18) || ((VAR70) == VAR265) || \ ((VAR70) == VAR325) || ((VAR70) == VAR68) || ((VAR70) == VAR77 ) || ((VAR70) == VAR284 ) || \ ((VAR70) == VAR386 ) || ((VAR70) == VAR177 ) || ((VAR70) == VAR220 ) || ((VAR70) == VAR81 ) || \ ((VAR70) == VAR203 ) || ((VAR70) == VAR76 ) || ((VAR70) == VAR302 ) || ((VAR70) == VAR124 ) || \ ((VAR70) == VAR95 ) || ((VAR70) == VAR23 ) || ((VAR70) == VAR276 ) || ((VAR70) == VAR321 )) localparam [2:0] VAR359 = 3'h0, VAR84 = 3'h1, VAR36 = 3'h2, VAR111 = 3'h3, VAR349 = 3'h4, VAR304 = 3'h5, VAR385 = 3'h6; localparam [1:0] VAR24 = 2'h0, VAR142 = 2'h1, VAR358 = 2'h2, VAR364 = 2'h3; reg [7:0] VAR127; wire [7:0] VAR379; reg [7:0] VAR288; wire [7:0] VAR267; reg [7:0] VAR125; wire [7:0] VAR194; wire [7:0] VAR87; reg VAR315; wire VAR60; reg VAR61; wire VAR66; reg VAR327; wire VAR211; reg VAR38; wire VAR240; reg VAR339; wire VAR112; reg VAR175; wire VAR271; reg [7:0] VAR268; wire [7:0] VAR8; reg [7:0] VAR293; wire [7:0] VAR149; reg VAR290; reg [7:0] VAR330; reg [7:0] VAR239; reg [7:0] VAR191; wire [7:0] VAR260; reg [7:0] VAR9; wire [7:0] VAR136; reg [7:0] VAR166; wire [7:0] VAR63; reg [7:0] VAR218; wire [7:0] VAR71; reg [7:0] VAR94; reg [7:0] VAR141; reg [7:0] VAR342; wire [7:0] VAR186; reg [7:0] VAR201; wire [7:0] VAR85; reg [7:0] VAR62; wire [7:0] VAR372; reg [7:0] VAR221; wire [7:0] VAR380; reg [7:0] VAR393; wire [7:0] VAR314; reg [7:0] VAR178; wire [7:0] VAR217; reg [2:0] VAR250; reg [2:0] VAR270; wire [7:0] VAR1; wire [7:0] VAR152, VAR180; wire [7:0] VAR376, VAR114; wire [7:0] VAR40, VAR230; wire VAR299; wire VAR246; wire VAR104; wire VAR308; wire VAR47; wire VAR356; wire VAR6; wire VAR5; wire VAR381; wire VAR197; wire VAR78; wire VAR338; wire VAR151; wire VAR20; wire VAR251; wire VAR12; wire VAR43; wire VAR369; wire VAR280; wire VAR17; wire VAR69; wire VAR35; wire VAR189; wire VAR74; wire VAR361; wire VAR159; wire VAR204; wire VAR155; wire VAR384; wire VAR401; wire VAR157; wire VAR261; wire VAR27; wire VAR353; wire VAR187; wire VAR360; wire VAR163; wire VAR46; wire VAR371; wire VAR113; wire VAR80; wire VAR387; wire VAR75; wire VAR48; wire VAR3; wire VAR307; wire VAR222; wire VAR329; wire VAR179; wire VAR331; wire VAR4; wire VAR67; wire VAR286; wire VAR98; wire VAR22; wire VAR408; reg VAR103; reg VAR287; wire VAR363; reg VAR131; always @(posedge VAR15) begin if (VAR317) VAR131 <= 1'b0; end else VAR131 <= VAR275; end assign VAR363 = VAR275 && VAR131; reg [5:0] VAR305; wire [5:0] VAR106; always @(posedge VAR15) begin if (VAR317) VAR305 <= 6'h01; end else if (VAR363) VAR305 <= VAR106; else if (VAR234 && ((VAR303 == VAR73) || (VAR303 == VAR355))) VAR305 <= 6'h01; end assign VAR106 = (VAR305 == 6'h37) ? 6'h00 : VAR305 + 6'h01; reg [1:0] VAR21, VAR365; reg VAR109; wire VAR29; reg VAR282; reg VAR79; wire VAR147; reg VAR162; reg VAR345; reg VAR196; reg VAR72; always @(posedge VAR15) begin if (VAR317) begin VAR21 <= VAR24; VAR109 <= 1'b0; VAR282 <= 1'b1; VAR79 <= 1'b0; VAR162 <= 1'b1; end else if (VAR305 == 6'h00) begin VAR21 <= VAR365; VAR109 <= VAR29; VAR282 <= VAR110; VAR79 <= VAR147; VAR162 <= VAR138; end end assign VAR29 = (VAR345) ? 1'b0 : (!VAR110 && VAR282) ? 1'b1 : VAR109; assign VAR147 = (VAR196) ? 1'b0 : (!VAR138 && VAR162) ? 1'b1 : VAR79; always @(posedge VAR15) begin if (VAR317) begin VAR127 <= 8'h00; VAR288 <= 8'h00; VAR125 <= 8'h00; VAR315 <= 1'b0; VAR61 <= 1'b0; VAR327 <= 1'b0; VAR38 <= 1'b0; VAR339 <= 1'b0; VAR175 <= 1'b0; VAR268 <= 8'h80; VAR293 <= 8'h00; VAR290 <= 1'b0; VAR191 <= 8'h00; VAR9 <= 8'h00; VAR218 <= 8'h00; VAR94 <= VAR398; VAR62 <= 8'h80; VAR221 <= 8'h00; VAR178 <= 8'hFF; VAR250 <= VAR84; end else if (VAR363 && (VAR305 == 6'h00)) begin VAR127 <= VAR379; VAR288 <= VAR267; VAR125 <= VAR194; VAR315 <= VAR60; VAR61 <= VAR66; VAR327 <= VAR211; VAR38 <= VAR240; VAR339 <= VAR112; VAR175 <= VAR271; VAR268 <= VAR8; VAR293 <= VAR149; VAR290 <= VAR103; VAR191 <= VAR260; VAR9 <= VAR136; VAR218 <= VAR71; VAR94 <= VAR141; VAR62 <= VAR372; VAR221 <= VAR380; VAR178 <= VAR217; VAR250 <= VAR270; end else if (!VAR363) begin if (VAR234) begin VAR127 <= (VAR303 == VAR145) ? VAR335 : VAR127; VAR288 <= (VAR303 == VAR383) ? VAR335 : VAR288; VAR125 <= (VAR303 == VAR279) ? VAR335 : VAR125; VAR315 <= (VAR303 == VAR57) ? VAR335[0] : VAR315; VAR61 <= (VAR303 == VAR57) ? VAR335[3] : VAR61; VAR327 <= (VAR303 == VAR57) ? VAR335[2] : VAR327; VAR38 <= (VAR303 == VAR57) ? VAR335[7] : VAR38; VAR339 <= (VAR303 == VAR57) ? VAR335[6] : VAR339; VAR175 <= (VAR303 == VAR57) ? VAR335[1] : VAR175; VAR62 <= (VAR303 == VAR73) ? VAR335 : VAR62; VAR221 <= (VAR303 == VAR355) ? VAR335 : VAR221; VAR268 <= (VAR303 == VAR73) ? VAR335 : VAR268; VAR293 <= (VAR303 == VAR355) ? VAR335 : VAR293; VAR250 <= ((VAR303 == VAR73) || (VAR303 == VAR355)) ? VAR359 : VAR250; end end end always @(posedge VAR15) begin if (VAR317) begin VAR201 <= 8'h00; VAR342 <= 8'h80; VAR166 <= 8'h00; VAR393 <= 8'h00; VAR330 <= 8'h00; end else if (VAR363 && (VAR305 == 6'h1C)) begin VAR201 <= VAR85; VAR342 <= VAR186; VAR166 <= VAR63; VAR393 <= VAR314; VAR330 <= VAR239; end else if (!VAR363 && VAR234) begin VAR201 <= (VAR303 == VAR355) ? VAR335 : VAR201; VAR342 <= (VAR303 == VAR73) ? VAR335 : VAR342; end end always @* begin VAR270 = VAR359; VAR365 = VAR21; VAR72 = 1'b0; case (VAR250) VAR359: VAR270 = VAR84; VAR84: begin if ((VAR94 == VAR374) || (VAR94 == VAR346) || (VAR94 == VAR367) || (VAR94 == VAR188) || (VAR94 == VAR30) || (VAR94 == VAR326) || (VAR94 == VAR405) || (VAR94 == VAR357) || (VAR94 == VAR398) || (VAR94 == VAR215) || (VAR94 == VAR318) || (VAR94 == VAR19) || (VAR94 == VAR302) || (VAR94 == VAR124) || (VAR94 == VAR95) || (VAR94 == VAR23) || (VAR94 == VAR276) || (VAR94 == VAR321)) begin VAR270 = VAR359; end else if (((VAR94 == VAR332) && VAR315) || ((VAR94 == VAR143) && !VAR315) || ((VAR94 == VAR55) && VAR38) || ((VAR94 == VAR264) && !VAR38) || ((VAR94 == VAR296) && VAR339) || ((VAR94 == VAR210) && !VAR339) || ((VAR94 == VAR174) && VAR175) || ((VAR94 == VAR403) && !VAR175)) begin VAR270 = VAR359; end else begin VAR270 = VAR36; end end VAR36: begin if ((VAR94 == VAR394) || (VAR94 == VAR216) || (VAR94 == VAR257) || (VAR94 == VAR200) || (VAR94 == VAR212) || (VAR94 == VAR409) || (VAR94 == VAR292) || (VAR94 == VAR58) || (VAR94 == VAR108) || (VAR94 == VAR129) || (VAR94 == VAR238) || (VAR94 == VAR390) || (VAR94 == VAR244) || (VAR94 == VAR388) || (VAR94 == VAR130) || (VAR94 == VAR170)) begin VAR270 = VAR84; end else if ((VAR94 == VAR49) || (VAR94 == VAR126) || (VAR94 == VAR263) || (VAR94 == VAR198) || (VAR94 == VAR256) || (VAR94 == VAR77) || (VAR94 == VAR177) || (VAR94 == VAR203)) begin VAR270 = VAR359; end else if (!VAR103 && ((VAR94 == VAR397) || (VAR94 == VAR294) || (VAR94 == VAR150) || (VAR94 == VAR337) || (VAR94 == VAR366) || (VAR94 == VAR118) || (VAR94 == VAR233) || (VAR94 == VAR202) || (VAR94 == VAR285) || (VAR94 == VAR310) || (VAR94 == VAR100) || (VAR94 == VAR227) || (VAR94 == VAR219) || (VAR94 == VAR96))) begin VAR270 = VAR349; end else if ((VAR103 == VAR191[7]) && ((VAR94 == VAR332) || (VAR94 == VAR143) || (VAR94 == VAR403) || (VAR94 == VAR264) || (VAR94 == VAR174) || (VAR94 == VAR55) || (VAR94 == VAR296) || (VAR94 == VAR210))) begin VAR270 = VAR359; end else begin VAR270 = VAR111; end end VAR111: begin if ((VAR94 == VAR116) || (VAR94 == VAR53) || (VAR94 == VAR101) || (VAR94 == VAR313) || (VAR94 == VAR137) || (VAR94 == VAR235) || (VAR94 == VAR39) || (VAR94 == VAR41) || (VAR94 == VAR350) || (VAR94 == VAR134) || (VAR94 == VAR352)) begin VAR270 = VAR84; end else if ((VAR94 == VAR332) || (VAR94 == VAR143) || (VAR94 == VAR403) || (VAR94 == VAR264) || (VAR94 == VAR174) || (VAR94 == VAR55) || (VAR94 == VAR296) || (VAR94 == VAR210) || (VAR94 == VAR320) || (VAR94 == VAR93) || (VAR94 == VAR312) || (VAR94 == VAR86) || (VAR94 == VAR139) || (VAR94 == VAR298) || (VAR94 == VAR213) || (VAR94 == VAR128) || (VAR94 == VAR396) || (VAR94 == VAR190) || (VAR94 == VAR121) || (VAR94 == VAR284) || (VAR94 == VAR386) || (VAR94 == VAR220) || (VAR94 == VAR81) || (VAR94 == VAR76)) begin VAR270 = VAR359; end else if (!VAR103 && ((VAR94 == VAR351) || (VAR94 == VAR348) || (VAR94 == VAR341) || (VAR94 == VAR391) || (VAR94 == VAR281) || (VAR94 == VAR333) || (VAR94 == VAR88))) begin VAR270 = VAR304; end else begin VAR270 = VAR349; end end VAR349: begin if ((VAR94 == VAR89) || (VAR94 == VAR168) || (VAR94 == VAR229) || (VAR94 == VAR214) || (VAR94 == VAR90) || (VAR94 == VAR249) || (VAR94 == VAR161) || (VAR94 == VAR28) || (VAR94 == VAR224) || (VAR94 == VAR253) || (VAR94 == VAR135) || (VAR94 == VAR153) || (VAR94 == VAR146) || (VAR94 == VAR193) || (VAR94 == VAR340)) begin VAR270 = VAR84; end else if ((VAR94 == VAR278) || (VAR94 == VAR14) || (VAR94 == VAR56) || (VAR94 == VAR133) || (VAR94 == VAR285) || (VAR94 == VAR310) || (VAR94 == VAR266) || (VAR94 == VAR277) || (VAR94 == VAR122) || (VAR94 == VAR169) || (VAR94 == VAR83) || (VAR94 == VAR18) || (VAR94 == VAR265)) begin VAR270 = VAR359; end else begin VAR270 = VAR304; end end VAR304: begin if ((VAR94 == VAR397) || (VAR94 == VAR294) || (VAR94 == VAR150) || (VAR94 == VAR337) || (VAR94 == VAR366) || (VAR94 == VAR118) || (VAR94 == VAR233) || (VAR94 == VAR202) || (VAR94 == VAR100) || (VAR94 == VAR227) || (VAR94 == VAR219) || (VAR94 == VAR96)) begin VAR270 = VAR84; end else if ((VAR94 == VAR97) || (VAR94 == VAR362) || (VAR94 == VAR247) || (VAR94 == VAR120) || (VAR94 == VAR382) || (VAR94 == VAR395) || (VAR94 == VAR368) || (VAR94 == VAR33) || (VAR94 == VAR281) || (VAR94 == VAR54) || (VAR94 == VAR207) || (VAR94 == VAR245) || (VAR94 == VAR283) || (VAR94 == VAR107) || (VAR94 == VAR182) || (VAR94 == VAR377) || (VAR94 == VAR140) || (VAR94 == VAR26) || (VAR94 == VAR325) || (VAR94 == VAR68)) begin VAR270 = VAR359; end else begin VAR270 = VAR385; end end VAR385: begin if ((VAR94 == VAR273) || (VAR94 == VAR351) || (VAR94 == VAR52) || (VAR94 == VAR348) || (VAR94 == VAR336) || (VAR94 == VAR341) || (VAR94 == VAR232) || (VAR94 == VAR391) || (VAR94 == VAR132) || (VAR94 == VAR333) || (VAR94 == VAR181) || (VAR94 == VAR88)) begin VAR270 = VAR84; end else begin VAR270 = VAR359; end end endcase if (VAR270 == VAR84) begin if (VAR109 || VAR79 || !VAR13) begin VAR141 = VAR10; VAR72 = 1'b1; if (VAR109) VAR365 = VAR24; end else if (VAR79) VAR365 = VAR142; end else VAR365 = VAR358; end else begin VAR141 = VAR393; VAR365 = VAR364; end end else begin VAR141 = VAR94; end end reg VAR223; reg VAR45; reg VAR378; reg VAR25; reg VAR184; reg VAR119; reg VAR402; reg VAR262; reg VAR389; reg VAR323; reg VAR241; reg VAR399; reg VAR164; reg VAR105; reg VAR259; reg VAR269; reg VAR242; reg VAR117; reg VAR183; reg VAR354; reg VAR228; reg VAR206; reg VAR37; reg VAR123; reg VAR156; reg VAR344; reg VAR237; reg VAR32; reg VAR297; reg VAR400; reg VAR65; reg VAR343; reg VAR300; reg VAR115; reg VAR154; reg VAR102; reg VAR172; reg VAR289; reg VAR254; reg VAR7; reg VAR148; reg VAR291; reg VAR171; reg VAR316; reg VAR199; reg VAR16; reg VAR2; reg VAR205; reg VAR236; reg VAR192; reg VAR165; reg VAR158; reg VAR59; reg VAR225; reg VAR272; reg VAR64; reg VAR392; reg VAR92; reg VAR82; reg VAR99; reg VAR226; reg VAR252; reg VAR185; reg VAR373; reg VAR91; reg VAR301; reg VAR144; reg VAR44; reg VAR295; reg VAR404; reg VAR370; reg VAR324; reg VAR248; reg VAR50; reg VAR306; reg VAR309; reg VAR167; reg VAR208; reg VAR255; reg VAR51; reg VAR31; reg VAR311; reg VAR347; reg VAR11; reg VAR328; reg VAR322; reg VAR173; reg VAR34; reg VAR406; reg VAR274; VAR223 = (VAR160); \ VAR45 = (VAR160); \ VAR378 = (VAR160); \ VAR25 = (VAR160); \ VAR184 = (VAR160); \ VAR119 = (VAR160); \ VAR402 = (VAR160); \ \ VAR262 = (VAR160); \ VAR389 = (VAR160); \ VAR323 = (VAR160); \ VAR241 = (VAR160); \ VAR399 = (VAR160); \ VAR164 = (VAR160); \ VAR105 = (VAR160); \ VAR259 = (VAR160); \ VAR269 = (VAR160); \ VAR242 = (VAR160); \ VAR117 = (VAR160); \ VAR183 = (VAR160); \ VAR354 = (VAR160); \ VAR228 = (VAR160); \ VAR206 = (VAR160); \ VAR37 = (VAR160); \ VAR123 = (VAR160); \ VAR156 = (VAR160); \ VAR344 = (VAR160); \ VAR237 = (VAR160); \ VAR32 = (VAR160); \ VAR297 = (VAR160); \ VAR400 = (VAR160); \ VAR65 = (VAR160); \ VAR343 = (VAR160); \ VAR300 = (VAR160); \ VAR115 = (VAR160); \ VAR154 = (VAR160); \ VAR102 = (VAR160); \ VAR172 = (VAR160); \ VAR289 = (VAR160); \ VAR254 = (VAR160); \ VAR7 = (VAR160); \ VAR148 = (VAR160); \ VAR291 = (VAR160); \ VAR171 = (VAR160); \ \ VAR316 = (VAR160); \ VAR199 = (VAR160); \ VAR16 = (VAR160); \ VAR2 = (VAR160); \ VAR205 = (VAR160); \ VAR236 = (VAR160); \ \ VAR192 = (VAR160); \ VAR165 = (VAR160); \ VAR158 = (VAR160); \ VAR59 = (VAR160); \ VAR225 = (VAR160); \ VAR272 = (VAR160); \ VAR64 = (VAR160); \ VAR392 = (VAR160); \ VAR92 = (VAR160); \ VAR82 = (VAR160); \ VAR99 = (VAR160); \ VAR226 = (VAR160); \ VAR252 = (VAR160); \ VAR185 = (VAR160); \ VAR373 = (VAR160); \ VAR91 = (VAR160); \ \ VAR301 = (VAR160); \ VAR144 = (VAR160); \ VAR44 = (VAR160); \ VAR295 = (VAR160); \ VAR404 = (VAR160); \ VAR370 = (VAR160); \ VAR324 = (VAR160); \ VAR248 = (VAR160); \ VAR50 = (VAR160); \ VAR306 = (VAR160); \ VAR309 = (VAR160); \ VAR167 = (VAR160); \ VAR208 = (VAR160); \ VAR255 = (VAR160); \ VAR51 = (VAR160); \ VAR31 = (VAR160); \ VAR311 = (VAR160); \ VAR347 = (VAR160); \ VAR11 = (VAR160); \ \ VAR328 = (VAR160); \ VAR322 = (VAR160); \ VAR173 = (VAR160); \ \ VAR406 = (VAR160); \ VAR34 = (VAR160); \ VAR274 = (VAR160); always @* begin VAR209 = 1'b1; VAR176 = 1'b0; VAR345 = 1'b0; VAR196 = 1'b0; if (VAR250 == VAR359) begin VAR223 = 1'b1; end else if (VAR250 == VAR84) begin case (VAR94) VAR89, VAR229, VAR97, VAR90, VAR249, VAR28, VAR224, VAR247, VAR253, VAR382, VAR49, VAR133, VAR320, VAR312, VAR139, VAR54, VAR153, VAR245, VAR107, VAR396, VAR193, VAR121, VAR386, VAR81: begin VAR223 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR397, VAR150, VAR42, VAR366, VAR258, VAR233, VAR375, VAR285, VAR277, VAR243, VAR100, VAR195, VAR334, VAR219, VAR18: begin VAR223 = 1'b1; VAR370 = 1'b1; VAR167 = 1'b1; end VAR294, VAR337, VAR118, VAR202, VAR310, VAR266, VAR227, VAR96, VAR265: begin VAR223 = 1'b1; VAR324 = 1'b1; VAR167 = 1'b1; end VAR394, VAR216, VAR108, VAR244: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; end VAR273, VAR52, VAR336, VAR232, VAR33, VAR132, VAR26, VAR181, VAR325, VAR168, VAR214, VAR362, VAR161, VAR120, VAR135, VAR395, VAR93, VAR298, VAR207, VAR146, VAR283, VAR182, VAR340, VAR284, VAR76: begin VAR370 = 1'b1; VAR167 = 1'b1; end VAR351, VAR348, VAR341, VAR391, VAR281, VAR333, VAR88, VAR68: begin VAR272 = 1'b1; VAR92 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR116, VAR53, VAR278, VAR101, VAR313, VAR137, VAR235, VAR14, VAR39, VAR56, VAR126, VAR263, VAR198, VAR122, VAR41, VAR169, VAR83, VAR352: begin VAR272 = 1'b1; VAR92 = 1'b1; end VAR257, VAR390, VAR388, VAR130: begin VAR301 = 1'b1; VAR50 = 1'b1; end VAR332, VAR143, VAR403, VAR264, VAR174, VAR55, VAR296, VAR210: begin VAR223 = 1'b1; VAR144 = 1'b1; VAR31 = 1'b1; end VAR10: begin if (VAR21 == VAR364) VAR378 = 1'b1; VAR16 = 1'b1; VAR225 = 1'b1; VAR91 = 1'b1; VAR295 = 1'b1; VAR311 = 1'b1; end VAR374: VAR105 = 1'b1; VAR346: VAR259 = 1'b1; VAR367: VAR269 = 1'b1; VAR188: VAR242 = 1'b1; VAR200, VAR170: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR208 = 1'b1; end VAR212: begin VAR223 = 1'b1; VAR370 = 1'b1; VAR208 = 1'b1; end VAR409: begin VAR223 = 1'b1; VAR324 = 1'b1; VAR208 = 1'b1; end VAR292: begin VAR370 = 1'b1; VAR255 = 1'b1; end VAR58: begin VAR324 = 1'b1; VAR255 = 1'b1; end VAR30: begin VAR176 = (VAR305 == 6'h01) && VAR363; end VAR129: begin VAR248 = 1'b1; VAR347 = 1'b1; end VAR238: begin VAR248 = 1'b1; VAR11 = 1'b1; end VAR368: begin VAR378 = 1'b1; VAR225 = 1'b1; VAR91 = 1'b1; VAR311 = 1'b1; VAR173 = 1'b1; end VAR86, VAR190, VAR220: begin VAR324 = 1'b1; VAR167 = 1'b1; end VAR326: begin VAR223 = 1'b1; VAR156 = 1'b1; end VAR405: begin VAR223 = 1'b1; VAR344 = 1'b1; end VAR357: begin VAR223 = 1'b1; VAR237 = 1'b1; end VAR350: begin VAR316 = 1'b1; VAR225 = 1'b1; VAR91 = 1'b1; end VAR134: begin VAR199 = 1'b1; VAR225 = 1'b1; VAR91 = 1'b1; end VAR213, VAR128, VAR377, VAR140: begin VAR248 = 1'b1; VAR311 = 1'b1; end VAR215: VAR154 = 1'b1; VAR318: VAR102 = 1'b1; VAR19: VAR172 = 1'b1; VAR256: begin VAR316 = 1'b1; VAR205 = 1'b1; VAR272 = 1'b1; VAR92 = 1'b1; end VAR77: begin VAR316 = 1'b1; VAR272 = 1'b1; VAR92 = 1'b1; end VAR177: begin VAR205 = 1'b1; VAR272 = 1'b1; VAR92 = 1'b1; end VAR203: begin VAR236 = 1'b1; VAR272 = 1'b1; VAR92 = 1'b1; end VAR302: VAR289 = 1'b1; VAR124: VAR254 = 1'b1; VAR95: VAR7 = 1'b1; VAR23: VAR148 = 1'b1; VAR276: VAR291 = 1'b1; VAR321: VAR171 = 1'b1; endcase end else if (VAR250 == VAR36) begin case (VAR94) VAR89, VAR229, VAR97, VAR90, VAR249, VAR28, VAR224, VAR247, VAR253, VAR382, VAR320, VAR312, VAR139, VAR54, VAR153, VAR245, VAR107, VAR193, VAR133: begin VAR158 = 1'b1; VAR392 = 1'b1; end VAR397, VAR150, VAR42, VAR366, VAR258, VAR233, VAR375, VAR285, VAR277, VAR243, VAR100, VAR195, VAR334, VAR219, VAR18, VAR294, VAR337, VAR118, VAR202, VAR310, VAR266, VAR227, VAR96, VAR265: begin VAR158 = 1'b1; VAR392 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR394, VAR170: begin VAR223 = 1'b1; VAR262 = 1'b1; end VAR273, VAR52, VAR336, VAR232, VAR33, VAR132, VAR26, VAR181, VAR325, VAR168, VAR214, VAR362, VAR161, VAR120, VAR135, VAR395, VAR93, VAR298, VAR207, VAR146, VAR283, VAR182, VAR340, VAR86: begin VAR272 = 1'b1; VAR392 = 1'b1; end VAR351, VAR348, VAR341, VAR391, VAR281, VAR333, VAR88, VAR68: begin VAR272 = 1'b1; VAR64 = 1'b1; VAR324 = 1'b1; VAR167 = 1'b1; end VAR116, VAR53, VAR39, VAR41: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; end VAR216: begin VAR223 = 1'b1; VAR389 = 1'b1; end VAR257: begin VAR223 = 1'b1; VAR323 = 1'b1; end VAR278, VAR122, VAR169, VAR83: begin VAR144 = 1'b1; VAR167 = 1'b1; end VAR390: begin VAR223 = 1'b1; VAR32 = 1'b1; end VAR332, VAR143, VAR403, VAR264, VAR174, VAR55, VAR296, VAR210: begin VAR119 = 1'b1; VAR392 = 1'b1; if (VAR191[7]) VAR295 = 1'b1; end else VAR44 = 1'b1; VAR51 = 1'b1; end VAR101: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; VAR34 = 1'b1; end VAR10: begin VAR2 = 1'b1; VAR392 = 1'b1; VAR309 = 1'b1; VAR209 = 1'b0; end VAR200, VAR212, VAR409: begin VAR223 = 1'b1; VAR164 = 1'b1; end VAR313, VAR352: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR208 = 1'b1; end VAR137: begin VAR223 = 1'b1; VAR370 = 1'b1; VAR208 = 1'b1; end VAR235: begin VAR223 = 1'b1; VAR324 = 1'b1; VAR208 = 1'b1; end VAR14: begin VAR295 = 1'b1; VAR167 = 1'b1; end VAR292: begin VAR223 = 1'b1; VAR183 = 1'b1; end VAR58: begin VAR223 = 1'b1; VAR354 = 1'b1; end VAR108: begin VAR223 = 1'b1; VAR228 = 1'b1; end VAR56: begin VAR248 = 1'b1; VAR167 = 1'b1; end VAR129: begin VAR223 = 1'b1; VAR37 = 1'b1; end VAR238: begin VAR223 = 1'b1; VAR123 = 1'b1; end VAR49: begin VAR184 = 1'b1; VAR119 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR368: begin VAR16 = 1'b1; VAR295 = 1'b1; end VAR126: begin VAR223 = 1'b1; VAR156 = 1'b1; end VAR263: begin VAR223 = 1'b1; VAR344 = 1'b1; end VAR198: begin VAR223 = 1'b1; VAR237 = 1'b1; end VAR244: begin VAR223 = 1'b1; VAR400 = 1'b1; end VAR350, VAR134: begin VAR45 = 1'b1; VAR404 = 1'b1; VAR255 = 1'b1; VAR209 = 1'b0; end VAR213, VAR128: begin VAR225 = 1'b1; VAR64 = 1'b1; VAR328 = 1'b1; end VAR388: begin VAR223 = 1'b1; VAR65 = 1'b1; end VAR130: begin VAR223 = 1'b1; VAR300 = 1'b1; end VAR377, VAR140: begin VAR225 = 1'b1; VAR64 = 1'b1; VAR306 = 1'b1; end VAR396: begin VAR316 = 1'b1; VAR205 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR256, VAR77, VAR177, VAR203: begin VAR223 = 1'b1; VAR209 = 1'b0; end VAR190: begin VAR316 = 1'b1; VAR205 = 1'b1; VAR272 = 1'b1; VAR392 = 1'b1; end VAR121: begin VAR316 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR284: begin VAR316 = 1'b1; VAR272 = 1'b1; VAR392 = 1'b1; end VAR386: begin VAR205 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR220: begin VAR205 = 1'b1; VAR272 = 1'b1; VAR392 = 1'b1; end VAR81: begin VAR236 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR76: begin VAR236 = 1'b1; VAR272 = 1'b1; VAR392 = 1'b1; end endcase end else if (VAR250 == VAR111) begin case (VAR94) VAR89, VAR229, VAR253, VAR153, VAR168, VAR214, VAR135, VAR146: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; end VAR397, VAR150, VAR42, VAR366, VAR258, VAR233, VAR375, VAR285, VAR277, VAR243, VAR100, VAR195, VAR334, VAR219, VAR294, VAR337, VAR118, VAR202, VAR310, VAR266, VAR227, VAR96: begin VAR192 = VAR290; end VAR273, VAR52, VAR336, VAR232, VAR33, VAR132, VAR26, VAR325, VAR181: begin VAR272 = 1'b1; VAR64 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR351, VAR348, VAR341, VAR391, VAR281, VAR333, VAR88, VAR68: begin VAR158 = 1'b1; VAR392 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR116, VAR352: begin VAR223 = 1'b1; VAR262 = 1'b1; end VAR53: begin VAR223 = 1'b1; VAR389 = 1'b1; end VAR97, VAR54, VAR245, VAR107, VAR362, VAR207, VAR283, VAR182: begin VAR144 = 1'b1; VAR167 = 1'b1; end VAR278: VAR241 = 1'b1; VAR332, VAR143, VAR403, VAR264, VAR174, VAR55, VAR296, VAR210: begin VAR25 = 1'b1; VAR165 = 1'b1; end VAR90: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; VAR34 = 1'b1; end VAR101: begin VAR223 = 1'b1; VAR399 = 1'b1; end VAR10: begin VAR199 = 1'b1; VAR392 = 1'b1; VAR309 = 1'b1; VAR209 = 1'b0; end VAR249, VAR193, VAR161, VAR340: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR208 = 1'b1; end VAR313, VAR137, VAR235: begin VAR223 = 1'b1; VAR164 = 1'b1; end VAR28: begin VAR223 = 1'b1; VAR370 = 1'b1; VAR208 = 1'b1; end VAR224: begin VAR223 = 1'b1; VAR324 = 1'b1; VAR208 = 1'b1; end VAR247, VAR120: begin VAR295 = 1'b1; VAR167 = 1'b1; end VAR14: VAR117 = 1'b1; VAR39: begin VAR223 = 1'b1; VAR228 = 1'b1; end VAR382, VAR395: begin VAR248 = 1'b1; VAR167 = 1'b1; end VAR56: VAR206 = 1'b1; VAR133: begin VAR64 = 1'b1; VAR248 = 1'b1; VAR167 = 1'b1; end VAR368: begin VAR2 = 1'b1; VAR392 = 1'b1; VAR309 = 1'b1; VAR209 = 1'b0; end VAR320, VAR93: begin VAR223 = 1'b1; VAR156 = 1'b1; end VAR312, VAR86: begin VAR223 = 1'b1; VAR344 = 1'b1; end VAR139, VAR298: begin VAR223 = 1'b1; VAR237 = 1'b1; end VAR122: VAR297 = 1'b1; VAR41: begin VAR223 = 1'b1; VAR400 = 1'b1; end VAR350, VAR134: begin VAR223 = 1'b1; VAR322 = 1'b1; end VAR213: begin VAR45 = 1'b1; VAR156 = 1'b1; end VAR128: begin VAR45 = 1'b1; VAR406 = 1'b1; end VAR169: VAR343 = 1'b1; VAR83: VAR115 = 1'b1; VAR377: begin VAR64 = 1'b1; VAR306 = 1'b1; VAR406 = 1'b1; end VAR140: begin VAR64 = 1'b1; VAR173 = 1'b1; end VAR396, VAR121, VAR386, VAR81, VAR284, VAR76, VAR190, VAR220: begin VAR223 = 1'b1; VAR209 = 1'b0; end VAR18, VAR265: begin VAR316 = 1'b1; VAR192 = VAR290; end endcase end else if (VAR250 == VAR349) begin case (VAR94) VAR89, VAR193, VAR168, VAR340: begin VAR223 = 1'b1; VAR262 = 1'b1; end VAR397, VAR150, VAR233, VAR100, VAR294, VAR337, VAR202, VAR227: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; end VAR273, VAR52, VAR336, VAR232, VAR33, VAR132, VAR181: begin VAR158 = 1'b1; VAR392 = 1'b1; end VAR351, VAR348, VAR341, VAR391, VAR281, VAR333, VAR88: begin VAR192 = VAR290; end VAR229, VAR214: begin VAR223 = 1'b1; VAR389 = 1'b1; end VAR97, VAR362: VAR241 = 1'b1; VAR278, VAR14, VAR56, VAR122, VAR169, VAR83, VAR18, VAR265: begin VAR223 = 1'b1; VAR209 = 1'b0; end VAR42, VAR243, VAR195, VAR334: begin VAR144 = 1'b1; VAR167 = 1'b1; end VAR90: begin VAR223 = 1'b1; VAR399 = 1'b1; end VAR10: begin VAR59 = 1'b1; VAR209 = 1'b0; VAR274 = 1'b1; case (VAR21) VAR24: VAR226 = 1'b1; VAR142: VAR82 = 1'b1; VAR358, VAR364: VAR185 = 1'b1; endcase end VAR249, VAR28, VAR224, VAR161: begin VAR223 = 1'b1; VAR164 = 1'b1; end VAR366, VAR219, VAR118, VAR96: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR208 = 1'b1; end VAR247, VAR120: VAR117 = 1'b1; VAR258: begin VAR295 = 1'b1; VAR167 = 1'b1; end VAR253, VAR135: begin VAR223 = 1'b1; VAR228 = 1'b1; end VAR382, VAR395: VAR206 = 1'b1; VAR375: begin VAR248 = 1'b1; VAR167 = 1'b1; end VAR133: begin VAR184 = 1'b1; VAR119 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR368: begin VAR45 = 1'b1; VAR209 = 1'b0; end VAR285, VAR310: begin VAR223 = 1'b1; VAR156 = 1'b1; end VAR266: begin VAR223 = 1'b1; VAR344 = 1'b1; end VAR277: begin VAR223 = 1'b1; VAR237 = 1'b1; end VAR54, VAR207: VAR297 = 1'b1; VAR153, VAR146: begin VAR223 = 1'b1; VAR400 = 1'b1; end VAR245, VAR283: VAR343 = 1'b1; VAR107, VAR182: VAR115 = 1'b1; VAR377: begin VAR64 = 1'b1; VAR173 = 1'b1; end VAR140: begin VAR184 = 1'b1; VAR402 = 1'b1; VAR328 = 1'b1; end VAR26: begin VAR316 = 1'b1; VAR205 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR325: begin VAR316 = 1'b1; VAR158 = 1'b1; VAR392 = 1'b1; end VAR68: begin VAR316 = 1'b1; VAR192 = VAR290; end endcase end else if (VAR250 == VAR304) begin case (VAR94) VAR397, VAR219, VAR294, VAR96: begin VAR223 = 1'b1; VAR262 = 1'b1; end VAR273, VAR52, VAR232, VAR132, VAR351, VAR348, VAR391, VAR333: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR167 = 1'b1; end VAR150, VAR337: begin VAR223 = 1'b1; VAR389 = 1'b1; end VAR97, VAR247, VAR382, VAR54, VAR245, VAR107, VAR362, VAR120, VAR395, VAR207, VAR283, VAR182, VAR26, VAR325, VAR68: begin VAR223 = 1'b1; VAR209 = 1'b0; end VAR42: VAR241 = 1'b1; VAR10: begin VAR59 = 1'b1; VAR173 = 1'b1; case (VAR21) VAR24: VAR252 = 1'b1; VAR142: VAR99 = 1'b1; VAR358, VAR364: VAR373 = 1'b1; endcase end VAR366, VAR118: begin VAR223 = 1'b1; VAR164 = 1'b1; end VAR336, VAR181, VAR341, VAR88: begin VAR223 = 1'b1; VAR301 = 1'b1; VAR208 = 1'b1; end VAR258: VAR117 = 1'b1; VAR233, VAR202: begin VAR223 = 1'b1; VAR228 = 1'b1; end VAR375: VAR206 = 1'b1; VAR368: begin VAR184 = 1'b1; VAR402 = 1'b1; VAR158 = 1'b1; VAR91 = 1'b1; VAR322 = 1'b1; end VAR33, VAR281: begin VAR223 = 1'b1; VAR156 = 1'b1; end VAR243: VAR297 = 1'b1; VAR100, VAR227: begin VAR223 = 1'b1; VAR400 = 1'b1; end VAR195: VAR343 = 1'b1; VAR334: VAR115 = 1'b1; VAR377: begin VAR184 = 1'b1; VAR402 = 1'b1; VAR158 = 1'b1; VAR91 = 1'b1; VAR328 = 1'b1; end VAR140: VAR223 = 1'b1; endcase end else if (VAR250 == VAR385) begin case (VAR94) VAR273, VAR181, VAR351, VAR88: begin VAR223 = 1'b1; VAR262 = 1'b1; end VAR52, VAR348: begin VAR223 = 1'b1; VAR389 = 1'b1; end VAR42, VAR258, VAR375, VAR243, VAR195, VAR334: begin VAR223 = 1'b1; VAR209 = 1'b0; end VAR10: begin VAR184 = 1'b1; VAR402 = 1'b1; VAR158 = 1'b1; VAR91 = 1'b1; VAR322 = 1'b1; case (VAR21) VAR24: VAR345 = 1'b1; VAR142: VAR196 = 1'b1; endcase end VAR336, VAR341: begin VAR223 = 1'b1; VAR164 = 1'b1; end VAR232, VAR391: begin VAR223 = 1'b1; VAR228 = 1'b1; end VAR132, VAR333: begin VAR223 = 1'b1; VAR400 = 1'b1; end endcase end end always @* begin VAR103 = 1'b0; VAR287 = 1'b0; if (VAR4) VAR239 = VAR191 & VAR9; end else if (VAR67) VAR239 = VAR191 ^ VAR9; else if (VAR286) VAR239 = VAR191 | VAR9; else if (VAR98) begin { VAR103, VAR239 } = VAR191 + VAR9 + VAR408; VAR287 = ((VAR191[7] ^ VAR9[7]) ^ VAR239[7]) ^ VAR103; end else if (VAR22) { VAR239, VAR103 } = { VAR408, VAR9 }; else VAR239 = VAR330; end assign VAR308 = VAR64 | VAR306 | VAR392 | VAR309 | VAR119; assign VAR47 = VAR92; assign VAR356 = VAR223 | VAR45 | VAR31; assign VAR6 = VAR91 | VAR311 | VAR402; assign VAR299 = VAR82 | VAR226 | VAR185; assign VAR246 = VAR226 | VAR252; assign VAR104 = VAR82 | VAR99; assign VAR5 = VAR158 | VAR184; assign VAR381 = VAR223 | VAR45; assign VAR197 = VAR272; assign VAR78 = VAR225 | VAR44 | VAR272; assign VAR338 = VAR50 | VAR316; assign VAR151 = VAR144 | VAR167 | VAR406 | VAR173 | VAR208 | VAR156 | VAR344 | VAR237; assign VAR20 = VAR199; assign VAR251 = VAR51 | VAR16; assign VAR12 = VAR2; assign VAR43 = VAR301 | VAR289 | VAR254; assign VAR369 = VAR262 | VAR192 | VAR328 | VAR165 | VAR25 | VAR322 | VAR389 | VAR323 | VAR241 | VAR399 | VAR164 | VAR117 | VAR183 | VAR354 | VAR228 | VAR206 | VAR37 | VAR123 | VAR32 | VAR297 | VAR400 | VAR65 | VAR343 | VAR300 | VAR115; assign VAR280 = VAR148 | VAR291 | VAR370 | VAR347 | VAR205; assign VAR17 = VAR171 | VAR324 | VAR11 | VAR236; assign VAR69 = VAR404 | VAR7; assign VAR35 = VAR192 | VAR165 | VAR25 | VAR44 | VAR274; assign VAR189 = VAR262 | VAR389 | VAR323 | VAR241 | VAR399 | VAR164 | VAR173 | VAR117 | VAR183 | VAR354 | VAR144 | VAR228 | VAR206 | VAR37 | VAR123 | VAR156 | VAR344 | VAR237 | VAR32 | VAR297 | VAR274 | VAR400 | VAR65 | VAR343 | VAR300 | VAR115 | VAR289 | VAR254 | VAR7 | VAR148 | VAR171 | VAR347 | VAR205 | VAR11 | VAR236; assign VAR74 = VAR192 | VAR165 | VAR158 | VAR59 | VAR223 | VAR45 | VAR225 | VAR272; assign VAR361 = VAR64 | VAR392 | VAR92 | VAR82 | VAR99 | VAR226 | VAR252 | VAR185 | VAR373 | VAR223 | VAR45 | VAR91; assign VAR204 = VAR306 | VAR309 | VAR31 | VAR311; assign VAR155 = VAR50 | VAR167 | VAR255 | VAR51 | VAR347 | VAR11; assign VAR384 = VAR208; assign VAR353 = VAR328 | VAR322 | VAR173 | VAR291; assign VAR157 = VAR248; assign VAR159 = VAR262 | VAR389 | VAR323 | VAR228 | VAR156 | VAR32 | VAR400 | VAR65 | VAR300 | VAR148 | VAR171; assign VAR401 = VAR301 | VAR144 | VAR295 | VAR44 | VAR404 | VAR370 | VAR324; assign VAR261 = VAR25 | VAR184; assign VAR27 = VAR119 | VAR402; assign VAR187 = VAR183 | VAR37 | VAR344 | VAR289 | VAR7; assign VAR360 = VAR354 | VAR123 | VAR237 | VAR254; assign VAR163 = VAR262 | VAR323 | VAR241 | VAR164 | VAR32 | VAR297 | VAR65 | VAR343 | VAR300 | VAR115; assign VAR46 = VAR406; assign VAR371 = VAR105 | VAR154; assign VAR113 = VAR406; assign VAR80 = VAR259 | VAR102; assign VAR387 = VAR406 | VAR274; assign VAR75 = VAR269 | VAR172; assign VAR48 = VAR262 | VAR389 | VAR323 | VAR241 | VAR164 | VAR117 | VAR183 | VAR354 | VAR34 | VAR406 | VAR228 | VAR206 | VAR37 | VAR123 | VAR156 | VAR344 | VAR237 | VAR32 | VAR297 | VAR400 | VAR65 | VAR343 | VAR300 | VAR115 | VAR289 | VAR254 | VAR7 | VAR148 | VAR171; assign VAR3 = VAR262; assign VAR307 = VAR34 | VAR406; assign VAR222 = VAR242; assign VAR329 = VAR406; assign VAR179 = VAR262 | VAR389 | VAR323 | VAR241 | VAR399 | VAR164 | VAR117 | VAR183 | VAR354 | VAR228 | VAR206 | VAR37 | VAR123 | VAR156 | VAR344 | VAR237 | VAR32 | VAR297 | VAR400 | VAR65 | VAR343 | VAR300 | VAR115 | VAR289 | VAR254 | VAR7 | VAR148 | VAR171; assign VAR4 = VAR389 | VAR399; assign VAR67 = VAR228; assign VAR286 = VAR400; assign VAR98 = VAR262 | VAR192 | VAR64 | VAR306 | VAR328 | VAR165 | VAR392 | VAR309 | VAR25 | VAR119 | VAR322 | VAR323 | VAR241 | VAR164 | VAR117 | VAR183 | VAR354 | VAR206 | VAR37 | VAR123 | VAR65 | VAR343; assign VAR22 = VAR32 | VAR297 | VAR300 | VAR115; assign VAR408 = (VAR262 | VAR65 | VAR343 | VAR300 | VAR115) ? VAR315 : VAR192 | VAR64 | VAR306 | VAR328 | VAR164 | VAR206 | VAR37 | VAR123; assign VAR331 = (VAR378 | VAR223) & !VAR72; assign VAR152[7:1] = (VAR5) ? VAR166[7:1] : (VAR381) ? VAR342[7:1] : (VAR78) ? 7'h00 : 7'h7F; assign VAR152[0] = (VAR5) ? VAR166[0] : (VAR381) ? VAR342[0] : (VAR197) ? 1'b0 : 1'b1; assign VAR1[7:3] = (VAR308) ? VAR330[7:3] : (VAR47) ? VAR166[7:3] : (VAR356) ? VAR201[7:3] : (VAR6) ? VAR178[7:3] : 5'h1F; assign VAR1[2] = (VAR308) ? VAR330[2] : (VAR47) ? VAR166[2] : (VAR356) ? VAR201[2] : (VAR6) ? VAR178[2] : (VAR104) ? 1'b0 : 1'b1; assign VAR1[1] = (VAR308) ? VAR330[1] : (VAR47) ? VAR166[1] : (VAR356) ? VAR201[1] : (VAR6) ? VAR178[1] : (VAR246) ? 1'b0 : 1'b1; assign VAR1[0] = (VAR308) ? VAR330[0] : (VAR47) ? VAR166[0] : (VAR356) ? VAR201[0] : (VAR6) ? VAR178[0] : (VAR299) ? 1'b0 : 1'b1; assign VAR376 = 8'hFF & ({8{~VAR338}} | VAR127) & ({8{~VAR151}} | VAR166) & ({8{~VAR20}} | VAR87) & ({8{~VAR251}} | VAR342) & ({8{~VAR12}} | VAR201); assign VAR40 = 8'hFF & ({8{~VAR43}} | VAR127) & ({8{~VAR369}} | VAR330) & ({8{~VAR69}} | VAR178) & ({8{~VAR280}} | VAR288) & ({8{~VAR17}} | VAR125); assign VAR180 = (VAR35 & VAR189) ? (VAR152 & VAR40 & VAR376) : (VAR35) ? (VAR152 & VAR40) : (VAR152); assign VAR114 = (VAR189 & VAR35) ? (VAR376 & VAR40 & VAR152) : (VAR189) ? (VAR376 & VAR40) : (VAR376); assign VAR230 = (VAR35 & VAR189) ? (VAR40 & VAR376 & VAR152) : (VAR189) ? (VAR40 & VAR376) : (VAR35) ? (VAR40 & VAR152) : (VAR40); assign VAR379 = (VAR159) ? VAR230 : VAR127; assign VAR267 = (VAR187) ? VAR230 : VAR288; assign VAR194 = (VAR360) ? VAR230 : VAR125; assign VAR60 = (VAR163) ? VAR103 : (VAR46) ? VAR114[0] : (VAR371) ? VAR94[5] : VAR315; assign VAR66 = (VAR113) ? VAR114[3] : (VAR80) ? VAR94[5] : VAR61; assign VAR211 = (VAR387) ? VAR114[2] : (VAR75) ? VAR94[5] : VAR327; assign VAR240 = (VAR48) ? VAR114[7] : VAR38; assign VAR112 = (VAR3) ? VAR287 : (VAR307) ? VAR114[6] : (VAR222) ? 1'b0 : VAR339; assign VAR271 = (VAR329) ? VAR114[1] : (VAR179) ? ~|VAR114 : VAR175; assign VAR8 = (VAR74) ? VAR180 : VAR268; assign VAR149 = (VAR361) ? VAR1 : VAR293; assign VAR260 = (VAR401) ? VAR230 : (VAR157) ? 8'h0 : VAR191; assign VAR136 = (VAR204) ? VAR1 : (VAR155) ? VAR114 : (VAR384) ? ~VAR114 : VAR9; assign VAR63 = (VAR209) ? din : VAR166; assign VAR71 = VAR114; assign VAR314 = (VAR209) ? din : VAR393; assign VAR217 = (VAR353) ? VAR230 : VAR178; assign VAR372 = (VAR261) ? VAR180 : VAR342; assign VAR380 = (VAR27) ? VAR1 : VAR201; assign { VAR186, VAR85 } = (VAR331) ? { VAR62, VAR221 } + 16'h0001 : { VAR62, VAR221 }; assign VAR87 = { VAR38, VAR339, 1'b1, (VAR21 == VAR364), VAR61, VAR327, VAR175, VAR315 }; assign dout = VAR218; assign VAR319 = { VAR268, VAR293 }; always @* begin case (VAR303) default: VAR231 = 8'VAR407; endcase end endmodule
mit
bluespec/Flute
builds/RV64ACIMU_Flute_iverilog/Verilog_RTL/mkNear_Mem_IO.v
48,083
module MODULE1(VAR202, VAR139, VAR194, VAR174, VAR142, VAR209, VAR204, VAR169, VAR234, VAR141, VAR183, VAR10, VAR226, VAR216, VAR56, VAR147, VAR21, VAR189, VAR51, VAR231, VAR87, VAR74); input VAR202; input VAR139; input VAR194; output VAR174; input VAR142; output VAR209; input [63 : 0] VAR204; input [63 : 0] VAR169; input VAR234; output VAR141; input [136 : 0] VAR183; input VAR10; output VAR226; input VAR216; output [65 : 0] VAR56; output VAR147; input VAR21; output VAR189; output VAR51; input VAR231; output VAR87; output VAR74; wire [65 : 0] VAR56; wire VAR74, VAR51, VAR226, VAR174, VAR209, VAR147, VAR141, VAR87, VAR189; wire [63 : 0] VAR175, VAR16, VAR111, VAR197, VAR148; wire VAR40, VAR186; reg [3 : 0] VAR206; wire [3 : 0] VAR32; wire VAR193; reg [63 : 0] VAR6; wire [63 : 0] VAR211; wire VAR203; reg [63 : 0] VAR12; wire [63 : 0] VAR26; wire VAR22; reg [63 : 0] VAR100; wire [63 : 0] VAR108; wire VAR20; reg [63 : 0] VAR145; wire [63 : 0] VAR136; wire VAR66; reg VAR2; wire VAR110, VAR34; reg VAR78; wire VAR163, VAR69; reg VAR13; wire VAR37, VAR184; wire [136 : 0] VAR105, VAR59; wire VAR122, VAR119, VAR185, VAR150, VAR137; wire VAR36, VAR47, VAR14, VAR181, VAR146; wire VAR18, VAR232, VAR224, VAR86, VAR75; wire [65 : 0] VAR173, VAR144; wire VAR198, VAR5, VAR55, VAR82, VAR89; wire VAR126, VAR17, VAR124, VAR222, VAR84, VAR233, VAR157; wire VAR7, VAR94, VAR77, VAR228, VAR31, VAR97, VAR72; wire VAR191, VAR76, VAR98, VAR54, VAR130, VAR158, VAR83, VAR118, VAR39, VAR88, VAR149, VAR208, VAR199, VAR24, VAR133, VAR30, VAR160, VAR168, VAR221, VAR71, VAR29, VAR229, VAR8, VAR11, VAR195, VAR177; wire [65 : 0] VAR200, VAR227; wire [63 : 0] VAR210, VAR57; wire VAR92, VAR99, VAR164, VAR96, VAR201; reg [31 : 0] VAR178; reg [31 : 0] VAR123; reg [31 : 0] VAR67; reg [31 : 0] VAR63; reg [31 : 0] VAR207; reg [31 : 0] VAR23; reg [31 : 0] VAR131; reg [31 : 0] VAR85; reg [31 : 0] VAR62; reg [31 : 0] VAR188; reg [31 : 0] VAR38; reg [31 : 0] VAR225; reg [31 : 0] VAR45; reg [31 : 0] VAR153; reg [31 : 0] VAR151; reg [31 : 0] VAR73; reg [31 : 0] VAR205; reg [31 : 0] VAR35; reg [31 : 0] VAR120; reg [31 : 0] VAR176; reg [31 : 0] VAR223; reg [31 : 0] VAR19; reg [63 : 0] VAR64; wire [63 : 0] VAR33, VAR104, VAR162, VAR140, VAR167, VAR115, VAR116, VAR79, VAR134, VAR112, VAR213, VAR52, VAR192, VAR217, VAR212, VAR15, VAR219, VAR214, VAR107, VAR41; wire [7 : 0] VAR230, VAR68, VAR154, VAR103, VAR182, VAR215, VAR156, VAR101; wire VAR65, VAR4, VAR25; assign VAR174 = VAR146 ; assign VAR88 = VAR146 ; assign VAR8 = VAR194 ; assign VAR209 = VAR224 ; assign VAR149 = VAR224 ; assign VAR11 = VAR142 ; assign VAR141 = 1'd1 ; assign VAR199 = 1'd1 ; assign VAR177 = VAR234 ; assign VAR226 = VAR137 ; assign VAR39 = VAR137 ; assign VAR229 = VAR10 ; assign VAR56 = VAR144 ; assign VAR147 = VAR55 ; assign VAR208 = VAR55 ; assign VAR195 = VAR216 ; assign VAR189 = VAR228 ; assign VAR51 = VAR31 ; assign VAR118 = VAR31 ; assign VAR29 = VAR21 ; assign VAR87 = VAR222 ; assign VAR74 = VAR84 ; assign VAR83 = VAR84 ; assign VAR71 = VAR231 ; VAR218 #(.VAR117(32'd137), .VAR9(32'd1)) VAR81(.VAR106(VAR139), .VAR202(VAR202), .VAR70(VAR105), .VAR28(VAR150), .VAR152(VAR119), .VAR109(VAR122), .VAR102(VAR59), .VAR170(VAR137), .VAR143(VAR185)); VAR44 #(.VAR9(32'd1)) VAR1(.VAR106(VAR139), .VAR202(VAR202), .VAR28(VAR181), .VAR152(VAR47), .VAR109(VAR36), .VAR170(VAR146), .VAR143(VAR14)); VAR44 #(.VAR9(32'd1)) VAR171(.VAR106(VAR139), .VAR202(VAR202), .VAR28(VAR86), .VAR152(VAR232), .VAR109(VAR18), .VAR170(VAR75), .VAR143(VAR224)); VAR218 #(.VAR117(32'd66), .VAR9(32'd1)) VAR49(.VAR106(VAR139), .VAR202(VAR202), .VAR70(VAR173), .VAR28(VAR82), .VAR152(VAR5), .VAR109(VAR198), .VAR102(VAR144), .VAR170(VAR89), .VAR143(VAR55)); VAR218 #(.VAR117(32'd1), .VAR9(32'd1)) VAR95(.VAR106(VAR139), .VAR202(VAR202), .VAR70(VAR124), .VAR28(VAR233), .VAR152(VAR17), .VAR109(VAR126), .VAR102(VAR222), .VAR170(VAR157), .VAR143(VAR84)); VAR218 #(.VAR117(32'd1), .VAR9(32'd1)) VAR129(.VAR106(VAR139), .VAR202(VAR202), .VAR70(VAR77), .VAR28(VAR97), .VAR152(VAR94), .VAR109(VAR7), .VAR102(VAR228), .VAR170(VAR72), .VAR143(VAR31)); assign VAR54 = VAR201 ; assign VAR160 = VAR201 ; assign VAR130 = VAR14 ; assign VAR168 = VAR96 ; assign VAR76 = VAR185 && VAR89 && VAR13 && !VAR14 && VAR59[136] ; assign VAR133 = VAR76 ; assign VAR191 = VAR72 && VAR13 && VAR78 != VAR4 && !VAR14 ; assign VAR24 = VAR191 ; assign VAR158 = VAR13 && VAR6 != 64'hFFFFFFFFFFFFFFFF && !VAR14 ; assign VAR221 = VAR158 ; assign VAR98 = VAR185 && VAR89 && (VAR33 != 64'h0 || VAR25 || VAR157) && VAR13 && !VAR14 && !VAR59[136] ; assign VAR30 = VAR98 && !VAR24 ; assign VAR92 = VAR30 && (VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h000000000000BFFC) ; assign VAR99 = VAR30 && (VAR33 == 64'h0000000000004000 || VAR33 == 64'h0000000000004004) ; assign VAR164 = VAR30 && VAR33 == 64'h0 && !VAR25 ; assign VAR96 = VAR14 && !VAR160 ; assign VAR201 = VAR14 && VAR75 && !VAR13 ; assign VAR210 = (VAR33 == 64'h000000000000BFF8) ? VAR167 : VAR115 ; assign VAR57 = (VAR33 == 64'h0000000000004000) ? VAR116 : VAR79 ; assign VAR200 = { 1'd1, VAR33 == 64'h0 || VAR33 == 64'h0000000000004000 || VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h0000000000000004 || VAR33 == 64'h0000000000004004 || VAR33 == 64'h000000000000BFFC, VAR64 } ; assign VAR227 = { 1'd0, VAR33 == 64'h0 || VAR33 == 64'h0000000000004000 || VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h0000000000000004 || VAR33 == 64'h0000000000004004 || VAR33 == 64'h000000000000BFFC, 64'hAAAAAAAAAAAAAAAA } ; assign VAR175 = VAR6 + 64'd1 ; assign VAR40 = VAR30 && (VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h000000000000BFFC) || VAR160 ; assign VAR16 = VAR92 ? VAR210 : 64'd1 ; assign VAR111 = VAR40 ? VAR16 : VAR134 ; assign VAR186 = VAR30 && (VAR33 == 64'h0000000000004000 || VAR33 == 64'h0000000000004004) || VAR160 ; assign VAR197 = VAR99 ? VAR57 : 64'd0 ; assign VAR148 = VAR186 ? VAR197 : VAR12 ; assign VAR32 = 4'h0 ; assign VAR193 = 1'b0 ; assign VAR211 = VAR111 ; assign VAR203 = 1'b1 ; assign VAR26 = VAR148 ; assign VAR22 = 1'b1 ; assign VAR108 = VAR204 ; assign VAR20 = VAR234 ; assign VAR136 = VAR169 ; assign VAR66 = VAR234 ; assign VAR110 = VAR164 && VAR59[8] ; assign VAR34 = VAR30 && VAR33 == 64'h0 && !VAR25 || VAR160 ; assign VAR163 = !VAR24 || VAR4 ; assign VAR69 = VAR24 || VAR160 ; assign VAR37 = !VAR168 ; assign VAR184 = VAR168 || VAR160 ; assign VAR105 = VAR183 ; assign VAR150 = VAR10 ; assign VAR119 = VAR30 || VAR133 ; assign VAR122 = VAR201 ; assign VAR181 = VAR194 ; assign VAR47 = VAR201 ; assign VAR36 = 1'b0 ; assign VAR86 = VAR201 ; assign VAR232 = VAR142 ; assign VAR18 = 1'b0 ; assign VAR173 = VAR133 ? VAR200 : VAR227 ; assign VAR82 = VAR133 || VAR30 ; assign VAR5 = VAR216 ; assign VAR198 = VAR201 ; assign VAR124 = VAR59[8] ; assign VAR233 = VAR164 ; assign VAR17 = VAR231 ; assign VAR126 = VAR201 ; assign VAR77 = VAR4 ; assign VAR97 = VAR191 ; assign VAR94 = VAR21 ; assign VAR7 = VAR201 ; assign VAR65 = VAR206 > 4'd1 ; assign VAR4 = VAR6 >= VAR12 ; assign VAR230 = {8{VAR59[0]}} ; assign VAR68 = {8{VAR59[1]}} ; assign VAR154 = {8{VAR59[2]}} ; assign VAR103 = {8{VAR59[3]}} ; assign VAR182 = {8{VAR59[4]}} ; assign VAR215 = {8{VAR59[5]}} ; assign VAR156 = {8{VAR59[6]}} ; assign VAR101 = {8{VAR59[7]}} ; assign VAR33 = VAR59[135:72] - VAR100 ; assign VAR104 = { VAR101, VAR156, VAR215, VAR182, VAR103, VAR154, VAR68, VAR230 } ; assign VAR162 = { VAR103, VAR154, VAR68, VAR230, 32'd0 } ; assign VAR140 = { VAR59[39:8], 32'h0 } ; assign VAR167 = VAR217 | VAR219 ; assign VAR115 = VAR15 | VAR107 ; assign VAR116 = VAR192 | VAR219 ; assign VAR79 = VAR212 | VAR107 ; assign VAR134 = VAR158 ? VAR175 : VAR6 ; assign VAR112 = { 63'd0, VAR2 } ; assign VAR213 = { 32'd0, VAR12[63:32] } ; assign VAR52 = { 32'd0, VAR6[63:32] } ; assign VAR25 = VAR2 == VAR59[8] ; assign VAR192 = VAR12 & VAR214 ; assign VAR217 = VAR134 & VAR214 ; assign VAR212 = VAR12 & VAR41 ; assign VAR15 = VAR134 & VAR41 ; assign VAR219 = VAR59[71:8] & VAR104 ; assign VAR214 = { ~VAR101, ~VAR156, ~VAR215, ~VAR182, ~VAR103, ~VAR154, ~VAR68, ~VAR230 } ; assign VAR107 = VAR140 & VAR162 ; assign VAR41 = { ~VAR103, ~VAR154, ~VAR68, ~VAR230, 32'hFFFFFFFF } ; always@(VAR33 or VAR112 or VAR12 or VAR213 or VAR6 or VAR52) begin case (VAR33) 64'h0: VAR64 = VAR112; 64'h0000000000000004: VAR64 = 64'd0; 64'h0000000000004000: VAR64 = VAR12; 64'h0000000000004004: VAR64 = VAR213; 64'h000000000000BFF8: VAR64 = VAR6; 64'h000000000000BFFC: VAR64 = VAR52; default: VAR64 = 64'd0; endcase end always@(posedge VAR202) begin if (VAR139 == VAR166) begin VAR206 <= VAR179 4'd1; VAR6 <= VAR179 64'd1; VAR12 <= VAR179 64'd0; VAR78 <= VAR179 1'd1; VAR13 <= VAR179 1'd0; end else begin if (VAR193) VAR206 <= VAR179 VAR32; if (VAR203) VAR6 <= VAR179 VAR211; if (VAR22) VAR12 <= VAR179 VAR26; if (VAR69) VAR78 <= VAR179 VAR163; if (VAR184) VAR13 <= VAR179 VAR37; end if (VAR20) VAR100 <= VAR179 VAR108; if (VAR66) VAR145 <= VAR179 VAR136; if (VAR34) VAR2 <= VAR179 VAR110; end begin VAR206 = 4'hA; VAR6 = 64'hAAAAAAAAAAAAAAAA; VAR12 = 64'hAAAAAAAAAAAAAAAA; VAR100 = 64'hAAAAAAAAAAAAAAAA; VAR145 = 64'hAAAAAAAAAAAAAAAA; VAR2 = 1'h0; VAR78 = 1'h0; VAR13 = 1'h0; end always@(negedge VAR202) begin if (VAR139 != VAR166) if (VAR21 && VAR65) begin VAR178 = VAR196; end VAR223 = VAR178 / 32'd10; if (VAR139 != VAR166) if (VAR21 && VAR65) VAR27("%0d: VAR113: VAR161: %VAR187", VAR223, VAR228); if (VAR139 != VAR166) if (VAR231 && VAR65) begin VAR123 = VAR196; end VAR19 = VAR123 / 32'd10; if (VAR139 != VAR166) if (VAR231 && VAR65) VAR27("%0d: VAR113: VAR48: %VAR187", VAR19, VAR222); if (VAR139 != VAR166) if (VAR160 && VAR206 != 4'd0) begin VAR67 = VAR196; end VAR225 = VAR67 / 32'd10; if (VAR139 != VAR166) if (VAR160 && VAR206 != 4'd0) if (VAR139 != VAR166) if (VAR133 && VAR65) begin VAR63 = VAR196; end VAR153 = VAR63 / 32'd10; if (VAR139 != VAR166) if (VAR133 && VAR65) VAR27("%0d: VAR113.VAR172: VAR78 = %0d", VAR153, VAR78); if (VAR139 != VAR166) if (VAR133 && VAR65) (" "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("VAR159 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("VAR180"); if (VAR139 != VAR166) if (VAR133 && VAR65) (", ", "addr: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("'VAR3%VAR3", VAR59[135:72]); if (VAR139 != VAR166) if (VAR133 && VAR65) (", ", "VAR190: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("'VAR3%VAR3", VAR59[71:8]); if (VAR139 != VAR166) if (VAR133 && VAR65) (", ", "VAR43: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("'VAR3%VAR3", VAR59[7:0], " }"); if (VAR139 != VAR166) if (VAR133 && VAR65) ("\VAR138"); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) begin VAR207 = VAR196; end VAR151 = VAR207 / 32'd10; if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) VAR27("%0d: VAR46: VAR113.VAR172: VAR91 addr", VAR151); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (" "); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR159 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR180"); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "addr: "); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[135:72]); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "VAR190: "); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[71:8]); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "VAR43: "); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[7:0], " }"); if (VAR139 != VAR166) if (VAR133 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("\VAR138"); if (VAR139 != VAR166) if (VAR133 && VAR65) (" <= "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("VAR58 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("VAR180"); if (VAR139 != VAR166) if (VAR133 && VAR65) (", ", "VAR128: "); if (VAR139 != VAR166) if (VAR133 && VAR65 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR50"); if (VAR139 != VAR166) if (VAR133 && VAR65 && (VAR33 == 64'h0 || VAR33 == 64'h0000000000004000 || VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h0000000000000004 || VAR33 == 64'h0000000000004004 || VAR33 == 64'h000000000000BFFC)) ("VAR180"); if (VAR139 != VAR166) if (VAR133 && VAR65) (", ", "VAR127: "); if (VAR139 != VAR166) if (VAR133 && VAR65) ("'VAR3%VAR3", VAR64, " }"); if (VAR139 != VAR166) if (VAR133 && VAR65) ("\VAR138"); if (VAR139 != VAR166) if (VAR24 && VAR65) begin VAR23 = VAR196; end VAR45 = VAR23 / 32'd10; if (VAR139 != VAR166) if (VAR24 && VAR65) VAR27("%0d: VAR113.VAR125: new VAR135 = %0d, VAR90 = %0d, VAR60 = %0d", VAR45, VAR4, VAR6, VAR12); if (VAR139 != VAR166) if (VAR30 && VAR65) begin VAR131 = VAR196; end VAR73 = VAR131 / 32'd10; if (VAR139 != VAR166) if (VAR30 && VAR65) VAR27("%0d: VAR113.VAR155: VAR78 = %0d", VAR73, VAR78); if (VAR139 != VAR166) if (VAR30 && VAR65) (" "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("VAR159 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("VAR50"); if (VAR139 != VAR166) if (VAR30 && VAR65) (", ", "addr: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("'VAR3%VAR3", VAR59[135:72]); if (VAR139 != VAR166) if (VAR30 && VAR65) (", ", "VAR190: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("'VAR3%VAR3", VAR59[71:8]); if (VAR139 != VAR166) if (VAR30 && VAR65) (", ", "VAR43: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("'VAR3%VAR3", VAR59[7:0], " }"); if (VAR139 != VAR166) if (VAR30 && VAR65) ("\VAR138"); if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0 && !VAR25 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004000 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004000 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004000 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004000 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004000 && VAR65) VAR27(" new VAR42 - VAR93 = 0x%0h", VAR116 - VAR134); if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFF8 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFF8 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFF8 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004004 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004004 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004004 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004004 && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h0000000000004004 && VAR65) VAR27(" new VAR42 - VAR93 = 0x%0h", VAR79 - VAR134); if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFFC && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFFC && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 == 64'h000000000000BFFC && VAR65) if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) begin VAR85 = VAR196; end VAR205 = VAR85 / 32'd10; if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) VAR27("%0d: VAR46: VAR113.VAR155: VAR91 addr", VAR205); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (" "); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR159 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR50"); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "addr: "); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[135:72]); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "VAR190: "); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[71:8]); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) (", ", "VAR43: "); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("'VAR3%VAR3", VAR59[7:0], " }"); if (VAR139 != VAR166) if (VAR30 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("\VAR138"); if (VAR139 != VAR166) if (VAR30 && VAR65) (" <= "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("VAR58 { ", "VAR80: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("VAR50"); if (VAR139 != VAR166) if (VAR30 && VAR65) (", ", "VAR128: "); if (VAR139 != VAR166) if (VAR30 && VAR65 && VAR33 != 64'h0 && VAR33 != 64'h0000000000004000 && VAR33 != 64'h000000000000BFF8 && VAR33 != 64'h0000000000000004 && VAR33 != 64'h0000000000004004 && VAR33 != 64'h000000000000BFFC) ("VAR50"); if (VAR139 != VAR166) if (VAR30 && VAR65 && (VAR33 == 64'h0 || VAR33 == 64'h0000000000004000 || VAR33 == 64'h000000000000BFF8 || VAR33 == 64'h0000000000000004 || VAR33 == 64'h0000000000004004 || VAR33 == 64'h000000000000BFFC)) ("VAR180"); if (VAR139 != VAR166) if (VAR30 && VAR65) (", ", "VAR127: "); if (VAR139 != VAR166) if (VAR30 && VAR65) ("'VAR3%VAR3", 64'hAAAAAAAAAAAAAAAA, " }"); if (VAR139 != VAR166) if (VAR30 && VAR65) ("\VAR138"); if (VAR234 && VAR204[1:0] != 2'd0) begin VAR62 = VAR196; end VAR35 = VAR62 / 32'd10; if (VAR234 && VAR204[1:0] != 2'd0) VAR27("%0d: VAR114: VAR113.VAR132: VAR53 0x%0h VAR165 not 4-VAR220-VAR121", VAR35, VAR204); if (VAR234 && VAR169[1:0] != 2'd0) begin VAR188 = VAR196; end VAR120 = VAR188 / 32'd10; if (VAR234 && VAR169[1:0] != 2'd0) VAR27("%0d: VAR114: VAR113.VAR132: VAR61 0x%0h VAR165 not 4-VAR220-VAR121", VAR120, VAR169); if (VAR234) begin VAR38 = VAR196; end VAR176 = VAR38 / 32'd10; if (VAR234) VAR27("%0d: VAR113.VAR132: VAR53 0x%0h VAR61 0x%0h", VAR176, VAR204, VAR169); end endmodule
apache-2.0
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6t_SEQ_LVT_SS_210930.v
73,172
module MODULE1 (VAR13, VAR14, VAR6, VAR3); output VAR13; input VAR14, VAR6, VAR3; reg VAR8; wire VAR1, VAR4; wire VAR16, VAR10, VAR15; wire VAR11; not (VAR16, VAR1); not (VAR15, VAR6); VAR5 (VAR11, VAR4, VAR16, VAR15); VAR9 (VAR10, VAR8, VAR4, VAR16, VAR15, VAR11); buf (VAR13, VAR10); wire VAR7, VAR2, VAR12; and (VAR7, VAR14, VAR6); not (VAR12, VAR14); and (VAR2, VAR12, VAR6);
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand2/sky130_fd_sc_lp__nand2.blackbox.v
1,239
module MODULE1 ( VAR4, VAR2, VAR1 ); output VAR4; input VAR2; input VAR1; supply1 VAR6; supply0 VAR3; supply1 VAR7 ; supply0 VAR5 ; endmodule
apache-2.0
CospanDesign/nysa-sata
rtl/phy/oob_controller.v
10,794
module MODULE1 ( input rst, input clk, input VAR21, output reg VAR31, output reg VAR4, output reg VAR25, output reg VAR9, input VAR8, input VAR6, input VAR37, input [31:0] VAR27, input [3:0] VAR30, input VAR33, input VAR20, input VAR2, output reg [31:0] VAR11, output reg VAR34, output reg VAR5, output [3:0] VAR13 ); parameter VAR24 = 4'h0; parameter VAR15 = 4'h1; parameter VAR32 = 4'h2; parameter VAR35 = 4'h3; parameter VAR18 = 4'h4; parameter VAR14 = 4'h5; parameter VAR3 = 4'h6; parameter VAR23 = 4'h7; parameter VAR28 = 4'h8; parameter VAR1 = 4'h9; parameter VAR16 = 4'hA; parameter VAR19 = 4'hB; parameter VAR36 = 4'hC; reg [3:0] state; reg [31:0] VAR10; reg [1:0] VAR22; reg [3:0] VAR17; wire timeout; wire VAR12; wire VAR7; assign timeout = (VAR10 == 0); assign VAR12 = ((VAR30 > 0) && (VAR27 == VAR29) && VAR20); assign VAR7 = ((VAR30 > 0) && (VAR27 == VAR26)); assign VAR13 = state;
mit
tmatsuya/milkymist-ml401
cores/minimac/rtl/minimac_tx.v
3,604
module MODULE1( input VAR28, input VAR6, input VAR30, input VAR35, input [29:0] VAR36, input [1:0] VAR21, output reg VAR20, output [31:0] VAR15, output VAR33, output VAR12, input VAR16, input [31:0] VAR17, input VAR9, output VAR26, output [3:0] VAR3 ); reg VAR24; assign VAR33 = VAR24; assign VAR12 = VAR24; assign VAR15 = {VAR36, 2'd0}; reg VAR11; reg [7:0] VAR34; wire VAR27; reg VAR5; wire VAR29; VAR10 VAR2( .VAR28(VAR28), .VAR30(VAR30), .VAR11(VAR11), .VAR34(VAR34), .VAR27(VAR27), .VAR5(VAR5), .VAR29(VAR29), .VAR9(VAR9), .VAR26(VAR26), .VAR3(VAR3) ); reg VAR23; reg [31:0] VAR32; always @(posedge VAR28) if(VAR23) VAR32 <= VAR17; always @ begin VAR13 = state; VAR23 = 1'b0; VAR20 = 1'b0; VAR11 = 1'b0; VAR24 = 1'b0; case(state) VAR14: begin if(VAR35 & ~VAR27 & ~VAR18) begin if(VAR37) VAR13 = VAR7; end else begin VAR11 = 1'b1; VAR20 = 1'b1; end end end VAR7: begin VAR24 = 1'b1; VAR23 = 1'b1; if(VAR16) VAR13 = VAR8; end VAR8: begin VAR11 = 1'b1; VAR20 = 1'b1; VAR13 = VAR14; end endcase end reg [1:0] VAR22; reg [1:0] VAR4; parameter VAR31 = 2'd0; parameter VAR25 = 2'd1; parameter VAR19 = 2'd2; parameter VAR1 = 2'd3; always @(posedge VAR28) begin if(VAR6) VAR22 <= VAR31; end else VAR22 <= VAR4; end always @(*) begin VAR4 = VAR22; VAR5 = 1'b0; VAR18 = 1'b0; case(VAR22) VAR31: begin if(VAR35) VAR4 = VAR25; end VAR25: begin if(VAR27) VAR4 = VAR19; end VAR19: begin VAR5 = 1'b1; if(~VAR35) begin VAR18 = 1'b1; VAR4 = VAR1; end end VAR1: begin VAR5 = 1'b1; VAR18 = 1'b1; if(VAR29) VAR4 = VAR31; end endcase end endmodule
lgpl-3.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_nco_0_0/ip_design_nco_0_0_stub.v
2,663
module MODULE1(VAR16, VAR9, VAR17, VAR4, VAR3, VAR1, VAR11, VAR2, VAR15, VAR8, VAR5, VAR19, VAR6, VAR10, VAR13, VAR18, VAR12, VAR14, VAR7) ; input [5:0]VAR16; input VAR9; output VAR17; input [31:0]VAR4; input [3:0]VAR3; input VAR1; output VAR11; output [1:0]VAR2; output VAR15; input VAR8; input [5:0]VAR5; input VAR19; output VAR6; output [31:0]VAR10; output [1:0]VAR13; output VAR18; input VAR12; input VAR14; input VAR7; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor2/sky130_fd_sc_hdll__nor2.behavioral.v
1,358
module MODULE1 ( VAR10, VAR9, VAR8 ); output VAR10; input VAR9; input VAR8; supply1 VAR1; supply0 VAR7; supply1 VAR4 ; supply0 VAR6 ; wire VAR2; nor VAR3 (VAR2, VAR9, VAR8 ); buf VAR5 (VAR10 , VAR2 ); endmodule
apache-2.0
masc-ucsc/cmpe220fall16
rtl/ictlb.v
3,639
module MODULE1( input clk ,input reset ,input VAR43 ,output VAR28 ,input VAR34 VAR41 ,input VAR15 ,output VAR33 ,input VAR36 VAR32 ,output VAR8 ,input VAR4 ,output VAR23 VAR50 ,output VAR25 ,input VAR48 ,output VAR42 VAR18 ,input VAR51 ,output VAR14 ,input VAR31 VAR10 ,input VAR39 ,output VAR26 ,input VAR30 VAR52 ,output VAR6 ,input VAR2 ,output VAR5 VAR20 ,output VAR24 ,input VAR40 ,output VAR46 VAR35 ); assign VAR25 = 1'b0; assign VAR6 = 1'b0; assign VAR24 = 1'b0; VAR23 VAR12; logic VAR38, VAR16; VAR19 begin if(VAR43) begin VAR12.VAR7 = VAR41.VAR7; VAR12.VAR22 = 1'b0; VAR12.VAR9 = 1'b0; VAR12.VAR21 = 3'b000; VAR12.VAR53 = VAR41.VAR49[22:12]; VAR12.VAR47 = VAR41.VAR49[14:12]; VAR16 = VAR43; VAR28 = VAR38; VAR33 = 1'b0; end else if(VAR15 & ~VAR32.VAR45) begin VAR12.VAR7 = 'b0; VAR12.VAR22 = 1'b1; VAR12.VAR9 = 1'b1; VAR12.VAR21 = 3'b000; VAR12.VAR53 = VAR32.VAR49[22:12]; VAR12.VAR47 = VAR32.VAR49[14:12]; VAR16 = VAR15; VAR33 = 1'b0; VAR28 = VAR38; end else begin VAR16 = 1'b0; end end VAR11 #(.VAR3(VAR1(VAR23))) VAR13( .clk(clk) ,.reset(reset) ,.VAR17(VAR16) ,.VAR44(VAR38) ,.din(VAR12) ,.VAR27(VAR8) ,.VAR37(VAR4) ,.VAR29(VAR50) ); endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
Dilation/ip/Dilation/vfabric_sincos.v
2,591
module MODULE1(VAR14, VAR11, VAR7, VAR6, VAR25, VAR18, VAR13, VAR2, VAR3, VAR20, VAR5); parameter VAR15 = 32; parameter VAR21 = 37; parameter VAR1 = 64; input VAR14, VAR11; input [VAR15-1:0] VAR7; input VAR6; output VAR25; output [VAR15-1:0] VAR18, VAR3; output VAR13, VAR20; input VAR2, VAR5; reg [VAR21-1:0] VAR31; wire [VAR15-1:0] VAR28; wire VAR16; wire VAR23; wire VAR12; VAR19 VAR29 ( .VAR14(VAR14), .VAR11(VAR11), .VAR28(VAR7), .VAR10(VAR28), .VAR4(VAR6), .VAR8( VAR16 ), .VAR26(VAR12), .VAR9(VAR25) ); always @(posedge VAR14 or negedge VAR11) begin if (~VAR11) begin VAR31 <= {VAR21{1'b0}}; end else begin if(~VAR23) VAR31 <= { VAR16, VAR31[VAR21-1:1] }; end end assign VAR23 = (VAR31[0] & (VAR2 | VAR5)); assign VAR12 = (VAR31[0] & (VAR2 | VAR5) ) | !(VAR16); VAR30 VAR27( .VAR14(VAR14), .VAR11(VAR11), .VAR22(VAR28), .VAR24(VAR18), .VAR32(VAR3), .enable(~VAR23)); assign VAR13 = VAR31[0]; assign VAR20 = VAR31[0]; endmodule
mit
makestuff/comm-fpga
fx2/verilog/comm_fpga_fx2.v
9,142
module MODULE1( input wire VAR10, input wire VAR35, output reg VAR5, output reg VAR32, inout wire[7:0] VAR9, output wire VAR13, input wire VAR4, output wire VAR17, input wire VAR18, output reg VAR38, output wire[6:0] VAR7, output wire[7:0] VAR24, output reg VAR39, input wire VAR22, input wire[7:0] VAR12, input wire VAR14, output reg VAR26 ); localparam[3:0] VAR2 = 4'h0; localparam[3:0] VAR41 = 4'h1; localparam[3:0] VAR44 = 4'h2; localparam[3:0] VAR23 = 4'h3; localparam[3:0] VAR30 = 4'h6; localparam[3:0] VAR31 = 4'h7; localparam[3:0] VAR8 = 4'h8; localparam[3:0] VAR28 = 4'h9; localparam[3:0] VAR25 = 4'hA; localparam[1:0] VAR11 = 2'b10; localparam[1:0] VAR6 = 2'b01; localparam[1:0] VAR34 = 2'b11; localparam VAR19 = 2'b0; localparam VAR43 = 2'b1; reg[3:0] VAR21, state = VAR2; reg[1:0] VAR15 = 2'VAR33; reg[16:0] VAR42, VAR36 = 17'h0; reg[6:0] VAR46, VAR37 = 7'h00; reg VAR3, VAR27 = 1'b0; reg VAR1, VAR20 = 1'b0; reg[7:0] VAR16; reg VAR29 = 1'b0; always @(posedge VAR10) begin if ( VAR35 == 1'b1 ) begin state <= VAR2; VAR36 <= 17'h0; VAR37 <= 7'h00; VAR27 <= 1'b0; VAR20 <= 1'b0; end else begin state <= VAR21; VAR36 <= VAR42; VAR37 <= VAR46; VAR27 <= VAR3; VAR20 <= VAR1; end end always @* begin VAR21 = state; VAR42 = VAR36; VAR46 = VAR37; VAR3 = VAR27; VAR1 = VAR20; VAR16 = 8'h00; VAR29 = 1'b0; VAR15 = VAR11; VAR38 = 1'b1; VAR26 = 1'b0; VAR39 = 1'b0; VAR5 = 1'b0; case ( state ) VAR44: begin VAR32 = VAR19; if ( VAR4 == 1'b1 ) begin VAR42[15:8] = VAR9; VAR21 = VAR23; end end VAR23: begin VAR32 = VAR19; if ( VAR4 == 1'b1 ) begin VAR42[7:0] = VAR9; if ( VAR36[15:8] == 8'h00 && VAR9 == 8'h00 ) VAR42[16] = 1'b1; end else VAR42[16] = 1'b0; if ( VAR27 == 1'b1 ) VAR21 = VAR30; end else VAR21 = VAR25; end end VAR30: begin VAR32 = VAR43; VAR15 = VAR34; if ( VAR36[8:0] == 9'b000000000 ) VAR1 = 1'b1; end else VAR1 = 1'b0; VAR21 = VAR31; end VAR31: begin VAR32 = VAR43; if ( VAR18 == 1'b1 ) VAR26 = 1'b1; if ( VAR18 == 1'b1 && VAR14 == 1'b1 ) begin VAR15 = VAR6; VAR16 = VAR12; VAR29 = 1'b1; VAR42 = VAR36 - 1; if ( VAR36 == 32'h1 ) begin if ( VAR20 == 1'b1 ) end VAR21 = VAR8; else VAR21 = VAR28; end end else VAR15 = VAR34; end VAR8: begin VAR32 = VAR43; VAR15 = VAR34; VAR21 = VAR41; end VAR28: begin VAR32 = VAR43; VAR15 = VAR34; VAR38 = 1'b0; VAR21 = VAR41; end VAR25: begin VAR32 = VAR19; if ( VAR4 == 1'b1 && VAR22 == 1'b1 ) begin VAR39 = 1'b1; VAR42 = VAR36 - 1; if ( VAR36 == 32'h1 ) VAR21 = VAR41; end else VAR15 = VAR34; end VAR2: begin VAR5 = 1'b1; VAR29 = 1'b0; VAR15 = 2'VAR33; VAR32 = 1'VAR45; VAR38 = 1'VAR45; if ( VAR4 == 1'b0 ) VAR21 = VAR41; end default: begin VAR32 = VAR19; if ( VAR4 == 1'b1 ) begin VAR46 = VAR9[6:0]; VAR3 = VAR9[7]; VAR21 = VAR44; end end endcase end assign VAR13 = VAR15[0]; assign VAR17 = VAR15[1]; assign VAR7 = VAR37; assign VAR24 = VAR9; assign VAR9 = VAR29 ? VAR16 : 8'VAR40; endmodule
gpl-3.0
ncos/Xilinx-Verilog
INTERFACES/src/CAN/can_qsampler.v
2,648
module MODULE1 ( input wire VAR7, input wire VAR1, inout wire VAR6, input wire din, output reg dout, output reg VAR5, output reg VAR9, output reg sync ); parameter VAR4 = 20; parameter VAR10 = 15; reg VAR3 = 1'b0; reg [63:0] VAR8 = 64'd0; reg VAR2; always @(posedge VAR7) begin VAR2 <= VAR6; if (VAR8 == VAR10) begin dout <= VAR6; VAR9 <= 1'b1; if (VAR3 != VAR6) begin VAR5 <= 1'b1; end else begin VAR5 <= 1'b0; end end else if (VAR8 < VAR10) begin VAR9 <= 1'b0; end else if (VAR1 == 1'b1) begin dout <= 1'b0; VAR5 <= 1'b0; end if (VAR1 == 1'b1) begin VAR8 <= 64'd0; sync <= 1'b0; end else if (VAR8 == VAR4) begin VAR8 <= 64'd0; sync <= 1'b1; VAR5 <= 1'b0; VAR9 <= 1'b0; end else if ((VAR8 > VAR10) & (VAR2 != dout)) begin VAR8 <= 64'd0; sync <= 1'b1; VAR5 <= 1'b0; VAR9 <= 1'b0; end else begin VAR8 <= VAR8 + 64'd1; sync <= 1'b0; end end assign VAR6 = (VAR3 == 1'b0) ? 1'b0 : 1'VAR11; always @(negedge VAR7) begin if (VAR8 == 64'd0) begin VAR3 <= din; end end endmodule
mit
ShepardSiegel/ocpi
coregen/dram_k7_mig12/mig_7series_v1_2/user_design/rtl/controller/bank_common.v
17,771
module MODULE1 # ( parameter VAR17 = 100, parameter VAR78 = 2, parameter VAR2 = 1, parameter VAR77 = 4, parameter VAR33 = 2, parameter VAR5 = 0, parameter VAR62 = 44, parameter VAR107 = 2, parameter VAR89 = 4, parameter VAR3 = 5, parameter VAR113 = 64 ) ( VAR66, VAR108, VAR44, VAR58, VAR46, VAR57, VAR28, VAR71, VAR26, VAR109, VAR96, VAR83, VAR90, VAR105, VAR38, VAR112, VAR21, VAR114, VAR30, clk, rst, VAR19, VAR97, VAR81, VAR48, VAR41, VAR84, VAR10, VAR20, VAR76, VAR100, VAR6, VAR15, VAR106, VAR80, VAR63, VAR42, VAR36, VAR16, VAR13, VAR35, VAR40, VAR79, VAR88 ); function integer VAR1 (input integer VAR29); begin VAR29 = VAR29 - 1; for (VAR1=1; VAR29>1; VAR1=VAR1+1) VAR29 = VAR29 >> 1; end endfunction localparam VAR93 = 0; localparam VAR31 = 1; localparam [VAR78-1:0] VAR18 = VAR93[0+:VAR78]; localparam [VAR78-1:0] VAR27 = VAR31[0+:VAR78]; input clk; input rst; input [VAR77-1:0] VAR19; input VAR97; wire VAR4 = VAR97 && |VAR19; output reg VAR66; always @(posedge clk) VAR66 <= VAR4; wire VAR60; wire VAR101 = VAR4 && ~VAR60; output wire VAR108; assign VAR108 = VAR101; reg VAR11; output wire VAR44; assign VAR44 = VAR11; property VAR94; @(posedge clk) (VAR97 && ~|VAR84); endproperty VAR75: cover property (VAR94); input VAR81; reg VAR43; wire VAR64 = VAR81 && ~VAR43; output wire VAR58; assign VAR58 = VAR64; assign VAR60 = VAR64 && VAR4; output wire VAR46; assign VAR46 = VAR43; input VAR48; wire VAR87 = VAR43 || (VAR11 && VAR48); output wire VAR57; assign VAR57 = VAR87; input [VAR77-1:0] VAR41; output reg [VAR78-1:0] VAR28; integer VAR51; always @(VAR41) begin VAR28 = VAR18; for (VAR51 = 0; VAR51 < VAR77; VAR51 = VAR51 + 1) if (VAR41[VAR51]) VAR28 = VAR28 + VAR27; end input [VAR77-1:0] VAR84; output reg [VAR78-1:0] VAR71; always @(VAR84) begin VAR71 = VAR18; for (VAR51 = 0; VAR51 < VAR77; VAR51 = VAR51 + 1) if (VAR84[VAR51]) VAR71 = VAR71 + VAR27; end input [VAR77-1:0] VAR10; output reg [VAR78-1:0] VAR26; always @(VAR10) begin VAR26 = VAR18; for (VAR51 = 0; VAR51 < VAR77; VAR51 = VAR51 + 1) if (VAR10[VAR51]) VAR26 = VAR26 + VAR27; end input [VAR77-1:0] VAR20; output wire VAR109; assign VAR109 = |VAR20; input [VAR77-1:0] VAR76; wire [VAR77-1:0] VAR55 = VAR84 & VAR76; output reg[VAR78-1:0] VAR96; always @(VAR55) begin VAR96 = VAR18; for (VAR51 = 0; VAR51 <= VAR77-1; VAR51 = VAR51 + 1) if (VAR55[VAR51]) VAR96 = VAR51[VAR78-1:0]; end input [VAR77-1:0] VAR100; input [VAR77-1:0] VAR6; input [VAR77-1:0] VAR15; output wire [VAR77-1:0] VAR83; output reg VAR90 = 1'b0; input [VAR77-1:0] VAR106; generate if (VAR5 == 0) begin : VAR22 assign VAR83 = {VAR77{1'b0}}; end else begin : VAR95 reg [VAR78:0] VAR47; reg [VAR78:0] VAR59; always @(VAR87 or VAR47 or VAR6 or rst or VAR106) if (rst) VAR59 = VAR77; end else begin VAR59 = VAR47 - VAR87; for (VAR51 = 0; VAR51 <= VAR77-1; VAR51 = VAR51 + 1) begin VAR59 = VAR59 + VAR6[VAR51]; end VAR59 = VAR59 + |VAR106; end wire VAR24 = (VAR59 <= VAR2[0+:VAR78]); wire VAR45 = |VAR100; VAR49 # (.VAR61 (VAR77)) VAR67 (.VAR115 (VAR83[VAR77-1:0]), .VAR98 (), .VAR45 (VAR45), .VAR8 (VAR100[VAR77-1:0]), .clk (clk), .rst (rst), .req (VAR15[VAR77-1:0]), .VAR73 (1'b0)); end endgenerate input [2:0] VAR80; output reg VAR105; VAR80[0] && ~(VAR81 && ~VAR43); input VAR63; output reg VAR38; input VAR42; reg VAR14; output wire VAR112; assign VAR112 = VAR14; wire VAR23; output wire VAR21; assign VAR21 = VAR23; input VAR36; input [VAR77-1:0] VAR16; input [VAR77-1:0] VAR13; input VAR35; input [VAR107:0] VAR40; wire VAR7; wire VAR99; output wire VAR114; generate begin : VAR72 assign VAR23 = ~(VAR42 || VAR14); wire VAR39 = ~rst && ~VAR99 && (VAR14 || VAR42); wire [VAR77-1:0] VAR56 = {VAR77{rst}} | VAR13; wire [VAR77-1:0] VAR50 = {VAR77{VAR23}} & (VAR16 | {VAR77{VAR36}}) & ~VAR19; reg [VAR77-1:0] VAR34; wire [VAR77-1:0] VAR32 = ~VAR56 & (VAR34 | VAR50); wire VAR54 = VAR35 && ~VAR40[VAR107]; reg VAR74; reg VAR68; wire VAR53; always @(posedge clk) begin VAR74 <= VAR54; VAR68 <= VAR74; end assign VAR53 = (VAR3 >= 7) ? VAR68: VAR74; wire VAR102 = ~VAR23 && ~|VAR32; reg VAR85; wire VAR103 = VAR102 && VAR36 && ~VAR54 && ~VAR85; always @(posedge clk) VAR85 <= assign VAR114 = VAR85; wire VAR110 = VAR102 && (~VAR36 || VAR53); reg VAR25; assign VAR7 = VAR110 && ~VAR25; end endgenerate input [7:0] VAR79; input [7:0] VAR88; reg VAR104; output wire VAR30; assign VAR30 = VAR104; generate begin : VAR9 reg [VAR107:0] VAR111; wire [7:0] VAR12 = VAR79 | VAR88; always @(VAR12) begin VAR111 = {VAR107{1'b0}}; for (VAR51=0; VAR51<8; VAR51=VAR51+1) VAR111 = VAR111 + {{VAR107{1'b0}}, VAR12[VAR51]}; end reg [VAR107:0] VAR91; reg [VAR107:0] VAR70; always @(VAR36 or VAR111 or rst or VAR70 or VAR7) if (rst) VAR91 = 4'b0; end else begin VAR91 = VAR70; if (VAR7 && VAR36) VAR91 = VAR111; if (|VAR91) VAR91 = VAR91 - VAR31[VAR107-1:0]; end wire VAR69 = VAR7 || |VAR70; end endgenerate localparam VAR37 = (VAR33 == 1) ? VAR62 : (VAR33 == 2) ? ((VAR62/2) + (VAR62%2)) : ((VAR62/4) + ((VAR62%4) ? 1 : 0)); localparam VAR92 = (VAR33 == 1) ? VAR113 : (VAR33 == 2) ? ((VAR113/2) + (VAR113%2)) : ((VAR113/4) + ((VAR113%4) ? 1 : 0)); localparam VAR65 = (VAR92 > VAR37) ? VAR1(VAR92 + 1) : VAR1(VAR37 + 1); localparam VAR82 = 3; generate begin : VAR116 reg [VAR65-1:0] VAR86; reg [VAR65-1:0] VAR52; always @(VAR104 or VAR36 or VAR52 or rst) begin VAR86 = VAR52; if (rst) VAR86 = {VAR65{1'b0}}; end else if (VAR104) VAR86 = VAR36 ? VAR92 : VAR37; end else if (|VAR52) VAR86 = VAR52 - VAR31[VAR65-1:0]; end assign VAR99 = (VAR52 == VAR82[VAR65-1:0]); end endgenerate endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlrbn/sky130_fd_sc_lp__dlrbn.blackbox.v
1,405
module MODULE1 ( VAR9 , VAR8 , VAR1, VAR7 , VAR4 ); output VAR9 ; output VAR8 ; input VAR1; input VAR7 ; input VAR4 ; supply1 VAR2; supply0 VAR3; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a32o/sky130_fd_sc_hd__a32o.pp.symbol.v
1,431
module MODULE1 ( input VAR4 , input VAR9 , input VAR6 , input VAR2 , input VAR7 , output VAR5 , input VAR10 , input VAR8, input VAR3, input VAR1 ); endmodule
apache-2.0
glennchid/font5-firmware
src/verilog/synthesis/normMult.v
4,956
module MODULE1( input clk, input VAR17, input [1:0] VAR8, input signed [17:0] VAR21, input signed [15:0] VAR6, input signed [13:0] VAR13, output reg signed [15:0] dout = 16'VAR22, output reg VAR11 = 1'b0 ); parameter VAR23 = 1; parameter VAR20 = 5; parameter VAR19 = 23; parameter VAR2 = 4; reg signed [15:0] VAR10 = 16'VAR22; reg signed [13:0] VAR3 = 14'VAR22, VAR12 = 14'VAR22; reg signed [VAR19:0] VAR1 = 31'VAR22; wire VAR16 = (~&VAR1[VAR19:VAR20+VAR23+15] && ~&(~VAR1[VAR19:VAR20+VAR23+15])); reg signed [17:0] VAR14 = 18'VAR22; reg signed [34:0] MODULE1 = 35'VAR22; reg signed [15:0] VAR4 = 16'VAR22; reg signed [15:0] VAR7 = 16'VAR22; reg signed [15:0] VAR15 = 16'VAR22; wire VAR5 = (~&MODULE1[34:34-VAR2] && ~&(~MODULE1[34:34-VAR2])); always @(posedge clk) begin VAR10 <= VAR6; VAR3 <= VAR13; VAR12 <= VAR3; VAR1 <= (VAR10 * VAR12); if (VAR16) begin case (VAR8) 2'b00: VAR4 <= VAR1[VAR20+VAR23+15:VAR20+VAR23]; 2'b01: VAR4 <= 16'VAR22; 2'b10: VAR4 <= (VAR1[VAR19]) ? -16'VAR9 : 16'VAR18; default: VAR4 <= 16'VAR22; endcase end else VAR4 <= VAR1[VAR20+VAR23+15:VAR20+VAR23]; end always @(posedge clk) begin VAR11 <= VAR16 || VAR5; VAR7 <= VAR4; VAR15 <= VAR7; VAR14 <= VAR21; MODULE1 <= (VAR15 * VAR14); if (VAR5) begin case (VAR8) 2'b00: dout <= (VAR17) ? MODULE1[34-VAR2:34-VAR2-15] : MODULE1[15:0]; 2'b01: dout <= 16'VAR22; 2'b10: dout <= (MODULE1[34]) ? -16'VAR9 : 16'VAR18; default: dout <= 16'VAR22; endcase end else dout <= (VAR17) ? MODULE1[34-VAR2:34-VAR2-15] : MODULE1[15:0]; end endmodule
gpl-3.0
Giako68/SD_RAM_VIDEO
SDRAM.v
9,001
module MODULE1 ( input VAR22, output VAR61, output reg [4:0] VAR5, output reg [1:0] VAR43, output reg [1:0] VAR64, output reg [12:0] VAR51, inout [15:0] VAR37, input [23:0] VAR52, input [15:0] VAR16, input [1:0] VAR4, output reg [15:0] VAR57, input VAR46, input VAR31, output reg VAR58, input [10:0] VAR55, input VAR45, output reg [8:0] VAR33, output reg [15:0] VAR19, output reg VAR6 ); reg [15:0] VAR42; wire [15:0] VAR21; reg VAR11; VAR56 VAR47(.VAR10(VAR42[0]), .VAR13(VAR21[0]), .VAR44(VAR11), .VAR38(VAR37[0])); VAR56 VAR27(.VAR10(VAR42[1]), .VAR13(VAR21[1]), .VAR44(VAR11), .VAR38(VAR37[1])); VAR56 VAR49(.VAR10(VAR42[2]), .VAR13(VAR21[2]), .VAR44(VAR11), .VAR38(VAR37[2])); VAR56 VAR35(.VAR10(VAR42[3]), .VAR13(VAR21[3]), .VAR44(VAR11), .VAR38(VAR37[3])); VAR56 VAR3(.VAR10(VAR42[4]), .VAR13(VAR21[4]), .VAR44(VAR11), .VAR38(VAR37[4])); VAR56 VAR9(.VAR10(VAR42[5]), .VAR13(VAR21[5]), .VAR44(VAR11), .VAR38(VAR37[5])); VAR56 VAR32(.VAR10(VAR42[6]), .VAR13(VAR21[6]), .VAR44(VAR11), .VAR38(VAR37[6])); VAR56 VAR28(.VAR10(VAR42[7]), .VAR13(VAR21[7]), .VAR44(VAR11), .VAR38(VAR37[7])); VAR56 VAR2(.VAR10(VAR42[8]), .VAR13(VAR21[8]), .VAR44(VAR11), .VAR38(VAR37[8])); VAR56 VAR53(.VAR10(VAR42[9]), .VAR13(VAR21[9]), .VAR44(VAR11), .VAR38(VAR37[9])); VAR56 VAR8(.VAR10(VAR42[10]), .VAR13(VAR21[10]), .VAR44(VAR11), .VAR38(VAR37[10])); VAR56 VAR14(.VAR10(VAR42[11]), .VAR13(VAR21[11]), .VAR44(VAR11), .VAR38(VAR37[11])); VAR56 VAR12(.VAR10(VAR42[12]), .VAR13(VAR21[12]), .VAR44(VAR11), .VAR38(VAR37[12])); VAR56 VAR48(.VAR10(VAR42[13]), .VAR13(VAR21[13]), .VAR44(VAR11), .VAR38(VAR37[13])); VAR56 VAR62(.VAR10(VAR42[14]), .VAR13(VAR21[14]), .VAR44(VAR11), .VAR38(VAR37[14])); VAR56 VAR23(.VAR10(VAR42[15]), .VAR13(VAR21[15]), .VAR44(VAR11), .VAR38(VAR37[15])); VAR30 VAR7(.VAR59(1'b1), .VAR15(1'b0), .VAR1(VAR22), .VAR36(!VAR22), .VAR40(VAR61), .VAR18(1'b0), .VAR34(1'b0), .VAR60(1'b1)); integer VAR17; integer VAR39; integer VAR63; integer VAR50; reg [23:0] VAR26; reg [15:0] VAR54; reg [1:0] VAR24; reg VAR41; reg [23:0] VAR25; reg [10:0] VAR20; reg [15:0] VAR29; begin begin begin end begin begin begin begin end
gpl-2.0
rurume/openrisc_vision_hardware
ISE/or1200_iwb_biu.v
15,381
module MODULE1( clk, rst, VAR45, VAR37, VAR5, VAR40, VAR30, VAR43, VAR4, VAR48, VAR12, VAR19, VAR22, VAR8, VAR32, VAR46, VAR26, VAR35, VAR25, VAR20, VAR9, VAR44, VAR7, VAR2, VAR11, VAR14, VAR23, VAR17 ); parameter VAR42 = VAR41; parameter VAR21 = VAR41; input clk; input rst; input [1:0] VAR45; input VAR37; input VAR5; input VAR40; input VAR30; input VAR43; input [VAR42-1:0] VAR4; output VAR48; output [VAR21-1:0] VAR12; output VAR19; output VAR22; output [3:0] VAR8; output [VAR42-1:0] VAR32; VAR29 VAR18 output VAR46; VAR33 output [2:0] VAR26; output [1:0] VAR35; VAR33 input [VAR42-1:0] VAR25; input [VAR21-1:0] VAR20; input VAR9; input VAR44; input VAR7; input VAR11; input [3:0] VAR2; output [31:0] VAR14; output VAR23; output VAR17; reg [1:0] VAR16; VAR29 VAR38 reg [VAR21-1:0] VAR12; reg VAR48; reg VAR19; reg VAR22; reg [3:0] VAR8; VAR29 VAR18 reg VAR46; VAR33 reg [1:0] VAR31; reg [2:0] VAR26; VAR33 reg [VAR42-1:0] VAR32; VAR33 reg VAR6; reg VAR49; reg [VAR42-1:0] VAR14; else wire VAR6; wire VAR49; VAR33 wire VAR28; reg VAR36; wire VAR3; VAR29 VAR15 reg [VAR15-1:0] VAR24; VAR33 reg VAR13; wire VAR39; wire VAR47; reg VAR27; reg [VAR42-1:0] VAR10; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR12 <= {VAR21{1'b0}}; else if ((VAR9 & VAR44) & ~VAR40 & ~VAR28 & ~(VAR19 & ~VAR40) | VAR11 & (VAR13 | VAR23)) VAR12 <= VAR20; assign VAR12 = VAR20; assign VAR39 = VAR12 == VAR20; assign VAR47 = VAR39 & VAR13; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR10 <= 32'h00000000; else if (VAR40) VAR10 <= VAR4; always @(posedge clk or posedge rst) if (rst) VAR27 <= 1'b0; else if (VAR47 & VAR9 & VAR44) VAR27 <= 1'b1; else VAR27 <= 1'b0; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR13 <= 1'b1; else if (VAR40 & VAR9 & VAR44) VAR13 <= 1'b1; else if ((VAR9 & VAR44) & ~VAR40 & ~VAR28 & ~(VAR19 & ~VAR40)) VAR13 <= 1'b0; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR14 <= 32'h00000000; else if (VAR40) VAR14 <= VAR4; assign VAR14 = VAR27 ? VAR10 : VAR4; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR32 <= {VAR42{1'b0}}; else if ((VAR9 & VAR44) & ~VAR40 & ~VAR28) VAR32 <= VAR25; assign VAR32 = VAR25; always @(posedge clk or posedge rst) if (rst) VAR16 <= 2'b0; else VAR16 <= VAR16 + 1'd1; assign VAR23 = (VAR27 | VAR6) & ~VAR36 & (VAR16[0] | ~VAR45[0]) & (VAR16[1] | ~VAR45[1]) ; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR6 <= 1'b0; else VAR6 <= VAR40 & ~VAR28; assign VAR6 = VAR40; assign VAR17 = VAR49 & (VAR16[0] | ~VAR45[0]) & (VAR16[1] | ~VAR45[1]) ; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR49 <= 1'b0; else VAR49 <= VAR30 & ~VAR28; assign VAR49 = VAR30 & ~VAR36; assign VAR3 = VAR43 | (|VAR24); assign VAR3 = 1'b0; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR24 <= 1'b0; else if (VAR43) VAR24 <= {VAR15{1'b1}}; else if (VAR24) VAR24 <= VAR24 - 7'd1; assign VAR28 = VAR19 & ~(VAR9 & VAR44) & ~(VAR40 | VAR30); always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR36 <= 1'b0; else if (VAR40 | VAR30) VAR36 <= 1'b0; else if (VAR28) VAR36 <= 1'b1; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR48 <= 1'b0; else VAR48 <= VAR9 & ~VAR40 & ~VAR3 & ~VAR47 | VAR28 & ~VAR40; VAR48 <= VAR9 & ~VAR40 & ~VAR3 & ~VAR47 | VAR11 | VAR28 & ~VAR40; assign VAR48 = VAR9 & ~VAR3; assign VAR48 = VAR9 | VAR11 & ~VAR3; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR19 <= 1'b0; else VAR19 <= (VAR9 & VAR44) & ~VAR40 & ~VAR3 & ~VAR47 | VAR28 & ~VAR40; assign VAR19 = VAR9 & VAR44; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR22 <= 1'b0; else VAR22 <= VAR9 & VAR44 & VAR7 | VAR28 & VAR22; assign VAR22 = VAR9 & VAR44 & VAR7; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR8 <= 4'b0000; else VAR8 <= VAR2; assign VAR8 = VAR2; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR46 <= 1'b0; else VAR46 <= VAR11; assign VAR46 = VAR11; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR31 <= 2'b00; else if (VAR11 && VAR31 && VAR40) VAR31 <= VAR31 - 1'b1; else if (~VAR11) VAR31 <= 2'b11; always @(posedge VAR37 or posedge VAR5) if (VAR5) VAR26 <= 3'b000; VAR29 VAR34 else VAR26 <= 3'b111; else else if (VAR11 && VAR31[1]) VAR26 <= 3'b010; else if (VAR11 && VAR40) VAR26 <= 3'b111; VAR33 else VAR1 !!!; assign VAR35 = 2'b01; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand3/sky130_fd_sc_hvl__nand3.pp.symbol.v
1,290
module MODULE1 ( input VAR2 , input VAR3 , input VAR5 , output VAR4 , input VAR7 , input VAR6, input VAR8, input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o311ai/sky130_fd_sc_ls__o311ai.symbol.v
1,380
module MODULE1 ( input VAR4, input VAR9, input VAR8, input VAR6, input VAR5, output VAR7 ); supply1 VAR3; supply0 VAR1; supply1 VAR2 ; supply0 VAR10 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlygate4sd3/sky130_fd_sc_ms__dlygate4sd3_1.v
2,119
module MODULE1 ( VAR8 , VAR7 , VAR1, VAR6, VAR5 , VAR4 ); output VAR8 ; input VAR7 ; input VAR1; input VAR6; input VAR5 ; input VAR4 ; VAR3 VAR2 ( .VAR8(VAR8), .VAR7(VAR7), .VAR1(VAR1), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR8, VAR7 ); output VAR8; input VAR7; supply1 VAR1; supply0 VAR6; supply1 VAR5 ; supply0 VAR4 ; VAR3 VAR2 ( .VAR8(VAR8), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor4/sky130_fd_sc_hdll__nor4_6.v
2,291
module MODULE2 ( VAR3 , VAR7 , VAR8 , VAR10 , VAR5 , VAR11, VAR2, VAR4 , VAR1 ); output VAR3 ; input VAR7 ; input VAR8 ; input VAR10 ; input VAR5 ; input VAR11; input VAR2; input VAR4 ; input VAR1 ; VAR9 VAR6 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR10(VAR10), .VAR5(VAR5), .VAR11(VAR11), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR3, VAR7, VAR8, VAR10, VAR5 ); output VAR3; input VAR7; input VAR8; input VAR10; input VAR5; supply1 VAR11; supply0 VAR2; supply1 VAR4 ; supply0 VAR1 ; VAR9 VAR6 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR10(VAR10), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxtp/sky130_fd_sc_ms__dlxtp.pp.blackbox.v
1,301
module MODULE1 ( VAR4 , VAR3 , VAR7, VAR2, VAR5, VAR6 , VAR1 ); output VAR4 ; input VAR3 ; input VAR7; input VAR2; input VAR5; input VAR6 ; input VAR1 ; endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/Quartus/custom/usb/buffers/TxFifoBI.v
5,449
module MODULE1 ( address, VAR3, VAR15, VAR10, VAR18, VAR16, VAR2, VAR12, VAR4, VAR6, VAR11, VAR13, VAR1 ); input [2:0] address; input VAR3; input VAR15; input VAR10; input VAR18; input VAR16; input [7:0] VAR12; output [7:0] VAR4; output VAR6; output VAR11; output VAR13; input [15:0] VAR1; input VAR2; wire [2:0] address; wire VAR3; wire VAR15; wire VAR10; wire VAR18; wire VAR16; wire [7:0] VAR12; wire [7:0] VAR4; reg VAR6; wire VAR11; wire VAR13; wire [15:0] VAR1; wire VAR2; reg VAR14; reg VAR8; reg VAR7; reg [2:0] VAR9; always @(posedge VAR10) begin if (VAR3 == 1'b1 && VAR2 == 1'b1 && address == VAR5 && VAR15 == 1'b1 && VAR12[0] == 1'b1) VAR8 <= 1'b1; end else VAR8 <= 1'b0; end always @(posedge VAR10) begin if (VAR16 == 1'b1) begin VAR14 <= 1'b0; VAR7 <= 1'b0; end else begin if (VAR8 == 1'b1) VAR14 <= 1'b1; end else VAR14 <= 1'b0; if (VAR8 == 1'b1 && VAR14 == 1'b0) VAR7 <= ~VAR7; end end assign VAR13 = (VAR8 == 1'b1 && VAR14 == 1'b0) ? 1'b1 : 1'b0; always @(posedge VAR18) begin VAR9 <= {VAR9[1:0], VAR7}; end assign VAR11 = VAR9[2] ^ VAR9[1]; assign VAR4 = 8'h00; always @(address or VAR3 or VAR15 or VAR2 or VAR12) begin if (address == VAR17 && VAR3 == 1'b1 && VAR15 == 1'b1 && VAR2 == 1'b1) VAR6 <= 1'b1; end else VAR6 <= 1'b0; end endmodule
gpl-3.0
parallella/oh
xilibs/dv/PLLE2_BASE.v
3,606
module MODULE1 ( VAR15, VAR25, VAR16, VAR26, VAR10, VAR28, VAR8, VAR45, VAR4, VAR14, VAR21, VAR12 ); parameter VAR32 = 0; parameter VAR43 = 1; parameter VAR23 = 0; parameter VAR6 = 10; parameter VAR34 = 1; parameter VAR27 = 0; parameter VAR18 = 0; parameter VAR40 = 1; parameter VAR29 = 0.5; parameter VAR3 = 0; parameter VAR37 = 1; parameter VAR17 = 0.5; parameter VAR13 = 0; parameter VAR19 = 1; parameter VAR33 = 0.5; parameter VAR36 = 0; parameter VAR20 = 1; parameter VAR9 = 0.5; parameter VAR31 = 0; parameter VAR42 = 1; parameter VAR39 = 0.5; parameter VAR41 = 0; parameter VAR24 = 1; parameter VAR38 = 0.5; parameter VAR11 = 0; parameter VAR5 = (VAR6 * VAR34) / VAR43; parameter VAR7 = VAR5 * VAR40 * (VAR3/360); parameter VAR22 = VAR5 * VAR37 * (VAR13/360); parameter VAR35 = VAR5 * VAR19 * (VAR36/360); parameter VAR1 = VAR5 * VAR20 * (VAR31/360); parameter VAR44 = VAR5 * VAR42 * (VAR41/360); parameter VAR30 = VAR5 * VAR24 * (VAR11/360); input VAR4; input VAR14; input VAR21; input VAR12; output VAR15; output VAR25; output VAR16; output VAR26; output VAR10; output VAR28; output VAR8; output VAR45; reg VAR2; begin begin begin
mit
sh-chris110/chris
FPGA/chris.convolution.ok/db/ip/soc_design/submodules/soc_design_Sys_Timer.v
5,000
module MODULE1 ( address, VAR15, clk, VAR13, VAR7, VAR23, irq, VAR19 ) ; output irq; output [ 15: 0] VAR19; input [ 2: 0] address; input VAR15; input clk; input VAR13; input VAR7; input [ 15: 0] VAR23; wire VAR10; wire VAR1; reg VAR3; wire VAR18; reg VAR11; wire VAR17; wire [ 16: 0] VAR20; reg VAR2; wire VAR4; wire VAR21; reg VAR12; reg [ 16: 0] VAR22; wire irq; wire VAR16; wire VAR8; wire [ 15: 0] VAR6; reg [ 15: 0] VAR19; wire VAR9; wire VAR14; reg VAR5; assign VAR10 = 1; always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR22 <= 17'h1869F; end else if (VAR11 || VAR12) if (VAR17 || VAR12) VAR22 <= VAR20; else VAR22 <= VAR22 - 1; end assign VAR17 = VAR22 == 0; assign VAR20 = 17'h1869F; always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR12 <= 0; end else if (VAR10) VAR12 <= VAR16 || VAR8; end assign VAR4 = 1; assign VAR21 = 0; always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR11 <= 1'b0; end else if (VAR10) if (VAR4) VAR11 <= -1; else if (VAR21) VAR11 <= 0; end always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR2 <= 0; end else if (VAR10) VAR2 <= VAR17; end assign VAR14 = (VAR17) & ~(VAR2); always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR5 <= 0; end else if (VAR10) if (VAR9) VAR5 <= 0; else if (VAR14) VAR5 <= -1; end assign irq = VAR5 && VAR1; assign VAR6 = ({16 {(address == 1)}} & VAR3) | ({16 {(address == 0)}} & {VAR11, VAR5}); always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR19 <= 0; end else if (VAR10) VAR19 <= VAR6; end assign VAR8 = VAR15 && ~VAR7 && (address == 2); assign VAR16 = VAR15 && ~VAR7 && (address == 3); assign VAR18 = VAR15 && ~VAR7 && (address == 1); always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR3 <= 0; end else if (VAR18) VAR3 <= VAR23[0]; end assign VAR1 = VAR3; assign VAR9 = VAR15 && ~VAR7 && (address == 0); endmodule
gpl-2.0
jkanasu/utl
lab14eve16/asic/j01b_carryLookAheadAdder.v
3,027
module MODULE1(VAR5,VAR3,VAR6,VAR1,VAR7); output [3:0]VAR5; output VAR3; input [3:0]VAR6,VAR1; input VAR7; wire [3:0]VAR8,VAR4; wire [4:0]VAR2; assign VAR2[0] = VAR7; assign VAR5[0] = VAR6[0] ^ VAR1[0] ^ VAR2[0]; assign VAR2[1] = ( ( VAR6[0] & VAR1[0] ) | ( ( VAR6[0] ^ VAR1[0] ) & VAR2[0] ) ); assign VAR5[1] = VAR6[1] ^ VAR1[1] ^ VAR2[1]; assign VAR2[2] = ( ( VAR6[1] & VAR1[1] ) | ( ( VAR6[1] ^ VAR1[1] ) & ( ( VAR6[0] & VAR1[0] ) | ( ( VAR6[0] ^ VAR1[0] ) & VAR2[0] ) ) ) ); assign VAR5[2] = VAR6[2] ^ VAR1[2] ^ VAR2[2]; assign VAR2[3] = ( ( VAR6[2] & VAR1[2] ) | ( ( VAR6[2] ^ VAR1[2] ) & ( ( VAR6[1] & VAR1[1] ) | ( ( VAR6[1] ^ VAR1[1] ) & ( ( VAR6[0] & VAR1[0] ) | ( ( VAR6[0] ^ VAR1[0] ) & VAR2[0] ) ) ) ) ) ); assign VAR5[3] = VAR6[3] ^ VAR1[3] ^ VAR2[3]; assign VAR2[4] = ( ( VAR6[0] & VAR1[0] ) | ( ( VAR6[0] ^ VAR1[0] ) & ( ( VAR6[2] & VAR1[2] ) | ( ( VAR6[2] ^ VAR1[2] ) & ( ( VAR6[1] & VAR1[1] ) | ( ( VAR6[1] ^ VAR1[1] ) & ( ( VAR6[0] & VAR1[0] ) | ( ( VAR6[0] ^ VAR1[0] ) & VAR2[0] ) ) ) ) ) ) ) ); assign VAR3 = VAR2[4]; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o2bb2a/sky130_fd_sc_ls__o2bb2a_2.v
2,398
module MODULE1 ( VAR6 , VAR8, VAR1, VAR9 , VAR4 , VAR5, VAR7, VAR11 , VAR10 ); output VAR6 ; input VAR8; input VAR1; input VAR9 ; input VAR4 ; input VAR5; input VAR7; input VAR11 ; input VAR10 ; VAR2 VAR3 ( .VAR6(VAR6), .VAR8(VAR8), .VAR1(VAR1), .VAR9(VAR9), .VAR4(VAR4), .VAR5(VAR5), .VAR7(VAR7), .VAR11(VAR11), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR6 , VAR8, VAR1, VAR9 , VAR4 ); output VAR6 ; input VAR8; input VAR1; input VAR9 ; input VAR4 ; supply1 VAR5; supply0 VAR7; supply1 VAR11 ; supply0 VAR10 ; VAR2 VAR3 ( .VAR6(VAR6), .VAR8(VAR8), .VAR1(VAR1), .VAR9(VAR9), .VAR4(VAR4) ); endmodule
apache-2.0
vipinkmenon/scas
hw/fpga/source/pcie_if/pcie_7x_v1_8_axi_basic_tx.v
9,979
module MODULE1 #( parameter VAR15 = 128, parameter VAR13 = "VAR27", parameter VAR42 = "VAR24", parameter VAR25 = "VAR24", parameter VAR19 = 1, parameter VAR35 = (VAR15 == 128) ? 2 : 1, parameter VAR26 = VAR15 / 8 ) ( input [VAR15-1:0] VAR12, input VAR40, output VAR30, input [VAR26-1:0] VAR43, input VAR1, input [3:0] VAR7, input VAR39, input VAR29, output [VAR15-1:0] VAR37, output VAR22, output VAR21, output VAR38, input VAR36, output VAR23, output [VAR35-1:0] VAR5, output VAR18, output VAR31, input [5:0] VAR47, output VAR17, input VAR46, output VAR14, input VAR32, input [2:0] VAR41, input VAR16, input [1:0] VAR8, input [31:0] VAR45, input VAR33, input VAR44, output VAR4, input VAR10, input VAR20 ); wire VAR3; VAR34 #( .VAR15( VAR15 ), .VAR25( VAR25 ), .VAR19( VAR19 ), .VAR35( VAR35 ), .VAR26( VAR26 ) ) VAR2 ( .VAR12( VAR12 ), .VAR30( VAR30 ), .VAR40( VAR40 ), .VAR43( VAR43 ), .VAR1( VAR1 ), .VAR7( VAR7 ), .VAR37( VAR37 ), .VAR22( VAR22 ), .VAR21( VAR21 ), .VAR38( VAR38 ), .VAR36( VAR36 ), .VAR23( VAR23 ), .VAR5( VAR5 ), .VAR18( VAR18 ), .VAR31( VAR31 ), .VAR17( VAR17 ), .VAR32( VAR32 ), .VAR3( VAR3 ), .VAR10( VAR10 ), .VAR20( VAR20 ) ); generate if(VAR25 == "VAR24") begin : VAR6 VAR9 #( .VAR15( VAR15 ), .VAR13( VAR13 ), .VAR42( VAR42 ), .VAR19( VAR19 ) ) VAR11 ( .VAR12( VAR12 ), .VAR40( VAR40 ), .VAR7( VAR7 ), .VAR1( VAR1 ), .VAR39( VAR39 ), .VAR29( VAR29 ), .VAR47( VAR47 ), .VAR36( VAR36 ), .VAR46( VAR46 ), .VAR14( VAR14 ), .VAR32( VAR32 ), .VAR41( VAR41 ), .VAR16( VAR16 ), .VAR8( VAR8 ), .VAR45( VAR45 ), .VAR33( VAR33 ), .VAR44( VAR44 ), .VAR4( VAR4 ), .VAR3( VAR3 ), .VAR10( VAR10 ), .VAR20( VAR20 ) ); end else begin : VAR28 assign VAR3 = 1'b0; assign VAR4 = VAR39; assign VAR14 = VAR29; end endgenerate endmodule
mit
trander1/Queues-and-Adders
Verilog Files/synthesis_lifo_top.v
4,887
(VAR18<=4)?2:\ (VAR18<=8)?3:\ (VAR18<=16)?4:\ (VAR18<=32)?5:\ (VAR18<=64)?6:\ (VAR18<=128)?7:\ (VAR18<=256)?8:\ -1 module MODULE1( VAR22, VAR14, VAR10, VAR24, reset, clk ); parameter VAR23 = 4; parameter VAR7 = 4; parameter VAR26 = 2; parameter VAR17 = VAR23+VAR26; parameter VAR12 = 'b0; parameter VAR25 = VAR15(VAR7); output reg [VAR23-1:0]VAR22; output reg VAR14; output reg VAR10; input [VAR26+VAR23-1:0]VAR24; input reset; input clk; reg [VAR23-1:0]VAR13[VAR7-1:0]; reg [VAR7-1:0]VAR4; reg [VAR26-1:0]VAR20; reg [VAR23-1:0]VAR5; reg [VAR25-1:0]VAR11; reg [VAR25-1:0]VAR16; reg [VAR25-1:0]VAR6; always@(posedge clk) begin if(reset) begin VAR22 = VAR12; VAR11 = VAR12; VAR16 = VAR12; VAR6 = VAR12; VAR20 = VAR12; VAR5 = VAR12; VAR4 = VAR12; VAR14 = VAR21; VAR10 = VAR19; end else begin if(VAR11 == VAR12 && VAR16 == VAR12) begin VAR14 = VAR1; VAR10 = VAR19; end else begin if(VAR11 == VAR7-1 && VAR16 == VAR7-1) begin VAR14 = VAR21; VAR10 = VAR2; end else begin VAR14 = VAR1; VAR10 = VAR19; end end VAR20 = VAR24[VAR17-1:VAR17-VAR26]; VAR5 = VAR24[VAR17-VAR26-1:VAR17-VAR26-VAR23]; case(VAR20) begin if(VAR4[VAR16] == VAR8) begin VAR22 = VAR13[VAR16]; VAR4[VAR16] = VAR3; if(VAR16 == VAR12) begin VAR16 = VAR12; VAR11 = VAR11 - 1'b1; end else begin if(VAR14 == VAR21 && VAR10 == VAR2) VAR16 = VAR16 - 1'b1; end else begin VAR16 = VAR16 - 1'b1; VAR11 = VAR11 - 1'b1; end end end else begin VAR22 = 'VAR9; end end begin if(VAR14 == VAR1 && VAR10 == VAR19) begin VAR13[VAR11] = VAR5; VAR4[VAR11] = VAR8; if(VAR11 == VAR7-1) begin VAR16 = VAR16 + 1'b1;; VAR11 = VAR7-1; end else begin VAR16 = VAR11; VAR11 = VAR11 + 1'b1; end end end default: VAR22 = 'VAR9; endcase end end endmodule
gpl-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/vfabric_fcmp.v
4,758
module MODULE1(VAR33, VAR1, VAR31, VAR10, VAR26, VAR39, VAR41, VAR24, VAR13, VAR22, VAR42, VAR37); parameter VAR36 = 32; parameter VAR40 = 3; parameter VAR17 = 4; parameter VAR4 = 64; input VAR33, VAR1; input [VAR36-1:0] VAR31; input [VAR36-1:0] VAR39; input VAR10, VAR41; output VAR26, VAR24; output VAR13; output VAR22; input VAR42; input [VAR17-1:0] VAR37; reg [VAR40-1:0] VAR16; wire [VAR36-1:0] VAR9; wire [VAR36-1:0] VAR27; wire VAR11; wire VAR19; wire VAR29; wire VAR2; wire VAR30, VAR18, VAR28, VAR23, VAR25, VAR7, VAR3; VAR6 VAR5 ( .VAR33(VAR33), .VAR1(VAR1), .VAR12(VAR31), .VAR20(VAR9), .VAR35(VAR10), .VAR43( VAR11 ), .VAR38(VAR2), .VAR8(VAR26) ); VAR6 VAR14 ( .VAR33(VAR33), .VAR1(VAR1), .VAR12(VAR39), .VAR20(VAR27), .VAR35(VAR41), .VAR43( VAR19 ), .VAR38(VAR2), .VAR8(VAR24) ); always @(posedge VAR33 or negedge VAR1) begin if (~VAR1) begin VAR16 <= {VAR40{1'b0}}; end else begin if(~VAR29) VAR16 <= { VAR11 & VAR19, VAR16[VAR40-1:1] }; end end assign VAR29 = (VAR16[0] & VAR42); assign VAR2 = (VAR16[0] & VAR42) | !(VAR11 & VAR19); VAR34 VAR15(.VAR33(VAR33), .VAR21(~VAR29), .VAR9(VAR9), .VAR27(VAR27), .VAR30(VAR30), .VAR18(VAR18), .VAR28(VAR28), .VAR23(VAR23), .VAR25(VAR25), .VAR7(VAR7), .VAR3(VAR3)); always @(*) begin case (VAR37) 4'h0: begin VAR13 <= 1'b0; end 4'h1: begin VAR13 <= (~VAR3 && VAR30); end 4'h2: begin VAR13 <= (~VAR3 && VAR18); end 4'h3: begin VAR13 <= (~VAR3 && VAR28); end 4'h4: begin VAR13 <= (~VAR3 && VAR23); end 4'h5: begin VAR13 <= (~VAR3 && VAR25); end 4'h6: begin VAR13 <= (~VAR3 && VAR7); end 4'h7: begin VAR13 <= (~VAR3); end 4'h8: begin VAR13 <= VAR3; end 4'h9: begin VAR13 <= (VAR3 || VAR30); end 4'hA: begin VAR13 <= (VAR3 || VAR18); end 4'hB: begin VAR13 <= (VAR3 || VAR28); end 4'hC: begin VAR13 <= (VAR3 || VAR23); end 4'hD: begin VAR13 <= (VAR3 || VAR25); end 4'hE: begin VAR13 <= (VAR3 || VAR7); end 4'hF: begin VAR13 <= 1'b1; end default: begin VAR13 <= 1'b0; end endcase end assign VAR22 = VAR16[0]; endmodule
mit
ncos/Xilinx-Verilog
SINGEN/src/SPI/spi_slave.v
1,398
module MODULE1# ( parameter integer VAR7 = 15 ) ( input wire VAR4, input wire VAR8, input wire VAR1, output wire VAR2, input wire VAR10, input wire VAR12, input wire [VAR7-1:0] VAR13, output reg [VAR7-1:0] VAR5 ); reg [VAR7-1:0] VAR6 = 0; reg [VAR7-1:0] VAR3 = 0; reg VAR9 = 1'b0; assign VAR2 = VAR3[VAR7-1]; wire VAR11; assign VAR11 = VAR1 | VAR12; always @(negedge VAR11) begin if (VAR9 == 1'b1) begin VAR3 <= VAR3<<1; end else begin VAR3 <= VAR13; end end always @(posedge VAR8) begin VAR9 <= (VAR12 == 1'b1) ? 1'b0 : 1'b1; end always @(posedge VAR12) begin VAR5 <= (VAR4 == 1'b1) ? 0 : VAR6; end always @(posedge VAR1) begin VAR6 <= (VAR12 == 1'b1) ? 0 : VAR6<<1 | VAR10; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlymetal6s6s/sky130_fd_sc_hs__dlymetal6s6s_1.v
2,033
module MODULE2 ( VAR4 , VAR6 , VAR3, VAR2 ); output VAR4 ; input VAR6 ; input VAR3; input VAR2; VAR5 VAR1 ( .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR4, VAR6 ); output VAR4; input VAR6; supply1 VAR3; supply0 VAR2; VAR5 VAR1 ( .VAR4(VAR4), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/fah/sky130_fd_sc_hd__fah.behavioral.pp.v
2,616
module MODULE1 ( VAR12, VAR19 , VAR24 , VAR11 , VAR4 , VAR10, VAR5, VAR8 , VAR23 ); output VAR12; output VAR19 ; input VAR24 ; input VAR11 ; input VAR4 ; input VAR10; input VAR5; input VAR8 ; input VAR23 ; wire VAR3 ; wire VAR26 ; wire VAR1 ; wire VAR14 ; wire VAR2 ; wire VAR16 ; wire VAR13; xor VAR21 (VAR3 , VAR24, VAR11, VAR4 ); VAR9 VAR18 (VAR26 , VAR3, VAR10, VAR5); buf VAR6 (VAR19 , VAR26 ); and VAR22 (VAR1 , VAR24, VAR11 ); and VAR7 (VAR14 , VAR24, VAR4 ); and VAR20 (VAR2 , VAR11, VAR4 ); or VAR25 (VAR16 , VAR1, VAR14, VAR2 ); VAR9 VAR17 (VAR13, VAR16, VAR10, VAR5); buf VAR15 (VAR12 , VAR13 ); endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
source/hardware/nfc-substrate/bch_shared_kes-1.0.1/d_KES_top.v
38,577
module MODULE1 ( input wire VAR32, input wire VAR174, input wire VAR64, input wire [3:0] VAR44, input wire VAR35, input wire VAR69, input wire VAR168, input wire VAR124, input wire VAR79, output reg VAR7, output wire VAR143, output wire VAR71, output reg [3:0] VAR156, output reg VAR147, output reg VAR22, output reg [VAR159-1:0] VAR56, input wire [VAR180*(VAR62*2-1)-1:0] VAR135, output wire [VAR180-1:0] VAR93, output wire [VAR180-1:0] VAR130, output wire [VAR180-1:0] VAR110, output wire [VAR180-1:0] VAR54, output wire [VAR180-1:0] VAR116, output wire [VAR180-1:0] VAR60, output wire [VAR180-1:0] VAR129, output wire [VAR180-1:0] VAR86, output wire [VAR180-1:0] VAR127, output wire [VAR180-1:0] VAR139, output wire [VAR180-1:0] VAR169, output wire [VAR180-1:0] VAR51, output wire [VAR180-1:0] VAR161, output wire [VAR180-1:0] VAR94, output wire [VAR180-1:0] VAR9 ); parameter [11:0] VAR123 = 12'b000000000000; parameter [11:0] VAR1 = 12'b000000000001; parameter VAR138 = 7; parameter VAR81 = 7'b0000001; parameter VAR146 = 7'b0000010; parameter VAR52 = 7'b0000100; parameter VAR103 = 7'b0001000; parameter VAR145 = 7'b0010000; parameter VAR171 = 7'b0100000; parameter VAR77 = 7'b1000000; wire [VAR180-1:0] VAR109; wire [VAR180-1:0] VAR165; wire [VAR180-1:0] VAR157; wire [VAR180-1:0] VAR91; wire [VAR180-1:0] VAR98; wire [VAR180-1:0] VAR20; wire [VAR180-1:0] VAR2; wire [VAR180-1:0] VAR115; wire [VAR180-1:0] VAR97; wire [VAR180-1:0] VAR70; wire [VAR180-1:0] VAR74; wire [VAR180-1:0] VAR114; wire [VAR180-1:0] VAR133; wire [VAR180-1:0] VAR11; wire [VAR180-1:0] VAR152; wire VAR85; wire VAR84; wire VAR144; wire VAR25; wire VAR58; wire VAR63; wire VAR68; wire VAR160; wire VAR99; wire VAR172; wire VAR10; wire VAR106; wire VAR59; wire VAR120; wire VAR66; wire VAR150; reg [(VAR62*2*VAR180)-1:0] VAR140; reg [VAR138-1:0] VAR90; reg [VAR138-1:0] VAR16; reg [VAR55-1:0] VAR179; reg VAR61; wire VAR8; wire VAR177; wire [VAR62:0] VAR42; wire VAR78; wire [VAR180-1:0] VAR131; wire [VAR180-1:0] VAR102; reg [VAR180-1:0] VAR92; reg [VAR180-1:0] VAR132; wire VAR19; reg VAR154; reg VAR82; wire [VAR62+1:0] VAR107; reg [VAR62+1:0] VAR29; reg VAR178; reg [VAR159-1:0] VAR153; reg VAR163; wire [VAR180-1:0] VAR122; wire [VAR180-1:0] VAR118; reg VAR142; reg VAR95; assign VAR8 = (VAR174) | (VAR61); assign VAR177 = (VAR179 == VAR89); assign VAR143 = (VAR56 == 4'b1110); assign VAR71 = (VAR90 == VAR81); assign VAR102[VAR180-1:0] = (VAR19)? (VAR131[VAR180-1:0]):(VAR92[VAR180-1:0]); assign VAR42[VAR62:0] = { (|VAR93[VAR180-1:0]) , (|VAR130[VAR180-1:0]) , (|VAR110[VAR180-1:0]) , (|VAR54[VAR180-1:0]) , (|VAR116[VAR180-1:0]) , (|VAR60[VAR180-1:0]) , (|VAR129[VAR180-1:0]) , (|VAR86[VAR180-1:0]) , (|VAR127[VAR180-1:0]) , (|VAR139[VAR180-1:0]) , (|VAR169[VAR180-1:0]) , (|VAR51[VAR180-1:0]) , (|VAR161[VAR180-1:0]) , (|VAR94[VAR180-1:0]) , (|VAR9[VAR180-1:0]) }; assign VAR131 = VAR109[VAR180-1:0]^ VAR165[VAR180-1:0]^ VAR157[VAR180-1:0]^ VAR91[VAR180-1:0]^ VAR98[VAR180-1:0]^ VAR20[VAR180-1:0]^ VAR2[VAR180-1:0]^ VAR115[VAR180-1:0]^ VAR97[VAR180-1:0]^ VAR70[VAR180-1:0]^ VAR74[VAR180-1:0]^ VAR114[VAR180-1:0]^ VAR133[VAR180-1:0]^ VAR11[VAR180-1:0]^ VAR152[VAR180-1:0] ; assign VAR107[VAR62+1:0] = { VAR150, VAR66, VAR120, VAR59, VAR106, VAR10, VAR172, VAR99, VAR160, VAR68, VAR63, VAR58, VAR25, VAR144, VAR84, VAR85}; assign VAR78 = |(VAR131[VAR180-1:0]); always @ ( VAR153, VAR179 ) begin if ( VAR153 > VAR179 ) begin VAR163 <= 1'b0; end else begin VAR163 <= 1'b1; end end assign VAR19 = (VAR78) & (VAR163); assign VAR122[VAR180-1:0] = VAR140[(VAR62*2 )*VAR180-1:(VAR62*2-1)*VAR180]; assign VAR118[VAR180-1:0] = VAR140[(VAR62*2-1)*VAR180-1:(VAR62*2-2)*VAR180]; always @ (posedge VAR32) begin if ((VAR174) || (VAR64)) begin VAR90 <= VAR81; end else begin VAR90 <= VAR16; end end always @ ( * ) begin if (VAR143) begin VAR16 <= VAR81; end else begin case (VAR90) VAR81: begin VAR16 <= (VAR35)? VAR146:VAR81; end VAR146: begin VAR16 <= (VAR95)? VAR145:VAR52; end VAR52: begin VAR16 <= VAR103; end VAR103: begin VAR16 <= (VAR177)? ((VAR168)? (VAR77): (VAR171)):(VAR52); end VAR145: begin VAR16 <= (VAR168)? (VAR77): (VAR145); end VAR171: begin VAR16 <= (VAR168)? (VAR77): (VAR171); end VAR77: begin VAR16 <= VAR81; end default: begin VAR16 <= VAR81; end endcase end end always @ (posedge VAR32) begin if ((VAR174) || (VAR64)) VAR7 <= 0; end else begin case (VAR16) VAR77: VAR7 <= 1'b1; default: VAR7 <= 0; endcase end end always @ (posedge VAR32) begin if ((VAR174) || (VAR64)) begin VAR179 <= 0; VAR140[(VAR62*2*VAR180)-1:0] <= 0; VAR61 <= 1; VAR29 <= 0; VAR154 <= 0; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR1[VAR180-1:0]; VAR132[VAR180-1:0] <= 0; end else begin case (VAR16) VAR81: begin VAR179 <= 0; VAR140[(VAR62*2*VAR180)-1:0] <= 0; VAR61 <= 1; VAR29 <= 0; VAR154 <= 0; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR1[VAR180-1:0]; VAR132[VAR180-1:0] <= 0; end VAR146: begin VAR179 <= 0; VAR140[(VAR62*2*VAR180)-1:0] <= { VAR1[VAR180-1:0], VAR135[VAR180*(VAR62*2-1)-1:0] }; VAR61 <= 0; VAR29[VAR62+1:0] <= VAR107[VAR62+1:0]; VAR154 <= 1; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR102[VAR180-1:0]; VAR132[VAR180-1:0] <= 0; end VAR52: begin VAR179 <= VAR179 + 1; VAR140[(VAR62*2*VAR180)-1:0] <= VAR140[(VAR62*2*VAR180)-1:0]; VAR61 <= 0; VAR29[VAR62+1:0] <= VAR107[VAR62+1:0]; VAR154 <= 0; VAR82 <= 1; VAR92[VAR180-1:0] <= VAR102[VAR180-1:0]; VAR132[VAR180-1:0] <= VAR92[VAR180-1:0]; end VAR103: begin VAR179 <= VAR179; VAR140[(VAR62*2*VAR180)-1:0] <= (VAR140[(VAR62*2*VAR180)-1:0]) << (VAR180*2); VAR61 <= 0; VAR29[VAR62+1:0] <= VAR107[VAR62+1:0]; VAR154 <= 1; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR102[VAR180-1:0]; VAR132 <= 0; end VAR145: begin VAR179 <= 0; VAR140[(VAR62*2*VAR180)-1:0] <= 0; VAR61 <= 1; VAR29 <= 0; VAR154 <= 0; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR1[VAR180-1:0]; VAR132[VAR180-1:0] <= 0; end VAR171: begin VAR179 <= VAR179; VAR140[(VAR62*2*VAR180)-1:0] <= VAR140[(VAR62*2*VAR180)-1:0]; VAR61 <= 0; VAR29[VAR62+1:0] <= VAR29[VAR62+1:0]; VAR154 <= 0; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR92[VAR180-1:0]; VAR132 <= 0; end VAR77: begin VAR179 <= VAR179; VAR140[(VAR62*2*VAR180)-1:0] <= VAR140[(VAR62*2*VAR180)-1:0]; VAR61 <= 0; VAR29[VAR62+1:0] <= VAR29[VAR62+1:0]; VAR154 <= 0; VAR82 <= 0; VAR92[VAR180-1:0] <= VAR92[VAR180-1:0]; VAR132 <= 0; end default: begin end endcase end end always @ (posedge VAR32) begin if ((VAR174) || (VAR64)) begin VAR95 <= 0; VAR147 <= 0; VAR156 <= 0; VAR22 <= 0; VAR142 <= 0; end else begin case (VAR16) VAR81: begin VAR95 <= 0; VAR147 <= 0; VAR156 <= VAR156; VAR22 <= 0; VAR142 <= 0; end VAR146: begin VAR95 <= VAR69; VAR147 <= VAR124; VAR156 <= VAR44; VAR22 <= 0; VAR142 <= VAR79; end VAR77: begin VAR95 <= VAR95; VAR147 <= VAR147; VAR156 <= VAR156; VAR22 <= VAR142; VAR142 <= VAR142; end default: begin VAR95 <= VAR95; VAR147 <= VAR147; VAR156 <= VAR156; VAR22 <= 0; VAR142 <= VAR142; end endcase end end always @ (posedge VAR32) begin if ((VAR174) || (VAR64)) begin VAR56 <= 0; end else case (VAR16) VAR77: begin casez (VAR42[VAR62:0]) 15'b1?????????????1: begin VAR56 <= 4'b1110; end 15'b1????????????10: begin VAR56 <= 4'b1101; end 15'b1???????????100: begin VAR56 <= 4'b1100; end 15'b1??????????1000: begin VAR56 <= 4'b1011; end 15'b1?????????10000: begin VAR56 <= 4'b1010; end 15'b1????????100000: begin VAR56 <= 4'b1001; end 15'b1???????1000000: begin VAR56 <= 4'b1000; end 15'b1??????10000000: begin VAR56 <= 4'b0111; end 15'b1?????100000000: begin VAR56 <= 4'b0110; end 15'b1????1000000000: begin VAR56 <= 4'b0101; end 15'b1???10000000000: begin VAR56 <= 4'b0100; end 15'b1??100000000000: begin VAR56 <= 4'b0011; end 15'b1?1000000000000: begin VAR56 <= 4'b0010; end 15'b110000000000000: begin VAR56 <= 4'b0001; end default: begin VAR56 <= 4'b0000; end endcase end default: VAR56 <= 0; endcase end always @ (posedge VAR32, negedge VAR8) begin if ((!VAR8) || (VAR64)) begin VAR178 <= 0; VAR153[VAR159-1:0] <= 0; end else begin VAR178 <= (VAR177)? VAR29[VAR62+1]:1'b0; casez (VAR29[VAR62+1:0]) 16'b000000000000000?: begin VAR153[VAR159 - 1:0] <= 4'b0000; end 16'b000000000000001?: begin VAR153[VAR159 - 1:0] <= 4'b0001; end 16'b00000000000001??: begin VAR153[VAR159 - 1:0] <= 4'b0010; end 16'b0000000000001???: begin VAR153[VAR159 - 1:0] <= 4'b0011; end 16'b000000000001????: begin VAR153[VAR159 - 1:0] <= 4'b0100; end 16'b00000000001?????: begin VAR153[VAR159 - 1:0] <= 4'b0101; end 16'b0000000001??????: begin VAR153[VAR159 - 1:0] <= 4'b0110; end 16'b000000001???????: begin VAR153[VAR159 - 1:0] <= 4'b0111; end 16'b00000001????????: begin VAR153[VAR159 - 1:0] <= 4'b1000; end 16'b0000001?????????: begin VAR153[VAR159 - 1:0] <= 4'b1001; end 16'b000001??????????: begin VAR153[VAR159 - 1:0] <= 4'b1010; end 16'b00001???????????: begin VAR153[VAR159 - 1:0] <= 4'b1011; end 16'b0001????????????: begin VAR153[VAR159 - 1:0] <= 4'b1100; end 16'b001?????????????: begin VAR153[VAR159 - 1:0] <= 4'b1101; end 16'b01??????????????: begin VAR153[VAR159 - 1:0] <= 4'b1110; end 16'b1???????????????: begin VAR153[VAR159 - 1:0] <= 4'b1111; end default: begin VAR153[VAR159 - 1:0] <= 4'b0000; end endcase end end wire [VAR180-1:0] VAR3; wire [VAR180-1:0] VAR111; wire [VAR180-1:0] VAR14; wire [VAR180-1:0] VAR119; wire [VAR180-1:0] VAR53; wire [VAR180-1:0] VAR48; wire [VAR180-1:0] VAR40; wire [VAR180-1:0] VAR117; wire [VAR180-1:0] VAR158; wire [VAR180-1:0] VAR87; wire [VAR180-1:0] VAR73; wire [VAR180-1:0] VAR113; wire [VAR180-1:0] VAR167; VAR83 VAR128 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR3[VAR180 - 1:0]), .VAR151(VAR9[VAR180-1:0]), .VAR112(VAR152[VAR180 - 1:0])); VAR83 VAR5 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR111[VAR180 - 1:0]), .VAR151(VAR94[VAR180-1:0]), .VAR112(VAR11[VAR180 - 1:0])); VAR72 VAR37 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR14[VAR180 - 1:0]), .VAR151(VAR161[VAR180-1:0]), .VAR100(VAR3[VAR180 - 1:0]), .VAR112(VAR133[VAR180 - 1:0])); VAR72 VAR67 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR119[VAR180 - 1:0]), .VAR151(VAR51[VAR180-1:0]), .VAR100(VAR111[VAR180 - 1:0]), .VAR112(VAR114[VAR180 - 1:0])); VAR72 VAR30 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR53[VAR180 - 1:0]), .VAR151(VAR169[VAR180-1:0]), .VAR100(VAR14[VAR180 - 1:0]), .VAR112(VAR74[VAR180 - 1:0])); VAR72 VAR170 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR48[VAR180 - 1:0]), .VAR151(VAR139[VAR180-1:0]), .VAR100(VAR119[VAR180 - 1:0]), .VAR112(VAR70[VAR180 - 1:0])); VAR72 VAR88 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR40[VAR180 - 1:0]), .VAR151(VAR127[VAR180-1:0]), .VAR100(VAR53[VAR180 - 1:0]), .VAR112(VAR97[VAR180 - 1:0])); VAR72 VAR137 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR117[VAR180 - 1:0]), .VAR151(VAR86[VAR180-1:0]), .VAR100(VAR48[VAR180 - 1:0]), .VAR112(VAR115[VAR180 - 1:0])); VAR72 VAR164 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR158[VAR180 - 1:0]), .VAR151(VAR129[VAR180-1:0]), .VAR100(VAR40[VAR180 - 1:0]), .VAR112(VAR2[VAR180 - 1:0])); VAR72 VAR136 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR87[VAR180 - 1:0]), .VAR151(VAR60[VAR180-1:0]), .VAR100(VAR117[VAR180 - 1:0]), .VAR112(VAR20[VAR180 - 1:0])); VAR72 VAR33 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR73[VAR180 - 1:0]), .VAR151(VAR116[VAR180-1:0]), .VAR100(VAR158[VAR180 - 1:0]), .VAR112(VAR98[VAR180 - 1:0])); VAR72 VAR76 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR113[VAR180 - 1:0]), .VAR151(VAR54[VAR180-1:0]), .VAR100(VAR87[VAR180 - 1:0]), .VAR112(VAR91[VAR180 - 1:0])); VAR72 VAR6 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR167[VAR180 - 1:0]), .VAR151(VAR110[VAR180-1:0]), .VAR100(VAR73[VAR180 - 1:0]), .VAR112(VAR157[VAR180 - 1:0])); VAR72 VAR34 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR122[VAR180 - 1:0]), .VAR151(VAR130[VAR180-1:0]), .VAR100(VAR113[VAR180 - 1:0]), .VAR112(VAR165[VAR180 - 1:0])); VAR72 VAR175 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR80(VAR154), .VAR24(VAR118[VAR180 - 1:0]), .VAR151(VAR93[VAR180-1:0]), .VAR100(VAR167[VAR180 - 1:0]), .VAR112(VAR109[VAR180 - 1:0])); wire [VAR180-1:0] VAR75; wire [VAR180-1:0] VAR166; wire [VAR180-1:0] VAR184; wire [VAR180-1:0] VAR57; wire [VAR180-1:0] VAR18; wire [VAR180-1:0] VAR15; wire [VAR180-1:0] VAR182; wire [VAR180-1:0] VAR36; wire [VAR180-1:0] VAR173; wire [VAR180-1:0] VAR50; wire [VAR180-1:0] VAR41; wire [VAR180-1:0] VAR162; wire [VAR180-1:0] VAR183; wire [VAR180-1:0] VAR13; wire [VAR180-1:0] VAR108; VAR38 VAR121 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR49(VAR75[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR65(VAR150)); VAR45 VAR31 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR94[VAR180-1:0]), .VAR49(VAR166[VAR180-1:0]), .VAR155(VAR184[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR9[VAR180-1:0]), .VAR65(VAR66), .VAR47(VAR75[VAR180-1:0])); VAR45 VAR43 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR161[VAR180-1:0]), .VAR49(VAR184[VAR180-1:0]), .VAR155(VAR57[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR94[VAR180-1:0]), .VAR65(VAR120), .VAR47(VAR166[VAR180-1:0])); VAR45 VAR17 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR51[VAR180-1:0]), .VAR49(VAR57[VAR180-1:0]), .VAR155(VAR18[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR161[VAR180-1:0]), .VAR65(VAR59), .VAR47(VAR184[VAR180-1:0])); VAR45 VAR27 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR169[VAR180-1:0]), .VAR49(VAR18[VAR180-1:0]), .VAR155(VAR15[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR51[VAR180-1:0]), .VAR65(VAR106), .VAR47(VAR57[VAR180-1:0])); VAR45 VAR4 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR139[VAR180-1:0]), .VAR49(VAR15[VAR180-1:0]), .VAR155(VAR182[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR169[VAR180-1:0]), .VAR65(VAR10), .VAR47(VAR18[VAR180-1:0])); VAR45 VAR21 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR127[VAR180-1:0]), .VAR49(VAR182[VAR180-1:0]), .VAR155(VAR36[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR139[VAR180-1:0]), .VAR65(VAR172), .VAR47(VAR15[VAR180-1:0])); VAR45 VAR96 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR86[VAR180-1:0]), .VAR49(VAR36[VAR180-1:0]), .VAR155(VAR173[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR127[VAR180-1:0]), .VAR65(VAR99), .VAR47(VAR182[VAR180-1:0])); VAR45 VAR125 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR129[VAR180-1:0]), .VAR49(VAR173[VAR180-1:0]), .VAR155(VAR50[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR86[VAR180-1:0]), .VAR65(VAR160), .VAR47(VAR36[VAR180-1:0])); VAR45 VAR149 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR60[VAR180-1:0]), .VAR49(VAR50[VAR180-1:0]), .VAR155(VAR41[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR129[VAR180-1:0]), .VAR65(VAR68), .VAR47(VAR173[VAR180-1:0])); VAR45 VAR39 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR116[VAR180-1:0]), .VAR49(VAR41[VAR180-1:0]), .VAR155(VAR162[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR60[VAR180-1:0]), .VAR65(VAR63), .VAR47(VAR50[VAR180-1:0])); VAR45 VAR104 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR54[VAR180-1:0]), .VAR49(VAR162[VAR180-1:0]), .VAR155(VAR183[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR116[VAR180-1:0]), .VAR65(VAR58), .VAR47(VAR41[VAR180-1:0])); VAR45 VAR134 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR110[VAR180-1:0]), .VAR49(VAR183[VAR180-1:0]), .VAR155(VAR13[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR54[VAR180-1:0]), .VAR65(VAR25), .VAR47(VAR162[VAR180-1:0])); VAR45 VAR148 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR130[VAR180-1:0]), .VAR49(VAR13[VAR180-1:0]), .VAR155(VAR108[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR110[VAR180-1:0]), .VAR65(VAR144), .VAR47(VAR183[VAR180-1:0])); VAR176 VAR46 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR101(VAR93[VAR180-1:0]), .VAR49(VAR108[VAR180-1:0]), .VAR181(VAR131[VAR180-1:0]), .VAR26(VAR132[VAR180-1:0]), .VAR12(VAR19), .VAR141(VAR130[VAR180-1:0]), .VAR65(VAR84), .VAR47(VAR13[VAR180-1:0])); VAR23 VAR105 ( .VAR32(VAR32), .VAR126(VAR8), .VAR64(VAR64), .VAR28(VAR82), .VAR26(VAR132[VAR180-1:0]), .VAR141(VAR93[VAR180-1:0]), .VAR65(VAR85), .VAR47(VAR108[VAR180-1:0])); endmodule
gpl-3.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_30.v
22,258
module MODULE5 ( clk, reset, VAR33, VAR38, VAR10, VAR57, VAR42 ); parameter VAR3 = 18; parameter VAR102 = 30; parameter VAR125 = 15; localparam VAR49 = 36; input clk; input reset; input VAR33; input VAR38; input [VAR3-1:0] VAR10; output VAR57; output [VAR3-1:0] VAR42; localparam VAR54 = 18; localparam VAR181 = 36; localparam VAR143 = 17; localparam VAR52 = 30; reg [VAR3-1:0] VAR106; reg [VAR3-1:0] VAR8; reg [VAR3-1:0] VAR159; reg [VAR3-1:0] VAR86; reg [VAR3-1:0] VAR62; reg [VAR3-1:0] VAR47; reg [VAR3-1:0] VAR39; reg [VAR3-1:0] VAR85; reg [VAR3-1:0] VAR66; reg [VAR3-1:0] VAR173; reg [VAR3-1:0] VAR20; reg [VAR3-1:0] VAR123; reg [VAR3-1:0] VAR15; reg [VAR3-1:0] VAR28; reg [VAR3-1:0] VAR50; always@(posedge clk) begin VAR106 <= 18'd88; VAR8 <= 18'd0; VAR159 <= -18'd97; VAR86 <= -18'd197; VAR62 <= -18'd294; VAR47 <= -18'd380; VAR39 <= -18'd447; VAR85 <= -18'd490; VAR66 <= -18'd504; VAR173 <= -18'd481; VAR20 <= -18'd420; VAR123 <= -18'd319; VAR15 <= -18'd178; VAR28 <= 18'd0; VAR50 <= 18'd212; end reg [VAR49-1:0] VAR29; always@(posedge clk or posedge reset) begin if(reset) begin VAR29 <= 0; end else begin if(VAR33) begin VAR29 <= {VAR29[VAR49-2:0], VAR38}; end else begin VAR29 <= VAR29; end end end wire [VAR3-1:0] VAR131; wire [VAR3-1:0] VAR64; wire [VAR3-1:0] VAR180; wire [VAR3-1:0] VAR160; wire [VAR3-1:0] VAR65; wire [VAR3-1:0] VAR119; wire [VAR3-1:0] VAR76; wire [VAR3-1:0] VAR83; wire [VAR3-1:0] VAR103; wire [VAR3-1:0] VAR41; wire [VAR3-1:0] VAR44; wire [VAR3-1:0] VAR113; wire [VAR3-1:0] VAR165; wire [VAR3-1:0] VAR53; wire [VAR3-1:0] VAR98; wire [VAR3-1:0] VAR55; wire [VAR3-1:0] VAR145; wire [VAR3-1:0] VAR88; wire [VAR3-1:0] VAR126; wire [VAR3-1:0] VAR107; wire [VAR3-1:0] VAR112; wire [VAR3-1:0] VAR178; wire [VAR3-1:0] VAR67; wire [VAR3-1:0] VAR158; wire [VAR3-1:0] VAR133; wire [VAR3-1:0] VAR129; wire [VAR3-1:0] VAR179; wire [VAR3-1:0] VAR153; wire [VAR3-1:0] VAR96; wire [VAR3-1:0] VAR79; MODULE4 MODULE21( .clk(clk), .VAR33(VAR33), .VAR132(VAR10), .VAR25(VAR131), .VAR170(VAR64), .VAR82(VAR180), .VAR110(VAR160), .VAR40(VAR65), .VAR93(VAR119), .VAR35(VAR76), .VAR30(VAR83), .VAR24(VAR103), .VAR116(VAR41), .VAR71(VAR44), .VAR168(VAR113), .VAR130(VAR165), .VAR80(VAR53), .VAR176(VAR98), .VAR6(VAR55), .VAR13(VAR145), .VAR45(VAR88), .VAR11(VAR126), .VAR68(VAR107), .VAR90(VAR112), .VAR18(VAR178), .VAR147(VAR67), .VAR9(VAR158), .VAR23(VAR133), .VAR99(VAR129), .VAR4(VAR179), .VAR177(VAR153), .VAR163(VAR96), .VAR34(VAR79), .reset(reset) ); wire [VAR3-1:0] VAR92; wire [VAR3-1:0] VAR2; wire [VAR3-1:0] VAR124; wire [VAR3-1:0] VAR104; wire [VAR3-1:0] VAR135; wire [VAR3-1:0] VAR146; wire [VAR3-1:0] VAR58; wire [VAR3-1:0] VAR144; wire [VAR3-1:0] VAR167; wire [VAR3-1:0] VAR183; wire [VAR3-1:0] VAR95; wire [VAR3-1:0] VAR114; wire [VAR3-1:0] VAR87; wire [VAR3-1:0] VAR56; wire [VAR3-1:0] VAR154; MODULE2 VAR14( .clk(clk), .VAR33(VAR33), .VAR84 (VAR131), .VAR139 (VAR79), .VAR59(VAR92) ); MODULE2 VAR184( .clk(clk), .VAR33(VAR33), .VAR84 (VAR64), .VAR139 (VAR96), .VAR59(VAR2) ); MODULE2 VAR115( .clk(clk), .VAR33(VAR33), .VAR84 (VAR180), .VAR139 (VAR153), .VAR59(VAR124) ); MODULE2 VAR19( .clk(clk), .VAR33(VAR33), .VAR84 (VAR160), .VAR139 (VAR179), .VAR59(VAR104) ); MODULE2 VAR26( .clk(clk), .VAR33(VAR33), .VAR84 (VAR65), .VAR139 (VAR129), .VAR59(VAR135) ); MODULE2 VAR78( .clk(clk), .VAR33(VAR33), .VAR84 (VAR119), .VAR139 (VAR133), .VAR59(VAR146) ); MODULE2 VAR5( .clk(clk), .VAR33(VAR33), .VAR84 (VAR76), .VAR139 (VAR158), .VAR59(VAR58) ); MODULE2 VAR100( .clk(clk), .VAR33(VAR33), .VAR84 (VAR83), .VAR139 (VAR67), .VAR59(VAR144) ); MODULE2 VAR174( .clk(clk), .VAR33(VAR33), .VAR84 (VAR103), .VAR139 (VAR178), .VAR59(VAR167) ); MODULE2 VAR118( .clk(clk), .VAR33(VAR33), .VAR84 (VAR41), .VAR139 (VAR112), .VAR59(VAR183) ); MODULE2 VAR142( .clk(clk), .VAR33(VAR33), .VAR84 (VAR44), .VAR139 (VAR107), .VAR59(VAR95) ); MODULE2 VAR81( .clk(clk), .VAR33(VAR33), .VAR84 (VAR113), .VAR139 (VAR126), .VAR59(VAR114) ); MODULE2 VAR150( .clk(clk), .VAR33(VAR33), .VAR84 (VAR165), .VAR139 (VAR88), .VAR59(VAR87) ); MODULE2 VAR43( .clk(clk), .VAR33(VAR33), .VAR84 (VAR53), .VAR139 (VAR145), .VAR59(VAR56) ); MODULE2 VAR185( .clk(clk), .VAR33(VAR33), .VAR84 (VAR98), .VAR139 (VAR55), .VAR59(VAR154) ); wire [VAR3-1:0] VAR169; wire [VAR3-1:0] VAR137; wire [VAR3-1:0] VAR175; wire [VAR3-1:0] VAR138; wire [VAR3-1:0] VAR89; wire [VAR3-1:0] VAR128; wire [VAR3-1:0] VAR91; wire [VAR3-1:0] VAR21; wire [VAR3-1:0] VAR122; wire [VAR3-1:0] VAR7; wire [VAR3-1:0] VAR32; wire [VAR3-1:0] VAR51; wire [VAR3-1:0] VAR162; wire [VAR3-1:0] VAR171; wire [VAR3-1:0] VAR155; MODULE3 VAR172( .clk(clk), .VAR33(VAR33), .VAR84 (VAR92), .VAR139 (VAR106), .VAR59(VAR169) ); MODULE3 VAR136( .clk(clk), .VAR33(VAR33), .VAR84 (VAR2), .VAR139 (VAR8), .VAR59(VAR137) ); MODULE3 VAR60( .clk(clk), .VAR33(VAR33), .VAR84 (VAR124), .VAR139 (VAR159), .VAR59(VAR175) ); MODULE3 VAR72( .clk(clk), .VAR33(VAR33), .VAR84 (VAR104), .VAR139 (VAR86), .VAR59(VAR138) ); MODULE3 VAR109( .clk(clk), .VAR33(VAR33), .VAR84 (VAR135), .VAR139 (VAR62), .VAR59(VAR89) ); MODULE3 VAR69( .clk(clk), .VAR33(VAR33), .VAR84 (VAR146), .VAR139 (VAR47), .VAR59(VAR128) ); MODULE3 VAR105( .clk(clk), .VAR33(VAR33), .VAR84 (VAR58), .VAR139 (VAR39), .VAR59(VAR91) ); MODULE3 VAR94( .clk(clk), .VAR33(VAR33), .VAR84 (VAR144), .VAR139 (VAR85), .VAR59(VAR21) ); MODULE3 VAR164( .clk(clk), .VAR33(VAR33), .VAR84 (VAR167), .VAR139 (VAR66), .VAR59(VAR122) ); MODULE3 VAR46( .clk(clk), .VAR33(VAR33), .VAR84 (VAR183), .VAR139 (VAR173), .VAR59(VAR7) ); MODULE3 VAR161( .clk(clk), .VAR33(VAR33), .VAR84 (VAR95), .VAR139 (VAR20), .VAR59(VAR32) ); MODULE3 VAR140( .clk(clk), .VAR33(VAR33), .VAR84 (VAR114), .VAR139 (VAR123), .VAR59(VAR51) ); MODULE3 VAR97( .clk(clk), .VAR33(VAR33), .VAR84 (VAR87), .VAR139 (VAR15), .VAR59(VAR162) ); MODULE3 VAR148( .clk(clk), .VAR33(VAR33), .VAR84 (VAR56), .VAR139 (VAR28), .VAR59(VAR171) ); MODULE3 VAR37( .clk(clk), .VAR33(VAR33), .VAR84 (VAR154), .VAR139 (VAR50), .VAR59(VAR155) ); wire [VAR3-1:0] VAR134; wire [VAR3-1:0] VAR157; wire [VAR3-1:0] VAR12; wire [VAR3-1:0] VAR1; wire [VAR3-1:0] VAR121; wire [VAR3-1:0] VAR111; wire [VAR3-1:0] VAR48; wire [VAR3-1:0] VAR120; MODULE2 VAR101( .clk(clk), .VAR33(VAR33), .VAR84 (VAR169), .VAR139 (VAR137), .VAR59(VAR134) ); MODULE2 VAR31( .clk(clk), .VAR33(VAR33), .VAR84 (VAR175), .VAR139 (VAR138), .VAR59(VAR157) ); MODULE2 VAR70( .clk(clk), .VAR33(VAR33), .VAR84 (VAR89), .VAR139 (VAR128), .VAR59(VAR12) ); MODULE2 VAR17( .clk(clk), .VAR33(VAR33), .VAR84 (VAR91), .VAR139 (VAR21), .VAR59(VAR1) ); MODULE2 VAR61( .clk(clk), .VAR33(VAR33), .VAR84 (VAR122), .VAR139 (VAR7), .VAR59(VAR121) ); MODULE2 VAR152( .clk(clk), .VAR33(VAR33), .VAR84 (VAR32), .VAR139 (VAR51), .VAR59(VAR111) ); MODULE2 VAR77( .clk(clk), .VAR33(VAR33), .VAR84 (VAR162), .VAR139 (VAR171), .VAR59(VAR48) ); MODULE1 VAR166( .clk(clk), .VAR33(VAR33), .VAR84 (VAR155), .VAR59(VAR120) ); wire [VAR3-1:0] VAR127; wire [VAR3-1:0] VAR73; wire [VAR3-1:0] VAR182; wire [VAR3-1:0] VAR36; MODULE2 VAR141( .clk(clk), .VAR33(VAR33), .VAR84 (VAR134), .VAR139 (VAR157), .VAR59(VAR127) ); MODULE2 VAR74( .clk(clk), .VAR33(VAR33), .VAR84 (VAR12), .VAR139 (VAR1), .VAR59(VAR73) ); MODULE2 VAR151( .clk(clk), .VAR33(VAR33), .VAR84 (VAR121), .VAR139 (VAR111), .VAR59(VAR182) ); MODULE2 VAR16( .clk(clk), .VAR33(VAR33), .VAR84 (VAR48), .VAR139 (VAR120), .VAR59(VAR36) ); wire [VAR3-1:0] VAR27; wire [VAR3-1:0] VAR149; MODULE2 VAR108( .clk(clk), .VAR33(VAR33), .VAR84 (VAR127), .VAR139 (VAR73), .VAR59(VAR27) ); MODULE2 VAR117( .clk(clk), .VAR33(VAR33), .VAR84 (VAR182), .VAR139 (VAR36), .VAR59(VAR149) ); wire [VAR3-1:0] VAR75; MODULE2 VAR22( .clk(clk), .VAR33(VAR33), .VAR84 (VAR27), .VAR139 (VAR149), .VAR59(VAR75) ); assign VAR42 = VAR75; assign VAR57 = VAR29[VAR49-1]; endmodule module MODULE4 ( clk, VAR33, VAR132, VAR25, VAR170, VAR82, VAR110, VAR40, VAR93, VAR35, VAR30, VAR24, VAR116, VAR71, VAR168, VAR130, VAR80, VAR176, VAR6, VAR13, VAR45, VAR11, VAR68, VAR90, VAR18, VAR147, VAR9, VAR23, VAR99, VAR4, VAR177, VAR163, VAR34, reset); parameter VAR63 = 1; input clk; input VAR33; input [VAR63-1:0] VAR132; output [VAR63-1:0] VAR25; output [VAR63-1:0] VAR170; output [VAR63-1:0] VAR82; output [VAR63-1:0] VAR110; output [VAR63-1:0] VAR40; output [VAR63-1:0] VAR93; output [VAR63-1:0] VAR35; output [VAR63-1:0] VAR30; output [VAR63-1:0] VAR24; output [VAR63-1:0] VAR116; output [VAR63-1:0] VAR71; output [VAR63-1:0] VAR168; output [VAR63-1:0] VAR130; output [VAR63-1:0] VAR80; output [VAR63-1:0] VAR176; output [VAR63-1:0] VAR6; output [VAR63-1:0] VAR13; output [VAR63-1:0] VAR45; output [VAR63-1:0] VAR11; output [VAR63-1:0] VAR68; output [VAR63-1:0] VAR90; output [VAR63-1:0] VAR18; output [VAR63-1:0] VAR147; output [VAR63-1:0] VAR9; output [VAR63-1:0] VAR23; output [VAR63-1:0] VAR99; output [VAR63-1:0] VAR4; output [VAR63-1:0] VAR177; output [VAR63-1:0] VAR163; output [VAR63-1:0] VAR34; reg [VAR63-1:0] VAR25; reg [VAR63-1:0] VAR170; reg [VAR63-1:0] VAR82; reg [VAR63-1:0] VAR110; reg [VAR63-1:0] VAR40; reg [VAR63-1:0] VAR93; reg [VAR63-1:0] VAR35; reg [VAR63-1:0] VAR30; reg [VAR63-1:0] VAR24; reg [VAR63-1:0] VAR116; reg [VAR63-1:0] VAR71; reg [VAR63-1:0] VAR168; reg [VAR63-1:0] VAR130; reg [VAR63-1:0] VAR80; reg [VAR63-1:0] VAR176; reg [VAR63-1:0] VAR6; reg [VAR63-1:0] VAR13; reg [VAR63-1:0] VAR45; reg [VAR63-1:0] VAR11; reg [VAR63-1:0] VAR68; reg [VAR63-1:0] VAR90; reg [VAR63-1:0] VAR18; reg [VAR63-1:0] VAR147; reg [VAR63-1:0] VAR9; reg [VAR63-1:0] VAR23; reg [VAR63-1:0] VAR99; reg [VAR63-1:0] VAR4; reg [VAR63-1:0] VAR177; reg [VAR63-1:0] VAR163; reg [VAR63-1:0] VAR34; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR25 <= 0; VAR170 <= 0; VAR82 <= 0; VAR110 <= 0; VAR40 <= 0; VAR93 <= 0; VAR35 <= 0; VAR30 <= 0; VAR24 <= 0; VAR116 <= 0; VAR71 <= 0; VAR168 <= 0; VAR130 <= 0; VAR80 <= 0; VAR176 <= 0; VAR6 <= 0; VAR13 <= 0; VAR45 <= 0; VAR11 <= 0; VAR68 <= 0; VAR90 <= 0; VAR18 <= 0; VAR147 <= 0; VAR9 <= 0; VAR23 <= 0; VAR99 <= 0; VAR4 <= 0; VAR177 <= 0; VAR163 <= 0; VAR34 <= 0; end else begin if(VAR33) begin VAR25 <= VAR132; VAR170 <= VAR25; VAR82 <= VAR170; VAR110 <= VAR82; VAR40 <= VAR110; VAR93 <= VAR40; VAR35 <= VAR93; VAR30 <= VAR35; VAR24 <= VAR30; VAR116 <= VAR24; VAR71 <= VAR116; VAR168 <= VAR71; VAR130 <= VAR168; VAR80 <= VAR130; VAR176 <= VAR80; VAR6 <= VAR176; VAR13 <= VAR6; VAR45 <= VAR13; VAR11 <= VAR45; VAR68 <= VAR11; VAR90 <= VAR68; VAR18 <= VAR90; VAR147 <= VAR18; VAR9 <= VAR147; VAR23 <= VAR9; VAR99 <= VAR23; VAR4 <= VAR99; VAR177 <= VAR4; VAR163 <= VAR177; VAR34 <= VAR163; end end end endmodule module MODULE2 ( clk, VAR33, VAR84, VAR139, VAR59); input clk; input VAR33; input [17:0] VAR84; input [17:0] VAR139; output [17:0] VAR59; reg [17:0] VAR59; always @(posedge clk) begin if(VAR33) begin VAR59 <= VAR84 + VAR139; end end endmodule module MODULE3 ( clk, VAR33, VAR84, VAR139, VAR59); input clk; input VAR33; input [17:0] VAR84; input [17:0] VAR139; output [17:0] VAR59; reg [17:0] VAR59; always @(posedge clk) begin if(VAR33) begin VAR59 <= VAR84 * VAR139; end end endmodule module MODULE1 ( clk, VAR33, VAR84, VAR59); input clk; input VAR33; input [17:0] VAR84; output [17:0] VAR59; reg [17:0] VAR59; always @(posedge clk) begin if(VAR33) begin VAR59 <= VAR84; end end endmodule
mit
pwwu/FPGA
VGAbased/vga_sync.v
4,129
module MODULE1 ( input wire clk, reset, output wire VAR2, VAR23, VAR15, VAR27, output wire [9:0] VAR14, VAR18 ); localparam VAR8 = 640; localparam VAR20 = 48 ; localparam VAR19 = 16 ; localparam VAR6 = 96 ; localparam VAR22 = 480; localparam VAR25 = 10; localparam VAR26 = 33; localparam VAR5 = 2; reg VAR24; wire VAR4; reg [9:0] VAR13, VAR1; reg [9:0] VAR16, VAR11; reg VAR12, VAR7; wire VAR17, VAR9; wire VAR21, VAR10, VAR3; assign VAR4 = ~VAR24; assign VAR3 = VAR24; always @(posedge clk, posedge reset) if (reset) begin VAR24 <= 1'b0; VAR16 <= 0; VAR13 <= 0; VAR12 <= 1'b0; VAR7 <= 1'b0; end else begin VAR24 <= VAR4; VAR16 <= VAR11; VAR13 <= VAR1; VAR12 <= VAR17; VAR7 <= VAR9; end assign VAR21 = (VAR13==(VAR8+VAR20+VAR19+VAR6-1)); assign VAR10 = (VAR16==(VAR22+VAR25+VAR26+VAR5-1)); always @ if (VAR3 & VAR21) if (VAR10) VAR11 = 0; else VAR11 = VAR16 + 1; else VAR11 = VAR16; assign VAR9 = (VAR13>=(VAR8+VAR19) && VAR13<=(VAR8+VAR19+VAR6-1)); assign VAR17 = (VAR16>=(VAR22+VAR26) && VAR16<=(VAR22+VAR26+VAR5-1)); assign VAR15 = (VAR13<VAR8) && (VAR16<VAR22); assign VAR2 = VAR7; assign VAR23 = VAR12; assign VAR14 = VAR13; assign VAR18 = VAR16; assign VAR27 = VAR3; endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9361/axi_ad9361_rx_pnmon.v
12,295
module MODULE1 ( VAR4, VAR11, VAR19, VAR18, VAR41, VAR28, VAR26); parameter VAR32 = 0; parameter VAR21 = 0; localparam VAR29 = 0; localparam VAR33 = 1; localparam VAR15 = 2; localparam VAR20 = 3; input VAR4; input VAR11; input [11:0] VAR19; input [11:0] VAR18; input [ 3:0] VAR41; output VAR28; output VAR26; reg VAR12 = 'd0; reg [15:0] VAR9 = 'd0; reg VAR30 = 'd0; reg [15:0] VAR2 = 'd0; reg [15:0] VAR13 = 'd0; reg VAR35 = 'd0; reg [11:0] VAR16 = 'd0; reg VAR7 = 'd0; reg [23:0] VAR37 = 'd0; reg [23:0] VAR14 = 'd0; reg VAR5 = 'd0; reg [23:0] VAR1 = 'd0; reg [23:0] VAR31 = 'd0; wire [11:0] VAR8; wire [11:0] VAR24; wire [11:0] VAR42; wire [15:0] VAR23; wire VAR17; wire [15:0] VAR36; wire VAR10; wire [23:0] VAR39; function [11:0] VAR3; input [11:0] din; reg [11:0] dout; begin dout[11] = din[ 0]; dout[10] = din[ 1]; dout[ 9] = din[ 2]; dout[ 8] = din[ 3]; dout[ 7] = din[ 4]; dout[ 6] = din[ 5]; dout[ 5] = din[ 6]; dout[ 4] = din[ 7]; dout[ 3] = din[ 8]; dout[ 2] = din[ 9]; dout[ 1] = din[10]; dout[ 0] = din[11]; VAR3 = dout; end endfunction function [15:0] VAR22; input [15:0] din; reg [15:0] dout; begin dout = {din[14:0], ((^din[15:4]) ^ (^din[2:1]))}; VAR22 = dout; end endfunction function [23:0] VAR27; input [23:0] din; reg [23:0] dout; begin case (VAR21) VAR29: begin dout[23] = din[ 8] ^ din[ 4]; dout[22] = din[ 7] ^ din[ 3]; dout[21] = din[ 6] ^ din[ 2]; dout[20] = din[ 5] ^ din[ 1]; dout[19] = din[ 4] ^ din[ 0]; dout[18] = din[ 3] ^ din[ 8] ^ din[ 4]; dout[17] = din[ 2] ^ din[ 7] ^ din[ 3]; dout[16] = din[ 1] ^ din[ 6] ^ din[ 2]; dout[15] = din[ 0] ^ din[ 5] ^ din[ 1]; dout[14] = din[ 8] ^ din[ 0]; dout[13] = din[ 7] ^ din[ 8] ^ din[ 4]; dout[12] = din[ 6] ^ din[ 7] ^ din[ 3]; dout[11] = din[ 5] ^ din[ 6] ^ din[ 2]; dout[10] = din[ 4] ^ din[ 5] ^ din[ 1]; dout[ 9] = din[ 3] ^ din[ 4] ^ din[ 0]; dout[ 8] = din[ 2] ^ din[ 3] ^ din[ 8] ^ din[ 4]; dout[ 7] = din[ 1] ^ din[ 2] ^ din[ 7] ^ din[ 3]; dout[ 6] = din[ 0] ^ din[ 1] ^ din[ 6] ^ din[ 2]; dout[ 5] = din[ 8] ^ din[ 0] ^ din[ 4] ^ din[ 5] ^ din[ 1]; dout[ 4] = din[ 7] ^ din[ 8] ^ din[ 3] ^ din[ 0]; dout[ 3] = din[ 6] ^ din[ 7] ^ din[ 2] ^ din[ 8] ^ din[ 4]; dout[ 2] = din[ 5] ^ din[ 6] ^ din[ 1] ^ din[ 7] ^ din[ 3]; dout[ 1] = din[ 4] ^ din[ 5] ^ din[ 0] ^ din[ 6] ^ din[ 2]; dout[ 0] = din[ 3] ^ din[ 8] ^ din[ 5] ^ din[ 1]; end VAR33: begin dout[23] = din[10] ^ din[ 8]; dout[22] = din[ 9] ^ din[ 7]; dout[21] = din[ 8] ^ din[ 6]; dout[20] = din[ 7] ^ din[ 5]; dout[19] = din[ 6] ^ din[ 4]; dout[18] = din[ 5] ^ din[ 3]; dout[17] = din[ 4] ^ din[ 2]; dout[16] = din[ 3] ^ din[ 1]; dout[15] = din[ 2] ^ din[ 0]; dout[14] = din[ 1] ^ din[10] ^ din[ 8]; dout[13] = din[ 0] ^ din[ 9] ^ din[ 7]; dout[12] = din[10] ^ din[ 6]; dout[11] = din[ 9] ^ din[ 5]; dout[10] = din[ 8] ^ din[ 4]; dout[ 9] = din[ 7] ^ din[ 3]; dout[ 8] = din[ 6] ^ din[ 2]; dout[ 7] = din[ 5] ^ din[ 1]; dout[ 6] = din[ 4] ^ din[ 0]; dout[ 5] = din[ 3] ^ din[10] ^ din[ 8]; dout[ 4] = din[ 2] ^ din[ 9] ^ din[ 7]; dout[ 3] = din[ 1] ^ din[ 8] ^ din[ 6]; dout[ 2] = din[ 0] ^ din[ 7] ^ din[ 5]; dout[ 1] = din[10] ^ din[ 6] ^ din[ 8] ^ din[ 4]; dout[ 0] = din[ 9] ^ din[ 5] ^ din[ 7] ^ din[ 3]; end VAR15: begin dout[23] = din[14] ^ din[13]; dout[22] = din[13] ^ din[12]; dout[21] = din[12] ^ din[11]; dout[20] = din[11] ^ din[10]; dout[19] = din[10] ^ din[ 9]; dout[18] = din[ 9] ^ din[ 8]; dout[17] = din[ 8] ^ din[ 7]; dout[16] = din[ 7] ^ din[ 6]; dout[15] = din[ 6] ^ din[ 5]; dout[14] = din[ 5] ^ din[ 4]; dout[13] = din[ 4] ^ din[ 3]; dout[12] = din[ 3] ^ din[ 2]; dout[11] = din[ 2] ^ din[ 1]; dout[10] = din[ 1] ^ din[ 0]; dout[ 9] = din[ 0] ^ din[14] ^ din[13]; dout[ 8] = din[14] ^ din[12]; dout[ 7] = din[13] ^ din[11]; dout[ 6] = din[12] ^ din[10]; dout[ 5] = din[11] ^ din[ 9]; dout[ 4] = din[10] ^ din[ 8]; dout[ 3] = din[ 9] ^ din[ 7]; dout[ 2] = din[ 8] ^ din[ 6]; dout[ 1] = din[ 7] ^ din[ 5]; dout[ 0] = din[ 6] ^ din[ 4]; end VAR20: begin dout[23] = din[19] ^ din[ 2]; dout[22] = din[18] ^ din[ 1]; dout[21] = din[17] ^ din[ 0]; dout[20] = din[16] ^ din[19] ^ din[ 2]; dout[19] = din[15] ^ din[18] ^ din[ 1]; dout[18] = din[14] ^ din[17] ^ din[ 0]; dout[17] = din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[16] = din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[15] = din[11] ^ din[14] ^ din[17] ^ din[ 0]; dout[14] = din[10] ^ din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[13] = din[ 9] ^ din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[12] = din[ 8] ^ din[11] ^ din[14] ^ din[17] ^ din[ 0]; dout[11] = din[ 7] ^ din[10] ^ din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[10] = din[ 6] ^ din[ 9] ^ din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[ 9] = din[ 5] ^ din[ 8] ^ din[11] ^ din[14] ^ din[17] ^ din[ 0]; dout[ 8] = din[ 4] ^ din[ 7] ^ din[10] ^ din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[ 7] = din[ 3] ^ din[ 6] ^ din[ 9] ^ din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[ 6] = din[ 2] ^ din[ 5] ^ din[ 8] ^ din[11] ^ din[14] ^ din[17] ^ din[ 0]; dout[ 5] = din[ 1] ^ din[ 4] ^ din[ 7] ^ din[10] ^ din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[ 4] = din[ 0] ^ din[ 3] ^ din[ 6] ^ din[ 9] ^ din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[ 3] = din[19] ^ din[ 5] ^ din[ 8] ^ din[11] ^ din[14] ^ din[17] ^ din[ 0]; dout[ 2] = din[18] ^ din[ 4] ^ din[ 7] ^ din[10] ^ din[13] ^ din[16] ^ din[19] ^ din[ 2]; dout[ 1] = din[17] ^ din[ 3] ^ din[ 6] ^ din[ 9] ^ din[12] ^ din[15] ^ din[18] ^ din[ 1]; dout[ 0] = din[16] ^ din[ 2] ^ din[ 5] ^ din[ 8] ^ din[11] ^ din[14] ^ din[17] ^ din[ 0]; end endcase VAR27 = dout; end endfunction assign VAR8 = (VAR32 == 1) ? VAR18 : VAR19; assign VAR24 = (VAR32 == 1) ? VAR19 : VAR18; assign VAR42 = VAR3(VAR24); assign VAR23 = {VAR8, VAR42[3:0]}; assign VAR17 = (VAR8[7:0] == VAR42[11:4]) ? 1'b1 : 1'b0; assign VAR36 = (VAR28 == 1'b1) ? VAR2 : VAR13; always @(posedge VAR4) begin VAR12 <= VAR11; VAR9 <= (VAR17 == 1'b0) ? 16'hdead : VAR23; VAR30 <= VAR12; if (VAR12 == 1'b1) begin VAR2 <= VAR9; VAR13 <= VAR22(VAR36); end end assign VAR10 = VAR35 & VAR11; assign VAR39 = (VAR28 == 1'b1) ? VAR37 : VAR14; always @(posedge VAR4) begin if (VAR11 == 1'b1) begin VAR35 <= ~VAR35; VAR16 <= VAR19; end VAR7 <= VAR10; if (VAR10 == 1'b1) begin VAR37 <= {VAR16, VAR19}; VAR14 <= VAR27(VAR39); end end always @(posedge VAR4) begin if (VAR41 == 4'h9) begin VAR5 <= VAR7; VAR1 <= VAR37; VAR31 <= VAR14; end else begin VAR5 <= VAR30; VAR1 <= {VAR2[7:0], VAR2}; VAR31 <= {VAR13[7:0], VAR13}; end end VAR40 #(.VAR38(24)) VAR43 ( .VAR4 (VAR4), .VAR34 (VAR5), .VAR25 (VAR1), .VAR6 (VAR31), .VAR28 (VAR28), .VAR26 (VAR26)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor3/sky130_fd_sc_ls__nor3.blackbox.v
1,288
module MODULE1 ( VAR4, VAR1, VAR5, VAR3 ); output VAR4; input VAR1; input VAR5; input VAR3; supply1 VAR7; supply0 VAR2; supply1 VAR6 ; supply0 VAR8 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a211o/sky130_fd_sc_hs__a211o.symbol.v
1,331
module MODULE1 ( input VAR2, input VAR1, input VAR5, input VAR6, output VAR4 ); supply1 VAR3; supply0 VAR7; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/tap/sky130_fd_sc_lp__tap.functional.pp.v
1,189
module MODULE1 ( VAR3, VAR2, VAR4 , VAR1 ); input VAR3; input VAR2; input VAR4 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/muxb4to1/sky130_fd_sc_hdll__muxb4to1.functional.pp.v
3,155
module MODULE1 ( VAR16 , VAR8 , VAR17 , VAR12, VAR9, VAR22 , VAR21 ); output VAR16 ; input [3:0] VAR8 ; input [3:0] VAR17 ; input VAR12; input VAR9; input VAR22 ; input VAR21 ; wire VAR1; wire VAR4; wire VAR6; wire VAR23; wire VAR7; wire VAR19; wire VAR18; wire VAR26; VAR27 VAR28 (VAR1, VAR8[0], VAR12, VAR9 ); VAR27 VAR15 (VAR4, VAR17[0], VAR12, VAR9 ); bufif1 VAR24 (VAR16 , !VAR1, VAR4); VAR27 VAR14 (VAR6, VAR8[1], VAR12, VAR9 ); VAR27 VAR10 (VAR23, VAR17[1], VAR12, VAR9 ); bufif1 VAR25 (VAR16 , !VAR6, VAR23); VAR27 VAR2 (VAR7, VAR8[2], VAR12, VAR9 ); VAR27 VAR11 (VAR19, VAR17[2], VAR12, VAR9 ); bufif1 VAR5 (VAR16 , !VAR7, VAR19); VAR27 VAR13 (VAR18, VAR8[3], VAR12, VAR9 ); VAR27 VAR20 (VAR26, VAR17[3], VAR12, VAR9 ); bufif1 VAR3 (VAR16 , !VAR18, VAR26); endmodule
apache-2.0
GSejas/Aproximate-Arithmetic-Operators
add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GDAN8M8P4_syn.v
8,426
module MODULE1 ( VAR155, VAR21, VAR313, VAR281 ); input [15:0] VAR21; input [15:0] VAR313; output [16:0] VAR281; input VAR155; wire VAR119, VAR299, VAR269, VAR16, VAR245, VAR215, VAR176, VAR62, VAR234, VAR54, VAR322, VAR32, VAR143, VAR270, VAR311, VAR293, VAR24, VAR134, VAR195, VAR20, VAR1, VAR150, VAR17, VAR289, VAR320, VAR210, VAR193, VAR284, VAR218, VAR170, VAR159, VAR175, VAR188, VAR98, VAR124, VAR208, VAR81, VAR12, VAR302, VAR88, VAR38, VAR182, VAR78, VAR317, VAR45, VAR114, VAR74, VAR295, VAR109, VAR190, VAR79, VAR217, VAR329, VAR70, VAR107, VAR308, VAR128, VAR97, VAR231, VAR60, VAR238, VAR164, VAR247, VAR99, VAR298, VAR307, VAR145, VAR48, VAR23, VAR206, VAR76, VAR146, VAR6, VAR205, VAR283, VAR192, VAR290, VAR69, VAR251, VAR66, VAR305, VAR47, VAR267, VAR61, VAR154, VAR327, VAR9, VAR153, VAR105, VAR5, VAR136, VAR310, VAR126, VAR127, VAR103, VAR85, VAR235, VAR26, VAR304, VAR166, VAR13, VAR300, VAR321, VAR263, VAR123, VAR135, VAR237, VAR328, VAR275, VAR256, VAR80, VAR259, VAR184, VAR18, VAR194, VAR241, VAR86, VAR255, VAR108, VAR137, VAR56; VAR257 VAR35 ( .VAR225(VAR123), .VAR286(VAR194), .VAR316(VAR26), .VAR160(VAR235), .VAR248(VAR300) ); VAR122 VAR167 ( .VAR227(VAR237), .VAR265(VAR135), .VAR248(VAR275) ); VAR198 VAR274 ( .VAR227(VAR70), .VAR265(VAR21[14]), .VAR248(VAR16) ); VAR185 VAR59 ( .VAR227(VAR103), .VAR265(VAR127), .VAR248(VAR135) ); VAR49 VAR46 ( .VAR225(VAR56), .VAR286(VAR137), .VAR160(VAR259), .VAR248(VAR237) ); VAR185 VAR29 ( .VAR227(VAR107), .VAR265(VAR155), .VAR248(VAR270) ); VAR122 VAR211 ( .VAR227(VAR79), .VAR265(VAR153), .VAR248(VAR217) ); VAR185 VAR4 ( .VAR227(VAR321), .VAR265(VAR21[2]), .VAR248(VAR123) ); VAR185 VAR120 ( .VAR227(VAR74), .VAR265(VAR299), .VAR248(VAR295) ); VAR239 VAR161 ( .VAR118(VAR313[11]), .VAR265(VAR311), .VAR248(VAR74) ); VAR171 VAR163 ( .VAR227(VAR18), .VAR248(VAR210) ); VAR15 VAR73 ( .VAR227(VAR98), .VAR265(VAR313[7]), .VAR248(VAR124) ); VAR326 VAR315 ( .VAR227(VAR12), .VAR265(VAR313[9]), .VAR248(VAR78) ); VAR185 VAR309 ( .VAR227(VAR88), .VAR265(VAR155), .VAR248(VAR38) ); VAR171 VAR67 ( .VAR227(VAR155), .VAR248(VAR153) ); VAR185 VAR324 ( .VAR227(VAR284), .VAR265(VAR299), .VAR248(VAR218) ); VAR2 VAR71 ( .VAR227(VAR20), .VAR248(VAR143) ); VAR63 VAR243 ( .VAR227(VAR313[4]), .VAR265(VAR313[3]), .VAR248(VAR32) ); VAR2 VAR25 ( .VAR227(VAR313[2]), .VAR248(VAR234) ); VAR2 VAR36 ( .VAR227(VAR313[0]), .VAR248(VAR322) ); VAR82 VAR323 ( .VAR227(VAR153), .VAR265(VAR322), .VAR248(VAR105) ); VAR31 VAR236 ( .VAR225(VAR321), .VAR286(VAR21[2]), .VAR160(VAR123), .VAR248(VAR56) ); VAR260 VAR50 ( .VAR225(VAR9), .VAR286(VAR215), .VAR160(VAR208), .VAR248(VAR119) ); VAR171 VAR104 ( .VAR227(VAR155), .VAR248(VAR245) ); VAR2 VAR278 ( .VAR227(VAR313[1]), .VAR248(VAR54) ); VAR171 VAR43 ( .VAR227(VAR6), .VAR248(VAR190) ); VAR52 VAR57 ( .VAR227(VAR182), .VAR265(VAR21[8]), .VAR248(VAR267) ); VAR8 VAR174 ( .VAR227(VAR38), .VAR265(VAR313[8]), .VAR248(VAR182) ); VAR52 VAR121 ( .VAR227(VAR70), .VAR265(VAR21[14]), .VAR248(VAR99) ); VAR52 VAR94 ( .VAR227(VAR109), .VAR265(VAR21[12]), .VAR248(VAR6) ); VAR204 VAR254 ( .VAR227(VAR74), .VAR265(VAR313[12]), .VAR248(VAR79) ); VAR288 VAR262 ( .VAR227(VAR267), .VAR248(VAR251) ); VAR52 VAR22 ( .VAR227(VAR78), .VAR265(VAR21[9]), .VAR248(VAR66) ); VAR3 VAR65 ( .VAR227(VAR182), .VAR265(VAR21[8]), .VAR248(VAR62) ); VAR63 VAR58 ( .VAR227(VAR275), .VAR265(VAR328), .VAR248(VAR80) ); VAR132 VAR224 ( .VAR227(VAR284), .VAR265(VAR313[6]), .VAR248(VAR188) ); VAR138 VAR133 ( .VAR227(VAR143), .VAR265(VAR32), .VAR248(VAR289) ); VAR291 VAR96 ( .VAR227(VAR245), .VAR248(VAR299) ); VAR198 VAR165 ( .VAR227(VAR97), .VAR265(VAR21[15]), .VAR248(VAR128) ); VAR52 VAR212 ( .VAR227(VAR97), .VAR265(VAR21[15]), .VAR248(VAR238) ); VAR52 VAR216 ( .VAR227(VAR329), .VAR265(VAR21[13]), .VAR248(VAR23) ); VAR8 VAR233 ( .VAR227(VAR270), .VAR265(VAR313[14]), .VAR248(VAR70) ); VAR319 VAR91 ( .VAR227(VAR47), .VAR265(VAR305), .VAR248(VAR281[9]) ); VAR239 VAR325 ( .VAR118(VAR313[13]), .VAR265(VAR79), .VAR248(VAR107) ); VAR8 VAR306 ( .VAR227(VAR295), .VAR265(VAR313[12]), .VAR248(VAR109) ); VAR3 VAR203 ( .VAR227(VAR78), .VAR265(VAR21[9]), .VAR248(VAR302) ); VAR8 VAR196 ( .VAR227(VAR134), .VAR265(VAR313[10]), .VAR248(VAR290) ); VAR319 VAR77 ( .VAR227(VAR255), .VAR265(VAR86), .VAR248(VAR281[5]) ); VAR63 VAR202 ( .VAR227(VAR81), .VAR265(VAR153), .VAR248(VAR12) ); VAR52 VAR156 ( .VAR227(VAR124), .VAR265(VAR21[7]), .VAR248(VAR154) ); VAR319 VAR131 ( .VAR227(VAR56), .VAR265(VAR137), .VAR248(VAR281[2]) ); VAR201 VAR93 ( .VAR118(VAR135), .VAR265(VAR256), .VAR248(VAR194) ); VAR140 VAR253 ( .VAR227(VAR86), .VAR248(VAR26) ); VAR287 VAR95 ( .VAR225(VAR56), .VAR286(VAR184), .VAR316(VAR21[1]), .VAR160(VAR259), .VAR248(VAR241) ); VAR37 VAR230 ( .VAR227(VAR108), .VAR265(VAR5), .VAR248(VAR281[1]) ); VAR326 VAR10 ( .VAR227(VAR218), .VAR265(VAR313[6]), .VAR248(VAR159) ); VAR201 VAR186 ( .VAR118(VAR21[3]), .VAR265(VAR126), .VAR248(VAR127) ); VAR319 VAR72 ( .VAR227(VAR184), .VAR265(VAR21[1]), .VAR248(VAR5) ); VAR292 VAR266 ( .VAR296(VAR313[0]), .VAR102(VAR21[0]), .VAR160(VAR108), .VAR248(VAR281[0]) ); VAR139 VAR68 ( .VAR225(VAR107), .VAR286(VAR313[14]), .VAR160(VAR299), .VAR248(VAR308) ); VAR138 VAR34 ( .VAR227(VAR310), .VAR265(VAR21[3]), .VAR248(VAR103) ); VAR52 VAR312 ( .VAR227(VAR20), .VAR265(VAR299), .VAR248(VAR1) ); VAR179 VAR246 ( .VAR227(VAR322), .VAR265(VAR54), .VAR64(VAR234), .VAR248(VAR20) ); VAR130 VAR144 ( .VAR227(VAR1), .VAR265(VAR313[3]), .VAR248(VAR310) ); VAR130 VAR101 ( .VAR227(VAR247), .VAR265(VAR164), .VAR248(VAR281[15]) ); VAR168 VAR249 ( .VAR296(VAR164), .VAR102(VAR128), .VAR160(VAR238), .VAR248(VAR281[16]) ); VAR168 VAR197 ( .VAR296(VAR16), .VAR102(VAR298), .VAR160(VAR99), .VAR248(VAR164) ); VAR51 VAR147 ( .VAR227(VAR21[10]), .VAR265(VAR290), .VAR297(VAR192), .VAR84(VAR231), .VAR183(VAR281[10]) ); VAR213 VAR220 ( .VAR118(VAR313[7]), .VAR265(VAR188), .VAR248(VAR88) ); VAR52 VAR111 ( .VAR227(VAR128), .VAR265(VAR238), .VAR248(VAR247) ); VAR152 VAR272 ( .VAR227(VAR289), .VAR265(VAR313[5]), .VAR248(VAR284) ); VAR8 VAR55 ( .VAR227(VAR308), .VAR265(VAR313[15]), .VAR248(VAR97) ); VAR171 VAR314 ( .VAR227(VAR21[4]), .VAR248(VAR269) ); VAR185 VAR7 ( .VAR227(VAR24), .VAR265(VAR299), .VAR248(VAR134) ); VAR15 VAR75 ( .VAR227(VAR217), .VAR265(VAR313[13]), .VAR248(VAR329) ); VAR92 VAR14 ( .VAR227(VAR21[0]), .VAR265(VAR313[0]), .VAR248(VAR108) ); VAR204 VAR223 ( .VAR227(VAR329), .VAR265(VAR21[13]), .VAR248(VAR48) ); VAR319 VAR11 ( .VAR227(VAR293), .VAR265(VAR313[11]), .VAR248(VAR60) ); VAR178 VAR303 ( .VAR225(VAR20), .VAR286(VAR313[3]), .VAR160(VAR299), .VAR248(VAR195) ); VAR204 VAR125 ( .VAR227(VAR88), .VAR265(VAR313[8]), .VAR248(VAR81) ); VAR171 VAR27 ( .VAR227(VAR103), .VAR248(VAR328) ); VAR239 VAR113 ( .VAR118(VAR313[9]), .VAR265(VAR81), .VAR248(VAR24) ); VAR171 VAR169 ( .VAR227(VAR154), .VAR248(VAR208) ); VAR52 VAR141 ( .VAR227(VAR302), .VAR265(VAR62), .VAR248(VAR114) ); VAR42 VAR181 ( .VAR225(VAR302), .VAR286(VAR251), .VAR160(VAR317), .VAR248(VAR45) ); VAR171 VAR83 ( .VAR227(VAR66), .VAR248(VAR317) ); VAR204 VAR294 ( .VAR227(VAR24), .VAR265(VAR313[10]), .VAR248(VAR311) ); VAR28 VAR229 ( .VAR225(VAR241), .VAR286(VAR194), .VAR160(VAR18), .VAR248(VAR255) ); VAR185 VAR158 ( .VAR227(VAR166), .VAR265(VAR304), .VAR248(VAR13) ); VAR185 VAR117 ( .VAR227(VAR215), .VAR265(VAR154), .VAR248(VAR327) ); VAR264 VAR149 ( .VAR227(VAR119), .VAR265(VAR61), .VAR248(VAR281[8]) ); VAR185 VAR100 ( .VAR227(VAR62), .VAR265(VAR267), .VAR248(VAR61) ); VAR185 VAR172 ( .VAR227(VAR302), .VAR265(VAR66), .VAR248(VAR305) ); VAR185 VAR280 ( .VAR227(VAR176), .VAR265(VAR6), .VAR248(VAR283) ); VAR185 VAR89 ( .VAR227(VAR206), .VAR265(VAR23), .VAR248(VAR146) ); VAR171 VAR177 ( .VAR227(VAR48), .VAR248(VAR206) ); VAR185 VAR115 ( .VAR227(VAR16), .VAR265(VAR99), .VAR248(VAR145) ); VAR171 VAR318 ( .VAR227(VAR298), .VAR248(VAR307) ); VAR258 VAR209 ( .VAR227(VAR150), .VAR265(VAR269), .VAR248(VAR256) ); VAR319 VAR282 ( .VAR227(VAR85), .VAR265(VAR21[5]), .VAR248(VAR86) ); VAR37 VAR173 ( .VAR227(VAR146), .VAR265(VAR76), .VAR248(VAR281[13]) ); VAR264 VAR39 ( .VAR227(VAR9), .VAR265(VAR327), .VAR248(VAR281[7]) ); VAR8 VAR285 ( .VAR227(VAR320), .VAR265(VAR313[5]), .VAR248(VAR85) ); VAR3 VAR189 ( .VAR227(VAR124), .VAR265(VAR21[7]), .VAR248(VAR215) ); VAR198 VAR191 ( .VAR227(VAR109), .VAR265(VAR21[12]), .VAR248(VAR176) ); VAR49 VAR106 ( .VAR225(VAR119), .VAR286(VAR62), .VAR160(VAR251), .VAR248(VAR47) ); VAR122 VAR30 ( .VAR227(VAR311), .VAR265(VAR153), .VAR248(VAR293) ); VAR258 VAR252 ( .VAR227(VAR195), .VAR265(VAR313[4]), .VAR248(VAR150) ); VAR171 VAR277 ( .VAR227(VAR150), .VAR248(VAR17) ); VAR41 VAR301 ( .VAR225(VAR256), .VAR286(VAR328), .VAR160(VAR21[4]), .VAR199(VAR17), .VAR248(VAR18) ); VAR116 VAR90 ( .VAR118(VAR21[5]), .VAR265(VAR18), .VAR248(VAR193) ); VAR185 VAR226 ( .VAR227(VAR289), .VAR265(VAR155), .VAR248(VAR320) ); VAR142 VAR110 ( .VAR225(VAR193), .VAR286(VAR85), .VAR160(VAR210), .VAR199(VAR21[5]), .VAR248(VAR235) ); VAR171 VAR279 ( .VAR227(VAR159), .VAR248(VAR170) ); VAR52 VAR200 ( .VAR227(VAR170), .VAR265(VAR21[6]), .VAR248(VAR304) ); VAR239 VAR221 ( .VAR118(VAR21[6]), .VAR265(VAR159), .VAR248(VAR166) ); VAR171 VAR33 ( .VAR227(VAR166), .VAR248(VAR175) ); VAR151 VAR40 ( .VAR225(VAR235), .VAR286(VAR304), .VAR160(VAR175), .VAR248(VAR9) ); VAR122 VAR157 ( .VAR227(VAR188), .VAR265(VAR153), .VAR248(VAR98) ); VAR151 VAR53 ( .VAR225(VAR9), .VAR286(VAR215), .VAR160(VAR208), .VAR248(VAR69) ); VAR268 VAR112 ( .VAR225(VAR69), .VAR286(VAR114), .VAR160(VAR45), .VAR248(VAR192) ); VAR151 VAR261 ( .VAR225(VAR205), .VAR286(VAR176), .VAR160(VAR190), .VAR248(VAR76) ); VAR268 VAR240 ( .VAR225(VAR76), .VAR286(VAR48), .VAR160(VAR23), .VAR248(VAR298) ); VAR222 VAR232 ( .VAR187(VAR231), .VAR265(VAR60), .VAR227(VAR21[11]), .VAR183(VAR281[11]), .VAR214(VAR205) ); VAR319 VAR19 ( .VAR227(VAR145), .VAR265(VAR307), .VAR248(VAR281[14]) ); VAR264 VAR250 ( .VAR227(VAR283), .VAR265(VAR205), .VAR248(VAR281[12]) ); VAR15 VAR244 ( .VAR227(VAR105), .VAR265(VAR313[1]), .VAR248(VAR184) ); VAR139 VAR87 ( .VAR225(VAR313[1]), .VAR286(VAR313[0]), .VAR160(VAR155), .VAR248(VAR136) ); VAR264 VAR271 ( .VAR227(VAR136), .VAR265(VAR313[2]), .VAR248(VAR321) ); VAR171 VAR129 ( .VAR227(VAR310), .VAR248(VAR126) ); VAR264 VAR228 ( .VAR227(VAR300), .VAR265(VAR13), .VAR248(VAR281[6]) ); VAR139 VAR162 ( .VAR225(VAR108), .VAR286(VAR21[1]), .VAR160(VAR184), .VAR248(VAR263) ); VAR207 VAR180 ( .VAR296(VAR108), .VAR102(VAR21[1]), .VAR160(VAR263), .VAR248(VAR137) ); VAR171 VAR273 ( .VAR227(VAR123), .VAR248(VAR259) ); VAR49 VAR219 ( .VAR225(VAR237), .VAR286(VAR135), .VAR160(VAR275), .VAR248(VAR281[3]) ); VAR264 VAR148 ( .VAR227(VAR80), .VAR265(VAR256), .VAR248(VAR281[4]) ); VAR44 ("VAR276.VAR242"); endmodule
apache-2.0
alexforencich/xfcp
lib/eth/lib/axis/rtl/axis_async_fifo_adapter.v
12,157
module MODULE1 # ( parameter VAR44 = 4096, parameter VAR28 = 8, parameter VAR41 = (VAR28>8), parameter VAR26 = (VAR28/8), parameter VAR7 = 8, parameter VAR43 = (VAR7>8), parameter VAR30 = (VAR7/8), parameter VAR2 = 0, parameter VAR3 = 8, parameter VAR15 = 0, parameter VAR10 = 8, parameter VAR48 = 1, parameter VAR42 = 1, parameter VAR9 = 2, parameter VAR52 = 0, parameter VAR37 = 1'b1, parameter VAR51 = 1'b1, parameter VAR13 = 0, parameter VAR33 = 0 ) ( input wire VAR8, input wire VAR5, input wire [VAR28-1:0] VAR36, input wire [VAR26-1:0] VAR16, input wire VAR12, output wire VAR1, input wire VAR24, input wire [VAR3-1:0] VAR17, input wire [VAR10-1:0] VAR35, input wire [VAR42-1:0] VAR38, input wire VAR22, input wire VAR46, output wire [VAR7-1:0] VAR23, output wire [VAR30-1:0] VAR18, output wire VAR40, input wire VAR29, output wire VAR21, output wire [VAR3-1:0] VAR19, output wire [VAR10-1:0] VAR25, output wire [VAR42-1:0] VAR14, output wire VAR11, output wire VAR47, output wire VAR34, output wire VAR6, output wire VAR32, output wire VAR4 ); parameter VAR31 = VAR41 ? VAR26 : 1; parameter VAR20 = VAR43 ? VAR30 : 1; parameter VAR49 = VAR28 / VAR31; parameter VAR27 = VAR7 / VAR20; parameter VAR50 = VAR20 > VAR31; parameter VAR45 = VAR50 ? VAR7 : VAR28; parameter VAR39 = VAR50 ? VAR20 : VAR31;
mit
ptracton/vscale_soc
rtl/uart16550-1.5.4/bench/verilog/uart_wb_utilities.v
13,298
module MODULE1; task VAR53; input [VAR18-1:0] VAR45; reg [3:0] VAR8; reg VAR31 VAR55; reg VAR49 VAR46; integer VAR11; integer VAR15; integer VAR33; reg VAR37; begin VAR8 = 4'hF; VAR15 = 4; VAR33 = 1; VAR37 = 1'b0; VAR2 = VAR11; VAR65 = VAR15; VAR4 = (VAR33 == 1); VAR52 = VAR37; if (VAR12 !== 1'b1) begin end if (VAR30 !== 1'b0) begin end if (VAR57 !== 1'b0) begin end end endtask task VAR62; input [VAR18-1:0] VAR17; input [VAR47-1:0] VAR25; reg [3:0] VAR22; reg VAR61 VAR38; reg VAR60 VAR29; integer VAR11; integer VAR15; integer VAR7; reg VAR37; begin VAR22 = 4'hF; VAR15 = 4; VAR7 = 1; VAR37 = 1'b0; VAR40 = VAR11; VAR26 = VAR15; VAR66 = (VAR7 == 1); VAR43 = VAR37; if (VAR6 !== 1'b1) begin end if (VAR16 !== 1'b0) begin end if (VAR10 !== 1'b0) begin end end endtask task VAR41; begin end else begin VAR53(VAR19); end end endtask task VAR5; input [7:0] VAR68; begin end else begin VAR62(VAR73, VAR68); end end endtask task VAR34; begin end else begin VAR53(VAR24); end end endtask task VAR69; input [7:0] VAR72; begin end else begin VAR62(VAR24, VAR72); end end endtask task VAR9; begin VAR53(VAR35); end endtask task VAR44; input [7:0] VAR72; begin VAR62(VAR67, VAR72); end endtask task VAR51; begin VAR53(VAR42); end endtask task VAR20; input [7:0] VAR72; begin VAR62(VAR42, VAR72); end endtask task VAR39; input [7:0] VAR72; begin VAR62(VAR56, VAR72); end endtask task VAR70; begin VAR53(VAR50); end endtask task VAR64; begin VAR53(VAR3); end endtask task VAR23; begin VAR53(VAR14); VAR53(VAR75); end else begin VAR53(VAR14); VAR53(VAR75); end end endtask task VAR48; input [15:0] VAR72; begin VAR62(VAR14, VAR72[15:8]); VAR62(VAR75, VAR72[ 7:0]); end else begin VAR62(VAR14, VAR72[15:8]); VAR62(VAR75, VAR72[ 7:0]); end end endtask endmodule
mit
pavel-demin/red-pitaya-notes
projects/red_pitaya_0_92/bus_clk_bridge.v
3,535
module MODULE1 ( input VAR9 , input VAR24 , input [ 32-1: 0] VAR14 , input [ 32-1: 0] VAR22 , input [ 4-1: 0] VAR13 , input VAR2 , input VAR1 , output [ 32-1: 0] VAR4 , output VAR27 , output VAR11 , input VAR3 , input VAR17 , output reg [ 32-1: 0] VAR18 , output reg [ 32-1: 0] VAR25 , output VAR15 , output VAR5 , input [ 32-1: 0] VAR7 , input VAR19 , input VAR20 ); reg VAR21 ; reg VAR6 ; reg VAR10 ; reg [ 2-1: 0] VAR8 ; reg VAR26 ; reg VAR16 ; reg [ 2-1: 0] VAR23 ; reg VAR12 ; always @(posedge VAR9) begin if (VAR24 == 1'b0) begin VAR21 <= 1'b0 ; VAR6 <= 1'b0 ; VAR10 <= 1'b0 ; VAR8 <= 2'h0 ; VAR26 <= 1'b0 ; end else begin if ((VAR10 == VAR26) && (VAR2 || VAR1)) begin VAR18 <= VAR14 ; VAR25 <= VAR22 ; VAR21 <= VAR1 ; VAR6 <= VAR2 ; VAR10 <= !VAR10 ; end VAR8 <= {VAR8[0], VAR12}; VAR26 <= VAR8[1]; end end always @(posedge VAR3) begin if (VAR17 == 1'b0) begin VAR16 <= 1'b0 ; VAR23 <= 2'h0 ; VAR12 <= 1'b0 ; end else begin VAR23 <= {VAR23[0], VAR10}; VAR16 <= VAR23[1]; if (VAR20 && (VAR16 != VAR12)) VAR12 <= VAR16; end end assign VAR5 = VAR21 && (VAR23[1]^VAR16); assign VAR15 = VAR6 && (VAR23[1]^VAR16); assign VAR4 = VAR7 ; assign VAR27 = VAR19 ; assign VAR11 = VAR26 ^ VAR8[1] ; endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_2/syn/verilog/aesl_mux_load_7_3_x_s.v
13,878
module MODULE1 ( VAR69, VAR83, VAR85, VAR75, VAR23, VAR49, VAR20, VAR34, VAR16, VAR10, VAR84, VAR40, VAR67, VAR33, VAR80, VAR68, VAR15, VAR76, VAR88, VAR73, VAR47, VAR79, VAR27, VAR50, VAR55, VAR70, VAR45, VAR74, VAR43, VAR26, VAR51, VAR17, VAR57, VAR12, VAR29, VAR39, VAR94, VAR77, VAR9, VAR28, VAR63, VAR22, VAR5, VAR37, VAR52 ); parameter VAR86 = 1'd1; input VAR69; input VAR83; input VAR85; output VAR75; output VAR23; output VAR49; output [31:0] VAR20; output VAR34; output [3:0] VAR16; output [31:0] VAR10; input [31:0] VAR84; output [31:0] VAR40; output VAR67; output [3:0] VAR33; output [31:0] VAR80; input [31:0] VAR68; output [31:0] VAR15; output VAR76; output [3:0] VAR88; output [31:0] VAR73; input [31:0] VAR47; output [31:0] VAR79; output VAR27; output [3:0] VAR50; output [31:0] VAR55; input [31:0] VAR70; output [31:0] VAR45; output VAR74; output [3:0] VAR43; output [31:0] VAR26; input [31:0] VAR51; output [31:0] VAR17; output VAR57; output [3:0] VAR12; output [31:0] VAR29; input [31:0] VAR39; output [31:0] VAR94; output VAR77; output [3:0] VAR9; output [31:0] VAR28; input [31:0] VAR63; input [2:0] VAR22; input [1:0] VAR5; input [2:0] VAR37; output [31:0] VAR52; reg VAR75; reg VAR23; reg VAR49; reg VAR34; reg VAR67; reg VAR76; reg VAR27; reg VAR74; reg VAR57; reg VAR77; reg [0:0] VAR13; wire VAR96; wire VAR60; wire VAR98; reg VAR35; reg VAR53; reg VAR97; reg VAR92; reg VAR6; reg VAR36; wire VAR71; wire VAR90; wire VAR81; wire VAR19; reg VAR25; reg [2:0] VAR42; reg [2:0] VAR87; reg [2:0] VAR18; wire [31:0] VAR24; reg [31:0] VAR58; reg [31:0] VAR38; reg [31:0] VAR2; reg [31:0] VAR100; reg [31:0] VAR93; reg [31:0] VAR46; reg [31:0] VAR31; reg [31:0] VAR8; reg [31:0] VAR3; wire [31:0] VAR82; reg [31:0] VAR11; wire [0:0] VAR61; reg [0:0] VAR64; wire [0:0] VAR54; reg [0:0] VAR65; wire [0:0] VAR89; reg [0:0] VAR95; wire [0:0] VAR7; reg [0:0] VAR1; reg VAR48; wire [4:0] VAR14; wire [31:0] VAR99; wire [31:0] VAR62; wire [31:0] VAR66; wire [31:0] VAR78; wire [0:0] VAR72; wire [0:0] VAR21; wire [31:0] VAR56; wire [31:0] VAR30; wire [31:0] VAR32; wire [31:0] VAR91; reg [0:0] VAR41; reg VAR44; reg VAR4; wire VAR59;
mit
klaNath/synth1
spi_rx.v
1,134
module MODULE1( clk, VAR8, VAR13, VAR12, VAR3, VAR5, VAR9, VAR2); input wire clk, VAR8, VAR13, VAR12, VAR3; output wire VAR2; output wire [7:0] VAR5, VAR9; reg [15:0] VAR4, VAR6, VAR7; reg [3:0] VAR1; reg [2:0] VAR11; wire VAR10; assign VAR10 = &VAR1; assign VAR5 = VAR7[15:8]; assign VAR9 = VAR7[7:0]; assign VAR2 = VAR11[2]; always @(posedge clk, negedge VAR8) begin if(!VAR8) begin VAR11 <= 0; VAR7 <= 0; end else begin VAR11 <= {VAR11[1:0], VAR10}; if(VAR11[1]) VAR7 <= VAR6; end else VAR7 <= VAR7; end end always @(negedge VAR12, negedge VAR8) begin if(!VAR8) begin VAR4 <= 0; VAR6 <= 0; VAR1 <= 0; end else if(!VAR3) begin VAR4 <= {VAR4[13:0], VAR13}; VAR1 <= VAR1 + 1; if(VAR10) VAR6 <= {VAR4, VAR13}; end end endmodule
lgpl-3.0
tloinuy/opencpi-opencv
opencpi/hdl/prims/bsv/ClockDiv.v
4,421
module MODULE1(VAR14, VAR13, VAR4, VAR3); parameter VAR8 = 2 ; parameter VAR10 = 1 ; parameter VAR5 = 3 ; parameter VAR1 = 0; input VAR14; input VAR13; output VAR4; output VAR3; reg [ VAR8 -1 : 0 ] VAR11 ; reg VAR4 ; wire [VAR8-1:0] VAR2 ; wire [VAR8-1:0] VAR6 ; assign VAR3 = VAR11[VAR8-1] ; assign VAR2 = VAR5 ; assign VAR6 = VAR10 ; wire [VAR8-1:0] VAR12 = ~ ( 'b01 << (VAR8-1) ) ; always@( VAR11 or VAR12 ) begin VAR4 <= VAR7 (VAR11 == VAR12) ; end always@( posedge VAR14 or negedge VAR13 ) begin if ( VAR13 == 0 ) VAR11 = VAR5 - VAR1 ; end else begin if ( VAR11 < VAR2 ) VAR11 = VAR11 + 1 ; end else VAR11 = VAR6 ; end end begin VAR11 = (VAR5 - VAR1) ; VAR4 = 0 ; end VAR9 endmodule
gpl-2.0
vipinkmenon/scas
hw/fpga/source/enet_if/v7_ethernet_controller_top.v
7,130
module MODULE1 #(parameter VAR21 = 48'h001F293A10FD,VAR16 = 48'hAABBCCDDEEFF,VAR62 = 16'd1024,VAR29=48'hAABBCCDDEEFF) ( input VAR13, input VAR1, output VAR73, input VAR30, input VAR55, output VAR69, output VAR8, input VAR52, input VAR7, output VAR46, output VAR19, input VAR35, output VAR10, output VAR12, output VAR2, input VAR74, input VAR70, input VAR54, input [63:0] VAR48, output VAR28, input VAR58, input VAR76, output [63:0] VAR34, output reg VAR3, input VAR77, output VAR23 ); wire VAR50; wire VAR42; wire [7:0] VAR39; wire VAR32; wire VAR71; wire VAR40; wire [7:0] VAR65; reg VAR45; wire VAR9; wire VAR17; wire [13:0] VAR49; wire [10:0] VAR24; wire [7:0] VAR20; reg [2:0] VAR78; reg VAR36; reg [3:0] VAR43; reg VAR47; VAR44 VAR14 ( .rst(VAR13), .VAR53(VAR70), .VAR63(VAR50), .din(VAR48), .VAR6(VAR54 & VAR28 & VAR77), .VAR15(VAR47 || VAR9), .dout(VAR65), .VAR4(VAR57), .VAR26(VAR11), .VAR51(VAR49), .VAR22(VAR24) ); assign VAR28 = ((VAR24 > 2045) || (VAR57)) ? 1'b0 : 1'b1; reg VAR31; always @(posedge VAR50) begin if (~VAR42) begin VAR47 <= 1'b0; VAR45 <= 1'b0; VAR31 <= 'd0; end else begin VAR47 <= 1'b0; VAR45 <= 1'b0; case (VAR31) 1'b0 : begin if (|VAR49) begin VAR31 <= 1'b1; VAR45 <= 1'b1; end end 1'b1 : begin if (VAR9 && (VAR49>14'd2)) begin VAR31 <= 1'b1; VAR45 <= 1'b1; end else begin VAR45 <= 1'b1; if (VAR11) begin VAR47 <= 1'b0; VAR31 <= 1'b0; end end end endcase end end VAR18 .VAR16(VAR16), .VAR62(VAR62), .VAR29(VAR29)) VAR27 ( .VAR13(VAR13), .VAR1(VAR1), .VAR73(VAR73), .VAR30(VAR30), .VAR55(VAR55), .VAR69(VAR69), .VAR8(VAR8), .VAR52(VAR52), .VAR7(VAR7), .VAR46(VAR46), .VAR19(VAR19), .VAR35(VAR35), .VAR12(VAR12), .VAR10(VAR10), .VAR2(VAR2), .VAR60(VAR50), .VAR41(VAR42), .VAR33(VAR39), .VAR68(VAR32), .VAR56(VAR71), .VAR67(VAR74), .VAR75(1'b1), .VAR66(), .VAR5(), .VAR37(VAR65), .VAR25(VAR45), .VAR72(VAR9), .VAR64(1'b0), .VAR23(VAR23) ); wire VAR59 = (VAR36 || ((VAR78 == 1'b1) && (VAR36 || VAR76))); VAR61 VAR38 ( .rst(VAR13), .VAR53(VAR50), .VAR63(VAR58), .din(VAR39), .VAR6(VAR32 && VAR77), .VAR15(VAR59), .dout(VAR34), .VAR4(), .VAR26(), .VAR51(VAR20) ); always @ (posedge VAR58) begin if (VAR13) begin VAR3 <= 1'b0; VAR78 <= 2'd0; VAR36 <= 1'b0; VAR43 <= 'd0; end else begin VAR36 <= 1'b0; case (VAR78) 2'd0 : begin if (VAR20 >= 8) begin VAR36 <= 1'b1; VAR78 <= 2'd1; if (VAR76) VAR43 <= 4'd0; end else VAR43 <= 4'd1; end end 2'd1 : begin VAR3 <= 1'b1; if (VAR76) begin VAR36 <= 1'b1; VAR43 <= VAR43 + 1'b1; end else VAR36 <= 1'b0; if (VAR43 == 8) begin VAR3 <= 1'b0; VAR36 <= 1'b0; VAR78 <= 2'd2; end end 2'd2 : begin VAR78 <= 2'd0; end endcase end end endmodule
mit
asicguy/gplgpu
hdl/generic/gen_pipe.v
2,036
module MODULE1 parameter VAR1 = 9'd32, VAR2 = 5'd4 ) ( input clk, input [VAR1 -1 :0] din, output [VAR1 -1 :0] dout ); reg [VAR1 - 1:0] VAR3 [VAR2 - 1:0]; reg [9:0] VAR4; always @(posedge clk) begin for(VAR4=(VAR2[9:0] - 10'h1); VAR4!=10'h0; VAR4=VAR4-10'h1) VAR3[VAR4] <= VAR3[VAR4-10'h1]; VAR3[0] <= din;; end assign dout = VAR3[VAR2 - 1]; endmodule
gpl-3.0
zhangly/azpr_cpu
rtl/cpu/rtl/id_reg.v
4,205
module MODULE1 ( input wire clk, input wire reset, input wire [VAR20] VAR33, input wire [VAR31] VAR34, input wire [VAR31] VAR36, input wire VAR13, input wire [VAR25] VAR26, input wire [VAR31] VAR21, input wire [VAR19] VAR1, input wire [VAR40] VAR4, input wire VAR2, input wire [VAR5] VAR17, input wire VAR8, input wire VAR22, input wire [VAR11] VAR37, input wire VAR38, output reg [VAR11] VAR15, output reg VAR28, output reg [VAR20] VAR32, output reg [VAR31] VAR42, output reg [VAR31] VAR7, output reg VAR39, output reg [VAR25] VAR9, output reg [VAR31] VAR30, output reg [VAR19] VAR43, output reg [VAR40] VAR16, output reg VAR23, output reg [VAR5] VAR27 ); always @(posedge clk or VAR29 reset) begin if (reset == VAR12) begin VAR15 <= VAR10'h0; VAR28 <= VAR14; VAR32 <= VAR6; VAR42 <= VAR35'h0; VAR7 <= VAR35'h0; VAR39 <= VAR14; VAR9 <= VAR44; VAR30 <= VAR35'h0; VAR43 <= VAR41; VAR16 <= VAR18'd0; VAR23 <= VAR14; VAR27 <= VAR3; end else begin if (VAR8 == VAR14) begin if (VAR22 == VAR24) begin VAR15 <= VAR10'h0; VAR28 <= VAR14; VAR32 <= VAR6; VAR42 <= VAR35'h0; VAR7 <= VAR35'h0; VAR39 <= VAR14; VAR9 <= VAR44; VAR30 <= VAR35'h0; VAR43 <= VAR41; VAR16 <= VAR18'd0; VAR23 <= VAR14; VAR27 <= VAR3; end else begin VAR15 <= VAR37; VAR28 <= VAR38; VAR32 <= VAR33; VAR42 <= VAR34; VAR7 <= VAR36; VAR39 <= VAR13; VAR9 <= VAR26; VAR30 <= VAR21; VAR43 <= VAR1; VAR16 <= VAR4; VAR23 <= VAR2; VAR27 <= VAR17; end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/muxb8to1/sky130_fd_sc_hdll__muxb8to1.blackbox.v
1,296
module MODULE1 ( VAR7, VAR4, VAR6 ); output VAR7; input [7:0] VAR4; input [7:0] VAR6; supply1 VAR2; supply0 VAR1; supply1 VAR3 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4/sky130_fd_sc_lp__nor4.pp.symbol.v
1,330
module MODULE1 ( input VAR3 , input VAR4 , input VAR8 , input VAR2 , output VAR9 , input VAR1 , input VAR7, input VAR6, input VAR5 ); endmodule
apache-2.0
SWORDfpga/ComputerOrganizationDesign
labs/lab02/lab02/Code/IOCore/Port/GPIO_IO.v
1,121
module MODULE1(input clk, input rst, input VAR2, input VAR6, input [31:0] VAR8, output reg[1:0] VAR7, output [15:0] VAR1, output wire VAR9, output wire VAR4, output wire VAR5, output wire VAR3, output reg[13:0] VAR10 ); endmodule
gpl-3.0
Tsung-Wei/OpenTimer
benchmark/s386/s386.v
13,132
module MODULE1 ( VAR49, VAR304, VAR108, VAR22, VAR284, VAR100, VAR137, VAR326, VAR261, VAR189, VAR369, VAR55, VAR283, VAR246, VAR234, VAR347); input VAR49; input VAR304; input VAR108; input VAR22; input VAR284; input VAR100; input VAR137; input VAR326; input VAR261; output VAR189; output VAR369; output VAR55; output VAR283; output VAR246; output VAR234; output VAR347; wire VAR88; wire VAR48; wire VAR327; wire VAR41; wire VAR246; wire VAR374; wire VAR19; wire VAR299; wire VAR28; wire VAR1; wire VAR256; wire VAR70; wire VAR330; wire VAR207; wire VAR56; wire VAR373; wire VAR82; wire VAR248; wire VAR265; wire VAR295; wire VAR283; wire VAR345; wire VAR68; wire VAR310; wire VAR267; wire VAR67; wire VAR182; wire VAR50; wire VAR308; wire VAR110; wire VAR298; wire VAR230; wire VAR100; wire VAR99; wire VAR15; wire VAR305; wire VAR195; wire VAR176; wire VAR172; wire VAR309; wire VAR62; wire VAR129; wire VAR282; wire VAR173; wire VAR106; wire VAR22; wire VAR165; wire VAR392; wire VAR137; wire VAR368; wire VAR107; wire VAR288; wire VAR335; wire VAR14; wire VAR153; wire VAR25; wire VAR303; wire VAR189; wire VAR208; wire VAR9; wire VAR318; wire VAR326; wire VAR164; wire VAR269; wire VAR293; wire VAR20; wire VAR16; wire VAR307; wire VAR304; wire VAR168; wire VAR113; wire VAR128; wire VAR223; wire VAR245; wire VAR43; wire VAR358; wire VAR18; wire VAR261; wire VAR163; wire VAR249; wire VAR167; wire VAR102; wire VAR276; wire VAR61; wire VAR21; wire VAR377; wire VAR58; wire VAR202; wire VAR354; wire VAR144; wire VAR171; wire VAR121; wire VAR321; wire VAR369; wire VAR17; wire VAR337; wire VAR372; wire VAR77; wire VAR109; wire VAR285; wire VAR247; wire VAR291; wire VAR361; wire VAR63; wire VAR393; wire VAR367; wire VAR394; wire VAR233; wire VAR76; wire VAR221; wire VAR234; wire VAR140; wire VAR29; wire VAR257; wire VAR284; wire VAR205; wire VAR150; wire VAR340; wire VAR302; wire VAR270; wire VAR119; wire VAR108; wire VAR343; wire VAR237; wire VAR79; wire VAR192; wire VAR264; wire VAR38; wire VAR287; wire VAR341; wire VAR274; wire VAR13; wire VAR193; wire VAR396; wire VAR55; wire VAR242; wire VAR194; wire VAR294; wire VAR356; wire VAR228; wire VAR59; wire VAR49; wire VAR186; wire VAR187; wire VAR155; wire VAR47; wire VAR312; wire VAR44; wire VAR103; wire VAR334; wire VAR65; wire VAR209; wire VAR94; wire VAR143; wire VAR197; wire VAR255; wire VAR73; wire VAR328; wire VAR225; wire VAR69; wire VAR384; wire VAR101; wire VAR370; wire VAR314; wire VAR86; wire VAR151; wire VAR33; wire VAR154; wire VAR60; wire VAR95; wire VAR52; wire VAR347; wire VAR286; wire VAR92; wire VAR215; wire VAR229; wire VAR253; wire VAR363; wire VAR30; wire VAR268; wire VAR181; wire VAR45; wire VAR381; wire VAR385; wire VAR364; wire VAR254; VAR278 VAR333 ( .VAR275(VAR221), .VAR188(VAR86), .VAR217(VAR195), .VAR160(VAR270) ); VAR199 VAR89 ( .VAR371(VAR73), .VAR206(VAR22) ); VAR199 VAR147 ( .VAR371(VAR247), .VAR206(VAR202) ); VAR66 VAR216 ( .VAR206(VAR364), .VAR371(VAR299) ); VAR351 VAR220 ( .VAR206(VAR265), .VAR46(VAR372) ); VAR135 VAR93 ( .VAR259(VAR255), .VAR371(VAR237), .VAR116(VAR248) ); VAR199 VAR84 ( .VAR371(VAR79), .VAR206(VAR303) ); VAR146 VAR386 ( .VAR127(VAR295), .VAR371(VAR215), .VAR259(VAR337), .VAR315(VAR256), .VAR116(VAR168) ); VAR199 VAR32 ( .VAR206(VAR314), .VAR371(VAR308) ); VAR241 VAR317 ( .VAR127(VAR381), .VAR315(VAR30), .VAR259(VAR176), .VAR116(VAR288), .VAR371(VAR347) ); VAR199 VAR382 ( .VAR206(VAR393), .VAR371(VAR15) ); VAR336 VAR360 ( .VAR259(VAR396), .VAR371(VAR29), .VAR116(VAR101) ); VAR351 VAR297 ( .VAR206(VAR372), .VAR46(VAR312) ); VAR31 VAR169 ( .VAR127(VAR221), .VAR315(VAR330), .VAR371(VAR61), .VAR259(VAR73), .VAR116(VAR49) ); VAR279 VAR218 ( .VAR371(VAR307), .VAR259(VAR295), .VAR116(VAR13), .VAR127(VAR18) ); VAR351 VAR125 ( .VAR206(VAR153), .VAR46(VAR270) ); VAR336 VAR353 ( .VAR116(VAR305), .VAR371(VAR335), .VAR259(VAR294) ); VAR239 VAR378 ( .VAR371(VAR309), .VAR259(VAR294), .VAR116(VAR247) ); VAR135 VAR301 ( .VAR371(VAR228), .VAR116(VAR373), .VAR259(VAR308) ); VAR199 VAR366 ( .VAR371(VAR257), .VAR206(VAR264) ); VAR199 VAR395 ( .VAR371(VAR186), .VAR206(VAR308) ); VAR316 VAR350 ( .VAR259(VAR182), .VAR116(VAR314), .VAR371(VAR128) ); VAR149 VAR346 ( .VAR371(VAR207), .VAR127(VAR77), .VAR259(VAR341), .VAR116(VAR228) ); VAR199 VAR329 ( .VAR371(VAR242), .VAR206(VAR381) ); VAR336 VAR251 ( .VAR371(VAR253), .VAR259(VAR140), .VAR116(VAR302) ); VAR3 VAR250 ( .VAR259(VAR233), .VAR116(VAR182), .VAR371(VAR70) ); VAR135 VAR380 ( .VAR116(VAR299), .VAR371(VAR113), .VAR259(VAR187) ); VAR96 VAR292 ( .VAR371(VAR195), .VAR259(VAR151), .VAR116(VAR92), .VAR127(VAR102) ); VAR279 VAR184 ( .VAR371(VAR67), .VAR116(VAR286), .VAR127(VAR229), .VAR259(VAR268) ); VAR199 VAR91 ( .VAR206(VAR58), .VAR371(VAR364) ); VAR239 VAR388 ( .VAR116(VAR143), .VAR371(VAR63), .VAR259(VAR13) ); VAR336 VAR227 ( .VAR259(VAR363), .VAR116(VAR245), .VAR371(VAR143) ); VAR66 VAR10 ( .VAR371(VAR208), .VAR206(VAR137) ); VAR279 VAR5 ( .VAR371(VAR60), .VAR127(VAR181), .VAR259(VAR293), .VAR116(VAR109) ); VAR351 VAR240 ( .VAR206(VAR65), .VAR46(VAR9) ); VAR351 VAR281 ( .VAR206(VAR100), .VAR46(VAR106) ); VAR336 VAR235 ( .VAR259(VAR172), .VAR116(VAR358), .VAR371(VAR189) ); VAR336 VAR27 ( .VAR371(VAR140), .VAR116(VAR47), .VAR259(VAR155) ); VAR199 VAR54 ( .VAR206(VAR16), .VAR371(VAR343) ); VAR166 VAR342 ( .VAR371(VAR225), .VAR116(VAR14), .VAR259(VAR298) ); VAR336 VAR24 ( .VAR371(VAR291), .VAR116(VAR28), .VAR259(VAR345) ); VAR66 VAR311 ( .VAR206(VAR82), .VAR371(VAR77) ); VAR6 VAR252 ( .VAR371(VAR129), .VAR259(VAR294), .VAR116(VAR22) ); VAR336 VAR83 ( .VAR371(VAR173), .VAR116(VAR202), .VAR259(VAR205) ); VAR199 VAR243 ( .VAR371(VAR107), .VAR206(VAR68) ); VAR279 VAR85 ( .VAR116(VAR197), .VAR371(VAR167), .VAR127(VAR94), .VAR259(VAR285) ); VAR336 VAR300 ( .VAR371(VAR367), .VAR116(VAR287), .VAR259(VAR137) ); VAR351 VAR175 ( .VAR206(VAR88), .VAR46(VAR21) ); VAR199 VAR12 ( .VAR206(VAR221), .VAR371(VAR164) ); VAR279 VAR376 ( .VAR259(VAR182), .VAR116(VAR1), .VAR371(VAR286), .VAR127(VAR49) ); VAR278 VAR4 ( .VAR275(VAR182), .VAR188(VAR86), .VAR217(VAR107), .VAR160(VAR44) ); VAR351 VAR161 ( .VAR206(VAR65), .VAR46(VAR20) ); VAR241 VAR277 ( .VAR259(VAR242), .VAR116(VAR230), .VAR127(VAR337), .VAR371(VAR283), .VAR315(VAR284) ); VAR351 VAR266 ( .VAR206(VAR193), .VAR46(VAR17) ); VAR336 VAR64 ( .VAR116(VAR29), .VAR371(VAR43), .VAR259(VAR47) ); VAR239 VAR185 ( .VAR116(VAR171), .VAR371(VAR245), .VAR259(VAR294) ); VAR66 VAR104 ( .VAR371(VAR176), .VAR206(VAR293) ); VAR336 VAR324 ( .VAR371(VAR151), .VAR116(VAR295), .VAR259(VAR25) ); VAR351 VAR152 ( .VAR206(VAR9), .VAR46(VAR88) ); VAR199 VAR349 ( .VAR206(VAR233), .VAR371(VAR121) ); VAR336 VAR231 ( .VAR371(VAR363), .VAR259(VAR18), .VAR116(VAR22) ); VAR336 VAR57 ( .VAR116(VAR58), .VAR371(VAR150), .VAR259(VAR223) ); VAR279 VAR179 ( .VAR116(VAR253), .VAR127(VAR94), .VAR259(VAR285), .VAR371(VAR303) ); VAR351 VAR34 ( .VAR206(VAR21), .VAR46(VAR310) ); VAR336 VAR322 ( .VAR259(VAR33), .VAR371(VAR103), .VAR116(VAR22) ); VAR199 VAR53 ( .VAR206(VAR309), .VAR371(VAR25) ); VAR146 VAR35 ( .VAR315(VAR307), .VAR116(VAR99), .VAR371(VAR354), .VAR127(VAR151), .VAR259(VAR215) ); VAR31 VAR105 ( .VAR116(VAR381), .VAR315(VAR256), .VAR127(VAR327), .VAR371(VAR55), .VAR259(VAR22) ); VAR199 VAR98 ( .VAR371(VAR381), .VAR206(VAR340) ); VAR336 VAR273 ( .VAR259(VAR314), .VAR116(VAR367), .VAR371(VAR1) ); VAR199 VAR2 ( .VAR371(VAR248), .VAR206(VAR304) ); VAR336 VAR320 ( .VAR371(VAR144), .VAR259(VAR257), .VAR116(VAR164) ); VAR199 VAR158 ( .VAR371(VAR18), .VAR206(VAR356) ); VAR336 VAR51 ( .VAR259(VAR330), .VAR371(VAR181), .VAR116(VAR225) ); VAR199 VAR331 ( .VAR371(VAR276), .VAR206(VAR247) ); VAR336 VAR232 ( .VAR371(VAR172), .VAR259(VAR361), .VAR116(VAR242) ); VAR279 VAR391 ( .VAR259(VAR377), .VAR371(VAR384), .VAR127(VAR70), .VAR116(VAR209) ); VAR135 VAR212 ( .VAR116(VAR182), .VAR371(VAR28), .VAR259(VAR294) ); VAR352 VAR260 ( .VAR116(VAR287), .VAR371(VAR330), .VAR259(VAR304) ); VAR278 VAR289 ( .VAR275(VAR33), .VAR188(VAR86), .VAR217(VAR354), .VAR160(VAR282) ); VAR135 VAR258 ( .VAR259(VAR314), .VAR371(VAR254), .VAR116(VAR337) ); VAR199 VAR120 ( .VAR371(VAR13), .VAR206(VAR164) ); VAR279 VAR131 ( .VAR371(VAR229), .VAR116(VAR237), .VAR127(VAR328), .VAR259(VAR128) ); VAR146 VAR80 ( .VAR259(VAR392), .VAR371(VAR48), .VAR116(VAR18), .VAR315(VAR294), .VAR127(VAR284) ); VAR199 VAR148 ( .VAR206(VAR182), .VAR371(VAR269) ); VAR351 VAR87 ( .VAR206(VAR17), .VAR46(VAR265) ); VAR66 VAR389 ( .VAR206(VAR47), .VAR371(VAR288) ); VAR336 VAR204 ( .VAR259(VAR254), .VAR116(VAR341), .VAR371(VAR268) ); VAR199 VAR196 ( .VAR371(VAR340), .VAR206(VAR168) ); VAR336 VAR37 ( .VAR371(VAR155), .VAR116(VAR318), .VAR259(VAR384) ); VAR336 VAR198 ( .VAR371(VAR192), .VAR259(VAR103), .VAR116(VAR284) ); VAR279 VAR290 ( .VAR127(VAR18), .VAR371(VAR154), .VAR259(VAR28), .VAR116(VAR108) ); VAR199 VAR213 ( .VAR206(VAR267), .VAR371(VAR58) ); VAR239 VAR156 ( .VAR116(VAR394), .VAR371(VAR110), .VAR259(VAR309) ); VAR336 VAR8 ( .VAR371(VAR327), .VAR116(VAR393), .VAR259(VAR368) ); VAR239 VAR111 ( .VAR259(VAR314), .VAR116(VAR255), .VAR371(VAR356) ); VAR336 VAR75 ( .VAR371(VAR171), .VAR259(VAR150), .VAR116(VAR73) ); VAR199 VAR355 ( .VAR371(VAR56), .VAR206(VAR167) ); VAR279 VAR210 ( .VAR116(VAR182), .VAR259(VAR330), .VAR371(VAR82), .VAR127(VAR298) ); VAR199 VAR332 ( .VAR371(VAR337), .VAR206(VAR108) ); VAR199 VAR180 ( .VAR206(VAR33), .VAR371(VAR168) ); VAR351 VAR78 ( .VAR206(VAR20), .VAR46(VAR59) ); VAR279 VAR159 ( .VAR116(VAR182), .VAR371(VAR396), .VAR259(VAR267), .VAR127(VAR121) ); VAR135 VAR390 ( .VAR259(VAR77), .VAR371(VAR370), .VAR116(VAR113) ); VAR278 VAR262 ( .VAR275(VAR314), .VAR188(VAR86), .VAR217(VAR385), .VAR160(VAR69) ); VAR279 VAR348 ( .VAR116(VAR364), .VAR371(VAR163), .VAR127(VAR264), .VAR259(VAR137) ); VAR352 VAR226 ( .VAR259(VAR221), .VAR371(VAR392), .VAR116(VAR269) ); VAR336 VAR238 ( .VAR259(VAR314), .VAR371(VAR328), .VAR116(VAR137) ); VAR336 VAR357 ( .VAR371(VAR230), .VAR259(VAR256), .VAR116(VAR393) ); VAR135 VAR42 ( .VAR371(VAR30), .VAR116(VAR62), .VAR259(VAR61) ); VAR199 VAR170 ( .VAR371(VAR295), .VAR206(VAR284) ); VAR336 VAR236 ( .VAR116(VAR294), .VAR371(VAR274), .VAR259(VAR340) ); VAR351 VAR112 ( .VAR206(VAR9), .VAR46(VAR249) ); VAR351 VAR387 ( .VAR206(VAR106), .VAR46(VAR282) ); VAR199 VAR306 ( .VAR206(VAR343), .VAR371(VAR19) ); VAR351 VAR244 ( .VAR206(VAR312), .VAR46(VAR65) ); VAR199 VAR214 ( .VAR206(VAR13), .VAR371(VAR393) ); VAR135 VAR190 ( .VAR371(VAR256), .VAR259(VAR356), .VAR116(VAR45) ); VAR135 VAR7 ( .VAR371(VAR345), .VAR116(VAR356), .VAR259(VAR108) ); VAR336 VAR118 ( .VAR116(VAR67), .VAR371(VAR321), .VAR259(VAR294) ); VAR316 VAR39 ( .VAR371(VAR302), .VAR259(VAR101), .VAR116(VAR186) ); VAR351 VAR338 ( .VAR206(VAR100), .VAR46(VAR193) ); VAR149 VAR132 ( .VAR116(VAR207), .VAR371(VAR385), .VAR127(VAR309), .VAR259(VAR334) ); VAR199 VAR344 ( .VAR371(VAR45), .VAR206(VAR70) ); VAR351 VAR211 ( .VAR206(VAR249), .VAR46(VAR95) ); VAR239 VAR72 ( .VAR259(VAR129), .VAR116(VAR38), .VAR371(VAR92) ); VAR66 VAR313 ( .VAR206(VAR19), .VAR371(VAR377) ); VAR279 VAR271 ( .VAR371(VAR76), .VAR116(VAR335), .VAR127(VAR291), .VAR259(VAR163) ); VAR199 VAR379 ( .VAR371(VAR293), .VAR206(VAR257) ); VAR336 VAR375 ( .VAR259(VAR48), .VAR116(VAR63), .VAR371(VAR361) ); VAR199 VAR136 ( .VAR371(VAR94), .VAR206(VAR276) ); VAR177 VAR124 ( .VAR371(VAR86), .VAR206(VAR261) ); VAR351 VAR174 ( .VAR206(VAR310), .VAR46(VAR69) ); VAR191 VAR323 ( .VAR116(VAR94), .VAR259(VAR285), .VAR127(VAR165), .VAR371(VAR369) ); VAR336 VAR296 ( .VAR259(VAR221), .VAR116(VAR33), .VAR371(VAR202) ); VAR279 VAR362 ( .VAR371(VAR102), .VAR259(VAR18), .VAR116(VAR144), .VAR127(VAR192) ); VAR278 VAR11 ( .VAR275(VAR233), .VAR188(VAR86), .VAR217(VAR79), .VAR160(VAR265) ); VAR336 VAR263 ( .VAR371(VAR165), .VAR259(VAR60), .VAR116(VAR154) ); VAR336 VAR272 ( .VAR116(VAR47), .VAR371(VAR109), .VAR259(VAR208) ); VAR239 VAR359 ( .VAR371(VAR205), .VAR116(VAR70), .VAR259(VAR284) ); VAR199 VAR222 ( .VAR371(VAR255), .VAR206(VAR343) ); VAR199 VAR81 ( .VAR206(VAR343), .VAR371(VAR287) ); VAR166 VAR36 ( .VAR116(VAR182), .VAR259(VAR314), .VAR371(VAR187) ); VAR149 VAR319 ( .VAR116(VAR309), .VAR259(VAR334), .VAR127(VAR370), .VAR371(VAR246) ); VAR241 VAR117 ( .VAR116(VAR230), .VAR259(VAR381), .VAR127(VAR295), .VAR371(VAR234), .VAR315(VAR326) ); VAR336 VAR133 ( .VAR259(VAR341), .VAR371(VAR318), .VAR116(VAR121) ); VAR336 VAR141 ( .VAR259(VAR43), .VAR116(VAR321), .VAR371(VAR197) ); VAR279 VAR162 ( .VAR127(VAR182), .VAR116(VAR298), .VAR371(VAR194), .VAR259(VAR248) ); VAR199 VAR365 ( .VAR206(VAR121), .VAR371(VAR294) ); VAR336 VAR280 ( .VAR371(VAR223), .VAR116(VAR187), .VAR259(VAR194) ); VAR199 VAR71 ( .VAR371(VAR14), .VAR206(VAR308) ); VAR199 VAR126 ( .VAR206(VAR377), .VAR371(VAR267) ); VAR336 VAR145 ( .VAR259(VAR119), .VAR116(VAR50), .VAR371(VAR101) ); VAR149 VAR40 ( .VAR116(VAR299), .VAR259(VAR295), .VAR371(VAR62), .VAR127(VAR13) ); VAR239 VAR122 ( .VAR116(VAR110), .VAR371(VAR99), .VAR259(VAR22) ); VAR199 VAR183 ( .VAR371(VAR285), .VAR206(VAR334) ); VAR6 VAR23 ( .VAR371(VAR209), .VAR259(VAR137), .VAR116(VAR304) ); VAR135 VAR123 ( .VAR116(VAR182), .VAR259(VAR343), .VAR371(VAR341) ); VAR199 VAR74 ( .VAR371(VAR47), .VAR206(VAR308) ); VAR336 VAR224 ( .VAR371(VAR52), .VAR116(VAR356), .VAR259(VAR274) ); VAR351 VAR115 ( .VAR206(VAR59), .VAR46(VAR41) ); VAR199 VAR219 ( .VAR371(VAR264), .VAR206(VAR45) ); VAR351 VAR138 ( .VAR206(VAR9), .VAR46(VAR44) ); VAR336 VAR339 ( .VAR371(VAR38), .VAR259(VAR374), .VAR116(VAR276) ); VAR336 VAR157 ( .VAR371(VAR334), .VAR259(VAR73), .VAR116(VAR284) ); VAR336 VAR130 ( .VAR116(VAR82), .VAR371(VAR305), .VAR259(VAR14) ); VAR199 VAR383 ( .VAR371(VAR119), .VAR206(VAR121) ); VAR199 VAR90 ( .VAR371(VAR298), .VAR206(VAR49) ); VAR278 VAR97 ( .VAR275(VAR16), .VAR217(VAR56), .VAR188(VAR86), .VAR160(VAR41) ); VAR279 VAR139 ( .VAR116(VAR76), .VAR371(VAR68), .VAR127(VAR94), .VAR259(VAR285) ); VAR279 VAR203 ( .VAR371(VAR358), .VAR259(VAR295), .VAR127(VAR52), .VAR116(VAR15) ); VAR199 VAR200 ( .VAR371(VAR373), .VAR206(VAR269) ); VAR336 VAR142 ( .VAR116(VAR173), .VAR371(VAR394), .VAR259(VAR18) ); VAR134 VAR325 ( .VAR259(VAR182), .VAR116(VAR19), .VAR371(VAR50) ); VAR351 VAR201 ( .VAR206(VAR95), .VAR46(VAR153) ); VAR336 VAR178 ( .VAR116(VAR392), .VAR371(VAR374), .VAR259(VAR345) ); VAR26 VAR114 ( .VAR371(VAR368), .VAR259(VAR284), .VAR116(VAR108) ); endmodule
gpl-3.0
freecores/altor32
rtl/cpu/altor32_dcache_mem_if.v
20,177
module MODULE1 ( input VAR19 , input VAR34 , input [31:0] VAR14 , input [31:0] VAR29 , output reg [31:0] VAR26 , input VAR17 , input VAR31 , input [31:0] VAR33 , input VAR27 , input [3:0] VAR35 , output reg VAR4 , output reg [31:2] VAR22 , output reg [31:0] VAR20 , input [31:0] VAR6 , output reg VAR7 , output reg [31:0] VAR5 , input [31:0] VAR13 , output reg [31:0] VAR30 , output reg [2:0] VAR37 , output reg VAR41 , output reg VAR2 , output reg VAR3 , output reg [3:0] VAR40 , input VAR10, input VAR21 ); parameter VAR23 = 5; parameter VAR16 = VAR23 - 2; reg [31:VAR23] VAR15; reg [VAR16-1:0] VAR18; reg [VAR16-1:0] VAR38; wire [VAR16-1:0] VAR9 = VAR38 + 1'b1; reg [VAR16-1:0] VAR39; wire [VAR16-1:0] VAR11 = VAR39 + 1'b1; parameter VAR36 = 0; parameter VAR28 = 1; parameter VAR25 = 2; parameter VAR24 = 3; parameter VAR8 = 4; parameter VAR32 = 5; parameter VAR12 = 6; reg [3:0] state; reg [3:0] VAR1; always @ * begin VAR1 = state; case (state) VAR36 : begin if (VAR31) VAR1 = VAR25; end else if (VAR17) VAR1 = VAR28; end else if (VAR27 | (|VAR35)) VAR1 = VAR32; end VAR28 : begin if (~VAR10 && VAR38 == {VAR16{1'b1}}) VAR1 = VAR12; end VAR12: begin if (VAR21 && VAR18 == {VAR16{1'b1}}) VAR1 = VAR36; end VAR25 : VAR1 = VAR24; VAR24 : begin if (~VAR10 && VAR38 == {VAR16{1'b1}}) VAR1 = VAR8; end else if (~VAR10 | ~VAR2) VAR1 = VAR25; end VAR8: begin if (VAR21 && VAR18 == {VAR16{1'b1}}) VAR1 = VAR36; end VAR32: begin if (VAR21) VAR1 = VAR36; end default: ; endcase end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) state <= VAR36; end else state <= VAR1; end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) begin VAR15 <= {32-VAR23{1'b0}}; VAR4 <= 1'b0; VAR26 <= 32'h00000000; end else begin VAR4 <= 1'b0; case (state) VAR36 : begin if (VAR31) VAR15 <= VAR33[31:VAR23]; end else if (VAR17) VAR15 <= VAR14[31:VAR23]; end VAR8, VAR12: begin if (VAR21) begin if (VAR18 == {VAR16{1'b1}}) VAR4 <= 1'b1; end end VAR32: begin if (VAR21) begin VAR26 <= VAR13; VAR4 <= 1'b1; end end default: ; endcase end end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) begin VAR22 <= 30'h00000000; VAR20 <= 32'h00000000; VAR7 <= 1'b0; VAR39 <= {VAR16{1'b0}}; end else begin VAR7 <= 1'b0; case (state) VAR36 : begin VAR39 <= {VAR16{1'b0}}; if (VAR31) begin VAR22 <= {VAR33[31:VAR23], {VAR16{1'b0}}}; end end VAR28, VAR12: begin if (VAR21) begin VAR22 <= {VAR15, VAR39}; VAR20 <= VAR13; VAR7 <= 1'b1; VAR39 <= VAR11; end end VAR25: begin end VAR24, VAR8: begin if (~VAR10 | ~VAR2) begin VAR22 <= {VAR15, VAR11}; VAR39 <= VAR11; end end default: ; endcase end end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) begin VAR5 <= 32'h00000000; VAR30 <= 32'h00000000; VAR40 <= 4'h0; VAR37 <= 3'b0; VAR2 <= 1'b0; VAR3 <= 1'b0; VAR38 <= {VAR16{1'b0}}; end else begin if (~VAR10) begin VAR2 <= 1'b0; if (VAR37 == 3'b111) begin VAR30 <= 32'h00000000; VAR40 <= 4'h0; VAR37 <= 3'b0; VAR2 <= 1'b0; VAR3 <= 1'b0; end end case (state) VAR36 : begin VAR38 <= {VAR16{1'b0}}; if (VAR31) begin end else if (VAR17) begin VAR5 <= {VAR14[31:VAR23], {VAR23{1'b0}}}; VAR30 <= 32'h00000000; VAR40 <= 4'b1111; VAR37 <= 3'b010; VAR2 <= 1'b1; VAR3 <= 1'b0; VAR38 <= VAR9; end else if (VAR27) begin VAR5 <= VAR14; VAR30 <= 32'h00000000; VAR40 <= 4'b1111; VAR37 <= 3'b111; VAR2 <= 1'b1; VAR3 <= 1'b0; end else if (|VAR35) begin VAR5 <= VAR14; VAR30 <= VAR29; VAR40 <= VAR35; VAR37 <= 3'b111; VAR2 <= 1'b1; VAR3 <= 1'b1; end end VAR28 : begin if (~VAR10) begin VAR5 <= {VAR15, VAR38, 2'b00}; VAR2 <= 1'b1; if (VAR38 == {VAR16{1'b1}}) VAR37 <= 3'b111; VAR38 <= VAR9; end end VAR24 : begin if (~VAR10 | ~VAR2) begin VAR5 <= {VAR15, VAR38, 2'b00}; VAR30 <= VAR6; VAR40 <= 4'b1111; VAR2 <= 1'b1; VAR3 <= 1'b1; if (VAR38 == {VAR16{1'b1}}) VAR37 <= 3'b111; end else VAR37 <= 3'b010; VAR38 <= VAR9; end end default: ; endcase end end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) VAR18 <= {VAR16{1'b0}}; end else begin case (state) VAR36 : begin VAR18 <= {VAR16{1'b0}}; end VAR28, VAR12 : begin if (VAR21) VAR18 <= VAR18 + 1'b1; end VAR24, VAR25, VAR8: begin if (VAR21) VAR18 <= VAR18 + 1'b1; end default: ; endcase end end always @ (posedge VAR34 or posedge VAR19 ) begin if (VAR34 == 1'b1) VAR41 <= 1'b0; end else begin case (state) VAR36 : begin if (VAR31) begin end else if (VAR17) VAR41 <= 1'b1; end else if (VAR27) VAR41 <= 1'b1; end else if (|VAR35) VAR41 <= 1'b1; end VAR28 : begin if (VAR21 && VAR18 == {VAR16{1'b1}}) VAR41 <= 1'b0; end VAR24 : begin VAR41 <= 1'b1; end VAR8, VAR12: begin if (VAR21 && VAR18 == {VAR16{1'b1}}) VAR41 <= 1'b0; end VAR32: begin if (VAR21) VAR41 <= 1'b0; end default: ; endcase end end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a211o/sky130_fd_sc_hs__a211o.functional.v
1,924
module MODULE1 ( VAR6, VAR13, VAR15 , VAR12 , VAR9 , VAR10 , VAR1 ); input VAR6; input VAR13; output VAR15 ; input VAR12 ; input VAR9 ; input VAR10 ; input VAR1 ; wire VAR1 VAR14 ; wire VAR4 ; wire VAR2; and VAR8 (VAR14 , VAR12, VAR9 ); or VAR7 (VAR4 , VAR14, VAR1, VAR10 ); VAR3 VAR5 (VAR2, VAR4, VAR6, VAR13); buf VAR11 (VAR15 , VAR2 ); endmodule
apache-2.0
davidjabon/AXI-Peripheral-Library
Eight_Digit_Seven_Segment_Display_2.0/src/seven_segment_leds_x_8.v
2,639
module MODULE1( input [31:0] VAR4, input [7:0] VAR7, input clk, output reg [6:0] VAR3, output reg VAR6, output reg [7:0] VAR2 ); wire [2:0] counter; reg [3:0] VAR1; reg [20:0] VAR5; assign counter = VAR5[20:18]; always @(posedge clk) case(counter) 0: {VAR1, VAR6} = {VAR4[3:0], ~VAR7[0]}; 1: {VAR1, VAR6} = {VAR4[7:4], ~VAR7[1]}; 2: {VAR1, VAR6} = {VAR4[11:8], ~VAR7[2]}; 3: {VAR1, VAR6} = {VAR4[15:12], ~VAR7[3]}; 4: {VAR1, VAR6} = {VAR4[19:16], ~VAR7[4]}; 5: {VAR1, VAR6} = {VAR4[23:20], ~VAR7[5]}; 6: {VAR1, VAR6} = {VAR4[27:24], ~VAR7[6]}; 7: {VAR1, VAR6} = {VAR4[31:28], ~VAR7[7]}; endcase always @(posedge clk) case(VAR1) 0: VAR3 = 8'b1000000; 1: VAR3 = 8'b1111001; 2: VAR3 = 8'b0100100; 3: VAR3 = 8'b0110000; 4: VAR3 = 8'b0011001; 5: VAR3 = 8'b0010010; 6: VAR3 = 8'b0000010; 7: VAR3 = 8'b1111000; 8: VAR3 = 8'b0000000; 9: VAR3 = 8'b0010000; default: VAR3 = 8'b11111111; endcase always @(posedge clk) case(counter) 0: VAR2 = 8'b11111110; 1: VAR2 = 8'b11111101; 2: VAR2 = 8'b11111011; 3: VAR2 = 8'b11110111; 4: VAR2 = 8'b11101111; 5: VAR2 = 8'b11011111; 6: VAR2 = 8'b10111111; 7: VAR2 = 8'b01111111; default: VAR2 = 8'b11111111; endcase always @ (posedge clk) begin VAR5 <= VAR5 + 21'b1; end endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai221/gf180mcu_fd_sc_mcu7t5v0__oai221_2.functional.pp.v
1,702
module MODULE1( VAR5, VAR11, VAR20, VAR21, VAR8, VAR17, VAR1, VAR18 ); input VAR8, VAR17, VAR11, VAR5, VAR21; inout VAR1, VAR18; output VAR20; wire VAR6; not VAR7( VAR6, VAR8 ); wire VAR19; not VAR12( VAR19, VAR17 ); wire VAR14; and VAR15( VAR14, VAR6, VAR19 ); wire VAR23; not VAR3( VAR23, VAR11 ); wire VAR2; not VAR10( VAR2, VAR5 ); wire VAR9; and VAR4( VAR9, VAR23, VAR2 ); wire VAR16; not VAR13( VAR16, VAR21 ); or VAR22( VAR20, VAR14, VAR9, VAR16 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/xor2/sky130_fd_sc_lp__xor2_0.v
2,117
module MODULE1 ( VAR1 , VAR7 , VAR5 , VAR4, VAR3, VAR8 , VAR2 ); output VAR1 ; input VAR7 ; input VAR5 ; input VAR4; input VAR3; input VAR8 ; input VAR2 ; VAR9 VAR6 ( .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR4(VAR4), .VAR3(VAR3), .VAR8(VAR8), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR1, VAR7, VAR5 ); output VAR1; input VAR7; input VAR5; supply1 VAR4; supply0 VAR3; supply1 VAR8 ; supply0 VAR2 ; VAR9 VAR6 ( .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5) ); endmodule
apache-2.0
zambreno/RCL
sccCyGraph/verilog/cae_clock.v
2,727
module MODULE1 ( input clk, input VAR20, input VAR5, output VAR8, output VAR43, output VAR33 ); generate if (VAR9 == 0) begin : VAR42 assign VAR8 = clk; assign VAR43 = 1'b1; assign VAR33 = VAR20; end else begin : VAR18 wire VAR10; VAR2 VAR54 (.VAR31(VAR8), .VAR1(VAR10)); if (VAR7 == "hc-1") begin : VAR3 VAR13 #( .VAR49 (6.667), .VAR24 (1), .VAR19 (5), .VAR11(6) ) VAR39 ( .VAR40(clk), .VAR35(VAR5), .VAR30(VAR36), .VAR6(VAR36), .VAR17(VAR43), .VAR46(VAR10), .VAR55(), .VAR21(), .VAR52(), .VAR48(), .VAR25() ); end else begin : VAR26 VAR16 #( .VAR51 (6.667), .VAR24 (1), .VAR45 (5), .VAR12(6) ) VAR39 ( .VAR58(clk), .VAR35(VAR5), .VAR30(VAR36), .VAR6(VAR36), .VAR17(VAR43), .VAR46(VAR10), .VAR38(1'b0), .VAR34(), .VAR4(), .VAR55(), .VAR22(), .VAR21(), .VAR37(), .VAR52(), .VAR41(), .VAR48(), .VAR25(), .VAR44() ); end wire VAR29; VAR47 VAR56 (.VAR32(clk), .VAR14(VAR20), .VAR27(VAR29), .VAR57(!VAR29), .VAR50(VAR29)); VAR15 VAR23 (.VAR32(VAR8), .VAR57(VAR29), .VAR50(VAR53)); VAR2 VAR28 (.VAR31(VAR33), .VAR1(VAR53)); end endgenerate endmodule
apache-2.0
Ribeiro/sd2snes
verilog/sd2snes_obc1/mcu_cmd.v
12,579
module MODULE1( input clk, input VAR35, input VAR44, input [7:0] VAR47, input [7:0] VAR48, output [2:0] VAR51, output VAR20, output VAR8, output VAR19, input VAR11, output [7:0] VAR50, input [7:0] VAR17, output [7:0] VAR37, input [31:0] VAR4, input [2:0] VAR15, output [23:0] VAR9, output [23:0] VAR5, output [23:0] VAR30, output VAR18, input VAR2, input VAR42, input [7:0] VAR21, input VAR29, output [1:0] VAR3, output VAR46, output [10:0] VAR12, output [10:0] VAR22, output reg VAR14, output reg VAR27, output [10:0] VAR6, input VAR43, output VAR52, output VAR25, output [13:0] VAR34, input [6:0] VAR1, output [5:0] VAR39, output [5:0] VAR23, output VAR38, input [31:0] VAR13, input [15:0] VAR32, input [7:0] VAR53, output [13:0] VAR16, output VAR26, output reg [7:0] VAR49, output reg VAR41, input VAR28, input [7:0] VAR24, output reg [7:0] VAR31, output reg [8:0] VAR45, output reg VAR40, output reg [7:0] VAR36, output reg [31:0] VAR33, output reg VAR10, output VAR7 );
gpl-2.0
VCTLabs/DE1_SOC_Linux_FB
ip/TERASIC_AUDIO/AUDIO_IF.v
6,601
module MODULE1( VAR8, VAR39, VAR27, VAR6, VAR32, VAR12, VAR3, VAR20, VAR4, VAR19, VAR9, VAR7, VAR23 ); input VAR8; input VAR39; input [2:0] VAR27; input VAR6; output [15:0] VAR32; input VAR12; input [15:0] VAR3; input VAR20; input VAR4; output VAR19; input VAR9; input VAR7; output VAR23; reg [15:0] VAR28; reg VAR24; wire VAR43; reg VAR30; reg [31:0] VAR42; wire VAR44; reg VAR15; wire [31:0] VAR34; reg [31:0] VAR35; reg [31:0] VAR21; always @ (posedge VAR8) begin if (VAR39) VAR24 <= 1'b0; end else if (VAR12 && (VAR27 == VAR40)) VAR24 <= VAR3[0]; else if (VAR24) VAR24 <= 1'b0; end always @ (posedge VAR8) begin if (VAR39 || VAR24) begin VAR30 <= 1'b0; end else if (VAR12 && (VAR27 == VAR13)) begin VAR42[31:16] <= VAR3; VAR30 <= 1'b0; end else if (VAR12 && (VAR27 == VAR17)) begin VAR42[15:0] <= VAR3; VAR30 <= 1'b1; end else VAR30 <= 1'b0; end always @ (negedge VAR8) begin if (VAR39 || VAR24) VAR35 = 0; end else if (VAR6 && (VAR27 == VAR11)) VAR28 <= {VAR44, VAR43}; else if (VAR6 && (VAR27 == VAR5)) VAR28 <= VAR35[31:16]; else if (VAR6 && (VAR27 == VAR2)) begin VAR28 <= VAR35[15:0]; VAR35 <= VAR21; end end always @ (negedge VAR8) begin if (VAR39) begin VAR15 <= 1'b0; VAR21 <= 0; end else if ((VAR27 == VAR5) & VAR6 & ~VAR44) begin VAR15 <= 1'b1; end else if (VAR15) begin VAR21 = VAR34; VAR15 <= 1'b0; end end assign VAR32 = VAR28; assign VAR23 = VAR8; VAR10 VAR1( .clk(VAR8), .reset(VAR39), .write(VAR30), .VAR25(VAR42), .VAR18(VAR43), .VAR41(VAR24), .VAR14(VAR20), .VAR37(VAR4), .VAR31(VAR19) ); VAR26 VAR36( .clk(VAR8), .reset(VAR39), .read(VAR15), .VAR33(VAR34), .VAR22(VAR44), .VAR41(VAR24), .VAR14(VAR20), .VAR29(VAR9), .VAR38(VAR7) ); VAR1.VAR16 = 32; VAR36.VAR16 = 32; endmodule
epl-1.0
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_clock_converter_v2_1/hdl/verilog/axi_clock_converter_v2_1_axic_sync_clock_converter.v
10,399
module MODULE1 # ( parameter VAR7 = "VAR27", parameter integer VAR28 = 32, parameter integer VAR21 = 1, parameter integer VAR51 = 1 , parameter integer VAR52 = 0 ) ( input wire VAR29, input wire VAR11, input wire VAR4, input wire VAR2, input wire [VAR28-1:0] VAR13, input wire VAR44, output wire VAR12, input wire VAR49, input wire VAR35, output wire [VAR28-1:0] VAR1, output wire VAR48, input wire VAR20 ); localparam [1:0] VAR3 = 2'b10; localparam [1:0] VAR22 = 2'b11; localparam [1:0] VAR43 = 2'b01; localparam [1:0] VAR45 = 2'b00; localparam integer VAR42 = 0; localparam integer VAR16 = 1; generate if (VAR21 == VAR51) begin : VAR25 assign VAR1 = VAR13; assign VAR48 = VAR44; assign VAR12 = VAR20; end else begin : VAR40 wire VAR31; wire VAR17; wire VAR19; wire VAR23; wire VAR38; wire VAR41; wire VAR47; wire VAR34; reg VAR6; wire VAR9; reg VAR14; wire VAR33; reg [VAR28-1:0] VAR32; reg [VAR28-1:0] VAR50; wire [VAR28-1:0] VAR39; wire [VAR28-1:0] VAR15; reg VAR36; wire VAR24; wire VAR10; wire VAR8; wire VAR26; reg [1:0] state; reg [1:0] VAR37; reg VAR18 = 1'b0; always @(posedge VAR4) begin if (~VAR2 | ~VAR35) begin VAR18 <= 1'b0; end else begin VAR18 <= VAR2 & VAR35; end end assign VAR47 = ~VAR18; reg VAR5 = 1'b0; always @(posedge VAR49) begin if (~VAR2 | ~VAR35) begin VAR5 <= 1'b0; end else begin VAR5 <= VAR2 & VAR35; end end assign VAR34 = ~VAR5; if (VAR21 > VAR51) begin : VAR46 assign VAR38 = VAR49; end else begin : VAR30 assign VAR38 = VAR4; end assign VAR41 = (VAR21 > VAR51) ? VAR34 : VAR47; assign VAR17 = (VAR21 > VAR51) ? VAR29 : 1'b1; assign VAR31 = (VAR21 > VAR51) ? VAR11 : 1'b1; assign VAR23 = (VAR21 > VAR51) ? 1'b1 : VAR29; assign VAR19 = (VAR21 > VAR51) ? 1'b1 : VAR11; assign VAR9 = (VAR21 > VAR51) ? state[1] & (state != VAR45) : VAR37[1]; always @(posedge VAR4) begin if (VAR47) begin VAR6 <= 1'b0; end else begin VAR6 <= VAR17 ? VAR9 : 1'b0; end end assign VAR12 = VAR6; assign VAR33 = VAR37[0]; always @(posedge VAR49) begin if (VAR34) begin VAR14 <= 1'b0; end else begin VAR14 <= VAR19 ? VAR33 : VAR14 & ~VAR20; end end assign VAR48 = VAR14; always @(posedge VAR49) begin if (VAR34) begin VAR36 <= 1'b0; end else begin VAR36 <= VAR19 ? 1'b0 : VAR24; end end assign VAR24 = (VAR20 ) | VAR36; assign VAR39 = ~VAR10 ? VAR32 : VAR26 ? VAR50 : VAR13; assign VAR15 = VAR52 ? (VAR8 ? VAR13 : VAR50) : 0; always @(posedge VAR38) begin VAR32 <= VAR39; VAR50 <= VAR52 ? VAR15 : 0; end assign VAR1 = VAR32; assign VAR8 = VAR52 && (state != VAR43); assign VAR10 = VAR24 || (state == VAR3); assign VAR26 = VAR52 && (state == VAR43) && VAR24; always @(posedge VAR38) begin state <= VAR37; end always @* begin if (VAR41) begin VAR37 = VAR45; end else begin case (state) VAR45: begin VAR37 = VAR3; end VAR3: begin if (VAR44) begin VAR37 = VAR52 ? VAR22 : VAR43; end else begin VAR37 = VAR3; end end VAR22: begin if (VAR52 == 0) begin VAR37 = VAR43; end else if (VAR24 & ~VAR44) begin VAR37 = VAR3; end else if (~VAR24 & VAR44) begin VAR37 = VAR43; end else begin VAR37 = VAR22; end end VAR43: begin if (VAR24) begin VAR37 = VAR52 ? VAR22 : VAR3; end else begin VAR37 = VAR43; end end endcase end end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_hdll__udp_dlatch_p_pp_pg_n.blackbox.v
1,428
module MODULE1 ( VAR6 , VAR4 , VAR3 , VAR1, VAR5 , VAR2 ); output VAR6 ; input VAR4 ; input VAR3 ; input VAR1; input VAR5 ; input VAR2 ; endmodule
apache-2.0
grindars/bfcore
GenericCounter.v
1,152
module MODULE1 ( VAR8, VAR7, VAR5, VAR4, VAR2, VAR3, VAR6 ); parameter VAR1 = 8; input VAR8; input VAR7; input [VAR1 - 1:0] VAR5; output reg [VAR1 - 1:0] VAR4; input VAR2; input VAR3; input VAR6; always @ (posedge VAR8) if(VAR7) VAR4 <= 0; else if(VAR2) begin if(VAR3) VAR4 <= VAR5; end else if(VAR6) VAR4 <= VAR4 - 1; else VAR4 <= VAR4 + 1; end endmodule
gpl-3.0
masson2013/heterogeneous_hthreads
src/hardware/MyRepository/pcores/vivado_cores/sm_timer_64/sm_timer_64.v
3,125
module MODULE1 ( output wire VAR3 , input wire VAR9 , input wire [31 : 0] VAR6 , input wire VAR11 , output wire VAR16 , output wire [31 : 0] VAR8 , input wire VAR13 , input wire VAR5 ); localparam VAR24 = 8'b00000001; localparam VAR26 = 8'b00000010; localparam VAR15 = 8'b00000100; localparam VAR23 = 8'b00001000; localparam VAR25 = 8'b00010000; localparam VAR21 = 8'b00100000; localparam VAR10 = 8'b01000000; localparam VAR22 = 8'b10000000; localparam VAR4 = 16'h1; localparam VAR20 = 16'h2; localparam VAR2 = 16'h3; localparam VAR12 = 16'h4; localparam VAR14 = 16'h5; reg [ 7:0] state ; reg [31:0] VAR7 ; reg [31:0] VAR17 ; assign VAR8 = VAR17; assign VAR3 = (state == VAR24); assign VAR16 = (state == VAR10 || state == VAR22); reg [63 : 0] VAR1; reg VAR18; reg VAR19; always @(posedge VAR13) begin if (VAR18) begin VAR1 <= 64'd0; end else begin if (VAR19) begin VAR1 <= VAR1 + 1; end end end always @(posedge VAR13) begin if(!VAR5) begin state <= VAR24; VAR7 <= 32'd0; VAR17 <= 32'd0; VAR19 <= 1'b0; VAR18<= 1'b1; end else begin case (state) VAR24: begin VAR18 <= 1'b0; if (VAR9 == 1) begin VAR7 <= VAR6; state <= VAR26; end else begin state <= VAR24; end end VAR26: begin case (VAR7[31:16]) VAR4: begin VAR19 <= 1'b1; state <= VAR24; end VAR20: begin VAR19 <= 1'b0; state <= VAR24; end VAR2: begin VAR18 <= 1'b1; state <= VAR24; end VAR12: begin VAR17 <= VAR1[31:0]; state <= VAR10; end default: begin state <= VAR24; end endcase end VAR10: begin if (VAR11 == 1) begin VAR17 <= VAR1[63:32]; state <= VAR22; end else begin state <= VAR10; end end VAR22: begin if (VAR11 == 1) begin state <= VAR24; end else begin state <= VAR22; end end endcase end end endmodule
bsd-3-clause
velizarefremov/Rijndael
aesmain.v
6,167
module MODULE1( output [127:0] out, output ready, output [3:0] VAR5, input [127:0] in, input [127:0] VAR14, input VAR33, input clk, input reset ); wire [127:0] VAR19; wire [127:0] VAR28; wire [127:0] VAR25; wire [127:0] VAR35; wire [127:0] VAR8; wire [127:0] VAR41; wire [127:0] VAR51; wire [127:0] VAR10; wire [127:0] VAR43; wire [127:0] VAR21; wire [127:0] VAR45; wire [127:0] VAR44; wire [127:0] VAR9; wire [127:0] VAR4; wire [127:0] VAR30; wire [127:0] VAR47; wire [127:0] VAR22; wire [127:0] VAR46; wire [127:0] VAR11; wire [127:0] VAR31; wire [127:0] VAR16; wire [127:0] VAR39; wire [127:0] VAR42; wire VAR52; wire VAR1; wire VAR13; wire VAR18; wire VAR40; reg [5:0] VAR20; reg [4:0] VAR34; wire [4:0] VAR55; wire [4:0] VAR12; always @(posedge clk, posedge reset) begin if(reset) begin VAR34 <= 5'b00000; end else if(VAR34 == 5'b10111) begin VAR34 <= 5'b00000; end else begin VAR34 <= VAR34 + 1'b1; end end assign VAR12 = 5'b10111 - VAR34; always @(*) begin VAR20 = 6'b000000; if(VAR33) begin case (VAR12) 5'b00000: VAR20 = 6'b010000; 5'b00001: VAR20 = 6'b000110; 5'b00010: VAR20 = 6'b101001; 5'b00011: VAR20 = 6'b000110; 5'b00100: VAR20 = 6'b101001; 5'b00101: VAR20 = 6'b000110; 5'b00110: VAR20 = 6'b101001; 5'b00111: VAR20 = 6'b000110; 5'b01000: VAR20 = 6'b101001; 5'b01001: VAR20 = 6'b000110; 5'b01010: VAR20 = 6'b101001; 5'b01011: VAR20 = 6'b000110; 5'b01100: VAR20 = 6'b101001; 5'b01101: VAR20 = 6'b000110; 5'b01110: VAR20 = 6'b101001; 5'b01111: VAR20 = 6'b000110; 5'b10000: VAR20 = 6'b101001; 5'b10001: VAR20 = 6'b000110; 5'b10010: VAR20 = 6'b101001; 5'b10011: VAR20 = 6'b000110; 5'b10100: VAR20 = 6'b101000; 5'b10101: VAR20 = 6'b000010; default: VAR20 = 6'b000000; endcase end else begin case (VAR34) 5'b00010: VAR20 = 6'b100001; 5'b00011: VAR20 = 6'b001100; 5'b00100: VAR20 = 6'b100011; 5'b00101: VAR20 = 6'b001100; 5'b00110: VAR20 = 6'b100011; 5'b00111: VAR20 = 6'b001100; 5'b01000: VAR20 = 6'b100011; 5'b01001: VAR20 = 6'b001100; 5'b01010: VAR20 = 6'b100011; 5'b01011: VAR20 = 6'b001100; 5'b01100: VAR20 = 6'b100011; 5'b01101: VAR20 = 6'b001100; 5'b01110: VAR20 = 6'b100011; 5'b01111: VAR20 = 6'b001100; 5'b10000: VAR20 = 6'b100011; 5'b10001: VAR20 = 6'b001100; 5'b10010: VAR20 = 6'b100011; 5'b10011: VAR20 = 6'b001100; 5'b10100: VAR20 = 6'b100011; 5'b10101: VAR20 = 6'b001100; 5'b10110: VAR20 = 6'b010000; default: VAR20 = 6'b000000; endcase end end assign VAR52 = VAR20[5]; assign ready = VAR20[4]; assign VAR13 = (VAR33)?(VAR20[3]):(VAR20[2]); assign VAR1 = (VAR33)?(VAR20[2]):(VAR20[3]); assign VAR40 = (VAR33)?(VAR20[1]):(VAR20[0]); assign VAR18 = (VAR33)?(VAR20[0]):(VAR20[1]); assign VAR11 = (VAR13)?(VAR41):(VAR25); assign VAR31 = (VAR52)?(VAR45):(in); assign VAR46 = (VAR33)?(VAR11):(VAR31); VAR37 VAR2(.VAR16(VAR16), .VAR46(VAR46), .clk(clk), .VAR23(VAR33) ); VAR24 #(.VAR17(128)) VAR26 (.VAR32(VAR39), .VAR6(VAR46), .clk(clk), .rst(reset), .VAR36(1'b1)); assign VAR35 = (VAR52)?(VAR45):(in); assign VAR8 = (VAR1)?(VAR16):(VAR39); assign VAR25 = (VAR33)?(VAR35):(VAR8); VAR29 VAR53 (.VAR41(VAR41), .VAR25(VAR25), .VAR48(VAR33)); assign VAR10 = (VAR40)?(VAR22):(VAR4); assign VAR43 = (VAR13)?(VAR41):(VAR25); assign VAR51 = (VAR33)?(VAR10):(VAR43); VAR49 VAR3(.VAR21(VAR21), .VAR51(VAR51), .clk(clk), .VAR23(VAR33)); VAR24 #(.VAR17(128)) VAR50 (.VAR32(VAR42), .VAR6(VAR51), .clk(clk), .rst(reset), .VAR36(1'b1)); assign VAR30 = (VAR1)?(VAR16):(VAR39); assign VAR47 = (VAR18)?(VAR21):(VAR42); assign VAR4 = (VAR33)?(VAR30):(VAR47); VAR15 VAR54(.VAR27(VAR22), .VAR38(VAR4), .VAR7(VAR14)); assign VAR9 = (VAR18)?(VAR21):(VAR42); assign VAR44 = (VAR40)?(VAR22):(VAR4); assign VAR45 = (VAR33)?(VAR9):(VAR44); assign VAR55 = (VAR33)?(VAR12 - 1'b1):(VAR34); assign VAR5 = VAR55[4:1]; assign VAR19 = VAR42; assign VAR28 = VAR22; assign out = (VAR33)?(VAR19):(VAR28); endmodule
gpl-2.0
bluespec/Flute
builds/RV64ACIMU_Flute_iverilog/Verilog_RTL/mkCSR_MIE.v
3,367
module MODULE1(VAR12, VAR8, VAR17, VAR3, VAR22, VAR14, VAR4, VAR20); input VAR12; input VAR8; input VAR17; output [63 : 0] VAR3; input [27 : 0] VAR22; input [63 : 0] VAR14; input VAR4; output [63 : 0] VAR20; wire [63 : 0] VAR20, VAR3; reg [11 : 0] VAR15; wire [11 : 0] VAR2; wire VAR7; wire VAR6, VAR1, VAR5, VAR16; wire [11 : 0] VAR18; wire VAR13, VAR9, VAR19, VAR24, VAR23, VAR10; assign VAR1 = 1'd1 ; assign VAR16 = VAR17 ; assign VAR3 = { 52'd0, VAR15 } ; assign VAR20 = { 52'd0, VAR18 } ; assign VAR6 = 1'd1 ; assign VAR5 = VAR4 ; assign VAR2 = VAR4 ? VAR18 : 12'd0 ; assign VAR7 = VAR4 || VAR17 ; assign VAR18 = { VAR14[11], 1'b0, VAR13, VAR24, VAR14[7], 1'b0, VAR19, VAR10, VAR14[3], 1'b0, VAR9, VAR23 } ; assign VAR13 = VAR22[18] && VAR14[9] ; assign VAR9 = VAR22[18] && VAR14[1] ; assign VAR19 = VAR22[18] && VAR14[5] ; assign VAR24 = VAR22[13] && VAR14[8] ; assign VAR23 = VAR22[13] && VAR14[0] ; assign VAR10 = VAR22[13] && VAR14[4] ; always@(posedge VAR12) begin if (VAR8 == VAR21) begin VAR15 <= VAR11 12'd0; end else begin if (VAR7) VAR15 <= VAR11 VAR2; end end begin VAR15 = 12'hAAA; end
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4b/sky130_fd_sc_lp__and4b.behavioral.pp.v
1,988
module MODULE1 ( VAR15 , VAR1 , VAR9 , VAR3 , VAR13 , VAR2, VAR14, VAR17 , VAR4 ); output VAR15 ; input VAR1 ; input VAR9 ; input VAR3 ; input VAR13 ; input VAR2; input VAR14; input VAR17 ; input VAR4 ; wire VAR5 ; wire VAR16 ; wire VAR10; not VAR6 (VAR5 , VAR1 ); and VAR12 (VAR16 , VAR5, VAR9, VAR3, VAR13 ); VAR11 VAR7 (VAR10, VAR16, VAR2, VAR14); buf VAR8 (VAR15 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/einvp/sky130_fd_sc_ls__einvp.functional.v
1,206
module MODULE1 ( VAR2 , VAR1 , VAR4 ); output VAR2 ; input VAR1 ; input VAR4; notif1 VAR3 (VAR2 , VAR1, VAR4 ); endmodule
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/systems/neek/backend/rtl/verilog/ddr_ctrl_ip/alt_mem_ddrx_wdata_path.v
50,682
module MODULE1 parameter VAR197 = 16, VAR43 = 8, VAR249 = 1, VAR236 = 5, VAR255 = 4, VAR144 = 1, VAR99 = 1, VAR10 = 8, VAR2 = 10, VAR158 = 2, VAR250 = 1, VAR245 = 0, VAR229 = 1, VAR87 = 8, VAR172 = 5, VAR86 = 1, VAR171 = 1, VAR225 = 1, VAR84 = 1, VAR1 = 8 ) ( VAR79, VAR174, VAR178, VAR115, VAR73, VAR22, VAR66, VAR252, VAR201, VAR23, VAR139, VAR122, VAR132, VAR9, VAR30, VAR34, VAR124, VAR67, VAR85, VAR44, VAR151, VAR238, VAR63, VAR239, VAR123, VAR183, VAR62, VAR173, VAR138, VAR179, VAR103, VAR114, VAR157, VAR112, VAR140, VAR190, VAR100, VAR83, VAR253, VAR177, VAR35, VAR142, VAR90, VAR126, VAR251, VAR147, VAR189, VAR82, VAR196, VAR244, VAR175, VAR170, VAR247, VAR146, VAR3, VAR28 ); localparam VAR259 = VAR43 / VAR249; localparam VAR47 = VAR2+1; localparam VAR234 = VAR250; localparam VAR31 = VAR250 * VAR87; localparam VAR127 = VAR197 + VAR234 + VAR31; localparam VAR218 = (VAR236 == 1) ? VAR236 : VAR236-1; localparam VAR164 = VAR197 / 8; localparam VAR185 = VAR197 / VAR259; localparam VAR11 = VAR1; localparam VAR237 = VAR1 - 2; localparam integer VAR235 = (2**VAR255); localparam VAR202 = VAR197 / VAR99 / VAR158; localparam VAR257 = VAR185 / VAR99 / VAR158; input VAR79; input VAR174; input [VAR172 - 1 : 0] VAR178; input [VAR86 - 1 : 0] VAR115; input [VAR171 - 1 : 0] VAR73; input [VAR225 - 1 : 0] VAR22; input [VAR84 - 1 : 0] VAR66; input [VAR1 - 1 : 0] VAR252; output VAR201; output [VAR255-1:0] VAR23; input VAR139; input VAR122; input VAR132; input VAR9; input [VAR10-1:0] VAR30; input [VAR236-1:0] VAR34; output VAR124; input VAR67; input [VAR197-1:0] VAR85; input [VAR164-1:0] VAR44; output [VAR10-1:0] VAR151; output VAR238; input VAR63; output VAR239; input [VAR144-1:0] VAR123; input [VAR144*VAR255-1:0] VAR183; input [VAR144*VAR235-1:0] VAR62; input [VAR144-1:0] VAR173; input [VAR144-1:0] VAR138; input [VAR144-1:0] VAR179; input VAR103; input [VAR255-1:0] VAR114; input [VAR235-1:0] VAR157; input VAR112; input VAR140; input VAR190; input [VAR144-1:0] VAR100; input [VAR144-1:0] VAR83; input VAR253; input [VAR255-1:0] VAR177; input [VAR235-1:0] VAR35; input VAR142; input VAR90; input VAR126; output [VAR197-1:0] VAR251; output [VAR197-1:0] VAR147; output [VAR197-1:0] VAR189; output VAR82; output VAR196; output [VAR185-1:0] VAR244; output [VAR250 * VAR87 - 1 : 0] VAR175; output [VAR250 - 1 : 0] VAR170; input VAR247; input [VAR197-1:0] VAR146; input [VAR250- 1 : 0] VAR3; input [VAR250 * VAR87 - 1 : 0] VAR28; wire VAR79; wire VAR174; wire [VAR172 - 1 : 0] VAR178; wire [VAR86 - 1 : 0] VAR115; wire [VAR171 - 1 : 0] VAR73; wire [VAR225 - 1 : 0] VAR22; wire [VAR84 - 1 : 0] VAR66; wire [VAR1 - 1 : 0] VAR252; wire VAR201; wire VAR14; wire [VAR255-1:0] VAR23; wire [VAR235-1:0] VAR243; wire VAR139; wire VAR122; wire VAR132; wire VAR9; wire [VAR10-1:0] VAR30; wire [VAR236-1:0] VAR34; wire VAR124; wire VAR67; wire [VAR197-1:0] VAR85; wire [VAR164-1:0] VAR44; wire [VAR10-1:0] VAR151; wire VAR238; wire VAR239; wire [VAR144-1:0] VAR123; wire [VAR144*VAR255-1:0] VAR183; wire [VAR144-1:0] VAR173; wire [VAR144-1:0] VAR138; wire [VAR144-1:0] VAR179; wire [VAR197-1:0] VAR251; wire [VAR197-1:0] VAR147; wire [VAR197-1:0] VAR189; wire VAR82; wire VAR196; wire [VAR185-1:0] VAR244; reg [VAR250 * VAR87 - 1 : 0] VAR175; reg [VAR250 - 1 : 0] VAR170; wire VAR247; wire [VAR197-1:0] VAR146; wire [VAR250- 1 : 0] VAR3; wire [VAR250 * VAR87 - 1 : 0] VAR28; reg [VAR11 - 1 : 0] VAR134; reg [VAR237 - 1 : 0] VAR209; wire VAR165; wire VAR74; wire [VAR255-1:0] VAR45; wire [VAR10-1:0] VAR153; wire [VAR236-1:0] VAR214; wire VAR248; wire VAR53; wire VAR32; wire [VAR197-1:0] VAR188; wire [VAR164-1:0] VAR167; reg [VAR185-1:0] VAR141; reg [VAR185-1:0] VAR26; wire VAR125; wire [VAR2-1:0] VAR206; reg [VAR250-1:0] VAR145; wire [VAR10-1:0] VAR7; wire VAR256; wire [VAR144-1:0] VAR176; wire [VAR144*VAR255-1:0] VAR40; wire [VAR144*VAR235-1:0] VAR261; reg [VAR144*VAR255-1:0] VAR204; wire VAR72; wire [VAR255-1:0] VAR110; wire [VAR235-1:0] VAR120; wire [VAR144-1:0] VAR97; wire VAR65; wire [VAR255-1:0] VAR68; wire [VAR235-1:0] VAR33; wire [VAR144-1:0] VAR54; reg [VAR197-1:0] VAR59; reg [VAR197-1:0] VAR94; reg [VAR197-1:0] VAR56; reg VAR212; reg VAR111; reg [VAR185-1:0] VAR20; wire [VAR144*VAR2-1:0] VAR213; wire VAR4; wire VAR107; wire [VAR197-1:0] VAR217; wire [VAR185-1:0] VAR77; wire VAR193; wire VAR150; wire VAR208; wire VAR210; wire [VAR255-1:0] VAR148; wire [VAR235-1:0] VAR88; wire [VAR47-1:0] VAR215; wire [VAR47-1:0] VAR137; wire VAR17; wire [VAR236-1:0] VAR226; wire VAR186; wire [VAR2-1:0] VAR64; wire VAR192; wire [VAR2-1:0] VAR41; wire [VAR127-1:0] VAR130; wire [VAR127-1:0] VAR187; wire VAR207; wire VAR224; reg VAR13; wire VAR223; reg VAR133; wire [VAR197-1:0] VAR71; reg [VAR197-1:0] VAR231; wire [VAR250- 1 : 0] VAR143; wire [VAR250 * VAR87 - 1 : 0] VAR102; reg [VAR197-1:0] VAR16; reg VAR220; reg VAR241; reg VAR92; reg VAR91; wire VAR19; wire VAR18; assign VAR74 = ~VAR139 & VAR122 & VAR9 & VAR132; assign VAR153 = VAR30; assign VAR214 = VAR34; assign VAR45 = VAR23; assign VAR124 = ~VAR248; assign VAR53 = VAR67; assign VAR188 = VAR85; assign VAR167 = VAR44; assign VAR151 = VAR7; assign VAR238 = VAR223 | VAR133; assign VAR239 = VAR256; assign VAR176 = VAR123 & VAR173 & ~VAR138; assign VAR40 = VAR183; assign VAR261 = VAR62; assign VAR72 = VAR103 & VAR112 & ~VAR140; assign VAR110 = VAR114; assign VAR120 = VAR157; assign VAR97 = VAR83; assign VAR65 = VAR253 & VAR142 & ~VAR90 ; assign VAR68 = VAR177; assign VAR33 = VAR35; assign VAR251 = VAR59; assign VAR147 = VAR94; assign VAR189 = VAR56; assign VAR82 = VAR212; assign VAR196 = VAR111; assign VAR244 = VAR20; assign VAR193 = VAR74; assign VAR208= VAR193 & VAR201; assign VAR201 = VAR14 & VAR165; always @ (posedge VAR79 or negedge VAR174) begin if (!VAR174) begin VAR134 <= 0; end else begin if (VAR115) begin VAR134 <= VAR252 - VAR87; end else begin VAR134 <= VAR252; end end end always @ (posedge VAR79 or negedge VAR174) begin if (!VAR174) begin VAR209 <= 0; end else begin VAR209 <= VAR134 / VAR259; end end always @ (posedge VAR79 or negedge VAR174) begin if (~VAR174) begin VAR204 <= 0; end else begin VAR204 <= VAR40; end end VAR163 .VAR21 (VAR255), .VAR258 (VAR235), .VAR106 ("VAR93"), .VAR166 ("VAR155") ) VAR50 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR159 (VAR193), .VAR184 (VAR14), .VAR6 (VAR23), .VAR89 (VAR243), .VAR131 (VAR107), .VAR228 (VAR4), .VAR95 (VAR204) ); VAR163 .VAR21 (VAR255), .VAR258 (VAR235), .VAR106 ("VAR182"), .VAR166 ("VAR136") ) VAR199 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR159 (VAR17), .VAR184 (VAR210), .VAR6 (VAR148), .VAR89 (VAR88), .VAR131 (VAR150), .VAR228 (VAR208), .VAR95 (VAR23) ); VAR160 .VAR47 (VAR47), .VAR2 (VAR2), .VAR236 (VAR236) ) VAR195 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR49 (VAR248), .VAR78 (VAR53), .VAR181 (VAR215), .VAR118 (VAR137), .VAR75 (VAR17), .VAR246 (VAR226) ); VAR169 .VAR255 (VAR255), .VAR99 (VAR99), .VAR144 (VAR144), .VAR2 (VAR2), .VAR236 (VAR236), .VAR10 (VAR10), .VAR47 (VAR47), .VAR172 (VAR172), .VAR158 (VAR158) ) VAR205 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR178 (VAR178), .VAR115 (VAR115), .VAR73 (VAR73), .VAR22 (VAR22), .VAR116 (VAR165), .VAR219 (VAR74), .VAR161 (VAR45), .VAR254 (VAR214), .VAR51 (VAR153), .VAR36 (VAR210), .VAR61 (VAR148), .VAR230 (VAR88), .VAR211 (VAR215), .VAR156 (VAR137), .VAR80 (VAR17), .VAR200 (VAR226), .VAR168 (VAR7), .VAR60 (VAR256), .VAR57 (VAR248), .VAR129 (VAR53), .VAR37 (VAR32), .VAR121 (VAR206), .VAR216 (VAR125), .VAR39 (VAR176), .VAR222 (VAR40), .VAR8 (VAR261), .VAR81 (VAR72), .VAR240 (VAR110), .VAR227 (VAR120), .VAR25 (VAR97), .VAR113 (VAR65), .VAR76 (VAR68), .VAR117 (VAR33), .VAR194 (VAR213), .VAR135 (VAR54), .VAR69 (VAR4) ); genvar VAR105; genvar VAR191; generate for (VAR105 = 0;VAR105 < VAR158;VAR105 = VAR105 + 1) begin : VAR198 for (VAR191 = 0;VAR191 < VAR99;VAR191 = VAR191 + 1) begin : VAR52 VAR24 .VAR55 (VAR2), .VAR260 (VAR202), .VAR5 (VAR245) ) VAR232 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR221 (VAR32), .VAR98 (VAR206), .VAR85 (VAR188 [(VAR105 * VAR202 * VAR99) + ((VAR191 + 1) * VAR202) - 1 : (VAR105 * VAR202 * VAR99) + (VAR191 * VAR202)]), .VAR203 (VAR176 [VAR191]), .VAR101 (VAR213 [(VAR191 + 1) * VAR2 - 1 : VAR191 * VAR2]), .VAR46 (VAR217 [(VAR105 * VAR202 * VAR99) + ((VAR191 + 1) * VAR202) - 1 : (VAR105 * VAR202 * VAR99) + (VAR191 * VAR202)]) ); VAR24 .VAR55 (VAR2), .VAR260 (VAR257), .VAR5 (VAR245) ) VAR119 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR221 (VAR32), .VAR98 (VAR206), .VAR85 (VAR26 [(VAR105 * VAR257 * VAR99) + ((VAR191 + 1) * VAR257) - 1 : (VAR105 * VAR257 * VAR99) + (VAR191 * VAR257)]), .VAR203 (VAR176 [VAR191]), .VAR101 (VAR213 [(VAR191 + 1) * VAR2 - 1 : VAR191 * VAR2]), .VAR46 (VAR77 [(VAR105 * VAR257 * VAR99) + ((VAR191 + 1) * VAR257) - 1 : (VAR105 * VAR257 * VAR99) + (VAR191 * VAR257)]) ); end end endgenerate genvar VAR149, VAR12; generate reg [(VAR185/VAR250)-1:0] VAR48 [VAR250-1:0]; reg [(VAR185/VAR250)-1:0] VAR58 [VAR250-1:0]; reg [(VAR185/VAR250)-1:0] VAR242 [VAR250-1:0]; assign VAR125 = |VAR145; for (VAR12 = 0;VAR12 < VAR185;VAR12 = VAR12 + 1) begin : VAR96 always @ begin VAR48 [VAR149] = VAR141 [(VAR149+1)*(VAR185/VAR250)-1 : (VAR149*(VAR185/VAR250))]; end for (VAR12 = 0; VAR12 < (VAR185/VAR250); VAR12 = VAR12 + 1'b1) begin : VAR38 always @ begin if (VAR22) begin VAR145[VAR149] = ~VAR29; end else begin VAR145[VAR149] = ~( VAR29 | VAR15 ); end if (VAR115) begin if (VAR15) begin VAR26 [(VAR149+1)*(VAR185/VAR250)-1 : (VAR149*(VAR185/VAR250))] = VAR242 [VAR149]; end else begin VAR26 [(VAR149+1)*(VAR185/VAR250)-1 : (VAR149*(VAR185/VAR250))] = VAR58 [VAR149]; end end else begin VAR26 [(VAR149+1)*(VAR185/VAR250)-1 : (VAR149*(VAR185/VAR250))] = VAR242 [VAR149]; end end end endgenerate assign VAR223 = VAR224 & ~VAR13; always @ (posedge VAR79 or negedge VAR174) begin if (!VAR174) begin VAR133 <= 1'b0; end else begin if (VAR63) begin VAR133 <= 1'b0; end else if (VAR223) begin VAR133 <= 1'b1; end end end always @ (posedge VAR79 or negedge VAR174) begin if (~VAR174) begin VAR13 <= 1'b0; VAR220 <= 1'b0; VAR241 <= 1'b0; VAR92 <= 1'b0; VAR91 <= 1'b0; end else begin VAR13 <= VAR224; VAR220 <= VAR138; VAR241 <= VAR179; VAR92 <= VAR220; VAR91 <= VAR241; end end always @ (posedge VAR79 or negedge VAR174) begin if (!VAR174) begin VAR231 <= 0; end else begin VAR231 <= VAR71; end end assign VAR130 = {VAR28, VAR3, VAR146}; assign {VAR102, VAR143, VAR71} = VAR187; assign VAR207 = VAR220 | (&VAR54 & VAR241); assign VAR18 = VAR247 & ~VAR19; VAR42 .VAR108 (VAR127), .VAR154 (VAR218) ) VAR152 ( .VAR79 (VAR79), .VAR174 (VAR174), .VAR70 (VAR207), .VAR233 (VAR224), .VAR128 (VAR187), .VAR27 (VAR19), .VAR180 (VAR247), .VAR104 (VAR130) ); genvar VAR162; generate for (VAR162 = 0; VAR162 < ((VAR185)); VAR162 = VAR162 + 1) begin : VAR109 always @ begin if (VAR115 | VAR22) begin VAR59 = VAR217; VAR94 = VAR16; VAR56 = VAR231; VAR212 = VAR91; VAR111 = VAR92; if (VAR92 | VAR91) begin VAR20 = {(VAR185){1'b1}}; end else begin VAR20 = VAR77; end end else begin VAR20 = VAR77; VAR59 = VAR217; VAR94 = 0; VAR56 = 0; VAR212 = 1'b0; VAR111 = 1'b0; end end always @ (posedge VAR79 or negedge VAR174) begin if (!VAR174) begin VAR175 <= 0; VAR170 <= 0; end else begin VAR175 <= VAR102; if (VAR66) begin if (VAR220) begin VAR170 <= VAR143; end else if (VAR241) begin if ( (|VAR77) | (~VAR224) ) begin VAR170 <= {VAR250{1'b0}}; end else begin VAR170 <= VAR143; end end else begin VAR170 <= {VAR250{1'b0}}; end end else begin VAR170 <= {VAR250{1'b0}}; end end end end else begin always @ begin VAR175 = VAR102; if (VAR66) begin if (VAR220) begin VAR170 = VAR143; end else if (VAR241) begin if ( (|VAR77) | (~VAR224) ) begin VAR170 = {VAR250{1'b0}}; end else begin VAR170 = VAR143; end end else begin VAR170 = {VAR250{1'b0}}; end end else begin VAR170 = {VAR250{1'b0}}; end end end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/iso1p/sky130_fd_sc_lp__iso1p_lp2.v
2,175
module MODULE1 ( VAR5 , VAR2 , VAR9, VAR1, VAR4 , VAR7 , VAR8 ); output VAR5 ; input VAR2 ; input VAR9; input VAR1; input VAR4 ; input VAR7 ; input VAR8 ; VAR3 VAR6 ( .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5 , VAR2 , VAR9 ); output VAR5 ; input VAR2 ; input VAR9; supply1 VAR1; supply0 VAR4 ; supply1 VAR7 ; supply0 VAR8 ; VAR3 VAR6 ( .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21bo/sky130_fd_sc_hdll__a21bo.behavioral.v
1,587
module MODULE1 ( VAR2 , VAR9 , VAR11 , VAR4 ); output VAR2 ; input VAR9 ; input VAR11 ; input VAR4; supply1 VAR12; supply0 VAR8; supply1 VAR5 ; supply0 VAR10 ; wire VAR1 ; wire VAR3; nand VAR7 (VAR1 , VAR11, VAR9 ); nand VAR13 (VAR3, VAR4, VAR1); buf VAR6 (VAR2 , VAR3 ); endmodule
apache-2.0
jakubfi/mera400f
src/msg_cmd_dec.v
1,105
module MODULE1( input [0:7] VAR10, output VAR22, VAR2, in, VAR21, VAR13, VAR20, en, output VAR17, VAR1, VAR12, VAR24 ); wire [0:10] VAR15; always @ (*) begin case (VAR10) { VAR5, VAR11, 3'b110 } : VAR15 = 11'b10000000000; { VAR5, VAR9, 3'b111 } : VAR15 = 11'b01000000000; { VAR5, VAR14, 3'b101 } : VAR15 = 11'b00100000000; { VAR5, VAR23, 3'b000 } : VAR15 = 11'b00010000000; { VAR3, VAR19, 3'b000 } : VAR15 = 11'b00001000000; { VAR3, VAR19, 3'b001 } : VAR15 = 11'b00001000000; { VAR3, VAR6, 3'b000 } : VAR15 = 11'b00001100000; { VAR3, VAR6, 3'b001 } : VAR15 = 11'b00001100000; { VAR3, VAR7, 3'b000 } : VAR15 = 11'b00000010000; { VAR5, VAR8, 3'b001 } : VAR15 = 11'b00000001000; { VAR5, VAR16, 3'b100 } : VAR15 = 11'b00000000100; { VAR5, VAR18, 3'b100 } : VAR15 = 11'b00000000010; { VAR5, VAR4, 3'b000 } : VAR15 = 11'b00000000001; default: VAR15 = 11'd0; endcase end assign { VAR22, VAR2, in, VAR21, VAR13, VAR20, en, VAR17, VAR1, VAR12, VAR24 } = VAR15; endmodule
gpl-2.0
Marcoslz22/Tercer_Proyecto
font_rom.v
96,061
module MODULE1 ( input wire VAR3, input wire [10:0] addr, output reg [7:0] VAR2 ); reg [10:0] VAR1; always @(posedge VAR3) VAR1 <= addr; always @* case (VAR1) 11'h000: VAR2 = 8'b00000000; 11'h001: VAR2 = 8'b00000000; 11'h002: VAR2 = 8'b00000000; 11'h003: VAR2 = 8'b00000000; 11'h004: VAR2 = 8'b00000000; 11'h005: VAR2 = 8'b00000000; 11'h006: VAR2 = 8'b00000000; 11'h007: VAR2 = 8'b00000000; 11'h008: VAR2 = 8'b00000000; 11'h009: VAR2 = 8'b00000000; 11'h00a: VAR2 = 8'b00000000; 11'h00b: VAR2 = 8'b00000000; 11'h00c: VAR2 = 8'b00000000; 11'h00d: VAR2 = 8'b00000000; 11'h00e: VAR2 = 8'b00000000; 11'h00f: VAR2 = 8'b00000000; 11'h010: VAR2 = 8'b00000000; 11'h011: VAR2 = 8'b00000000; 11'h012: VAR2 = 8'b01111110; 11'h013: VAR2 = 8'b10000001; 11'h014: VAR2 = 8'b10100101; 11'h015: VAR2 = 8'b10000001; 11'h016: VAR2 = 8'b10000001; 11'h017: VAR2 = 8'b10111101; 11'h018: VAR2 = 8'b10011001; 11'h019: VAR2 = 8'b10000001; 11'h01a: VAR2 = 8'b10000001; 11'h01b: VAR2 = 8'b01111110; 11'h01c: VAR2 = 8'b00000000; 11'h01d: VAR2 = 8'b00000000; 11'h01e: VAR2 = 8'b00000000; 11'h01f: VAR2 = 8'b00000000; 11'h020: VAR2 = 8'b00000000; 11'h021: VAR2 = 8'b00000000; 11'h022: VAR2 = 8'b01111110; 11'h023: VAR2 = 8'b11111111; 11'h024: VAR2 = 8'b11011011; 11'h025: VAR2 = 8'b11111111; 11'h026: VAR2 = 8'b11111111; 11'h027: VAR2 = 8'b11000011; 11'h028: VAR2 = 8'b11100111; 11'h029: VAR2 = 8'b11111111; 11'h02a: VAR2 = 8'b11111111; 11'h02b: VAR2 = 8'b01111110; 11'h02c: VAR2 = 8'b00000000; 11'h02d: VAR2 = 8'b00000000; 11'h02e: VAR2 = 8'b00000000; 11'h02f: VAR2 = 8'b00000000; 11'h030: VAR2 = 8'b00000000; 11'h031: VAR2 = 8'b00000000; 11'h032: VAR2 = 8'b00000000; 11'h033: VAR2 = 8'b00000000; 11'h034: VAR2 = 8'b01101100; 11'h035: VAR2 = 8'b11111110; 11'h036: VAR2 = 8'b11111110; 11'h037: VAR2 = 8'b11111110; 11'h038: VAR2 = 8'b11111110; 11'h039: VAR2 = 8'b01111100; 11'h03a: VAR2 = 8'b00111000; 11'h03b: VAR2 = 8'b00010000; 11'h03c: VAR2 = 8'b00000000; 11'h03d: VAR2 = 8'b00000000; 11'h03e: VAR2 = 8'b00000000; 11'h03f: VAR2 = 8'b00000000; 11'h040: VAR2 = 8'b00000000; 11'h041: VAR2 = 8'b00000000; 11'h042: VAR2 = 8'b00000000; 11'h043: VAR2 = 8'b00000000; 11'h044: VAR2 = 8'b00010000; 11'h045: VAR2 = 8'b00111000; 11'h046: VAR2 = 8'b01111100; 11'h047: VAR2 = 8'b11111110; 11'h048: VAR2 = 8'b01111100; 11'h049: VAR2 = 8'b00111000; 11'h04a: VAR2 = 8'b00010000; 11'h04b: VAR2 = 8'b00000000; 11'h04c: VAR2 = 8'b00000000; 11'h04d: VAR2 = 8'b00000000; 11'h04e: VAR2 = 8'b00000000; 11'h04f: VAR2 = 8'b00000000; 11'h050: VAR2 = 8'b00000000; 11'h051: VAR2 = 8'b00000000; 11'h052: VAR2 = 8'b00000000; 11'h053: VAR2 = 8'b00011000; 11'h054: VAR2 = 8'b00111100; 11'h055: VAR2 = 8'b00111100; 11'h056: VAR2 = 8'b11100111; 11'h057: VAR2 = 8'b11100111; 11'h058: VAR2 = 8'b11100111; 11'h059: VAR2 = 8'b00011000; 11'h05a: VAR2 = 8'b00011000; 11'h05b: VAR2 = 8'b00111100; 11'h05c: VAR2 = 8'b00000000; 11'h05d: VAR2 = 8'b00000000; 11'h05e: VAR2 = 8'b00000000; 11'h05f: VAR2 = 8'b00000000; 11'h060: VAR2 = 8'b00000000; 11'h061: VAR2 = 8'b00000000; 11'h062: VAR2 = 8'b00000000; 11'h063: VAR2 = 8'b00011000; 11'h064: VAR2 = 8'b00111100; 11'h065: VAR2 = 8'b01111110; 11'h066: VAR2 = 8'b11111111; 11'h067: VAR2 = 8'b11111111; 11'h068: VAR2 = 8'b01111110; 11'h069: VAR2 = 8'b00011000; 11'h06a: VAR2 = 8'b00011000; 11'h06b: VAR2 = 8'b00111100; 11'h06c: VAR2 = 8'b00000000; 11'h06d: VAR2 = 8'b00000000; 11'h06e: VAR2 = 8'b00000000; 11'h06f: VAR2 = 8'b00000000; 11'h070: VAR2 = 8'b00000000; 11'h071: VAR2 = 8'b00000000; 11'h072: VAR2 = 8'b00000000; 11'h073: VAR2 = 8'b00000000; 11'h074: VAR2 = 8'b00000000; 11'h075: VAR2 = 8'b00000000; 11'h076: VAR2 = 8'b00011000; 11'h077: VAR2 = 8'b00111100; 11'h078: VAR2 = 8'b00111100; 11'h079: VAR2 = 8'b00011000; 11'h07a: VAR2 = 8'b00000000; 11'h07b: VAR2 = 8'b00000000; 11'h07c: VAR2 = 8'b00000000; 11'h07d: VAR2 = 8'b00000000; 11'h07e: VAR2 = 8'b00000000; 11'h07f: VAR2 = 8'b00000000; 11'h080: VAR2 = 8'b11111111; 11'h081: VAR2 = 8'b11111111; 11'h082: VAR2 = 8'b11111111; 11'h083: VAR2 = 8'b11111111; 11'h084: VAR2 = 8'b11111111; 11'h085: VAR2 = 8'b11111111; 11'h086: VAR2 = 8'b11100111; 11'h087: VAR2 = 8'b11000011; 11'h088: VAR2 = 8'b11000011; 11'h089: VAR2 = 8'b11100111; 11'h08a: VAR2 = 8'b11111111; 11'h08b: VAR2 = 8'b11111111; 11'h08c: VAR2 = 8'b11111111; 11'h08d: VAR2 = 8'b11111111; 11'h08e: VAR2 = 8'b11111111; 11'h08f: VAR2 = 8'b11111111; 11'h090: VAR2 = 8'b00000000; 11'h091: VAR2 = 8'b00000000; 11'h092: VAR2 = 8'b00000000; 11'h093: VAR2 = 8'b00000000; 11'h094: VAR2 = 8'b00000000; 11'h095: VAR2 = 8'b00111100; 11'h096: VAR2 = 8'b01100110; 11'h097: VAR2 = 8'b01000010; 11'h098: VAR2 = 8'b01000010; 11'h099: VAR2 = 8'b01100110; 11'h09a: VAR2 = 8'b00111100; 11'h09b: VAR2 = 8'b00000000; 11'h09c: VAR2 = 8'b00000000; 11'h09d: VAR2 = 8'b00000000; 11'h09e: VAR2 = 8'b00000000; 11'h09f: VAR2 = 8'b00000000; 11'h0a0: VAR2 = 8'b11111111; 11'h0a1: VAR2 = 8'b11111111; 11'h0a2: VAR2 = 8'b11111111; 11'h0a3: VAR2 = 8'b11111111; 11'h0a4: VAR2 = 8'b11111111; 11'h0a5: VAR2 = 8'b11000011; 11'h0a6: VAR2 = 8'b10011001; 11'h0a7: VAR2 = 8'b10111101; 11'h0a8: VAR2 = 8'b10111101; 11'h0a9: VAR2 = 8'b10011001; 11'h0aa: VAR2 = 8'b11000011; 11'h0ab: VAR2 = 8'b11111111; 11'h0ac: VAR2 = 8'b11111111; 11'h0ad: VAR2 = 8'b11111111; 11'h0ae: VAR2 = 8'b11111111; 11'h0af: VAR2 = 8'b11111111; 11'h0b0: VAR2 = 8'b00000000; 11'h0b1: VAR2 = 8'b00000000; 11'h0b2: VAR2 = 8'b00011110; 11'h0b3: VAR2 = 8'b00001110; 11'h0b4: VAR2 = 8'b00011010; 11'h0b5: VAR2 = 8'b00110010; 11'h0b6: VAR2 = 8'b01111000; 11'h0b7: VAR2 = 8'b11001100; 11'h0b8: VAR2 = 8'b11001100; 11'h0b9: VAR2 = 8'b11001100; 11'h0ba: VAR2 = 8'b11001100; 11'h0bb: VAR2 = 8'b01111000; 11'h0bc: VAR2 = 8'b00000000; 11'h0bd: VAR2 = 8'b00000000; 11'h0be: VAR2 = 8'b00000000; 11'h0bf: VAR2 = 8'b00000000; 11'h0c0: VAR2 = 8'b00000000; 11'h0c1: VAR2 = 8'b00000000; 11'h0c2: VAR2 = 8'b00111100; 11'h0c3: VAR2 = 8'b01100110; 11'h0c4: VAR2 = 8'b01100110; 11'h0c5: VAR2 = 8'b01100110; 11'h0c6: VAR2 = 8'b01100110; 11'h0c7: VAR2 = 8'b00111100; 11'h0c8: VAR2 = 8'b00011000; 11'h0c9: VAR2 = 8'b01111110; 11'h0ca: VAR2 = 8'b00011000; 11'h0cb: VAR2 = 8'b00011000; 11'h0cc: VAR2 = 8'b00000000; 11'h0cd: VAR2 = 8'b00000000; 11'h0ce: VAR2 = 8'b00000000; 11'h0cf: VAR2 = 8'b00000000; 11'h0d0: VAR2 = 8'b00000000; 11'h0d1: VAR2 = 8'b00000000; 11'h0d2: VAR2 = 8'b00111111; 11'h0d3: VAR2 = 8'b00110011; 11'h0d4: VAR2 = 8'b00111111; 11'h0d5: VAR2 = 8'b00110000; 11'h0d6: VAR2 = 8'b00110000; 11'h0d7: VAR2 = 8'b00110000; 11'h0d8: VAR2 = 8'b00110000; 11'h0d9: VAR2 = 8'b01110000; 11'h0da: VAR2 = 8'b11110000; 11'h0db: VAR2 = 8'b11100000; 11'h0dc: VAR2 = 8'b00000000; 11'h0dd: VAR2 = 8'b00000000; 11'h0de: VAR2 = 8'b00000000; 11'h0df: VAR2 = 8'b00000000; 11'h0e0: VAR2 = 8'b00000000; 11'h0e1: VAR2 = 8'b00000000; 11'h0e2: VAR2 = 8'b01111111; 11'h0e3: VAR2 = 8'b01100011; 11'h0e4: VAR2 = 8'b01111111; 11'h0e5: VAR2 = 8'b01100011; 11'h0e6: VAR2 = 8'b01100011; 11'h0e7: VAR2 = 8'b01100011; 11'h0e8: VAR2 = 8'b01100011; 11'h0e9: VAR2 = 8'b01100111; 11'h0ea: VAR2 = 8'b11100111; 11'h0eb: VAR2 = 8'b11100110; 11'h0ec: VAR2 = 8'b11000000; 11'h0ed: VAR2 = 8'b00000000; 11'h0ee: VAR2 = 8'b00000000; 11'h0ef: VAR2 = 8'b00000000; 11'h0f0: VAR2 = 8'b00000000; 11'h0f1: VAR2 = 8'b00000000; 11'h0f2: VAR2 = 8'b00000000; 11'h0f3: VAR2 = 8'b00011000; 11'h0f4: VAR2 = 8'b00011000; 11'h0f5: VAR2 = 8'b11011011; 11'h0f6: VAR2 = 8'b00111100; 11'h0f7: VAR2 = 8'b11100111; 11'h0f8: VAR2 = 8'b00111100; 11'h0f9: VAR2 = 8'b11011011; 11'h0fa: VAR2 = 8'b00011000; 11'h0fb: VAR2 = 8'b00011000; 11'h0fc: VAR2 = 8'b00000000; 11'h0fd: VAR2 = 8'b00000000; 11'h0fe: VAR2 = 8'b00000000; 11'h0ff: VAR2 = 8'b00000000; 11'h100: VAR2 = 8'b00000000; 11'h101: VAR2 = 8'b10000000; 11'h102: VAR2 = 8'b11000000; 11'h103: VAR2 = 8'b11100000; 11'h104: VAR2 = 8'b11110000; 11'h105: VAR2 = 8'b11111000; 11'h106: VAR2 = 8'b11111110; 11'h107: VAR2 = 8'b11111000; 11'h108: VAR2 = 8'b11110000; 11'h109: VAR2 = 8'b11100000; 11'h10a: VAR2 = 8'b11000000; 11'h10b: VAR2 = 8'b10000000; 11'h10c: VAR2 = 8'b00000000; 11'h10d: VAR2 = 8'b00000000; 11'h10e: VAR2 = 8'b00000000; 11'h10f: VAR2 = 8'b00000000; 11'h110: VAR2 = 8'b00000000; 11'h111: VAR2 = 8'b00000010; 11'h112: VAR2 = 8'b00000110; 11'h113: VAR2 = 8'b00001110; 11'h114: VAR2 = 8'b00011110; 11'h115: VAR2 = 8'b00111110; 11'h116: VAR2 = 8'b11111110; 11'h117: VAR2 = 8'b00111110; 11'h118: VAR2 = 8'b00011110; 11'h119: VAR2 = 8'b00001110; 11'h11a: VAR2 = 8'b00000110; 11'h11b: VAR2 = 8'b00000010; 11'h11c: VAR2 = 8'b00000000; 11'h11d: VAR2 = 8'b00000000; 11'h11e: VAR2 = 8'b00000000; 11'h11f: VAR2 = 8'b00000000; 11'h120: VAR2 = 8'b00000000; 11'h121: VAR2 = 8'b00000000; 11'h122: VAR2 = 8'b00011000; 11'h123: VAR2 = 8'b00111100; 11'h124: VAR2 = 8'b01111110; 11'h125: VAR2 = 8'b00011000; 11'h126: VAR2 = 8'b00011000; 11'h127: VAR2 = 8'b00011000; 11'h128: VAR2 = 8'b01111110; 11'h129: VAR2 = 8'b00111100; 11'h12a: VAR2 = 8'b00011000; 11'h12b: VAR2 = 8'b00000000; 11'h12c: VAR2 = 8'b00000000; 11'h12d: VAR2 = 8'b00000000; 11'h12e: VAR2 = 8'b00000000; 11'h12f: VAR2 = 8'b00000000; 11'h130: VAR2 = 8'b00000000; 11'h131: VAR2 = 8'b00000000; 11'h132: VAR2 = 8'b01100110; 11'h133: VAR2 = 8'b01100110; 11'h134: VAR2 = 8'b01100110; 11'h135: VAR2 = 8'b01100110; 11'h136: VAR2 = 8'b01100110; 11'h137: VAR2 = 8'b01100110; 11'h138: VAR2 = 8'b01100110; 11'h139: VAR2 = 8'b00000000; 11'h13a: VAR2 = 8'b01100110; 11'h13b: VAR2 = 8'b01100110; 11'h13c: VAR2 = 8'b00000000; 11'h13d: VAR2 = 8'b00000000; 11'h13e: VAR2 = 8'b00000000; 11'h13f: VAR2 = 8'b00000000; 11'h140: VAR2 = 8'b00000000; 11'h141: VAR2 = 8'b00000000; 11'h142: VAR2 = 8'b01111111; 11'h143: VAR2 = 8'b11011011; 11'h144: VAR2 = 8'b11011011; 11'h145: VAR2 = 8'b11011011; 11'h146: VAR2 = 8'b01111011; 11'h147: VAR2 = 8'b00011011; 11'h148: VAR2 = 8'b00011011; 11'h149: VAR2 = 8'b00011011; 11'h14a: VAR2 = 8'b00011011; 11'h14b: VAR2 = 8'b00011011; 11'h14c: VAR2 = 8'b00000000; 11'h14d: VAR2 = 8'b00000000; 11'h14e: VAR2 = 8'b00000000; 11'h14f: VAR2 = 8'b00000000; 11'h150: VAR2 = 8'b00000000; 11'h151: VAR2 = 8'b01111100; 11'h152: VAR2 = 8'b11000110; 11'h153: VAR2 = 8'b01100000; 11'h154: VAR2 = 8'b00111000; 11'h155: VAR2 = 8'b01101100; 11'h156: VAR2 = 8'b11000110; 11'h157: VAR2 = 8'b11000110; 11'h158: VAR2 = 8'b01101100; 11'h159: VAR2 = 8'b00111000; 11'h15a: VAR2 = 8'b00001100; 11'h15b: VAR2 = 8'b11000110; 11'h15c: VAR2 = 8'b01111100; 11'h15d: VAR2 = 8'b00000000; 11'h15e: VAR2 = 8'b00000000; 11'h15f: VAR2 = 8'b00000000; 11'h160: VAR2 = 8'b00000000; 11'h161: VAR2 = 8'b00000000; 11'h162: VAR2 = 8'b00000000; 11'h163: VAR2 = 8'b00000000; 11'h164: VAR2 = 8'b00000000; 11'h165: VAR2 = 8'b00000000; 11'h166: VAR2 = 8'b00000000; 11'h167: VAR2 = 8'b00000000; 11'h168: VAR2 = 8'b11111110; 11'h169: VAR2 = 8'b11111110; 11'h16a: VAR2 = 8'b11111110; 11'h16b: VAR2 = 8'b11111110; 11'h16c: VAR2 = 8'b00000000; 11'h16d: VAR2 = 8'b00000000; 11'h16e: VAR2 = 8'b00000000; 11'h16f: VAR2 = 8'b00000000; 11'h170: VAR2 = 8'b00000000; 11'h171: VAR2 = 8'b00000000; 11'h172: VAR2 = 8'b00011000; 11'h173: VAR2 = 8'b00111100; 11'h174: VAR2 = 8'b01111110; 11'h175: VAR2 = 8'b00011000; 11'h176: VAR2 = 8'b00011000; 11'h177: VAR2 = 8'b00011000; 11'h178: VAR2 = 8'b01111110; 11'h179: VAR2 = 8'b00111100; 11'h17a: VAR2 = 8'b00011000; 11'h17b: VAR2 = 8'b01111110; 11'h17c: VAR2 = 8'b00110000; 11'h17d: VAR2 = 8'b00000000; 11'h17e: VAR2 = 8'b00000000; 11'h17f: VAR2 = 8'b00000000; 11'h180: VAR2 = 8'b00000000; 11'h181: VAR2 = 8'b00000000; 11'h182: VAR2 = 8'b00011000; 11'h183: VAR2 = 8'b00111100; 11'h184: VAR2 = 8'b01111110; 11'h185: VAR2 = 8'b00011000; 11'h186: VAR2 = 8'b00011000; 11'h187: VAR2 = 8'b00011000; 11'h188: VAR2 = 8'b00011000; 11'h189: VAR2 = 8'b00011000; 11'h18a: VAR2 = 8'b00011000; 11'h18b: VAR2 = 8'b00011000; 11'h18c: VAR2 = 8'b00000000; 11'h18d: VAR2 = 8'b00000000; 11'h18e: VAR2 = 8'b00000000; 11'h18f: VAR2 = 8'b00000000; 11'h190: VAR2 = 8'b00000000; 11'h191: VAR2 = 8'b00000000; 11'h192: VAR2 = 8'b00011000; 11'h193: VAR2 = 8'b00011000; 11'h194: VAR2 = 8'b00011000; 11'h195: VAR2 = 8'b00011000; 11'h196: VAR2 = 8'b00011000; 11'h197: VAR2 = 8'b00011000; 11'h198: VAR2 = 8'b00011000; 11'h199: VAR2 = 8'b01111110; 11'h19a: VAR2 = 8'b00111100; 11'h19b: VAR2 = 8'b00011000; 11'h19c: VAR2 = 8'b00000000; 11'h19d: VAR2 = 8'b00000000; 11'h19e: VAR2 = 8'b00000000; 11'h19f: VAR2 = 8'b00000000; 11'h1a0: VAR2 = 8'b00000000; 11'h1a1: VAR2 = 8'b00000000; 11'h1a2: VAR2 = 8'b00000000; 11'h1a3: VAR2 = 8'b00000000; 11'h1a4: VAR2 = 8'b00000000; 11'h1a5: VAR2 = 8'b00011000; 11'h1a6: VAR2 = 8'b00001100; 11'h1a7: VAR2 = 8'b11111110; 11'h1a8: VAR2 = 8'b00001100; 11'h1a9: VAR2 = 8'b00011000; 11'h1aa: VAR2 = 8'b00000000; 11'h1ab: VAR2 = 8'b00000000; 11'h1ac: VAR2 = 8'b00000000; 11'h1ad: VAR2 = 8'b00000000; 11'h1ae: VAR2 = 8'b00000000; 11'h1af: VAR2 = 8'b00000000; 11'h1b0: VAR2 = 8'b00000000; 11'h1b1: VAR2 = 8'b00000000; 11'h1b2: VAR2 = 8'b00000000; 11'h1b3: VAR2 = 8'b00000000; 11'h1b4: VAR2 = 8'b00000000; 11'h1b5: VAR2 = 8'b00110000; 11'h1b6: VAR2 = 8'b01100000; 11'h1b7: VAR2 = 8'b11111110; 11'h1b8: VAR2 = 8'b01100000; 11'h1b9: VAR2 = 8'b00110000; 11'h1ba: VAR2 = 8'b00000000; 11'h1bb: VAR2 = 8'b00000000; 11'h1bc: VAR2 = 8'b00000000; 11'h1bd: VAR2 = 8'b00000000; 11'h1be: VAR2 = 8'b00000000; 11'h1bf: VAR2 = 8'b00000000; 11'h1c0: VAR2 = 8'b00000000; 11'h1c1: VAR2 = 8'b00000000; 11'h1c2: VAR2 = 8'b00000000; 11'h1c3: VAR2 = 8'b00000000; 11'h1c4: VAR2 = 8'b00000000; 11'h1c5: VAR2 = 8'b00000000; 11'h1c6: VAR2 = 8'b11000000; 11'h1c7: VAR2 = 8'b11000000; 11'h1c8: VAR2 = 8'b11000000; 11'h1c9: VAR2 = 8'b11111110; 11'h1ca: VAR2 = 8'b00000000; 11'h1cb: VAR2 = 8'b00000000; 11'h1cc: VAR2 = 8'b00000000; 11'h1cd: VAR2 = 8'b00000000; 11'h1ce: VAR2 = 8'b00000000; 11'h1cf: VAR2 = 8'b00000000; 11'h1d0: VAR2 = 8'b00000000; 11'h1d1: VAR2 = 8'b00000000; 11'h1d2: VAR2 = 8'b00000000; 11'h1d3: VAR2 = 8'b00000000; 11'h1d4: VAR2 = 8'b00000000; 11'h1d5: VAR2 = 8'b00100100; 11'h1d6: VAR2 = 8'b01100110; 11'h1d7: VAR2 = 8'b11111111; 11'h1d8: VAR2 = 8'b01100110; 11'h1d9: VAR2 = 8'b00100100; 11'h1da: VAR2 = 8'b00000000; 11'h1db: VAR2 = 8'b00000000; 11'h1dc: VAR2 = 8'b00000000; 11'h1dd: VAR2 = 8'b00000000; 11'h1de: VAR2 = 8'b00000000; 11'h1df: VAR2 = 8'b00000000; 11'h1e0: VAR2 = 8'b00000000; 11'h1e1: VAR2 = 8'b00000000; 11'h1e2: VAR2 = 8'b00000000; 11'h1e3: VAR2 = 8'b00000000; 11'h1e4: VAR2 = 8'b00010000; 11'h1e5: VAR2 = 8'b00111000; 11'h1e6: VAR2 = 8'b00111000; 11'h1e7: VAR2 = 8'b01111100; 11'h1e8: VAR2 = 8'b01111100; 11'h1e9: VAR2 = 8'b11111110; 11'h1ea: VAR2 = 8'b11111110; 11'h1eb: VAR2 = 8'b00000000; 11'h1ec: VAR2 = 8'b00000000; 11'h1ed: VAR2 = 8'b00000000; 11'h1ee: VAR2 = 8'b00000000; 11'h1ef: VAR2 = 8'b00000000; 11'h1f0: VAR2 = 8'b00000000; 11'h1f1: VAR2 = 8'b00000000; 11'h1f2: VAR2 = 8'b00000000; 11'h1f3: VAR2 = 8'b00000000; 11'h1f4: VAR2 = 8'b11111110; 11'h1f5: VAR2 = 8'b11111110; 11'h1f6: VAR2 = 8'b01111100; 11'h1f7: VAR2 = 8'b01111100; 11'h1f8: VAR2 = 8'b00111000; 11'h1f9: VAR2 = 8'b00111000; 11'h1fa: VAR2 = 8'b00010000; 11'h1fb: VAR2 = 8'b00000000; 11'h1fc: VAR2 = 8'b00000000; 11'h1fd: VAR2 = 8'b00000000; 11'h1fe: VAR2 = 8'b00000000; 11'h1ff: VAR2 = 8'b00000000; 11'h200: VAR2 = 8'b00000000; 11'h201: VAR2 = 8'b00000000; 11'h202: VAR2 = 8'b00000000; 11'h203: VAR2 = 8'b00000000; 11'h204: VAR2 = 8'b00000000; 11'h205: VAR2 = 8'b00000000; 11'h206: VAR2 = 8'b00000000; 11'h207: VAR2 = 8'b00000000; 11'h208: VAR2 = 8'b00000000; 11'h209: VAR2 = 8'b00000000; 11'h20a: VAR2 = 8'b00000000; 11'h20b: VAR2 = 8'b00000000; 11'h20c: VAR2 = 8'b00000000; 11'h20d: VAR2 = 8'b00000000; 11'h20e: VAR2 = 8'b00000000; 11'h20f: VAR2 = 8'b00000000; 11'h210: VAR2 = 8'b00000000; 11'h211: VAR2 = 8'b00000000; 11'h212: VAR2 = 8'b00011000; 11'h213: VAR2 = 8'b00111100; 11'h214: VAR2 = 8'b00111100; 11'h215: VAR2 = 8'b00111100; 11'h216: VAR2 = 8'b00011000; 11'h217: VAR2 = 8'b00011000; 11'h218: VAR2 = 8'b00011000; 11'h219: VAR2 = 8'b00000000; 11'h21a: VAR2 = 8'b00011000; 11'h21b: VAR2 = 8'b00011000; 11'h21c: VAR2 = 8'b00000000; 11'h21d: VAR2 = 8'b00000000; 11'h21e: VAR2 = 8'b00000000; 11'h21f: VAR2 = 8'b00000000; 11'h220: VAR2 = 8'b00000000; 11'h221: VAR2 = 8'b01100110; 11'h222: VAR2 = 8'b01100110; 11'h223: VAR2 = 8'b01100110; 11'h224: VAR2 = 8'b00100100; 11'h225: VAR2 = 8'b00000000; 11'h226: VAR2 = 8'b00000000; 11'h227: VAR2 = 8'b00000000; 11'h228: VAR2 = 8'b00000000; 11'h229: VAR2 = 8'b00000000; 11'h22a: VAR2 = 8'b00000000; 11'h22b: VAR2 = 8'b00000000; 11'h22c: VAR2 = 8'b00000000; 11'h22d: VAR2 = 8'b00000000; 11'h22e: VAR2 = 8'b00000000; 11'h22f: VAR2 = 8'b00000000; 11'h230: VAR2 = 8'b00000000; 11'h231: VAR2 = 8'b00000000; 11'h232: VAR2 = 8'b00000000; 11'h233: VAR2 = 8'b01101100; 11'h234: VAR2 = 8'b01101100; 11'h235: VAR2 = 8'b11111110; 11'h236: VAR2 = 8'b01101100; 11'h237: VAR2 = 8'b01101100; 11'h238: VAR2 = 8'b01101100; 11'h239: VAR2 = 8'b11111110; 11'h23a: VAR2 = 8'b01101100; 11'h23b: VAR2 = 8'b01101100; 11'h23c: VAR2 = 8'b00000000; 11'h23d: VAR2 = 8'b00000000; 11'h23e: VAR2 = 8'b00000000; 11'h23f: VAR2 = 8'b00000000; 11'h240: VAR2 = 8'b00011000; 11'h241: VAR2 = 8'b00011000; 11'h242: VAR2 = 8'b01111100; 11'h243: VAR2 = 8'b11000110; 11'h244: VAR2 = 8'b11000010; 11'h245: VAR2 = 8'b11000000; 11'h246: VAR2 = 8'b01111100; 11'h247: VAR2 = 8'b00000110; 11'h248: VAR2 = 8'b00000110; 11'h249: VAR2 = 8'b10000110; 11'h24a: VAR2 = 8'b11000110; 11'h24b: VAR2 = 8'b01111100; 11'h24c: VAR2 = 8'b00011000; 11'h24d: VAR2 = 8'b00011000; 11'h24e: VAR2 = 8'b00000000; 11'h24f: VAR2 = 8'b00000000; 11'h250: VAR2 = 8'b00000000; 11'h251: VAR2 = 8'b00000000; 11'h252: VAR2 = 8'b00000000; 11'h253: VAR2 = 8'b00000000; 11'h254: VAR2 = 8'b11000010; 11'h255: VAR2 = 8'b11000110; 11'h256: VAR2 = 8'b00001100; 11'h257: VAR2 = 8'b00011000; 11'h258: VAR2 = 8'b00110000; 11'h259: VAR2 = 8'b01100000; 11'h25a: VAR2 = 8'b11000110; 11'h25b: VAR2 = 8'b10000110; 11'h25c: VAR2 = 8'b00000000; 11'h25d: VAR2 = 8'b00000000; 11'h25e: VAR2 = 8'b00000000; 11'h25f: VAR2 = 8'b00000000; 11'h260: VAR2 = 8'b00000000; 11'h261: VAR2 = 8'b00000000; 11'h262: VAR2 = 8'b00111000; 11'h263: VAR2 = 8'b01101100; 11'h264: VAR2 = 8'b01101100; 11'h265: VAR2 = 8'b00111000; 11'h266: VAR2 = 8'b01110110; 11'h267: VAR2 = 8'b11011100; 11'h268: VAR2 = 8'b11001100; 11'h269: VAR2 = 8'b11001100; 11'h26a: VAR2 = 8'b11001100; 11'h26b: VAR2 = 8'b01110110; 11'h26c: VAR2 = 8'b00000000; 11'h26d: VAR2 = 8'b00000000; 11'h26e: VAR2 = 8'b00000000; 11'h26f: VAR2 = 8'b00000000; 11'h270: VAR2 = 8'b00000000; 11'h271: VAR2 = 8'b00110000; 11'h272: VAR2 = 8'b00110000; 11'h273: VAR2 = 8'b00110000; 11'h274: VAR2 = 8'b01100000; 11'h275: VAR2 = 8'b00000000; 11'h276: VAR2 = 8'b00000000; 11'h277: VAR2 = 8'b00000000; 11'h278: VAR2 = 8'b00000000; 11'h279: VAR2 = 8'b00000000; 11'h27a: VAR2 = 8'b00000000; 11'h27b: VAR2 = 8'b00000000; 11'h27c: VAR2 = 8'b00000000; 11'h27d: VAR2 = 8'b00000000; 11'h27e: VAR2 = 8'b00000000; 11'h27f: VAR2 = 8'b00000000; 11'h280: VAR2 = 8'b00000000; 11'h281: VAR2 = 8'b00000000; 11'h282: VAR2 = 8'b00001100; 11'h283: VAR2 = 8'b00011000; 11'h284: VAR2 = 8'b00110000; 11'h285: VAR2 = 8'b00110000; 11'h286: VAR2 = 8'b00110000; 11'h287: VAR2 = 8'b00110000; 11'h288: VAR2 = 8'b00110000; 11'h289: VAR2 = 8'b00110000; 11'h28a: VAR2 = 8'b00011000; 11'h28b: VAR2 = 8'b00001100; 11'h28c: VAR2 = 8'b00000000; 11'h28d: VAR2 = 8'b00000000; 11'h28e: VAR2 = 8'b00000000; 11'h28f: VAR2 = 8'b00000000; 11'h290: VAR2 = 8'b00000000; 11'h291: VAR2 = 8'b00000000; 11'h292: VAR2 = 8'b00110000; 11'h293: VAR2 = 8'b00011000; 11'h294: VAR2 = 8'b00001100; 11'h295: VAR2 = 8'b00001100; 11'h296: VAR2 = 8'b00001100; 11'h297: VAR2 = 8'b00001100; 11'h298: VAR2 = 8'b00001100; 11'h299: VAR2 = 8'b00001100; 11'h29a: VAR2 = 8'b00011000; 11'h29b: VAR2 = 8'b00110000; 11'h29c: VAR2 = 8'b00000000; 11'h29d: VAR2 = 8'b00000000; 11'h29e: VAR2 = 8'b00000000; 11'h29f: VAR2 = 8'b00000000; 11'h2a0: VAR2 = 8'b00000000; 11'h2a1: VAR2 = 8'b00000000; 11'h2a2: VAR2 = 8'b00000000; 11'h2a3: VAR2 = 8'b00000000; 11'h2a4: VAR2 = 8'b00000000; 11'h2a5: VAR2 = 8'b01100110; 11'h2a6: VAR2 = 8'b00111100; 11'h2a7: VAR2 = 8'b11111111; 11'h2a8: VAR2 = 8'b00111100; 11'h2a9: VAR2 = 8'b01100110; 11'h2aa: VAR2 = 8'b00000000; 11'h2ab: VAR2 = 8'b00000000; 11'h2ac: VAR2 = 8'b00000000; 11'h2ad: VAR2 = 8'b00000000; 11'h2ae: VAR2 = 8'b00000000; 11'h2af: VAR2 = 8'b00000000; 11'h2b0: VAR2 = 8'b00000000; 11'h2b1: VAR2 = 8'b00000000; 11'h2b2: VAR2 = 8'b00000000; 11'h2b3: VAR2 = 8'b00000000; 11'h2b4: VAR2 = 8'b00000000; 11'h2b5: VAR2 = 8'b00011000; 11'h2b6: VAR2 = 8'b00011000; 11'h2b7: VAR2 = 8'b01111110; 11'h2b8: VAR2 = 8'b00011000; 11'h2b9: VAR2 = 8'b00011000; 11'h2ba: VAR2 = 8'b00000000; 11'h2bb: VAR2 = 8'b00000000; 11'h2bc: VAR2 = 8'b00000000; 11'h2bd: VAR2 = 8'b00000000; 11'h2be: VAR2 = 8'b00000000; 11'h2bf: VAR2 = 8'b00000000; 11'h2c0: VAR2 = 8'b00000000; 11'h2c1: VAR2 = 8'b00000000; 11'h2c2: VAR2 = 8'b00000000; 11'h2c3: VAR2 = 8'b00000000; 11'h2c4: VAR2 = 8'b00000000; 11'h2c5: VAR2 = 8'b00000000; 11'h2c6: VAR2 = 8'b00000000; 11'h2c7: VAR2 = 8'b00000000; 11'h2c8: VAR2 = 8'b00000000; 11'h2c9: VAR2 = 8'b00011000; 11'h2ca: VAR2 = 8'b00011000; 11'h2cb: VAR2 = 8'b00011000; 11'h2cc: VAR2 = 8'b00110000; 11'h2cd: VAR2 = 8'b00000000; 11'h2ce: VAR2 = 8'b00000000; 11'h2cf: VAR2 = 8'b00000000; 11'h2d0: VAR2 = 8'b00000000; 11'h2d1: VAR2 = 8'b00000000; 11'h2d2: VAR2 = 8'b00000000; 11'h2d3: VAR2 = 8'b00000000; 11'h2d4: VAR2 = 8'b00000000; 11'h2d5: VAR2 = 8'b00000000; 11'h2d6: VAR2 = 8'b00000000; 11'h2d7: VAR2 = 8'b01111110; 11'h2d8: VAR2 = 8'b00000000; 11'h2d9: VAR2 = 8'b00000000; 11'h2da: VAR2 = 8'b00000000; 11'h2db: VAR2 = 8'b00000000; 11'h2dc: VAR2 = 8'b00000000; 11'h2dd: VAR2 = 8'b00000000; 11'h2de: VAR2 = 8'b00000000; 11'h2df: VAR2 = 8'b00000000; 11'h2e0: VAR2 = 8'b00000000; 11'h2e1: VAR2 = 8'b00000000; 11'h2e2: VAR2 = 8'b00000000; 11'h2e3: VAR2 = 8'b00000000; 11'h2e4: VAR2 = 8'b00000000; 11'h2e5: VAR2 = 8'b00000000; 11'h2e6: VAR2 = 8'b00000000; 11'h2e7: VAR2 = 8'b00000000; 11'h2e8: VAR2 = 8'b00000000; 11'h2e9: VAR2 = 8'b00000000; 11'h2ea: VAR2 = 8'b00011000; 11'h2eb: VAR2 = 8'b00011000; 11'h2ec: VAR2 = 8'b00000000; 11'h2ed: VAR2 = 8'b00000000; 11'h2ee: VAR2 = 8'b00000000; 11'h2ef: VAR2 = 8'b00000000; 11'h2f0: VAR2 = 8'b00000000; 11'h2f1: VAR2 = 8'b00000000; 11'h2f2: VAR2 = 8'b00000000; 11'h2f3: VAR2 = 8'b00000000; 11'h2f4: VAR2 = 8'b00000010; 11'h2f5: VAR2 = 8'b00000110; 11'h2f6: VAR2 = 8'b00001100; 11'h2f7: VAR2 = 8'b00011000; 11'h2f8: VAR2 = 8'b00110000; 11'h2f9: VAR2 = 8'b01100000; 11'h2fa: VAR2 = 8'b11000000; 11'h2fb: VAR2 = 8'b10000000; 11'h2fc: VAR2 = 8'b00000000; 11'h2fd: VAR2 = 8'b00000000; 11'h2fe: VAR2 = 8'b00000000; 11'h2ff: VAR2 = 8'b00000000; 11'h300: VAR2 = 8'b00000000; 11'h301: VAR2 = 8'b00000000; 11'h302: VAR2 = 8'b01111100; 11'h303: VAR2 = 8'b11000110; 11'h304: VAR2 = 8'b11000110; 11'h305: VAR2 = 8'b11001110; 11'h306: VAR2 = 8'b11011110; 11'h307: VAR2 = 8'b11110110; 11'h308: VAR2 = 8'b11100110; 11'h309: VAR2 = 8'b11000110; 11'h30a: VAR2 = 8'b11000110; 11'h30b: VAR2 = 8'b01111100; 11'h30c: VAR2 = 8'b00000000; 11'h30d: VAR2 = 8'b00000000; 11'h30e: VAR2 = 8'b00000000; 11'h30f: VAR2 = 8'b00000000; 11'h310: VAR2 = 8'b00000000; 11'h311: VAR2 = 8'b00000000; 11'h312: VAR2 = 8'b00011000; 11'h313: VAR2 = 8'b00111000; 11'h314: VAR2 = 8'b01111000; 11'h315: VAR2 = 8'b00011000; 11'h316: VAR2 = 8'b00011000; 11'h317: VAR2 = 8'b00011000; 11'h318: VAR2 = 8'b00011000; 11'h319: VAR2 = 8'b00011000; 11'h31a: VAR2 = 8'b00011000; 11'h31b: VAR2 = 8'b01111110; 11'h31c: VAR2 = 8'b00000000; 11'h31d: VAR2 = 8'b00000000; 11'h31e: VAR2 = 8'b00000000; 11'h31f: VAR2 = 8'b00000000; 11'h320: VAR2 = 8'b00000000; 11'h321: VAR2 = 8'b00000000; 11'h322: VAR2 = 8'b01111100; 11'h323: VAR2 = 8'b11000110; 11'h324: VAR2 = 8'b00000110; 11'h325: VAR2 = 8'b00001100; 11'h326: VAR2 = 8'b00011000; 11'h327: VAR2 = 8'b00110000; 11'h328: VAR2 = 8'b01100000; 11'h329: VAR2 = 8'b11000000; 11'h32a: VAR2 = 8'b11000110; 11'h32b: VAR2 = 8'b11111110; 11'h32c: VAR2 = 8'b00000000; 11'h32d: VAR2 = 8'b00000000; 11'h32e: VAR2 = 8'b00000000; 11'h32f: VAR2 = 8'b00000000; 11'h330: VAR2 = 8'b00000000; 11'h331: VAR2 = 8'b00000000; 11'h332: VAR2 = 8'b01111100; 11'h333: VAR2 = 8'b11000110; 11'h334: VAR2 = 8'b00000110; 11'h335: VAR2 = 8'b00000110; 11'h336: VAR2 = 8'b00111100; 11'h337: VAR2 = 8'b00000110; 11'h338: VAR2 = 8'b00000110; 11'h339: VAR2 = 8'b00000110; 11'h33a: VAR2 = 8'b11000110; 11'h33b: VAR2 = 8'b01111100; 11'h33c: VAR2 = 8'b00000000; 11'h33d: VAR2 = 8'b00000000; 11'h33e: VAR2 = 8'b00000000; 11'h33f: VAR2 = 8'b00000000; 11'h340: VAR2 = 8'b00000000; 11'h341: VAR2 = 8'b00000000; 11'h342: VAR2 = 8'b00001100; 11'h343: VAR2 = 8'b00011100; 11'h344: VAR2 = 8'b00111100; 11'h345: VAR2 = 8'b01101100; 11'h346: VAR2 = 8'b11001100; 11'h347: VAR2 = 8'b11111110; 11'h348: VAR2 = 8'b00001100; 11'h349: VAR2 = 8'b00001100; 11'h34a: VAR2 = 8'b00001100; 11'h34b: VAR2 = 8'b00011110; 11'h34c: VAR2 = 8'b00000000; 11'h34d: VAR2 = 8'b00000000; 11'h34e: VAR2 = 8'b00000000; 11'h34f: VAR2 = 8'b00000000; 11'h350: VAR2 = 8'b00000000; 11'h351: VAR2 = 8'b00000000; 11'h352: VAR2 = 8'b11111110; 11'h353: VAR2 = 8'b11000000; 11'h354: VAR2 = 8'b11000000; 11'h355: VAR2 = 8'b11000000; 11'h356: VAR2 = 8'b11111100; 11'h357: VAR2 = 8'b00000110; 11'h358: VAR2 = 8'b00000110; 11'h359: VAR2 = 8'b00000110; 11'h35a: VAR2 = 8'b11000110; 11'h35b: VAR2 = 8'b01111100; 11'h35c: VAR2 = 8'b00000000; 11'h35d: VAR2 = 8'b00000000; 11'h35e: VAR2 = 8'b00000000; 11'h35f: VAR2 = 8'b00000000; 11'h360: VAR2 = 8'b00000000; 11'h361: VAR2 = 8'b00000000; 11'h362: VAR2 = 8'b00111000; 11'h363: VAR2 = 8'b01100000; 11'h364: VAR2 = 8'b11000000; 11'h365: VAR2 = 8'b11000000; 11'h366: VAR2 = 8'b11111100; 11'h367: VAR2 = 8'b11000110; 11'h368: VAR2 = 8'b11000110; 11'h369: VAR2 = 8'b11000110; 11'h36a: VAR2 = 8'b11000110; 11'h36b: VAR2 = 8'b01111100; 11'h36c: VAR2 = 8'b00000000; 11'h36d: VAR2 = 8'b00000000; 11'h36e: VAR2 = 8'b00000000; 11'h36f: VAR2 = 8'b00000000; 11'h370: VAR2 = 8'b00000000; 11'h371: VAR2 = 8'b00000000; 11'h372: VAR2 = 8'b11111110; 11'h373: VAR2 = 8'b11000110; 11'h374: VAR2 = 8'b00000110; 11'h375: VAR2 = 8'b00000110; 11'h376: VAR2 = 8'b00001100; 11'h377: VAR2 = 8'b00011000; 11'h378: VAR2 = 8'b00110000; 11'h379: VAR2 = 8'b00110000; 11'h37a: VAR2 = 8'b00110000; 11'h37b: VAR2 = 8'b00110000; 11'h37c: VAR2 = 8'b00000000; 11'h37d: VAR2 = 8'b00000000; 11'h37e: VAR2 = 8'b00000000; 11'h37f: VAR2 = 8'b00000000; 11'h380: VAR2 = 8'b00000000; 11'h381: VAR2 = 8'b00000000; 11'h382: VAR2 = 8'b01111100; 11'h383: VAR2 = 8'b11000110; 11'h384: VAR2 = 8'b11000110; 11'h385: VAR2 = 8'b11000110; 11'h386: VAR2 = 8'b01111100; 11'h387: VAR2 = 8'b11000110; 11'h388: VAR2 = 8'b11000110; 11'h389: VAR2 = 8'b11000110; 11'h38a: VAR2 = 8'b11000110; 11'h38b: VAR2 = 8'b01111100; 11'h38c: VAR2 = 8'b00000000; 11'h38d: VAR2 = 8'b00000000; 11'h38e: VAR2 = 8'b00000000; 11'h38f: VAR2 = 8'b00000000; 11'h390: VAR2 = 8'b00000000; 11'h391: VAR2 = 8'b00000000; 11'h392: VAR2 = 8'b01111100; 11'h393: VAR2 = 8'b11000110; 11'h394: VAR2 = 8'b11000110; 11'h395: VAR2 = 8'b11000110; 11'h396: VAR2 = 8'b01111110; 11'h397: VAR2 = 8'b00000110; 11'h398: VAR2 = 8'b00000110; 11'h399: VAR2 = 8'b00000110; 11'h39a: VAR2 = 8'b00001100; 11'h39b: VAR2 = 8'b01111000; 11'h39c: VAR2 = 8'b00000000; 11'h39d: VAR2 = 8'b00000000; 11'h39e: VAR2 = 8'b00000000; 11'h39f: VAR2 = 8'b00000000; 11'h3a0: VAR2 = 8'b00000000; 11'h3a1: VAR2 = 8'b00000000; 11'h3a2: VAR2 = 8'b00000000; 11'h3a3: VAR2 = 8'b00000000; 11'h3a4: VAR2 = 8'b00011000; 11'h3a5: VAR2 = 8'b00011000; 11'h3a6: VAR2 = 8'b00000000; 11'h3a7: VAR2 = 8'b00000000; 11'h3a8: VAR2 = 8'b00000000; 11'h3a9: VAR2 = 8'b00011000; 11'h3aa: VAR2 = 8'b00011000; 11'h3ab: VAR2 = 8'b00000000; 11'h3ac: VAR2 = 8'b00000000; 11'h3ad: VAR2 = 8'b00000000; 11'h3ae: VAR2 = 8'b00000000; 11'h3af: VAR2 = 8'b00000000; 11'h3b0: VAR2 = 8'b00000000; 11'h3b1: VAR2 = 8'b00000000; 11'h3b2: VAR2 = 8'b00000000; 11'h3b3: VAR2 = 8'b00000000; 11'h3b4: VAR2 = 8'b00011000; 11'h3b5: VAR2 = 8'b00011000; 11'h3b6: VAR2 = 8'b00000000; 11'h3b7: VAR2 = 8'b00000000; 11'h3b8: VAR2 = 8'b00000000; 11'h3b9: VAR2 = 8'b00011000; 11'h3ba: VAR2 = 8'b00011000; 11'h3bb: VAR2 = 8'b00110000; 11'h3bc: VAR2 = 8'b00000000; 11'h3bd: VAR2 = 8'b00000000; 11'h3be: VAR2 = 8'b00000000; 11'h3bf: VAR2 = 8'b00000000; 11'h3c0: VAR2 = 8'b00000000; 11'h3c1: VAR2 = 8'b00000000; 11'h3c2: VAR2 = 8'b00000000; 11'h3c3: VAR2 = 8'b00000110; 11'h3c4: VAR2 = 8'b00001100; 11'h3c5: VAR2 = 8'b00011000; 11'h3c6: VAR2 = 8'b00110000; 11'h3c7: VAR2 = 8'b01100000; 11'h3c8: VAR2 = 8'b00110000; 11'h3c9: VAR2 = 8'b00011000; 11'h3ca: VAR2 = 8'b00001100; 11'h3cb: VAR2 = 8'b00000110; 11'h3cc: VAR2 = 8'b00000000; 11'h3cd: VAR2 = 8'b00000000; 11'h3ce: VAR2 = 8'b00000000; 11'h3cf: VAR2 = 8'b00000000; 11'h3d0: VAR2 = 8'b00000000; 11'h3d1: VAR2 = 8'b00000000; 11'h3d2: VAR2 = 8'b00000000; 11'h3d3: VAR2 = 8'b00000000; 11'h3d4: VAR2 = 8'b00000000; 11'h3d5: VAR2 = 8'b01111110; 11'h3d6: VAR2 = 8'b00000000; 11'h3d7: VAR2 = 8'b00000000; 11'h3d8: VAR2 = 8'b01111110; 11'h3d9: VAR2 = 8'b00000000; 11'h3da: VAR2 = 8'b00000000; 11'h3db: VAR2 = 8'b00000000; 11'h3dc: VAR2 = 8'b00000000; 11'h3dd: VAR2 = 8'b00000000; 11'h3de: VAR2 = 8'b00000000; 11'h3df: VAR2 = 8'b00000000; 11'h3e0: VAR2 = 8'b00000000; 11'h3e1: VAR2 = 8'b00000000; 11'h3e2: VAR2 = 8'b00000000; 11'h3e3: VAR2 = 8'b01100000; 11'h3e4: VAR2 = 8'b00110000; 11'h3e5: VAR2 = 8'b00011000; 11'h3e6: VAR2 = 8'b00001100; 11'h3e7: VAR2 = 8'b00000110; 11'h3e8: VAR2 = 8'b00001100; 11'h3e9: VAR2 = 8'b00011000; 11'h3ea: VAR2 = 8'b00110000; 11'h3eb: VAR2 = 8'b01100000; 11'h3ec: VAR2 = 8'b00000000; 11'h3ed: VAR2 = 8'b00000000; 11'h3ee: VAR2 = 8'b00000000; 11'h3ef: VAR2 = 8'b00000000; 11'h3f0: VAR2 = 8'b00000000; 11'h3f1: VAR2 = 8'b00000000; 11'h3f2: VAR2 = 8'b01111100; 11'h3f3: VAR2 = 8'b11000110; 11'h3f4: VAR2 = 8'b11000110; 11'h3f5: VAR2 = 8'b00001100; 11'h3f6: VAR2 = 8'b00011000; 11'h3f7: VAR2 = 8'b00011000; 11'h3f8: VAR2 = 8'b00011000; 11'h3f9: VAR2 = 8'b00000000; 11'h3fa: VAR2 = 8'b00011000; 11'h3fb: VAR2 = 8'b00011000; 11'h3fc: VAR2 = 8'b00000000; 11'h3fd: VAR2 = 8'b00000000; 11'h3fe: VAR2 = 8'b00000000; 11'h3ff: VAR2 = 8'b00000000; 11'h400: VAR2 = 8'b00000000; 11'h401: VAR2 = 8'b00000000; 11'h402: VAR2 = 8'b01111100; 11'h403: VAR2 = 8'b11000110; 11'h404: VAR2 = 8'b11000110; 11'h405: VAR2 = 8'b11000110; 11'h406: VAR2 = 8'b11011110; 11'h407: VAR2 = 8'b11011110; 11'h408: VAR2 = 8'b11011110; 11'h409: VAR2 = 8'b11011100; 11'h40a: VAR2 = 8'b11000000; 11'h40b: VAR2 = 8'b01111100; 11'h40c: VAR2 = 8'b00000000; 11'h40d: VAR2 = 8'b00000000; 11'h40e: VAR2 = 8'b00000000; 11'h40f: VAR2 = 8'b00000000; 11'h410: VAR2 = 8'b00000000; 11'h411: VAR2 = 8'b00000000; 11'h412: VAR2 = 8'b00010000; 11'h413: VAR2 = 8'b00111000; 11'h414: VAR2 = 8'b01101100; 11'h415: VAR2 = 8'b11000110; 11'h416: VAR2 = 8'b11000110; 11'h417: VAR2 = 8'b11111110; 11'h418: VAR2 = 8'b11000110; 11'h419: VAR2 = 8'b11000110; 11'h41a: VAR2 = 8'b11000110; 11'h41b: VAR2 = 8'b11000110; 11'h41c: VAR2 = 8'b00000000; 11'h41d: VAR2 = 8'b00000000; 11'h41e: VAR2 = 8'b00000000; 11'h41f: VAR2 = 8'b00000000; 11'h420: VAR2 = 8'b00000000; 11'h421: VAR2 = 8'b00000000; 11'h422: VAR2 = 8'b11111100; 11'h423: VAR2 = 8'b01100110; 11'h424: VAR2 = 8'b01100110; 11'h425: VAR2 = 8'b01100110; 11'h426: VAR2 = 8'b01111100; 11'h427: VAR2 = 8'b01100110; 11'h428: VAR2 = 8'b01100110; 11'h429: VAR2 = 8'b01100110; 11'h42a: VAR2 = 8'b01100110; 11'h42b: VAR2 = 8'b11111100; 11'h42c: VAR2 = 8'b00000000; 11'h42d: VAR2 = 8'b00000000; 11'h42e: VAR2 = 8'b00000000; 11'h42f: VAR2 = 8'b00000000; 11'h430: VAR2 = 8'b00000000; 11'h431: VAR2 = 8'b00000000; 11'h432: VAR2 = 8'b00111100; 11'h433: VAR2 = 8'b01100110; 11'h434: VAR2 = 8'b11000010; 11'h435: VAR2 = 8'b11000000; 11'h436: VAR2 = 8'b11000000; 11'h437: VAR2 = 8'b11000000; 11'h438: VAR2 = 8'b11000000; 11'h439: VAR2 = 8'b11000010; 11'h43a: VAR2 = 8'b01100110; 11'h43b: VAR2 = 8'b00111100; 11'h43c: VAR2 = 8'b00000000; 11'h43d: VAR2 = 8'b00000000; 11'h43e: VAR2 = 8'b00000000; 11'h43f: VAR2 = 8'b00000000; 11'h440: VAR2 = 8'b00000000; 11'h441: VAR2 = 8'b00000000; 11'h442: VAR2 = 8'b11111000; 11'h443: VAR2 = 8'b01101100; 11'h444: VAR2 = 8'b01100110; 11'h445: VAR2 = 8'b01100110; 11'h446: VAR2 = 8'b01100110; 11'h447: VAR2 = 8'b01100110; 11'h448: VAR2 = 8'b01100110; 11'h449: VAR2 = 8'b01100110; 11'h44a: VAR2 = 8'b01101100; 11'h44b: VAR2 = 8'b11111000; 11'h44c: VAR2 = 8'b00000000; 11'h44d: VAR2 = 8'b00000000; 11'h44e: VAR2 = 8'b00000000; 11'h44f: VAR2 = 8'b00000000; 11'h450: VAR2 = 8'b00000000; 11'h451: VAR2 = 8'b00000000; 11'h452: VAR2 = 8'b11111110; 11'h453: VAR2 = 8'b01100110; 11'h454: VAR2 = 8'b01100010; 11'h455: VAR2 = 8'b01101000; 11'h456: VAR2 = 8'b01111000; 11'h457: VAR2 = 8'b01101000; 11'h458: VAR2 = 8'b01100000; 11'h459: VAR2 = 8'b01100010; 11'h45a: VAR2 = 8'b01100110; 11'h45b: VAR2 = 8'b11111110; 11'h45c: VAR2 = 8'b00000000; 11'h45d: VAR2 = 8'b00000000; 11'h45e: VAR2 = 8'b00000000; 11'h45f: VAR2 = 8'b00000000; 11'h460: VAR2 = 8'b00000000; 11'h461: VAR2 = 8'b00000000; 11'h462: VAR2 = 8'b11111110; 11'h463: VAR2 = 8'b01100110; 11'h464: VAR2 = 8'b01100010; 11'h465: VAR2 = 8'b01101000; 11'h466: VAR2 = 8'b01111000; 11'h467: VAR2 = 8'b01101000; 11'h468: VAR2 = 8'b01100000; 11'h469: VAR2 = 8'b01100000; 11'h46a: VAR2 = 8'b01100000; 11'h46b: VAR2 = 8'b11110000; 11'h46c: VAR2 = 8'b00000000; 11'h46d: VAR2 = 8'b00000000; 11'h46e: VAR2 = 8'b00000000; 11'h46f: VAR2 = 8'b00000000; 11'h470: VAR2 = 8'b00000000; 11'h471: VAR2 = 8'b00000000; 11'h472: VAR2 = 8'b00111100; 11'h473: VAR2 = 8'b01100110; 11'h474: VAR2 = 8'b11000010; 11'h475: VAR2 = 8'b11000000; 11'h476: VAR2 = 8'b11000000; 11'h477: VAR2 = 8'b11011110; 11'h478: VAR2 = 8'b11000110; 11'h479: VAR2 = 8'b11000110; 11'h47a: VAR2 = 8'b01100110; 11'h47b: VAR2 = 8'b00111010; 11'h47c: VAR2 = 8'b00000000; 11'h47d: VAR2 = 8'b00000000; 11'h47e: VAR2 = 8'b00000000; 11'h47f: VAR2 = 8'b00000000; 11'h480: VAR2 = 8'b00000000; 11'h481: VAR2 = 8'b00000000; 11'h482: VAR2 = 8'b11000110; 11'h483: VAR2 = 8'b11000110; 11'h484: VAR2 = 8'b11000110; 11'h485: VAR2 = 8'b11000110; 11'h486: VAR2 = 8'b11111110; 11'h487: VAR2 = 8'b11000110; 11'h488: VAR2 = 8'b11000110; 11'h489: VAR2 = 8'b11000110; 11'h48a: VAR2 = 8'b11000110; 11'h48b: VAR2 = 8'b11000110; 11'h48c: VAR2 = 8'b00000000; 11'h48d: VAR2 = 8'b00000000; 11'h48e: VAR2 = 8'b00000000; 11'h48f: VAR2 = 8'b00000000; 11'h490: VAR2 = 8'b00000000; 11'h491: VAR2 = 8'b00000000; 11'h492: VAR2 = 8'b00111100; 11'h493: VAR2 = 8'b00011000; 11'h494: VAR2 = 8'b00011000; 11'h495: VAR2 = 8'b00011000; 11'h496: VAR2 = 8'b00011000; 11'h497: VAR2 = 8'b00011000; 11'h498: VAR2 = 8'b00011000; 11'h499: VAR2 = 8'b00011000; 11'h49a: VAR2 = 8'b00011000; 11'h49b: VAR2 = 8'b00111100; 11'h49c: VAR2 = 8'b00000000; 11'h49d: VAR2 = 8'b00000000; 11'h49e: VAR2 = 8'b00000000; 11'h49f: VAR2 = 8'b00000000; 11'h4a0: VAR2 = 8'b00000000; 11'h4a1: VAR2 = 8'b00000000; 11'h4a2: VAR2 = 8'b00011110; 11'h4a3: VAR2 = 8'b00001100; 11'h4a4: VAR2 = 8'b00001100; 11'h4a5: VAR2 = 8'b00001100; 11'h4a6: VAR2 = 8'b00001100; 11'h4a7: VAR2 = 8'b00001100; 11'h4a8: VAR2 = 8'b11001100; 11'h4a9: VAR2 = 8'b11001100; 11'h4aa: VAR2 = 8'b11001100; 11'h4ab: VAR2 = 8'b01111000; 11'h4ac: VAR2 = 8'b00000000; 11'h4ad: VAR2 = 8'b00000000; 11'h4ae: VAR2 = 8'b00000000; 11'h4af: VAR2 = 8'b00000000; 11'h4b0: VAR2 = 8'b00000000; 11'h4b1: VAR2 = 8'b00000000; 11'h4b2: VAR2 = 8'b11100110; 11'h4b3: VAR2 = 8'b01100110; 11'h4b4: VAR2 = 8'b01100110; 11'h4b5: VAR2 = 8'b01101100; 11'h4b6: VAR2 = 8'b01111000; 11'h4b7: VAR2 = 8'b01111000; 11'h4b8: VAR2 = 8'b01101100; 11'h4b9: VAR2 = 8'b01100110; 11'h4ba: VAR2 = 8'b01100110; 11'h4bb: VAR2 = 8'b11100110; 11'h4bc: VAR2 = 8'b00000000; 11'h4bd: VAR2 = 8'b00000000; 11'h4be: VAR2 = 8'b00000000; 11'h4bf: VAR2 = 8'b00000000; 11'h4c0: VAR2 = 8'b00000000; 11'h4c1: VAR2 = 8'b00000000; 11'h4c2: VAR2 = 8'b11110000; 11'h4c3: VAR2 = 8'b01100000; 11'h4c4: VAR2 = 8'b01100000; 11'h4c5: VAR2 = 8'b01100000; 11'h4c6: VAR2 = 8'b01100000; 11'h4c7: VAR2 = 8'b01100000; 11'h4c8: VAR2 = 8'b01100000; 11'h4c9: VAR2 = 8'b01100010; 11'h4ca: VAR2 = 8'b01100110; 11'h4cb: VAR2 = 8'b11111110; 11'h4cc: VAR2 = 8'b00000000; 11'h4cd: VAR2 = 8'b00000000; 11'h4ce: VAR2 = 8'b00000000; 11'h4cf: VAR2 = 8'b00000000; 11'h4d0: VAR2 = 8'b00000000; 11'h4d1: VAR2 = 8'b00000000; 11'h4d2: VAR2 = 8'b11000011; 11'h4d3: VAR2 = 8'b11100111; 11'h4d4: VAR2 = 8'b11111111; 11'h4d5: VAR2 = 8'b11111111; 11'h4d6: VAR2 = 8'b11011011; 11'h4d7: VAR2 = 8'b11000011; 11'h4d8: VAR2 = 8'b11000011; 11'h4d9: VAR2 = 8'b11000011; 11'h4da: VAR2 = 8'b11000011; 11'h4db: VAR2 = 8'b11000011; 11'h4dc: VAR2 = 8'b00000000; 11'h4dd: VAR2 = 8'b00000000; 11'h4de: VAR2 = 8'b00000000; 11'h4df: VAR2 = 8'b00000000; 11'h4e0: VAR2 = 8'b00000000; 11'h4e1: VAR2 = 8'b00000000; 11'h4e2: VAR2 = 8'b11000110; 11'h4e3: VAR2 = 8'b11100110; 11'h4e4: VAR2 = 8'b11110110; 11'h4e5: VAR2 = 8'b11111110; 11'h4e6: VAR2 = 8'b11011110; 11'h4e7: VAR2 = 8'b11001110; 11'h4e8: VAR2 = 8'b11000110; 11'h4e9: VAR2 = 8'b11000110; 11'h4ea: VAR2 = 8'b11000110; 11'h4eb: VAR2 = 8'b11000110; 11'h4ec: VAR2 = 8'b00000000; 11'h4ed: VAR2 = 8'b00000000; 11'h4ee: VAR2 = 8'b00000000; 11'h4ef: VAR2 = 8'b00000000; 11'h4f0: VAR2 = 8'b00000000; 11'h4f1: VAR2 = 8'b00000000; 11'h4f2: VAR2 = 8'b01111100; 11'h4f3: VAR2 = 8'b11000110; 11'h4f4: VAR2 = 8'b11000110; 11'h4f5: VAR2 = 8'b11000110; 11'h4f6: VAR2 = 8'b11000110; 11'h4f7: VAR2 = 8'b11000110; 11'h4f8: VAR2 = 8'b11000110; 11'h4f9: VAR2 = 8'b11000110; 11'h4fa: VAR2 = 8'b11000110; 11'h4fb: VAR2 = 8'b01111100; 11'h4fc: VAR2 = 8'b00000000; 11'h4fd: VAR2 = 8'b00000000; 11'h4fe: VAR2 = 8'b00000000; 11'h4ff: VAR2 = 8'b00000000; 11'h500: VAR2 = 8'b00000000; 11'h501: VAR2 = 8'b00000000; 11'h502: VAR2 = 8'b11111100; 11'h503: VAR2 = 8'b01100110; 11'h504: VAR2 = 8'b01100110; 11'h505: VAR2 = 8'b01100110; 11'h506: VAR2 = 8'b01111100; 11'h507: VAR2 = 8'b01100000; 11'h508: VAR2 = 8'b01100000; 11'h509: VAR2 = 8'b01100000; 11'h50a: VAR2 = 8'b01100000; 11'h50b: VAR2 = 8'b11110000; 11'h50c: VAR2 = 8'b00000000; 11'h50d: VAR2 = 8'b00000000; 11'h50e: VAR2 = 8'b00000000; 11'h50f: VAR2 = 8'b00000000; 11'h510: VAR2 = 8'b00000000; 11'h511: VAR2 = 8'b00000000; 11'h512: VAR2 = 8'b01111100; 11'h513: VAR2 = 8'b11000110; 11'h514: VAR2 = 8'b11000110; 11'h515: VAR2 = 8'b11000110; 11'h516: VAR2 = 8'b11000110; 11'h517: VAR2 = 8'b11000110; 11'h518: VAR2 = 8'b11000110; 11'h519: VAR2 = 8'b11010110; 11'h51a: VAR2 = 8'b11011110; 11'h51b: VAR2 = 8'b01111100; 11'h51c: VAR2 = 8'b00001100; 11'h51d: VAR2 = 8'b00001110; 11'h51e: VAR2 = 8'b00000000; 11'h51f: VAR2 = 8'b00000000; 11'h520: VAR2 = 8'b00000000; 11'h521: VAR2 = 8'b00000000; 11'h522: VAR2 = 8'b11111100; 11'h523: VAR2 = 8'b01100110; 11'h524: VAR2 = 8'b01100110; 11'h525: VAR2 = 8'b01100110; 11'h526: VAR2 = 8'b01111100; 11'h527: VAR2 = 8'b01101100; 11'h528: VAR2 = 8'b01100110; 11'h529: VAR2 = 8'b01100110; 11'h52a: VAR2 = 8'b01100110; 11'h52b: VAR2 = 8'b11100110; 11'h52c: VAR2 = 8'b00000000; 11'h52d: VAR2 = 8'b00000000; 11'h52e: VAR2 = 8'b00000000; 11'h52f: VAR2 = 8'b00000000; 11'h530: VAR2 = 8'b00000000; 11'h531: VAR2 = 8'b00000000; 11'h532: VAR2 = 8'b01111100; 11'h533: VAR2 = 8'b11000110; 11'h534: VAR2 = 8'b11000110; 11'h535: VAR2 = 8'b01100000; 11'h536: VAR2 = 8'b00111000; 11'h537: VAR2 = 8'b00001100; 11'h538: VAR2 = 8'b00000110; 11'h539: VAR2 = 8'b11000110; 11'h53a: VAR2 = 8'b11000110; 11'h53b: VAR2 = 8'b01111100; 11'h53c: VAR2 = 8'b00000000; 11'h53d: VAR2 = 8'b00000000; 11'h53e: VAR2 = 8'b00000000; 11'h53f: VAR2 = 8'b00000000; 11'h540: VAR2 = 8'b00000000; 11'h541: VAR2 = 8'b00000000; 11'h542: VAR2 = 8'b11111111; 11'h543: VAR2 = 8'b11011011; 11'h544: VAR2 = 8'b10011001; 11'h545: VAR2 = 8'b00011000; 11'h546: VAR2 = 8'b00011000; 11'h547: VAR2 = 8'b00011000; 11'h548: VAR2 = 8'b00011000; 11'h549: VAR2 = 8'b00011000; 11'h54a: VAR2 = 8'b00011000; 11'h54b: VAR2 = 8'b00111100; 11'h54c: VAR2 = 8'b00000000; 11'h54d: VAR2 = 8'b00000000; 11'h54e: VAR2 = 8'b00000000; 11'h54f: VAR2 = 8'b00000000; 11'h550: VAR2 = 8'b00000000; 11'h551: VAR2 = 8'b00000000; 11'h552: VAR2 = 8'b11000110; 11'h553: VAR2 = 8'b11000110; 11'h554: VAR2 = 8'b11000110; 11'h555: VAR2 = 8'b11000110; 11'h556: VAR2 = 8'b11000110; 11'h557: VAR2 = 8'b11000110; 11'h558: VAR2 = 8'b11000110; 11'h559: VAR2 = 8'b11000110; 11'h55a: VAR2 = 8'b11000110; 11'h55b: VAR2 = 8'b01111100; 11'h55c: VAR2 = 8'b00000000; 11'h55d: VAR2 = 8'b00000000; 11'h55e: VAR2 = 8'b00000000; 11'h55f: VAR2 = 8'b00000000; 11'h560: VAR2 = 8'b00000000; 11'h561: VAR2 = 8'b00000000; 11'h562: VAR2 = 8'b11000011; 11'h563: VAR2 = 8'b11000011; 11'h564: VAR2 = 8'b11000011; 11'h565: VAR2 = 8'b11000011; 11'h566: VAR2 = 8'b11000011; 11'h567: VAR2 = 8'b11000011; 11'h568: VAR2 = 8'b11000011; 11'h569: VAR2 = 8'b01100110; 11'h56a: VAR2 = 8'b00111100; 11'h56b: VAR2 = 8'b00011000; 11'h56c: VAR2 = 8'b00000000; 11'h56d: VAR2 = 8'b00000000; 11'h56e: VAR2 = 8'b00000000; 11'h56f: VAR2 = 8'b00000000; 11'h570: VAR2 = 8'b00000000; 11'h571: VAR2 = 8'b00000000; 11'h572: VAR2 = 8'b11000011; 11'h573: VAR2 = 8'b11000011; 11'h574: VAR2 = 8'b11000011; 11'h575: VAR2 = 8'b11000011; 11'h576: VAR2 = 8'b11000011; 11'h577: VAR2 = 8'b11011011; 11'h578: VAR2 = 8'b11011011; 11'h579: VAR2 = 8'b11111111; 11'h57a: VAR2 = 8'b01100110; 11'h57b: VAR2 = 8'b01100110; 11'h57c: VAR2 = 8'b00000000; 11'h57d: VAR2 = 8'b00000000; 11'h57e: VAR2 = 8'b00000000; 11'h57f: VAR2 = 8'b00000000; 11'h580: VAR2 = 8'b00000000; 11'h581: VAR2 = 8'b00000000; 11'h582: VAR2 = 8'b11000011; 11'h583: VAR2 = 8'b11000011; 11'h584: VAR2 = 8'b01100110; 11'h585: VAR2 = 8'b00111100; 11'h586: VAR2 = 8'b00011000; 11'h587: VAR2 = 8'b00011000; 11'h588: VAR2 = 8'b00111100; 11'h589: VAR2 = 8'b01100110; 11'h58a: VAR2 = 8'b11000011; 11'h58b: VAR2 = 8'b11000011; 11'h58c: VAR2 = 8'b00000000; 11'h58d: VAR2 = 8'b00000000; 11'h58e: VAR2 = 8'b00000000; 11'h58f: VAR2 = 8'b00000000; 11'h590: VAR2 = 8'b00000000; 11'h591: VAR2 = 8'b00000000; 11'h592: VAR2 = 8'b11000011; 11'h593: VAR2 = 8'b11000011; 11'h594: VAR2 = 8'b11000011; 11'h595: VAR2 = 8'b01100110; 11'h596: VAR2 = 8'b00111100; 11'h597: VAR2 = 8'b00011000; 11'h598: VAR2 = 8'b00011000; 11'h599: VAR2 = 8'b00011000; 11'h59a: VAR2 = 8'b00011000; 11'h59b: VAR2 = 8'b00111100; 11'h59c: VAR2 = 8'b00000000; 11'h59d: VAR2 = 8'b00000000; 11'h59e: VAR2 = 8'b00000000; 11'h59f: VAR2 = 8'b00000000; 11'h5a0: VAR2 = 8'b00000000; 11'h5a1: VAR2 = 8'b00000000; 11'h5a2: VAR2 = 8'b11111111; 11'h5a3: VAR2 = 8'b11000011; 11'h5a4: VAR2 = 8'b10000110; 11'h5a5: VAR2 = 8'b00001100; 11'h5a6: VAR2 = 8'b00011000; 11'h5a7: VAR2 = 8'b00110000; 11'h5a8: VAR2 = 8'b01100000; 11'h5a9: VAR2 = 8'b11000001; 11'h5aa: VAR2 = 8'b11000011; 11'h5ab: VAR2 = 8'b11111111; 11'h5ac: VAR2 = 8'b00000000; 11'h5ad: VAR2 = 8'b00000000; 11'h5ae: VAR2 = 8'b00000000; 11'h5af: VAR2 = 8'b00000000; 11'h5b0: VAR2 = 8'b00000000; 11'h5b1: VAR2 = 8'b00000000; 11'h5b2: VAR2 = 8'b00111100; 11'h5b3: VAR2 = 8'b00110000; 11'h5b4: VAR2 = 8'b00110000; 11'h5b5: VAR2 = 8'b00110000; 11'h5b6: VAR2 = 8'b00110000; 11'h5b7: VAR2 = 8'b00110000; 11'h5b8: VAR2 = 8'b00110000; 11'h5b9: VAR2 = 8'b00110000; 11'h5ba: VAR2 = 8'b00110000; 11'h5bb: VAR2 = 8'b00111100; 11'h5bc: VAR2 = 8'b00000000; 11'h5bd: VAR2 = 8'b00000000; 11'h5be: VAR2 = 8'b00000000; 11'h5bf: VAR2 = 8'b00000000; 11'h5c0: VAR2 = 8'b00000000; 11'h5c1: VAR2 = 8'b00000000; 11'h5c2: VAR2 = 8'b00000000; 11'h5c3: VAR2 = 8'b10000000; 11'h5c4: VAR2 = 8'b11000000; 11'h5c5: VAR2 = 8'b11100000; 11'h5c6: VAR2 = 8'b01110000; 11'h5c7: VAR2 = 8'b00111000; 11'h5c8: VAR2 = 8'b00011100; 11'h5c9: VAR2 = 8'b00001110; 11'h5ca: VAR2 = 8'b00000110; 11'h5cb: VAR2 = 8'b00000010; 11'h5cc: VAR2 = 8'b00000000; 11'h5cd: VAR2 = 8'b00000000; 11'h5ce: VAR2 = 8'b00000000; 11'h5cf: VAR2 = 8'b00000000; 11'h5d0: VAR2 = 8'b00000000; 11'h5d1: VAR2 = 8'b00000000; 11'h5d2: VAR2 = 8'b00111100; 11'h5d3: VAR2 = 8'b00001100; 11'h5d4: VAR2 = 8'b00001100; 11'h5d5: VAR2 = 8'b00001100; 11'h5d6: VAR2 = 8'b00001100; 11'h5d7: VAR2 = 8'b00001100; 11'h5d8: VAR2 = 8'b00001100; 11'h5d9: VAR2 = 8'b00001100; 11'h5da: VAR2 = 8'b00001100; 11'h5db: VAR2 = 8'b00111100; 11'h5dc: VAR2 = 8'b00000000; 11'h5dd: VAR2 = 8'b00000000; 11'h5de: VAR2 = 8'b00000000; 11'h5df: VAR2 = 8'b00000000; 11'h5e0: VAR2 = 8'b00010000; 11'h5e1: VAR2 = 8'b00111000; 11'h5e2: VAR2 = 8'b01101100; 11'h5e3: VAR2 = 8'b11000110; 11'h5e4: VAR2 = 8'b00000000; 11'h5e5: VAR2 = 8'b00000000; 11'h5e6: VAR2 = 8'b00000000; 11'h5e7: VAR2 = 8'b00000000; 11'h5e8: VAR2 = 8'b00000000; 11'h5e9: VAR2 = 8'b00000000; 11'h5ea: VAR2 = 8'b00000000; 11'h5eb: VAR2 = 8'b00000000; 11'h5ec: VAR2 = 8'b00000000; 11'h5ed: VAR2 = 8'b00000000; 11'h5ee: VAR2 = 8'b00000000; 11'h5ef: VAR2 = 8'b00000000; 11'h5f0: VAR2 = 8'b00000000; 11'h5f1: VAR2 = 8'b00000000; 11'h5f2: VAR2 = 8'b00000000; 11'h5f3: VAR2 = 8'b00000000; 11'h5f4: VAR2 = 8'b00000000; 11'h5f5: VAR2 = 8'b00000000; 11'h5f6: VAR2 = 8'b00000000; 11'h5f7: VAR2 = 8'b00000000; 11'h5f8: VAR2 = 8'b00000000; 11'h5f9: VAR2 = 8'b00000000; 11'h5fa: VAR2 = 8'b00000000; 11'h5fb: VAR2 = 8'b00000000; 11'h5fc: VAR2 = 8'b00000000; 11'h5fd: VAR2 = 8'b11111111; 11'h5fe: VAR2 = 8'b00000000; 11'h5ff: VAR2 = 8'b00000000; 11'h600: VAR2 = 8'b00110000; 11'h601: VAR2 = 8'b00110000; 11'h602: VAR2 = 8'b00011000; 11'h603: VAR2 = 8'b00000000; 11'h604: VAR2 = 8'b00000000; 11'h605: VAR2 = 8'b00000000; 11'h606: VAR2 = 8'b00000000; 11'h607: VAR2 = 8'b00000000; 11'h608: VAR2 = 8'b00000000; 11'h609: VAR2 = 8'b00000000; 11'h60a: VAR2 = 8'b00000000; 11'h60b: VAR2 = 8'b00000000; 11'h60c: VAR2 = 8'b00000000; 11'h60d: VAR2 = 8'b00000000; 11'h60e: VAR2 = 8'b00000000; 11'h60f: VAR2 = 8'b00000000; 11'h610: VAR2 = 8'b00000000; 11'h611: VAR2 = 8'b00000000; 11'h612: VAR2 = 8'b00000000; 11'h613: VAR2 = 8'b00000000; 11'h614: VAR2 = 8'b00000000; 11'h615: VAR2 = 8'b01111000; 11'h616: VAR2 = 8'b00001100; 11'h617: VAR2 = 8'b01111100; 11'h618: VAR2 = 8'b11001100; 11'h619: VAR2 = 8'b11001100; 11'h61a: VAR2 = 8'b11001100; 11'h61b: VAR2 = 8'b01110110; 11'h61c: VAR2 = 8'b00000000; 11'h61d: VAR2 = 8'b00000000; 11'h61e: VAR2 = 8'b00000000; 11'h61f: VAR2 = 8'b00000000; 11'h620: VAR2 = 8'b00000000; 11'h621: VAR2 = 8'b00000000; 11'h622: VAR2 = 8'b11100000; 11'h623: VAR2 = 8'b01100000; 11'h624: VAR2 = 8'b01100000; 11'h625: VAR2 = 8'b01111000; 11'h626: VAR2 = 8'b01101100; 11'h627: VAR2 = 8'b01100110; 11'h628: VAR2 = 8'b01100110; 11'h629: VAR2 = 8'b01100110; 11'h62a: VAR2 = 8'b01100110; 11'h62b: VAR2 = 8'b01111100; 11'h62c: VAR2 = 8'b00000000; 11'h62d: VAR2 = 8'b00000000; 11'h62e: VAR2 = 8'b00000000; 11'h62f: VAR2 = 8'b00000000; 11'h630: VAR2 = 8'b00000000; 11'h631: VAR2 = 8'b00000000; 11'h632: VAR2 = 8'b00000000; 11'h633: VAR2 = 8'b00000000; 11'h634: VAR2 = 8'b00000000; 11'h635: VAR2 = 8'b01111100; 11'h636: VAR2 = 8'b11000110; 11'h637: VAR2 = 8'b11000000; 11'h638: VAR2 = 8'b11000000; 11'h639: VAR2 = 8'b11000000; 11'h63a: VAR2 = 8'b11000110; 11'h63b: VAR2 = 8'b01111100; 11'h63c: VAR2 = 8'b00000000; 11'h63d: VAR2 = 8'b00000000; 11'h63e: VAR2 = 8'b00000000; 11'h63f: VAR2 = 8'b00000000; 11'h640: VAR2 = 8'b00000000; 11'h641: VAR2 = 8'b00000000; 11'h642: VAR2 = 8'b00011100; 11'h643: VAR2 = 8'b00001100; 11'h644: VAR2 = 8'b00001100; 11'h645: VAR2 = 8'b00111100; 11'h646: VAR2 = 8'b01101100; 11'h647: VAR2 = 8'b11001100; 11'h648: VAR2 = 8'b11001100; 11'h649: VAR2 = 8'b11001100; 11'h64a: VAR2 = 8'b11001100; 11'h64b: VAR2 = 8'b01110110; 11'h64c: VAR2 = 8'b00000000; 11'h64d: VAR2 = 8'b00000000; 11'h64e: VAR2 = 8'b00000000; 11'h64f: VAR2 = 8'b00000000; 11'h650: VAR2 = 8'b00000000; 11'h651: VAR2 = 8'b00000000; 11'h652: VAR2 = 8'b00000000; 11'h653: VAR2 = 8'b00000000; 11'h654: VAR2 = 8'b00000000; 11'h655: VAR2 = 8'b01111100; 11'h656: VAR2 = 8'b11000110; 11'h657: VAR2 = 8'b11111110; 11'h658: VAR2 = 8'b11000000; 11'h659: VAR2 = 8'b11000000; 11'h65a: VAR2 = 8'b11000110; 11'h65b: VAR2 = 8'b01111100; 11'h65c: VAR2 = 8'b00000000; 11'h65d: VAR2 = 8'b00000000; 11'h65e: VAR2 = 8'b00000000; 11'h65f: VAR2 = 8'b00000000; 11'h660: VAR2 = 8'b00000000; 11'h661: VAR2 = 8'b00000000; 11'h662: VAR2 = 8'b00111000; 11'h663: VAR2 = 8'b01101100; 11'h664: VAR2 = 8'b01100100; 11'h665: VAR2 = 8'b01100000; 11'h666: VAR2 = 8'b11110000; 11'h667: VAR2 = 8'b01100000; 11'h668: VAR2 = 8'b01100000; 11'h669: VAR2 = 8'b01100000; 11'h66a: VAR2 = 8'b01100000; 11'h66b: VAR2 = 8'b11110000; 11'h66c: VAR2 = 8'b00000000; 11'h66d: VAR2 = 8'b00000000; 11'h66e: VAR2 = 8'b00000000; 11'h66f: VAR2 = 8'b00000000; 11'h670: VAR2 = 8'b00000000; 11'h671: VAR2 = 8'b00000000; 11'h672: VAR2 = 8'b00000000; 11'h673: VAR2 = 8'b00000000; 11'h674: VAR2 = 8'b00000000; 11'h675: VAR2 = 8'b01110110; 11'h676: VAR2 = 8'b11001100; 11'h677: VAR2 = 8'b11001100; 11'h678: VAR2 = 8'b11001100; 11'h679: VAR2 = 8'b11001100; 11'h67a: VAR2 = 8'b11001100; 11'h67b: VAR2 = 8'b01111100; 11'h67c: VAR2 = 8'b00001100; 11'h67d: VAR2 = 8'b11001100; 11'h67e: VAR2 = 8'b01111000; 11'h67f: VAR2 = 8'b00000000; 11'h680: VAR2 = 8'b00000000; 11'h681: VAR2 = 8'b00000000; 11'h682: VAR2 = 8'b11100000; 11'h683: VAR2 = 8'b01100000; 11'h684: VAR2 = 8'b01100000; 11'h685: VAR2 = 8'b01101100; 11'h686: VAR2 = 8'b01110110; 11'h687: VAR2 = 8'b01100110; 11'h688: VAR2 = 8'b01100110; 11'h689: VAR2 = 8'b01100110; 11'h68a: VAR2 = 8'b01100110; 11'h68b: VAR2 = 8'b11100110; 11'h68c: VAR2 = 8'b00000000; 11'h68d: VAR2 = 8'b00000000; 11'h68e: VAR2 = 8'b00000000; 11'h68f: VAR2 = 8'b00000000; 11'h690: VAR2 = 8'b00000000; 11'h691: VAR2 = 8'b00000000; 11'h692: VAR2 = 8'b00011000; 11'h693: VAR2 = 8'b00011000; 11'h694: VAR2 = 8'b00000000; 11'h695: VAR2 = 8'b00111000; 11'h696: VAR2 = 8'b00011000; 11'h697: VAR2 = 8'b00011000; 11'h698: VAR2 = 8'b00011000; 11'h699: VAR2 = 8'b00011000; 11'h69a: VAR2 = 8'b00011000; 11'h69b: VAR2 = 8'b00111100; 11'h69c: VAR2 = 8'b00000000; 11'h69d: VAR2 = 8'b00000000; 11'h69e: VAR2 = 8'b00000000; 11'h69f: VAR2 = 8'b00000000; 11'h6a0: VAR2 = 8'b00000000; 11'h6a1: VAR2 = 8'b00000000; 11'h6a2: VAR2 = 8'b00000110; 11'h6a3: VAR2 = 8'b00000110; 11'h6a4: VAR2 = 8'b00000000; 11'h6a5: VAR2 = 8'b00001110; 11'h6a6: VAR2 = 8'b00000110; 11'h6a7: VAR2 = 8'b00000110; 11'h6a8: VAR2 = 8'b00000110; 11'h6a9: VAR2 = 8'b00000110; 11'h6aa: VAR2 = 8'b00000110; 11'h6ab: VAR2 = 8'b00000110; 11'h6ac: VAR2 = 8'b01100110; 11'h6ad: VAR2 = 8'b01100110; 11'h6ae: VAR2 = 8'b00111100; 11'h6af: VAR2 = 8'b00000000; 11'h6b0: VAR2 = 8'b00000000; 11'h6b1: VAR2 = 8'b00000000; 11'h6b2: VAR2 = 8'b11100000; 11'h6b3: VAR2 = 8'b01100000; 11'h6b4: VAR2 = 8'b01100000; 11'h6b5: VAR2 = 8'b01100110; 11'h6b6: VAR2 = 8'b01101100; 11'h6b7: VAR2 = 8'b01111000; 11'h6b8: VAR2 = 8'b01111000; 11'h6b9: VAR2 = 8'b01101100; 11'h6ba: VAR2 = 8'b01100110; 11'h6bb: VAR2 = 8'b11100110; 11'h6bc: VAR2 = 8'b00000000; 11'h6bd: VAR2 = 8'b00000000; 11'h6be: VAR2 = 8'b00000000; 11'h6bf: VAR2 = 8'b00000000; 11'h6c0: VAR2 = 8'b00000000; 11'h6c1: VAR2 = 8'b00000000; 11'h6c2: VAR2 = 8'b00111000; 11'h6c3: VAR2 = 8'b00011000; 11'h6c4: VAR2 = 8'b00011000; 11'h6c5: VAR2 = 8'b00011000; 11'h6c6: VAR2 = 8'b00011000; 11'h6c7: VAR2 = 8'b00011000; 11'h6c8: VAR2 = 8'b00011000; 11'h6c9: VAR2 = 8'b00011000; 11'h6ca: VAR2 = 8'b00011000; 11'h6cb: VAR2 = 8'b00111100; 11'h6cc: VAR2 = 8'b00000000; 11'h6cd: VAR2 = 8'b00000000; 11'h6ce: VAR2 = 8'b00000000; 11'h6cf: VAR2 = 8'b00000000; 11'h6d0: VAR2 = 8'b00000000; 11'h6d1: VAR2 = 8'b00000000; 11'h6d2: VAR2 = 8'b00000000; 11'h6d3: VAR2 = 8'b00000000; 11'h6d4: VAR2 = 8'b00000000; 11'h6d5: VAR2 = 8'b11100110; 11'h6d6: VAR2 = 8'b11111111; 11'h6d7: VAR2 = 8'b11011011; 11'h6d8: VAR2 = 8'b11011011; 11'h6d9: VAR2 = 8'b11011011; 11'h6da: VAR2 = 8'b11011011; 11'h6db: VAR2 = 8'b11011011; 11'h6dc: VAR2 = 8'b00000000; 11'h6dd: VAR2 = 8'b00000000; 11'h6de: VAR2 = 8'b00000000; 11'h6df: VAR2 = 8'b00000000; 11'h6e0: VAR2 = 8'b00000000; 11'h6e1: VAR2 = 8'b00000000; 11'h6e2: VAR2 = 8'b00000000; 11'h6e3: VAR2 = 8'b00000000; 11'h6e4: VAR2 = 8'b00000000; 11'h6e5: VAR2 = 8'b11011100; 11'h6e6: VAR2 = 8'b01100110; 11'h6e7: VAR2 = 8'b01100110; 11'h6e8: VAR2 = 8'b01100110; 11'h6e9: VAR2 = 8'b01100110; 11'h6ea: VAR2 = 8'b01100110; 11'h6eb: VAR2 = 8'b01100110; 11'h6ec: VAR2 = 8'b00000000; 11'h6ed: VAR2 = 8'b00000000; 11'h6ee: VAR2 = 8'b00000000; 11'h6ef: VAR2 = 8'b00000000; 11'h6f0: VAR2 = 8'b00000000; 11'h6f1: VAR2 = 8'b00000000; 11'h6f2: VAR2 = 8'b00000000; 11'h6f3: VAR2 = 8'b00000000; 11'h6f4: VAR2 = 8'b00000000; 11'h6f5: VAR2 = 8'b01111100; 11'h6f6: VAR2 = 8'b11000110; 11'h6f7: VAR2 = 8'b11000110; 11'h6f8: VAR2 = 8'b11000110; 11'h6f9: VAR2 = 8'b11000110; 11'h6fa: VAR2 = 8'b11000110; 11'h6fb: VAR2 = 8'b01111100; 11'h6fc: VAR2 = 8'b00000000; 11'h6fd: VAR2 = 8'b00000000; 11'h6fe: VAR2 = 8'b00000000; 11'h6ff: VAR2 = 8'b00000000; 11'h700: VAR2 = 8'b00000000; 11'h701: VAR2 = 8'b00000000; 11'h702: VAR2 = 8'b00000000; 11'h703: VAR2 = 8'b00000000; 11'h704: VAR2 = 8'b00000000; 11'h705: VAR2 = 8'b11011100; 11'h706: VAR2 = 8'b01100110; 11'h707: VAR2 = 8'b01100110; 11'h708: VAR2 = 8'b01100110; 11'h709: VAR2 = 8'b01100110; 11'h70a: VAR2 = 8'b01100110; 11'h70b: VAR2 = 8'b01111100; 11'h70c: VAR2 = 8'b01100000; 11'h70d: VAR2 = 8'b01100000; 11'h70e: VAR2 = 8'b11110000; 11'h70f: VAR2 = 8'b00000000; 11'h710: VAR2 = 8'b00000000; 11'h711: VAR2 = 8'b00000000; 11'h712: VAR2 = 8'b00000000; 11'h713: VAR2 = 8'b00000000; 11'h714: VAR2 = 8'b00000000; 11'h715: VAR2 = 8'b01110110; 11'h716: VAR2 = 8'b11001100; 11'h717: VAR2 = 8'b11001100; 11'h718: VAR2 = 8'b11001100; 11'h719: VAR2 = 8'b11001100; 11'h71a: VAR2 = 8'b11001100; 11'h71b: VAR2 = 8'b01111100; 11'h71c: VAR2 = 8'b00001100; 11'h71d: VAR2 = 8'b00001100; 11'h71e: VAR2 = 8'b00011110; 11'h71f: VAR2 = 8'b00000000; 11'h720: VAR2 = 8'b00000000; 11'h721: VAR2 = 8'b00000000; 11'h722: VAR2 = 8'b00000000; 11'h723: VAR2 = 8'b00000000; 11'h724: VAR2 = 8'b00000000; 11'h725: VAR2 = 8'b11011100; 11'h726: VAR2 = 8'b01110110; 11'h727: VAR2 = 8'b01100110; 11'h728: VAR2 = 8'b01100000; 11'h729: VAR2 = 8'b01100000; 11'h72a: VAR2 = 8'b01100000; 11'h72b: VAR2 = 8'b11110000; 11'h72c: VAR2 = 8'b00000000; 11'h72d: VAR2 = 8'b00000000; 11'h72e: VAR2 = 8'b00000000; 11'h72f: VAR2 = 8'b00000000; 11'h730: VAR2 = 8'b00000000; 11'h731: VAR2 = 8'b00000000; 11'h732: VAR2 = 8'b00000000; 11'h733: VAR2 = 8'b00000000; 11'h734: VAR2 = 8'b00000000; 11'h735: VAR2 = 8'b01111100; 11'h736: VAR2 = 8'b11000110; 11'h737: VAR2 = 8'b01100000; 11'h738: VAR2 = 8'b00111000; 11'h739: VAR2 = 8'b00001100; 11'h73a: VAR2 = 8'b11000110; 11'h73b: VAR2 = 8'b01111100; 11'h73c: VAR2 = 8'b00000000; 11'h73d: VAR2 = 8'b00000000; 11'h73e: VAR2 = 8'b00000000; 11'h73f: VAR2 = 8'b00000000; 11'h740: VAR2 = 8'b00000000; 11'h741: VAR2 = 8'b00000000; 11'h742: VAR2 = 8'b00010000; 11'h743: VAR2 = 8'b00110000; 11'h744: VAR2 = 8'b00110000; 11'h745: VAR2 = 8'b11111100; 11'h746: VAR2 = 8'b00110000; 11'h747: VAR2 = 8'b00110000; 11'h748: VAR2 = 8'b00110000; 11'h749: VAR2 = 8'b00110000; 11'h74a: VAR2 = 8'b00110110; 11'h74b: VAR2 = 8'b00011100; 11'h74c: VAR2 = 8'b00000000; 11'h74d: VAR2 = 8'b00000000; 11'h74e: VAR2 = 8'b00000000; 11'h74f: VAR2 = 8'b00000000; 11'h750: VAR2 = 8'b00000000; 11'h751: VAR2 = 8'b00000000; 11'h752: VAR2 = 8'b00000000; 11'h753: VAR2 = 8'b00000000; 11'h754: VAR2 = 8'b00000000; 11'h755: VAR2 = 8'b11001100; 11'h756: VAR2 = 8'b11001100; 11'h757: VAR2 = 8'b11001100; 11'h758: VAR2 = 8'b11001100; 11'h759: VAR2 = 8'b11001100; 11'h75a: VAR2 = 8'b11001100; 11'h75b: VAR2 = 8'b01110110; 11'h75c: VAR2 = 8'b00000000; 11'h75d: VAR2 = 8'b00000000; 11'h75e: VAR2 = 8'b00000000; 11'h75f: VAR2 = 8'b00000000; 11'h760: VAR2 = 8'b00000000; 11'h761: VAR2 = 8'b00000000; 11'h762: VAR2 = 8'b00000000; 11'h763: VAR2 = 8'b00000000; 11'h764: VAR2 = 8'b00000000; 11'h765: VAR2 = 8'b11000011; 11'h766: VAR2 = 8'b11000011; 11'h767: VAR2 = 8'b11000011; 11'h768: VAR2 = 8'b11000011; 11'h769: VAR2 = 8'b01100110; 11'h76a: VAR2 = 8'b00111100; 11'h76b: VAR2 = 8'b00011000; 11'h76c: VAR2 = 8'b00000000; 11'h76d: VAR2 = 8'b00000000; 11'h76e: VAR2 = 8'b00000000; 11'h76f: VAR2 = 8'b00000000; 11'h770: VAR2 = 8'b00000000; 11'h771: VAR2 = 8'b00000000; 11'h772: VAR2 = 8'b00000000; 11'h773: VAR2 = 8'b00000000; 11'h774: VAR2 = 8'b00000000; 11'h775: VAR2 = 8'b11000011; 11'h776: VAR2 = 8'b11000011; 11'h777: VAR2 = 8'b11000011; 11'h778: VAR2 = 8'b11011011; 11'h779: VAR2 = 8'b11011011; 11'h77a: VAR2 = 8'b11111111; 11'h77b: VAR2 = 8'b01100110; 11'h77c: VAR2 = 8'b00000000; 11'h77d: VAR2 = 8'b00000000; 11'h77e: VAR2 = 8'b00000000; 11'h77f: VAR2 = 8'b00000000; 11'h780: VAR2 = 8'b00000000; 11'h781: VAR2 = 8'b00000000; 11'h782: VAR2 = 8'b00000000; 11'h783: VAR2 = 8'b00000000; 11'h784: VAR2 = 8'b00000000; 11'h785: VAR2 = 8'b11000011; 11'h786: VAR2 = 8'b01100110; 11'h787: VAR2 = 8'b00111100; 11'h788: VAR2 = 8'b00011000; 11'h789: VAR2 = 8'b00111100; 11'h78a: VAR2 = 8'b01100110; 11'h78b: VAR2 = 8'b11000011; 11'h78c: VAR2 = 8'b00000000; 11'h78d: VAR2 = 8'b00000000; 11'h78e: VAR2 = 8'b00000000; 11'h78f: VAR2 = 8'b00000000; 11'h790: VAR2 = 8'b00000000; 11'h791: VAR2 = 8'b00000000; 11'h792: VAR2 = 8'b00000000; 11'h793: VAR2 = 8'b00000000; 11'h794: VAR2 = 8'b00000000; 11'h795: VAR2 = 8'b11000110; 11'h796: VAR2 = 8'b11000110; 11'h797: VAR2 = 8'b11000110; 11'h798: VAR2 = 8'b11000110; 11'h799: VAR2 = 8'b11000110; 11'h79a: VAR2 = 8'b11000110; 11'h79b: VAR2 = 8'b01111110; 11'h79c: VAR2 = 8'b00000110; 11'h79d: VAR2 = 8'b00001100; 11'h79e: VAR2 = 8'b11111000; 11'h79f: VAR2 = 8'b00000000; 11'h7a0: VAR2 = 8'b00000000; 11'h7a1: VAR2 = 8'b00000000; 11'h7a2: VAR2 = 8'b00000000; 11'h7a3: VAR2 = 8'b00000000; 11'h7a4: VAR2 = 8'b00000000; 11'h7a5: VAR2 = 8'b11111110; 11'h7a6: VAR2 = 8'b11001100; 11'h7a7: VAR2 = 8'b00011000; 11'h7a8: VAR2 = 8'b00110000; 11'h7a9: VAR2 = 8'b01100000; 11'h7aa: VAR2 = 8'b11000110; 11'h7ab: VAR2 = 8'b11111110; 11'h7ac: VAR2 = 8'b00000000; 11'h7ad: VAR2 = 8'b00000000; 11'h7ae: VAR2 = 8'b00000000; 11'h7af: VAR2 = 8'b00000000; 11'h7b0: VAR2 = 8'b00000000; 11'h7b1: VAR2 = 8'b00000000; 11'h7b2: VAR2 = 8'b00001110; 11'h7b3: VAR2 = 8'b00011000; 11'h7b4: VAR2 = 8'b00011000; 11'h7b5: VAR2 = 8'b00011000; 11'h7b6: VAR2 = 8'b01110000; 11'h7b7: VAR2 = 8'b00011000; 11'h7b8: VAR2 = 8'b00011000; 11'h7b9: VAR2 = 8'b00011000; 11'h7ba: VAR2 = 8'b00011000; 11'h7bb: VAR2 = 8'b00001110; 11'h7bc: VAR2 = 8'b00000000; 11'h7bd: VAR2 = 8'b00000000; 11'h7be: VAR2 = 8'b00000000; 11'h7bf: VAR2 = 8'b00000000; 11'h7c0: VAR2 = 8'b00000000; 11'h7c1: VAR2 = 8'b00000000; 11'h7c2: VAR2 = 8'b00011000; 11'h7c3: VAR2 = 8'b00011000; 11'h7c4: VAR2 = 8'b00011000; 11'h7c5: VAR2 = 8'b00011000; 11'h7c6: VAR2 = 8'b00000000; 11'h7c7: VAR2 = 8'b00011000; 11'h7c8: VAR2 = 8'b00011000; 11'h7c9: VAR2 = 8'b00011000; 11'h7ca: VAR2 = 8'b00011000; 11'h7cb: VAR2 = 8'b00011000; 11'h7cc: VAR2 = 8'b00000000; 11'h7cd: VAR2 = 8'b00000000; 11'h7ce: VAR2 = 8'b00000000; 11'h7cf: VAR2 = 8'b00000000; 11'h7d0: VAR2 = 8'b00000000; 11'h7d1: VAR2 = 8'b00000000; 11'h7d2: VAR2 = 8'b01110000; 11'h7d3: VAR2 = 8'b00011000; 11'h7d4: VAR2 = 8'b00011000; 11'h7d5: VAR2 = 8'b00011000; 11'h7d6: VAR2 = 8'b00001110; 11'h7d7: VAR2 = 8'b00011000; 11'h7d8: VAR2 = 8'b00011000; 11'h7d9: VAR2 = 8'b00011000; 11'h7da: VAR2 = 8'b00011000; 11'h7db: VAR2 = 8'b01110000; 11'h7dc: VAR2 = 8'b00000000; 11'h7dd: VAR2 = 8'b00000000; 11'h7de: VAR2 = 8'b00000000; 11'h7df: VAR2 = 8'b00000000; 11'h7e0: VAR2 = 8'b00000000; 11'h7e1: VAR2 = 8'b00000000; 11'h7e2: VAR2 = 8'b01110110; 11'h7e3: VAR2 = 8'b11011100; 11'h7e4: VAR2 = 8'b00000000; 11'h7e5: VAR2 = 8'b00000000; 11'h7e6: VAR2 = 8'b00000000; 11'h7e7: VAR2 = 8'b00000000; 11'h7e8: VAR2 = 8'b00000000; 11'h7e9: VAR2 = 8'b00000000; 11'h7ea: VAR2 = 8'b00000000; 11'h7eb: VAR2 = 8'b00000000; 11'h7ec: VAR2 = 8'b00000000; 11'h7ed: VAR2 = 8'b00000000; 11'h7ee: VAR2 = 8'b00000000; 11'h7ef: VAR2 = 8'b00000000; 11'h7f0: VAR2 = 8'b00000000; 11'h7f1: VAR2 = 8'b00000000; 11'h7f2: VAR2 = 8'b00000000; 11'h7f3: VAR2 = 8'b00000000; 11'h7f4: VAR2 = 8'b00010000; 11'h7f5: VAR2 = 8'b00111000; 11'h7f6: VAR2 = 8'b01101100; 11'h7f7: VAR2 = 8'b11000110; 11'h7f8: VAR2 = 8'b11000110; 11'h7f9: VAR2 = 8'b11000110; 11'h7fa: VAR2 = 8'b11111110; 11'h7fb: VAR2 = 8'b00000000; 11'h7fc: VAR2 = 8'b00000000; 11'h7fd: VAR2 = 8'b00000000; 11'h7fe: VAR2 = 8'b00000000; 11'h7ff: VAR2 = 8'b00000000; endcase endmodule
mit
varunnagpaal/Digital-Hardware-Modelling
xilinx-vivado-hls/pointer_basic/proj_pointer_basic/solution2/impl/verilog/pointer_basic.v
6,500
module MODULE1 ( VAR7, VAR32, VAR42, VAR17, VAR25, VAR36, VAR2, VAR35, VAR1, VAR43, VAR5, VAR19, VAR10, VAR15, VAR24, VAR28, VAR12, VAR23, VAR13, interrupt ); parameter VAR31 = 3'd1; parameter VAR40 = 3'd2; parameter VAR30 = 3'd4; parameter VAR22 = 32; parameter VAR41 = 5; parameter VAR21 = 32; parameter VAR16 = (32 / 8); parameter VAR39 = (32 / 8); input VAR7; input VAR32; input VAR42; output VAR17; input [VAR41 - 1:0] VAR25; input VAR36; output VAR2; input [VAR22 - 1:0] VAR35; input [VAR16 - 1:0] VAR1; input VAR43; output VAR5; input [VAR41 - 1:0] VAR19; output VAR10; input VAR15; output [VAR22 - 1:0] VAR24; output [1:0] VAR28; output VAR12; input VAR23; output [1:0] VAR13; output interrupt; reg VAR37; wire VAR3; reg VAR26; reg VAR9; reg [2:0] VAR11; wire VAR4; reg VAR27; wire [31:0] VAR6; reg VAR34; reg [31:0] VAR33; reg [31:0] VAR14; wire [31:0] VAR20; reg [31:0] VAR38; wire VAR8; wire VAR29; reg [2:0] VAR18;
mit
osrf/wandrr
firmware/motor_controller/fpga/usb_crc5.v
2,639
module MODULE1( input [10:0] VAR5, input VAR6, output [4:0] VAR2, input rst, input clk); reg [4:0] VAR7,VAR3; assign VAR2 = ~VAR7; always @; wire [4:0] VAR4 = ~VAR2; VAR1 begin rst <= 1'b0; VAR6 <= 1'b0; wait(clk); wait(~clk); rst <= 1'b1; wait(clk); wait(~clk); rst <= 1'b0; wait(clk); wait(~clk); VAR5 <= 11'b10000000000; VAR6 <= 1'b1; wait(clk); wait(~clk); rst <= 1'b1; VAR6 <= 1'b0; wait(clk); wait(~clk); rst <= 1'b0; VAR6 <= 1'b1; VAR5 <= 11'b01000000000; end endmodule
apache-2.0
markusC64/1541ultimate2
fpga/nios_dut/nios_dut/synthesis/submodules/nios_dut_master_0.v
21,599
module MODULE1 #( parameter VAR11 = 0, parameter VAR18 = 50000, parameter VAR36 = 2 ) ( input wire VAR25, input wire VAR33, output wire [31:0] VAR41, input wire [31:0] VAR20, output wire VAR44, output wire VAR37, output wire [31:0] VAR5, input wire VAR28, input wire VAR4, output wire [3:0] VAR26, output wire VAR38 ); wire VAR7; wire [7:0] VAR31; wire VAR24; wire [7:0] VAR13; wire VAR42; wire VAR6; wire [7:0] VAR27; wire VAR46; wire VAR32; wire [7:0] VAR12; wire VAR17; wire [7:0] VAR15; wire VAR2; wire VAR10; wire VAR9; wire [7:0] VAR45; wire VAR14; wire VAR47; wire VAR43; wire VAR23; wire [7:0] VAR35; wire VAR3; wire VAR8; wire VAR16; wire VAR29; wire [7:0] VAR40; wire VAR39; wire [7:0] VAR21; wire VAR34; wire VAR22; wire VAR48; wire [7:0] VAR30; wire VAR19; wire VAR1; generate if (VAR11 != 0) begin begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/decapkapwr/sky130_fd_sc_lp__decapkapwr.functional.pp.v
1,251
module MODULE1 ( VAR2, VAR1 , VAR4 , VAR5 , VAR3 ); input VAR2; input VAR1 ; input VAR4 ; input VAR5 ; input VAR3 ; endmodule
apache-2.0
alexforencich/xfcp
lib/eth/example/Arty/fpga/rtl/fpga.v
5,699
module MODULE1 ( input wire clk, input wire VAR13, input wire [3:0] VAR85, input wire [3:0] VAR1, output wire VAR50, output wire VAR7, output wire VAR22, output wire VAR115, output wire VAR96, output wire VAR110, output wire VAR12, output wire VAR4, output wire VAR86, output wire VAR35, output wire VAR84, output wire VAR40, output wire VAR38, output wire VAR106, output wire VAR15, output wire VAR92, output wire VAR64, input wire VAR36, input wire [3:0] VAR41, input wire VAR14, input wire VAR45, input wire VAR49, output wire [3:0] VAR114, output wire VAR39, input wire VAR62, input wire VAR66, output wire VAR113, input wire VAR57, output wire VAR105 ); wire VAR77; wire VAR104; wire VAR8; wire VAR80; wire VAR63 = ~VAR13; wire VAR9; wire VAR32; VAR5 VAR102( .VAR109(clk), .VAR83(VAR77) ); wire VAR68; wire VAR108; VAR25 #( .VAR2("VAR98"), .VAR54(8), .VAR53(0.5), .VAR16(0), .VAR23(40), .VAR61(0.5), .VAR10(0), .VAR71(1), .VAR42(0.5), .VAR101(0), .VAR81(1), .VAR31(0.5), .VAR100(0), .VAR103(1), .VAR82(0.5), .VAR72(0), .VAR97(1), .VAR74(0.5), .VAR48(0), .VAR51(1), .VAR26(0.5), .VAR95(0), .VAR29(10), .VAR52(0), .VAR88(1), .VAR91(0.010), .VAR99(10.0), .VAR24("VAR18"), .VAR112("VAR18") ) VAR76 ( .VAR43(VAR77), .VAR33(VAR32), .VAR69(VAR63), .VAR75(1'b0), .VAR93(VAR104), .VAR44(), .VAR30(VAR68), .VAR107(), .VAR6(), .VAR59(), .VAR89(), .VAR3(), .VAR56(), .VAR28(), .VAR58(), .VAR73(VAR32), .VAR37(), .VAR65(VAR9) ); VAR46 VAR11 ( .VAR109(VAR104), .VAR83(VAR8) ); VAR46 VAR34 ( .VAR109(VAR68), .VAR83(VAR108) ); VAR17 #( .VAR111(4) ) VAR60 ( .clk(VAR8), .rst(~VAR9), .out(VAR80) ); wire [3:0] VAR19; wire [3:0] VAR94; VAR79 #( .VAR20(8), .VAR111(4), .VAR55(125000) ) VAR27 ( .clk(VAR8), .rst(VAR80), .in({VAR1, VAR85}), .out({VAR19, VAR94}) ); VAR67 #( .VAR20(1), .VAR111(2) ) VAR90 ( .clk(VAR8), .in({VAR57}), .out({VAR47}) ); assign VAR64 = VAR108; VAR78 #( .VAR21("VAR70") ) VAR87 ( .clk(VAR8), .rst(VAR80), .VAR1(VAR19), .VAR85(VAR94), .VAR50(VAR50), .VAR7(VAR7), .VAR22(VAR22), .VAR115(VAR115), .VAR96(VAR96), .VAR110(VAR110), .VAR12(VAR12), .VAR4(VAR4), .VAR86(VAR86), .VAR35(VAR35), .VAR84(VAR84), .VAR40(VAR40), .VAR38(VAR38), .VAR106(VAR106), .VAR15(VAR15), .VAR92(VAR92), .VAR36(VAR36), .VAR41(VAR41), .VAR14(VAR14), .VAR45(VAR45), .VAR49(VAR49), .VAR114(VAR114), .VAR39(VAR39), .VAR62(VAR62), .VAR66(VAR66), .VAR113(VAR113), .VAR57(VAR47), .VAR105(VAR105) ); endmodule
mit
sharebrained/medusa
hdl/medusa_cape/lcd_sync.v
2,258
module MODULE1 ( input rst, input [23:0] VAR13, input VAR18, input VAR9, input VAR17, input VAR6, output VAR3, output [11:0] VAR11, output [11:0] VAR1, output [23:0] VAR8, output VAR5 ); reg [11:0] VAR7; reg [11:0] VAR10; reg [23:0] VAR2; reg VAR14; reg VAR16; reg VAR12; assign VAR3 = VAR18; assign VAR11 = VAR7; assign VAR1 = VAR10; assign VAR8 = VAR2; assign VAR5 = VAR12; wire VAR15 = (VAR17 == 0) && (VAR14 == 1); wire VAR4 = (VAR9 == 1) && (VAR16 == 0); always @(posedge VAR18) begin if (rst) begin VAR7 <= 0; VAR10 <= 0; VAR2 <= 0; VAR14 <= 0; VAR16 <= 0; VAR12 <= 0; end else begin VAR14 <= VAR17; VAR16 <= VAR9; VAR12 <= VAR6; if (VAR6) begin VAR2 <= VAR13; end else begin VAR2 <= 0; end if (VAR4) begin VAR10 <= 0; end else begin if (VAR15) begin VAR10 <= VAR10 + 12'h1; end end if (VAR15) begin VAR7 <= 0; end else begin if (VAR12) begin VAR7 <= VAR7 + 12'h1; end end end end endmodule
gpl-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/lsu_atomic.v
14,521
module MODULE1 ( clk, reset, VAR78, VAR14, VAR10, VAR43, VAR34, VAR95, VAR51, VAR41, VAR45, VAR64, VAR6, VAR67, VAR68, VAR80, VAR88, VAR1, VAR44, VAR49, VAR77, VAR33, VAR97 ); parameter VAR5=32; parameter VAR50=4; parameter VAR75=32; parameter VAR94=32; parameter VAR25=2; parameter VAR47=32; parameter VAR8=0; parameter VAR91=6; parameter VAR76=1; parameter VAR38=1; parameter VAR85=32; parameter VAR69=0; parameter VAR23=0; parameter VAR31=6; localparam VAR58=VAR28(VAR85); localparam VAR83=2**(VAR91-1); localparam VAR7=8*VAR50; localparam VAR16=8*VAR75; localparam VAR15=8*VAR94; localparam VAR39=VAR28(VAR75); localparam VAR70=VAR39-VAR25; localparam VAR26=(2**VAR25); localparam VAR24 = VAR15 - (2 * VAR7 + VAR31 + VAR39 + 1); localparam VAR86=VAR47+(VAR8 ? 0 : 1); localparam VAR65=VAR8 ? VAR28(VAR86+1+VAR83) : VAR28(VAR86+1); input clk; input reset; output VAR78; input VAR14; input [VAR5-1:0] VAR10; input [VAR91-1:0] VAR43; input VAR34; output VAR95; output [VAR7-1:0] VAR51; output reg VAR41; input [VAR31-1:0] VAR44; output [VAR5-1:0] VAR45; output VAR64; input [VAR15-1:0] VAR6; input VAR67; output logic [VAR94-1:0] VAR68; input VAR80; input [VAR7-1:0] VAR49; input [VAR7-1:0] VAR77; input VAR33; output [VAR15-1:0] VAR97; output [VAR91-1:0] VAR1; output [VAR58-1:0] VAR88; wire VAR30; wire [VAR5-1:0] VAR46; wire VAR13; wire [VAR91-1:0] VAR40; wire [VAR7-1:0] VAR36; wire [VAR7-1:0] VAR12; wire [VAR31-1:0] VAR72; wire [VAR39-1:0] VAR21; wire VAR19; wire VAR42; wire [VAR39-1:0] VAR66; wire ready; wire VAR81; localparam VAR74=VAR76 ? VAR28(VAR85) : 0; wire [VAR74-1:0] VAR32; generate if (VAR76) assign VAR88[VAR74-1:0] = VAR32; genvar VAR48; for(VAR48 = VAR74; VAR48 < VAR58; VAR48 = VAR48 + 1) begin: VAR96 assign VAR88[VAR48] = 1'b0; end endgenerate generate if(VAR76 && VAR23) begin wire VAR71; wire VAR87; wire [VAR7+VAR5+VAR91-1:0] VAR3; VAR52 #( .VAR93(VAR31+2*VAR7+VAR5+VAR91), .VAR61(VAR85) ) VAR54 ( .VAR59(clk), .VAR84(!reset), .VAR92( {VAR44,VAR77,VAR49,VAR10,VAR43} ), .VAR9( VAR3 ), .VAR20( VAR14 ), .VAR79( VAR87 ), .VAR17( VAR71 ), .VAR27( VAR78 ), .VAR37( VAR32 ) ); VAR62 #( .VAR93(VAR31+2*VAR7+VAR5+VAR91), .VAR61(2), .VAR11("VAR35") ) VAR63 ( .VAR59(clk), .VAR84(!reset), .VAR92( VAR3 ), .VAR20( VAR87 ), .VAR9( {VAR72,VAR12,VAR36,VAR46,VAR40} ), .VAR79( VAR30 ), .VAR17( VAR13 ), .VAR27( VAR71 ) ); end else if(VAR76 && !VAR23) begin VAR52 #( .VAR93(VAR31+2*VAR5+VAR91), .VAR61(VAR85) ) VAR54 ( .VAR59(clk), .VAR84(!reset), .VAR92( {VAR44,VAR77,VAR49,VAR10,VAR43} ), .VAR9( {VAR72,VAR12,VAR36,VAR46,VAR40} ), .VAR20( VAR14 ), .VAR79( VAR30 ), .VAR17( VAR13 ), .VAR27( VAR78 ), .VAR37( VAR32 ) ); end else if(VAR69) begin reg VAR56; reg [VAR5-1:0] VAR4; reg [VAR7-1:0] VAR73; reg [VAR7-1:0] VAR53; reg [VAR31-1:0] VAR82; reg [VAR91-1:0] VAR57; assign VAR78 = VAR56 && VAR13; always@(posedge clk or posedge reset) begin if(reset == 1'b1) VAR56 <= 1'b0; end else begin if (!VAR78) begin VAR56 <= VAR14; VAR4 <= VAR10; VAR82 <= VAR44; VAR73 <= VAR49; VAR53 <= VAR77; VAR57 <= VAR43; end end end assign VAR30 = VAR56; assign VAR46 = VAR4; assign VAR72 = VAR82; assign VAR36 = VAR73; assign VAR12 = VAR53; assign VAR40 = VAR57; end else begin assign VAR30 = VAR14; assign VAR46 = VAR10; assign VAR72 = VAR44; assign VAR36 = VAR49; assign VAR12 = VAR77; assign VAR78 = VAR13; assign VAR40 = VAR43; end endgenerate reg [VAR65-1:0] counter; wire VAR60, VAR90; assign VAR60 = VAR19; assign VAR90 = VAR42; always@(posedge clk or posedge reset) begin if(reset == 1'b1) begin counter <= {VAR65{1'b0}}; VAR41 <= 1'b0; end else begin VAR41 <= (counter != {VAR65{1'b0}}); if (VAR8==1) counter <= counter + (VAR60 ? VAR40 : 0) - VAR90; end else counter <= counter + VAR60 - VAR90; end end generate if(VAR8) assign ready = ((counter+VAR40) <= VAR86); else assign ready = (counter <= (VAR86-1)); endgenerate assign VAR13 = !ready || VAR81; reg VAR55; reg [VAR15-1:0] VAR29; generate if(VAR23) begin always@(posedge clk or posedge reset) begin if(reset == 1'b1) begin VAR29 <= 'VAR2; VAR55 <= 1'b0; end else begin VAR29 <= VAR6; VAR55 <= VAR80; end end end else begin always@ begin VAR68 = {VAR94{1'b0}}; VAR68[VAR21 +: VAR50] = {VAR50{1'b1}}; end assign VAR19 = VAR30 && ready && !VAR81; assign VAR42 = VAR95 && !VAR34; generate if(VAR23) begin VAR62 #( .VAR93(VAR15+VAR5+VAR91), .VAR61(2), .VAR11("VAR35") ) VAR18 ( .VAR59(clk), .VAR84(!reset), .VAR92({ {VAR24{1'b0}},VAR21,VAR72,VAR12,VAR36,1'b1,((VAR46 >> VAR39) << VAR39),VAR40}), .VAR20( VAR30 && ready ), .VAR9( {VAR97,VAR45,VAR1} ), .VAR79( VAR64 ), .VAR17( VAR67 ), .VAR27( VAR81 ) ); end else begin assign VAR81 = VAR67; assign VAR45 = ((VAR46 >> VAR39) << VAR39); assign VAR64 = VAR30 && ready; assign VAR1 = VAR40; assign VAR97[0:0] = 1'b1; assign VAR97[VAR7:1] = VAR36; assign VAR97[2*VAR7:VAR7+1] = VAR12; assign VAR97[2*VAR7+VAR31:2*VAR7+1] = VAR72; assign VAR97[2*VAR7+VAR31+VAR39:2*VAR7+VAR31+1] = VAR21; assign VAR97[VAR15-1:2*VAR7+VAR31+VAR39+1] = { VAR24{1'b0} }; end endgenerate generate if(VAR38) begin VAR52 #( .VAR93(VAR7), .VAR61(VAR86) ) VAR89 ( .VAR59(clk), .VAR84(!reset), .VAR92( VAR22 ), .VAR9( VAR51 ), .VAR20( VAR55 ), .VAR79( VAR95 ), .VAR17( VAR34 ), .VAR27() ); end else begin assign VAR95 = VAR55; assign VAR51 = VAR22; end endgenerate endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi222/gf180mcu_fd_sc_mcu9t5v0__aoi222_2.behavioral.pp.v
7,224
module MODULE1( VAR3, VAR11, VAR9, VAR2, VAR6, VAR5, VAR8, VAR7, VAR4 ); input VAR5, VAR8, VAR6, VAR2, VAR3, VAR9; inout VAR7, VAR4; output VAR11; VAR1 VAR12(.VAR3(VAR3),.VAR11(VAR11),.VAR9(VAR9),.VAR2(VAR2),.VAR6(VAR6),.VAR5(VAR5),.VAR8(VAR8),.VAR7(VAR7),.VAR4(VAR4)); VAR1 VAR10(.VAR3(VAR3),.VAR11(VAR11),.VAR9(VAR9),.VAR2(VAR2),.VAR6(VAR6),.VAR5(VAR5),.VAR8(VAR8),.VAR7(VAR7),.VAR4(VAR4));
apache-2.0
vad-rulezz/megabot
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_spram_2048x32_bw.v
15,079
module MODULE1( VAR13, VAR25, VAR43, clk, rst, VAR19, VAR57, VAR73, addr, VAR78, VAR23 ); input VAR13; input [VAR16 - 1:0] VAR43; output VAR25; input clk; input rst; input VAR19; input [3:0] VAR57; input VAR73; input [10:0] addr; input [31:0] VAR78; output [31:0] VAR23; assign VAR25 = VAR13; VAR26 VAR11( VAR7 VAR11( VAR26 VAR11( .VAR13(VAR13), .VAR25(VAR25), .VAR43(VAR43), .VAR3(clk), .VAR35(~VAR19), .VAR5(~VAR57), .VAR41(addr), .VAR51(VAR78), .VAR49(~VAR73), .VAR24(VAR23) ); VAR66 VAR66( .VAR58(~VAR57), .VAR56(), .VAR2(~VAR73), .VAR55(), .VAR8(), .VAR69(addr), .VAR85(addr), .VAR78(VAR78), .VAR23(VAR23) ); VAR39 VAR39( .clk(clk), .VAR12(addr), .VAR1(VAR78), .VAR57(VAR57), .VAR73(VAR73), .VAR44(VAR19), .VAR72(VAR23) ); wire VAR32; wire VAR29; wire VAR68; wire VAR60; wire VAR76; wire VAR64; wire VAR28; wire VAR6; assign VAR32 = VAR13; assign VAR29 = VAR76; assign VAR68 = VAR64; assign VAR60 = VAR28; assign VAR25 = VAR6; VAR14 VAR40( VAR21 VAR40( VAR14 VAR40( .VAR13(VAR32), .VAR25(VAR76), .VAR43(VAR43), .VAR48(clk), .VAR62(addr), .VAR15(VAR78[7:0]), .VAR5(~VAR57[0]), .VAR35(~VAR19), .VAR49(~VAR73), .VAR70(VAR23[7:0]) ); VAR14 VAR65( VAR21 VAR65( VAR14 VAR65( .VAR13(VAR29), .VAR25(VAR64), .VAR43(VAR43), .VAR48(clk), .VAR62(addr), .VAR15(VAR78[15:8]), .VAR5(~VAR57[1]), .VAR35(~VAR19), .VAR49(~VAR73), .VAR70(VAR23[15:8]) ); VAR14 VAR67( VAR21 VAR67( VAR14 VAR67( .VAR13(VAR68), .VAR25(VAR28), .VAR43(VAR43), .VAR48(clk), .VAR62(addr), .VAR15(VAR78[23:16]), .VAR5(~VAR57[2]), .VAR35(~VAR19), .VAR49(~VAR73), .VAR70(VAR23[23:16]) ); VAR14 VAR38( VAR21 VAR38( VAR14 VAR38( .VAR13(VAR60), .VAR25(VAR6), .VAR43(VAR43), .VAR48(clk), .VAR62(addr), .VAR15(VAR78[31:24]), .VAR5(~VAR57[3]), .VAR35(~VAR19), .VAR49(~VAR73), .VAR70(VAR23[31:24]) ); VAR61 VAR59( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[1:0]), .VAR45(VAR19), .VAR46(VAR57[0]), .VAR36(VAR23[1:0]) ); VAR61 VAR71( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[3:2]), .VAR45(VAR19), .VAR46(VAR57[0]), .VAR36(VAR23[3:2]) ); VAR61 VAR4( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[5:4]), .VAR45(VAR19), .VAR46(VAR57[0]), .VAR36(VAR23[5:4]) ); VAR61 VAR31( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[7:6]), .VAR45(VAR19), .VAR46(VAR57[0]), .VAR36(VAR23[7:6]) ); VAR61 VAR37( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[9:8]), .VAR45(VAR19), .VAR46(VAR57[1]), .VAR36(VAR23[9:8]) ); VAR61 VAR18( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[11:10]), .VAR45(VAR19), .VAR46(VAR57[1]), .VAR36(VAR23[11:10]) ); VAR61 VAR34( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[13:12]), .VAR45(VAR19), .VAR46(VAR57[1]), .VAR36(VAR23[13:12]) ); VAR61 VAR54( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[15:14]), .VAR45(VAR19), .VAR46(VAR57[1]), .VAR36(VAR23[15:14]) ); VAR61 VAR50( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[17:16]), .VAR45(VAR19), .VAR46(VAR57[2]), .VAR36(VAR23[17:16]) ); VAR61 VAR80( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[19:18]), .VAR45(VAR19), .VAR46(VAR57[2]), .VAR36(VAR23[19:18]) ); VAR61 VAR9( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[21:20]), .VAR45(VAR19), .VAR46(VAR57[2]), .VAR36(VAR23[21:20]) ); VAR61 VAR53( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[23:22]), .VAR45(VAR19), .VAR46(VAR57[2]), .VAR36(VAR23[23:22]) ); VAR61 VAR83( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[25:24]), .VAR45(VAR19), .VAR46(VAR57[3]), .VAR36(VAR23[25:24]) ); VAR61 VAR82( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[27:26]), .VAR45(VAR19), .VAR46(VAR57[3]), .VAR36(VAR23[27:26]) ); VAR61 VAR77( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[29:28]), .VAR45(VAR19), .VAR46(VAR57[3]), .VAR36(VAR23[29:28]) ); VAR61 VAR33( .VAR3(clk), .VAR27(rst), .VAR10(addr), .VAR15(VAR78[31:30]), .VAR45(VAR19), .VAR46(VAR57[3]), .VAR36(VAR23[31:30]) ); VAR79 VAR42( .VAR3(clk), .VAR20(rst), .VAR10(addr), .VAR15(VAR78[7:0]), .VAR52(1'b0), .VAR45(VAR19), .VAR46(VAR57[0]), .VAR36(VAR23[7:0]), .VAR81() ); VAR79 VAR75( .VAR3(clk), .VAR20(rst), .VAR10(addr), .VAR15(VAR78[15:8]), .VAR52(1'b0), .VAR45(VAR19), .VAR46(VAR57[1]), .VAR36(VAR23[15:8]), .VAR81() ); VAR79 VAR63( .VAR3(clk), .VAR20(rst), .VAR10(addr), .VAR15(VAR78[23:16]), .VAR52(1'b0), .VAR45(VAR19), .VAR46(VAR57[2]), .VAR36(VAR23[23:16]), .VAR81() ); VAR79 VAR84( .VAR3(clk), .VAR20(rst), .VAR10(addr), .VAR15(VAR78[31:24]), .VAR52(1'b0), .VAR45(VAR19), .VAR46(VAR57[3]), .VAR36(VAR23[31:24]), .VAR81() ); reg [7:0] VAR74 [2047:0]; reg [7:0] VAR30 [2047:0]; reg [7:0] VAR22 [2047:0]; reg [7:0] VAR17 [2047:0]; reg [10:0] VAR47; assign VAR23 = (VAR73) ? {VAR17[VAR47], VAR22[VAR47], VAR30[VAR47], VAR74[VAR47]} : {32{1'b0}}; always @(posedge clk or posedge rst) if (rst) VAR47 <= 11'h000; else if (VAR19) VAR47 <= addr; always @(posedge clk) if (VAR19 && VAR57[0]) VAR74[addr] <= VAR78[7:0]; always @(posedge clk) if (VAR19 && VAR57[1]) VAR30[addr] <= VAR78[15:8]; always @(posedge clk) if (VAR19 && VAR57[2]) VAR22[addr] <= VAR78[23:16]; always @(posedge clk) if (VAR19 && VAR57[3]) VAR17[addr] <= VAR78[31:24]; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/fah/sky130_fd_sc_ms__fah.functional.pp.v
2,616
module MODULE1 ( VAR10, VAR13 , VAR17 , VAR24 , VAR1 , VAR20, VAR15, VAR6 , VAR3 ); output VAR10; output VAR13 ; input VAR17 ; input VAR24 ; input VAR1 ; input VAR20; input VAR15; input VAR6 ; input VAR3 ; wire VAR16 ; wire VAR4 ; wire VAR5 ; wire VAR18 ; wire VAR21 ; wire VAR9 ; wire VAR7; xor VAR23 (VAR16 , VAR17, VAR24, VAR1 ); VAR14 VAR26 (VAR4 , VAR16, VAR20, VAR15); buf VAR19 (VAR13 , VAR4 ); and VAR22 (VAR5 , VAR17, VAR24 ); and VAR11 (VAR18 , VAR17, VAR1 ); and VAR2 (VAR21 , VAR24, VAR1 ); or VAR25 (VAR9 , VAR5, VAR18, VAR21 ); VAR14 VAR12 (VAR7, VAR9, VAR20, VAR15); buf VAR8 (VAR10 , VAR7 ); endmodule
apache-2.0
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/PreProcessX.v
4,081
module MODULE1( input [31:0] VAR42, input [3:0] VAR4, input [31:0] VAR1, input [7:0] VAR18, input VAR39, output [31:0] VAR5, output VAR47, output [31:0] VAR25, output [3:0] VAR46, output [7:0] VAR19 ); reg [31:0] VAR9 = 32'h3f800000; wire [31:0] VAR14; assign VAR14 = VAR9; wire VAR37,VAR30,VAR56,VAR16; wire [3:0] VAR52,VAR40,VAR17,VAR50; wire [31:0] VAR26,VAR59,VAR10,VAR51; wire [31:0] VAR35,VAR31,VAR36,VAR2; wire [35:0] VAR22,VAR45; wire [35:0] VAR53,VAR55; wire [7:0] VAR48; wire [27:0] VAR11,VAR6; wire [31:0] VAR33,VAR12,VAR44; wire [7:0] VAR49,VAR32,VAR13,VAR15; VAR21 VAR34 ( .VAR43(VAR42), .VAR4(VAR4), .VAR54(VAR14), .VAR20(VAR1), .VAR18(VAR18), .VAR39(VAR39), .VAR37(VAR37), .VAR48(VAR48), .VAR22(VAR22), .VAR53(VAR53), .VAR35(VAR35), .VAR52(VAR52), .VAR26(VAR26), .VAR49(VAR49) ); VAR27 VAR24 ( .VAR26(VAR26), .VAR52(VAR52), .VAR37(VAR37), .VAR22(VAR22), .VAR53(VAR53), .VAR35(VAR35), .VAR48(VAR48), .VAR49(VAR49), .VAR39(VAR39), .VAR30(VAR30), .VAR45(VAR45), .VAR55(VAR55), .VAR31(VAR31), .VAR40(VAR40), .VAR59(VAR59), .VAR32(VAR32) ); VAR23 VAR3 ( .VAR59(VAR59), .VAR40(VAR40), .VAR30(VAR30), .VAR45(VAR45), .VAR55(VAR55), .VAR31(VAR31), .VAR32(VAR32), .VAR39(VAR39), .VAR56(VAR56), .VAR36(VAR36), .VAR11(VAR11), .VAR17(VAR17), .VAR10(VAR10), .VAR13(VAR13) ); VAR41 VAR7 ( .VAR10(VAR10), .VAR17(VAR17), .VAR56(VAR56), .VAR36(VAR36), .VAR11(VAR11), .VAR13(VAR13), .VAR39(VAR39), .VAR16(VAR16), .VAR2(VAR2), .VAR6(VAR6), .VAR50(VAR50), .VAR51(VAR51), .VAR15(VAR15) ); VAR29 VAR57 ( .VAR51(VAR51), .VAR50(VAR50), .VAR16(VAR16), .VAR2(VAR2), .VAR6(VAR6), .VAR15(VAR15), .VAR39(VAR39), .VAR38(VAR5), .VAR47(VAR47), .VAR28(VAR25), .VAR8(VAR46), .VAR58(VAR19) ); endmodule
apache-2.0
natsutan/NPU
fpga_implement/npu8/npu8.cache/ip/9340d666125e38a0/mul17_16_stub.v
1,309
module MODULE1(VAR4, VAR1, VAR3, VAR2) ; input VAR4; input [16:0]VAR1; input [15:0]VAR3; output [8:0]VAR2; endmodule
bsd-3-clause
BoolLi/Pollard-s-p-1-algorithm
main.v
2,901
module MODULE1(input clk, input [31:0] VAR32, output [31:0] VAR39 ); wire VAR15; wire VAR31; wire VAR30; wire VAR17; reg VAR37; reg VAR6; wire [63:0] VAR7; wire [7:0] VAR28; wire[31:0] VAR34; reg VAR13; reg VAR5; reg VAR10; reg VAR40; reg VAR11; reg VAR38; reg VAR29; reg VAR8; reg VAR22; reg VAR25; VAR9 VAR23 (.clk(clk), .VAR24(VAR32), .VAR7(VAR7), .VAR27(VAR15)); VAR19 VAR16 (.clk(clk), .VAR24(VAR32), .VAR18(VAR37), .VAR26(9'd2), .VAR3(VAR28), .ready(VAR31)); VAR12 VAR33 (.VAR14(VAR32), .VAR1(VAR34 - 1), .reset(VAR10), .clk(clk), .VAR20(VAR39), .VAR36(VAR30)); VAR4 VAR21 (.reset(VAR13), .clk(clk), .VAR3(64'd192), .VAR35(VAR32), .VAR2(VAR34), .VAR36(VAR17));
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/inputiso0p/sky130_fd_sc_hdll__inputiso0p.functional.v
1,365
module MODULE1 ( VAR3 , VAR5 , VAR4 ); output VAR3 ; input VAR5 ; input VAR4; wire VAR6; not VAR1 (VAR6, VAR4 ); and VAR2 (VAR3 , VAR5, VAR6 ); endmodule
apache-2.0
gralco/mojo-ide
Mojo IDE/base/mojo-v3/source/avr_interface.v
2,262
module MODULE1( input clk, input rst, input VAR48, output VAR11, input VAR7, input VAR4, input VAR40, output [3:0] VAR29, output VAR22, input VAR27, input [3:0] VAR36, output VAR24, output [9:0] VAR30, output [3:0] VAR15, input [7:0] VAR26, input VAR31, output VAR5, input VAR34, output [7:0] VAR21, output VAR45 ); wire ready; wire VAR18 = !ready; wire VAR41; wire [7:0] VAR33; wire VAR47; wire VAR35; reg VAR37, VAR1; reg [9:0] VAR32, VAR3; reg VAR12, VAR43; reg [3:0] VAR14, VAR9; VAR2 VAR2 ( .clk(clk), .rst(rst), .VAR48(VAR48), .ready(ready) ); VAR20 VAR20 ( .clk(clk), .rst(VAR18), .VAR10(VAR40), .VAR6(VAR7), .VAR46(VAR35), .VAR44(VAR4), .VAR28(VAR41), .din(8'hff), .dout(VAR33) ); VAR17 #(.VAR19(100), .VAR38(7)) VAR17 ( .clk(clk), .rst(VAR18), .VAR27(VAR27), .VAR25(VAR21), .VAR42(VAR45) ); VAR23 #(.VAR19(100), .VAR38(7)) VAR23 ( .clk(clk), .rst(VAR18), .VAR22(VAR47), .VAR16(VAR34), .VAR8(VAR5), .VAR25(VAR26), .VAR42(VAR31) ); assign VAR24 = VAR43; assign VAR30 = VAR3; assign VAR15 = VAR9; assign VAR29 = ready ? VAR36 : 4'VAR39; assign VAR11 = ready && !VAR40 ? VAR35 : 1'VAR13; assign VAR22 = ready ? VAR47 : 1'VAR13; always @(*) begin VAR37 = VAR1; VAR32 = VAR3; VAR12 = 1'b0; VAR14 = VAR9; if (VAR40) begin VAR37 = 1'b0; end if (VAR41) begin if (VAR1 == 1'b0) begin VAR32[7:0] = VAR33; VAR37 = 1'b1; end else begin VAR32[9:8] = VAR33[1:0]; VAR14 = VAR33[7:4]; VAR37 = 1'b1; VAR12 = 1'b1; end end end always @(posedge clk) begin if (VAR18) begin VAR1 <= 1'b0; VAR3 <= 10'b0; VAR43 <= 1'b0; end else begin VAR1 <= VAR37; VAR3 <= VAR32; VAR43 <= VAR12; end VAR9 <= VAR14; end endmodule
gpl-3.0
gtaylormb/opl3_fpga
fpga/bd/opl3_cpu/ip/opl3_cpu_auto_pc_0/axi_data_fifo_v2_1_7/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v
30,138
module MODULE1 # ( parameter VAR97 = "VAR192", parameter integer VAR326 = 0, parameter integer VAR74 = 4, parameter integer VAR444 = 32, parameter integer VAR288 = 32, parameter integer VAR252 = 0, parameter integer VAR62 = 1, parameter integer VAR60 = 1, parameter integer VAR32 = 1, parameter integer VAR184 = 1, parameter integer VAR49 = 1, parameter integer VAR119 = 0, parameter VAR206 = "lut", parameter integer VAR316 = 0, parameter integer VAR298 = 0, parameter VAR146 = "lut", parameter integer VAR106 = 0) (input wire VAR77, input wire VAR156, input wire [VAR74-1:0] VAR158, input wire [VAR444-1:0] VAR111, input wire [((VAR326 == 1) ? 4 : 8)-1:0] VAR233, input wire [3-1:0] VAR28, input wire [2-1:0] VAR169, input wire [((VAR326 == 1) ? 2 : 1)-1:0] VAR231, input wire [4-1:0] VAR75, input wire [3-1:0] VAR211, input wire [4-1:0] VAR137, input wire [4-1:0] VAR120, input wire [VAR62-1:0] VAR6, input wire VAR390, output wire VAR342, input wire [VAR74-1:0] VAR83, input wire [VAR288-1:0] VAR331, input wire [VAR288/8-1:0] VAR377, input wire VAR16, input wire [VAR32-1:0] VAR35, input wire VAR241, output wire VAR437, output wire [VAR74-1:0] VAR179, output wire [2-1:0] VAR131, output wire [VAR49-1:0] VAR20, output wire VAR157, input wire VAR165, input wire [VAR74-1:0] VAR149, input wire [VAR444-1:0] VAR117, input wire [((VAR326 == 1) ? 4 : 8)-1:0] VAR7, input wire [3-1:0] VAR442, input wire [2-1:0] VAR58, input wire [((VAR326 == 1) ? 2 : 1)-1:0] VAR65, input wire [4-1:0] VAR438, input wire [3-1:0] VAR94, input wire [4-1:0] VAR67, input wire [4-1:0] VAR410, input wire [VAR60-1:0] VAR228, input wire VAR172, output wire VAR372, output wire [VAR74-1:0] VAR33, output wire [VAR288-1:0] VAR245, output wire [2-1:0] VAR180, output wire VAR401, output wire [VAR184-1:0] VAR151, output wire VAR388, input wire VAR312, output wire [VAR74-1:0] VAR296, output wire [VAR444-1:0] VAR39, output wire [((VAR326 == 1) ? 4 : 8)-1:0] VAR453, output wire [3-1:0] VAR190, output wire [2-1:0] VAR445, output wire [((VAR326 == 1) ? 2 : 1)-1:0] VAR182, output wire [4-1:0] VAR168, output wire [3-1:0] VAR280, output wire [4-1:0] VAR449, output wire [4-1:0] VAR325, output wire [VAR62-1:0] VAR407, output wire VAR175, input wire VAR208, output wire [VAR74-1:0] VAR225, output wire [VAR288-1:0] VAR78, output wire [VAR288/8-1:0] VAR214, output wire VAR328, output wire [VAR32-1:0] VAR454, output wire VAR193, input wire VAR428, input wire [VAR74-1:0] VAR417, input wire [2-1:0] VAR393, input wire [VAR49-1:0] VAR18, input wire VAR322, output wire VAR315, output wire [VAR74-1:0] VAR37, output wire [VAR444-1:0] VAR98, output wire [((VAR326 == 1) ? 4 : 8)-1:0] VAR5, output wire [3-1:0] VAR240, output wire [2-1:0] VAR104, output wire [((VAR326 == 1) ? 2 : 1)-1:0] VAR426, output wire [4-1:0] VAR420, output wire [3-1:0] VAR70, output wire [4-1:0] VAR352, output wire [4-1:0] VAR282, output wire [VAR60-1:0] VAR446, output wire VAR284, input wire VAR69, input wire [VAR74-1:0] VAR268, input wire [VAR288-1:0] VAR382, input wire [2-1:0] VAR256, input wire VAR224, input wire [VAR184-1:0] VAR381, input wire VAR176, output wire VAR301); localparam integer VAR210 = 4+4+3+4+2+3+((VAR326==1)?6:9)+VAR444+VAR74+VAR60; localparam integer VAR313 = 4+4+3+4+2+3+((VAR326==1)?6:9)+VAR444+VAR74+VAR62; localparam integer VAR23 = 1 + 2 + VAR288 + VAR74 + VAR184; localparam integer VAR3 = 1+VAR288+VAR288/8+((VAR326==1)?VAR74:0)+VAR32; localparam integer VAR85 = 2 + VAR74 + VAR49; localparam VAR261 = "512x72" ; localparam integer VAR161 = 0; localparam integer VAR129 = 1; localparam integer VAR389 = 2; localparam integer VAR396 = (VAR119 > 1) ? VAR230(VAR119) : 1; localparam integer VAR200 = (VAR298 > 1) ? VAR230(VAR298) : 1; function integer VAR230 ( input integer VAR199 ); integer VAR366; begin VAR366=0; while ((2**VAR366) < VAR199) VAR366 = VAR366 + 1; VAR230 = VAR366; end endfunction generate if (((VAR119 == 0) && (VAR298 == 0)) || (VAR326 == VAR389)) begin : VAR221 assign VAR296 = VAR158; assign VAR39 = VAR111; assign VAR453 = VAR233; assign VAR190 = VAR28; assign VAR445 = VAR169; assign VAR182 = VAR231; assign VAR168 = VAR75; assign VAR280 = VAR211; assign VAR449 = VAR137; assign VAR325 = VAR120; assign VAR407 = VAR6; assign VAR175 = VAR390; assign VAR342 = VAR208; assign VAR225 = VAR83; assign VAR78 = VAR331; assign VAR214 = VAR377; assign VAR328 = VAR16; assign VAR454 = VAR35; assign VAR193 = VAR241; assign VAR437 = VAR428; assign VAR179 = VAR417; assign VAR131 = VAR393; assign VAR20 = VAR18; assign VAR157 = VAR322; assign VAR315 = VAR165; assign VAR37 = VAR149; assign VAR98 = VAR117; assign VAR5 = VAR7; assign VAR240 = VAR442; assign VAR104 = VAR58; assign VAR426 = VAR65; assign VAR420 = VAR438; assign VAR70 = VAR94; assign VAR352 = VAR67; assign VAR282 = VAR410; assign VAR446 = VAR228; assign VAR284 = VAR172; assign VAR372 = VAR69; assign VAR33 = VAR268; assign VAR245 = VAR382; assign VAR180 = VAR256; assign VAR401 = VAR224; assign VAR151 = VAR381; assign VAR388 = VAR176; assign VAR301 = VAR312; end else begin : VAR398 wire [4-1:0] VAR41; wire [4-1:0] VAR265; wire [4-1:0] VAR112; wire [4-1:0] VAR425; wire [VAR74-1:0] VAR429; wire [VAR74-1:0] VAR133; assign VAR41 = (VAR326 == VAR129) ? 4'b0 : VAR137; assign VAR265 = (VAR326 == VAR129) ? 4'b0 : VAR67; assign VAR449 = (VAR326 == VAR129) ? 4'b0 : VAR112; assign VAR352 = (VAR326 == VAR129) ? 4'b0 : VAR425; assign VAR429 = (VAR326 == VAR129) ? VAR83 : {VAR74{1'b0}}; assign VAR225 = (VAR326 == VAR129) ? VAR133 : {VAR74{1'b0}}; VAR356 #( .VAR76(2), .VAR194((VAR326 == VAR161) ? 1 : 3), .VAR288(VAR288), .VAR74(VAR74), .VAR427(1), .VAR232((VAR326 == VAR161) ? 8 : 4), .VAR134((VAR326 == VAR161) ? 1 : 2), .VAR327(1), .VAR357(1), .VAR350(1), .VAR406(1), .VAR276(1), .VAR444(VAR444), .VAR60(VAR60), .VAR62(VAR62), .VAR49(VAR49), .VAR184(VAR184), .VAR32(VAR32), .VAR92(VAR210), .VAR215(VAR23), .VAR319(VAR313), .VAR153(VAR3), .VAR249(VAR3), .VAR297(((VAR298 != 0) && VAR106) ? 0 : 2), .VAR110(((VAR119 != 0) && VAR316) ? 0 : 2), .VAR141((VAR119 != 0) ? 0 : 2), .VAR207((VAR298 != 0) ? 0 : 2), .VAR99(2), .VAR51(1), .VAR10(0), .VAR347(0), .VAR100(VAR106 ? 1 : 0), .VAR405(0), .VAR409(VAR316 ? 1 : 0), .VAR86(0), .VAR56(0), .VAR433(64), .VAR102(4), .VAR136(8), .VAR116(4), .VAR411(4), .VAR266(4), .VAR14(0), .VAR234(0), .VAR310(10), .VAR174("VAR363"), .VAR93(18), .VAR402(1), .VAR68("0"), .VAR323(18), .VAR173(0), .VAR317(1), .VAR412(0), .VAR34(0), .VAR302(0), .VAR270(0), .VAR386(0), .VAR443(0), .VAR307(0), .VAR97(VAR97), .VAR198(1), .VAR30(0), .VAR81(0), .VAR191(1), .VAR439(1), .VAR432(0), .VAR148(0), .VAR378(0), .VAR385(0), .VAR115(0), .VAR397(1), .VAR205(0), .VAR124(0), .VAR344(0), .VAR26(0), .VAR17(0), .VAR167(0), .VAR121(0), .VAR340(0), .VAR274(0), .VAR369(0), .VAR358(0), .VAR250(0), .VAR247(0), .VAR186(0), .VAR334(0), .VAR314(0), .VAR36(0), .VAR54(0), .VAR87(0), .VAR147(0), .VAR122(0), .VAR61(0), .VAR237(1), .VAR440(0), .VAR15(0), .VAR66(0), .VAR413(0), .VAR189(0), .VAR178(0), .VAR138(0), .VAR89(0), .VAR333(1), .VAR1(2), .VAR24((VAR146 == "VAR201") ? 1 : 2), .VAR292(2), .VAR318((VAR206 == "VAR201") ? 1 : 2), .VAR84(2), .VAR260(0), .VAR430(1), .VAR101("VAR363"), .VAR258(1), .VAR73(0), .VAR254(0), .VAR203(1), .VAR71(0), .VAR103(VAR261), .VAR160(2), .VAR47(1022), .VAR435(30), .VAR55(510), .VAR222(30), .VAR31(510), .VAR229(14), .VAR238(3), .VAR305(0), .VAR375(5), .VAR267(5), .VAR48(5), .VAR44(5), .VAR273(5), .VAR367(5), .VAR370(1022), .VAR338(1023), .VAR329(31), .VAR332(511), .VAR269(31), .VAR436(511), .VAR257(15), .VAR248(1021), .VAR422(0), .VAR368(5), .VAR152(5), .VAR395(5), .VAR403(5), .VAR255(5), .VAR286(5), .VAR308(10), .VAR251(1024), .VAR114(1), .VAR197(10), .VAR244(0), .VAR264(0), .VAR105(0), .VAR126(0), .VAR281(0), .VAR113(0), .VAR365(0), .VAR2(0), .VAR64(0), .VAR423(0), .VAR53(1), .VAR9(0), .VAR123(0), .VAR204(0), .VAR80(0), .VAR135(0), .VAR12(0), .VAR400(0), .VAR418(0), .VAR348(0), .VAR346(0), .VAR82(0), .VAR164(0), .VAR272(10), .VAR392(1024), .VAR354(1024), .VAR263(32), .VAR451(VAR298), .VAR353(32), .VAR209(VAR119), .VAR408(16), .VAR8(1), .VAR448(10), .VAR452(10), .VAR109(5), .VAR253((VAR298> 1) ? VAR230(VAR298) : 1), .VAR38(5), .VAR150((VAR119 > 1) ? VAR230(VAR119) : 1), .VAR19(4), .VAR404(1) ) VAR57 ( .VAR300(VAR77), .VAR181(VAR156), .VAR158(VAR158), .VAR111(VAR111), .VAR233(VAR233), .VAR28(VAR28), .VAR169(VAR169), .VAR231(VAR231), .VAR75(VAR75), .VAR211(VAR211), .VAR120(VAR120), .VAR137(VAR41), .VAR6(VAR6), .VAR390(VAR390), .VAR342(VAR342), .VAR83(VAR429), .VAR331(VAR331), .VAR377(VAR377), .VAR16(VAR16), .VAR241(VAR241), .VAR437(VAR437), .VAR179(VAR179), .VAR131(VAR131), .VAR157(VAR157), .VAR165(VAR165), .VAR296(VAR296), .VAR39(VAR39), .VAR453(VAR453), .VAR190(VAR190), .VAR445(VAR445), .VAR182(VAR182), .VAR168(VAR168), .VAR280(VAR280), .VAR325(VAR325), .VAR449(VAR112), .VAR407(VAR407), .VAR175(VAR175), .VAR208(VAR208), .VAR225(VAR133), .VAR78(VAR78), .VAR214(VAR214), .VAR328(VAR328), .VAR193(VAR193), .VAR428(VAR428), .VAR417(VAR417), .VAR393(VAR393), .VAR322(VAR322), .VAR315(VAR315), .VAR149(VAR149), .VAR117(VAR117), .VAR7(VAR7), .VAR442(VAR442), .VAR58(VAR58), .VAR65(VAR65), .VAR438(VAR438), .VAR94(VAR94), .VAR410(VAR410), .VAR67(VAR265), .VAR172(VAR172), .VAR372(VAR372), .VAR33(VAR33), .VAR245(VAR245), .VAR180(VAR180), .VAR401(VAR401), .VAR388(VAR388), .VAR312(VAR312), .VAR37(VAR37), .VAR98(VAR98), .VAR5(VAR5), .VAR240(VAR240), .VAR104(VAR104), .VAR426(VAR426), .VAR420(VAR420), .VAR70(VAR70), .VAR282(VAR282), .VAR352(VAR425), .VAR284(VAR284), .VAR69(VAR69), .VAR268(VAR268), .VAR382(VAR382), .VAR256(VAR256), .VAR224(VAR224), .VAR176(VAR176), .VAR301(VAR301), .VAR293(VAR77), .VAR337(1'b1), .VAR309(1'b1), .VAR35(VAR35), .VAR20(VAR20), .VAR454(VAR454), .VAR18(VAR18), .VAR228(VAR228), .VAR151(VAR151), .VAR446(VAR446), .VAR381(VAR381), .VAR236(), .VAR441(), .VAR63(), .VAR246(), .VAR183(1'b0), .VAR11(1'b0), .VAR361(), .VAR108(), .VAR271(10'b0), .VAR96(), .VAR279(10'b0), .VAR303(), .VAR373(), .VAR143(), .VAR306(), .VAR336(), .VAR130(), .VAR155(1'b0), .VAR285(1'b0), .VAR227(), .VAR25(), .VAR79(5'b0), .VAR162(), .VAR320(5'b0), .VAR45(), .VAR95(), .VAR289(), .VAR362(), .VAR59(), .VAR50(), .VAR304(1'b0), .VAR166(1'b0), .VAR431(), .VAR22(), .VAR351(5'b0), .VAR383(), .VAR371(5'b0), .VAR195(), .VAR43(), .VAR235(), .VAR140(), .VAR447(), .VAR376(), .VAR295(1'b0), .VAR196(1'b0), .VAR391(), .VAR154(), .VAR291(4'b0), .VAR364(), .VAR277(4'b0), .VAR144(), .VAR185(), .VAR90(), .VAR384(), .VAR242(), .VAR132(), .VAR387(1'b0), .VAR416(1'b0), .VAR311(), .VAR275(), .VAR424({VAR200{1'b0}}), .VAR139(), .VAR217({VAR200{1'b0}}), .VAR27(), .VAR330(), .VAR170(), .VAR450(), .VAR239(), .VAR415(), .VAR359(1'b0), .VAR187(1'b0), .VAR355(), .VAR262(), .VAR434({VAR396{1'b0}}), .VAR142(), .VAR219({VAR396{1'b0}}), .VAR243(), .VAR421(), .VAR339(), .VAR159(), .VAR72(1'b0), .VAR394(1'b0), .clk(1'b0), .VAR218(), .VAR278(), .din(18'b0), .dout(), .VAR324(), .VAR128(), .VAR171(1'b0), .VAR127(1'b0), .VAR212(1'b0), .VAR349(), .VAR202(), .VAR343(), .VAR399(), .VAR91(), .VAR220(1'b0), .VAR287(), .VAR46(), .VAR294(), .VAR226(), .VAR299(), .VAR345(10'b0), .VAR177(10'b0), .VAR290(10'b0), .VAR374(), .VAR52(10'b0), .VAR360(10'b0), .VAR213(10'b0), .VAR42(1'b0), .VAR380(), .VAR13(1'b0), .VAR259(1'b0), .rst(1'b0), .VAR4(), .VAR419(1'b0), .VAR118(64'b0), .VAR21(4'b0), .VAR223(8'b0), .VAR88(4'b0), .VAR40(1'b0), .VAR321(), .VAR145(4'b0), .VAR188(4'b0), .VAR414(1'b0), .VAR341(), .valid(), .VAR125(), .VAR29(1'b0), .VAR283(), .VAR216(1'b0), .VAR107(1'b0), .VAR379(), .VAR335(), .VAR163(1'b0) ); end endgenerate endmodule
lgpl-3.0
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/hb/halfband_interp.v
4,408
module MODULE1 (input VAR8, input reset, input enable, input VAR31, input VAR42, input [15:0] VAR32, input [15:0] VAR22, output reg [15:0] VAR33, output reg [15:0] VAR13, output wire [12:0] VAR12); wire [15:0] VAR27; wire [15:0] VAR36; wire [15:0] VAR10; wire [3:0] VAR1; reg [3:0] VAR17; reg [2:0] VAR18; wire VAR15; wire [15:0] VAR35; wire [15:0] VAR21; reg [15:0] VAR38, VAR6; wire [7:0] VAR14 = 8'd9; reg VAR29; wire [15:0] VAR39; always @(posedge VAR8) if(VAR31) VAR29 <= 1'b0; else if(VAR42) VAR29 <= 1'b1; assign VAR12 = {VAR15,VAR1,VAR17,VAR18}; wire [15:0] VAR33 = VAR29 ? VAR35 : VAR38; wire [15:0] VAR13 = VAR29 ? VAR21 : VAR6; always @(posedge VAR8) if(reset) VAR18 <= 3'd0; else if(VAR18 != 3'd0) VAR18 <= VAR18 + 3'd1; else if(VAR31) VAR18 <= 3'd1; reg VAR7; always@(posedge VAR8) VAR7 <= VAR15; always @(posedge VAR8) if(reset) VAR17 <= 4'd0; else if(VAR15 & ~VAR7) VAR17 <= VAR17 + 4'd1; always @(posedge VAR8) if(VAR18 == 3'd7) begin VAR38 <= VAR39; end wire [3:0] VAR11 = VAR17 + {1'b0,VAR18}; wire [3:0] VAR37 = VAR17 + 15 - {1'b0,VAR18}; assign VAR15 = (VAR18 == 3'd0); VAR3 VAR3 ( .VAR8(VAR8),.VAR16({1'b0,VAR18}),.VAR20(VAR27) ); VAR2 VAR28 ( .VAR8(VAR8),.write(VAR31),.VAR40(VAR17),.VAR5(VAR32), .VAR26(VAR11),.VAR4(VAR37),.VAR20(VAR39),.sum(VAR36)); VAR2 VAR9 ( .VAR8(VAR8),.write(VAR31),.VAR40(VAR17),.VAR5(VAR22), .VAR26(VAR11),.VAR4(VAR37),.VAR20(VAR10)); VAR23 VAR30 (.VAR8(VAR8),.reset(reset),.enable(~VAR15),.VAR19(VAR31), .VAR24(VAR36),.VAR41(VAR27),.VAR14(VAR14),.VAR34(VAR35) ); VAR23 VAR25 (.VAR8(VAR8),.reset(reset),.enable(~VAR15),.VAR19(VAR31), .VAR24(VAR10),.VAR41(VAR27),.VAR14(VAR14),.VAR34(VAR21) ); endmodule
gpl-2.0
JeremySavonet/Eurobot-2017-Moon-Village
software/custom_leds/fpga/soc_system/synthesis/submodules/soc_system_onchip_memory2_0.v
3,019
module MODULE1 ( address, VAR21, VAR29, clk, VAR16, reset, VAR27, write, VAR31, VAR2 ) ; parameter VAR20 = "MODULE1.VAR15"; output [ 63: 0] VAR2; input [ 12: 0] address; input [ 7: 0] VAR21; input VAR29; input clk; input VAR16; input reset; input VAR27; input write; input [ 63: 0] VAR31; wire VAR1; wire [ 63: 0] VAR2; wire VAR30; assign VAR30 = VAR29 & write; assign VAR1 = VAR16 & ~VAR27; VAR5 VAR18 ( .VAR34 (address), .VAR19 (VAR21), .VAR24 (clk), .VAR1 (VAR1), .VAR8 (VAR31), .VAR13 (VAR2), .VAR33 (VAR30) ); VAR18.VAR26 = VAR20, VAR18.VAR14 = "VAR5", VAR18.VAR17 = 8192, VAR18.VAR3 = 8192, VAR18.VAR23 = "VAR22", VAR18.VAR12 = "VAR11", VAR18.VAR7 = "VAR4", VAR18.VAR6 = "VAR25", VAR18.VAR10 = 64, VAR18.VAR9 = 8, VAR18.VAR28 = 13; endmodule
gpl-3.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9152/axi_ad9152_if.v
4,487
module MODULE1 ( VAR8, VAR7, VAR1, VAR12, VAR6, VAR4, VAR2, VAR3, VAR11, VAR9, VAR10, VAR5); input VAR8; output [127:0] VAR7; output VAR1; input VAR12; input [15:0] VAR6; input [15:0] VAR4; input [15:0] VAR2; input [15:0] VAR3; input [15:0] VAR11; input [15:0] VAR9; input [15:0] VAR10; input [15:0] VAR5; reg [127:0] VAR7 = 'd0; assign VAR1 = VAR8; always @(posedge VAR1) begin if (VAR12 == 1'b1) begin VAR7 <= 128'd0; end else begin VAR7[127:120] <= VAR5[ 7: 0]; VAR7[119:112] <= VAR10[ 7: 0]; VAR7[111:104] <= VAR9[ 7: 0]; VAR7[103: 96] <= VAR11[ 7: 0]; VAR7[ 95: 88] <= VAR5[15: 8]; VAR7[ 87: 80] <= VAR10[15: 8]; VAR7[ 79: 72] <= VAR9[15: 8]; VAR7[ 71: 64] <= VAR11[15: 8]; VAR7[ 63: 56] <= VAR3[ 7: 0]; VAR7[ 55: 48] <= VAR2[ 7: 0]; VAR7[ 47: 40] <= VAR4[ 7: 0]; VAR7[ 39: 32] <= VAR6[ 7: 0]; VAR7[ 31: 24] <= VAR3[15: 8]; VAR7[ 23: 16] <= VAR2[15: 8]; VAR7[ 15: 8] <= VAR4[15: 8]; VAR7[ 7: 0] <= VAR6[15: 8]; end end endmodule
gpl-3.0
intelligenttoasters/CPC2.0
FPGA/Quartus/custom/sdram.v
14,170
module MODULE1 ( input VAR17, input VAR61, input VAR40, input VAR48, input VAR5, input [22:0] VAR41, input [15:0] VAR49, input [1:0] VAR71, output [15:0] VAR50, output reg VAR44, input [15:0] VAR2, output [15:0] VAR26, output VAR7, output reg [11:0] VAR76, output reg [1:0] VAR21, output VAR43, output VAR18, output reg VAR60, output reg VAR29, output reg VAR55, output reg VAR37, output [1 : 0] VAR45 ); parameter VAR65 = 0, VAR23 = 1, VAR73 = 2, VAR10 = 3, VAR46 = 4, VAR30 = 5, VAR68 = 6, VAR62 = 7, VAR32 = 8, VAR4 = 9, VAR59 = 10, VAR3 = 11, VAR38 = 12, VAR6 = 13, VAR36 = 14, VAR52 = 15, VAR22 = 16, VAR15 = 17, VAR58 = 18; wire [3:0] VAR67; wire VAR70; wire VAR39; wire [1:0] VAR75; wire [11:0] VAR51; wire [8:0] VAR72; reg [4:0] state = VAR65, VAR14 = VAR73; reg [15:0] counter; reg [11:0] VAR34; reg VAR19, VAR35; reg [11:0] VAR20[0:3]; reg [3:0] VAR33; reg [3:0] VAR47 = 0; reg VAR25, VAR54; reg [22:0] VAR24; reg VAR16, VAR28, VAR64; reg [22:0] VAR66; reg VAR53, VAR57; reg VAR1; reg VAR69; assign VAR43 = VAR17; assign VAR18 = 1'b1; assign VAR67[0] = (counter[3:0] == 0); assign VAR67[1] = (counter[7:4] == 0); assign VAR67[2] = (counter[11:8] == 0); assign VAR67[3] = (counter[15:12] == 0); assign VAR70 = VAR19 ^ VAR35; assign VAR39 = VAR53 ^ VAR57; assign VAR75 = VAR66[22:21]; assign VAR51 = VAR66[20:9]; assign VAR72 = VAR66[8:0]; assign VAR50 = VAR2; assign VAR26 = VAR49; assign VAR7 = (VAR44 & VAR28); assign VAR45 = VAR71; wire [11:0] VAR11=VAR20[0]; wire [11:0] VAR42=VAR20[1]; wire [11:0] VAR8=VAR20[2]; wire [11:0] VAR74=VAR20[3]; function [0:5] VAR63 ( input [3:0] VAR13 ); case( VAR13 ) 4'd00: VAR63 = { 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b0}; 4'd01: VAR63 = { 1'b1, 1'b0, 1'b0, 1'b1, 1'b0, 1'b0}; 4'd02: VAR63 = { 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0}; 4'd03: VAR63 = { 1'b0, 1'b0, 1'b0, 1'b0, 1'b1, 1'b0}; 4'd04: VAR63 = { 1'b0, 1'b0, 1'b0, 1'b1, 1'b0, 1'b0}; 4'd05: VAR63 = { VAR51[10], 1'b0, 1'b0, 1'b1, 1'b1, 1'b0}; 4'd06: VAR63 = { 1'b0, 1'b0, 1'b1, 1'b0, 1'b1, 1'b1}; 4'd07: VAR63 = { 1'b0, 1'b0, 1'b1, 1'b0, 1'b0, 1'b1}; default: VAR63 = -6'd1; endcase endfunction task VAR12 ( input [0:5] VAR56 ); {VAR60, VAR29, VAR55, VAR37, VAR21, VAR76[11:0]} <= {VAR56[1:4], VAR75, (~VAR56[5]) ? VAR51[11] : 1'b0, VAR56[0], (VAR56[5]) ? {1'b0, VAR72} : VAR51[9:0]}; endtask task VAR9 ( input [1:0] VAR31, input [3:0] VAR56 ); case(VAR31) 2'd0: counter[3:0] <= VAR56; 2'd1: counter[7:4] <= VAR56; 2'd2: counter[11:8] <= VAR56; 2'd3: counter[15:12] <= VAR56; endcase endtask function VAR27( input [1:0] VAR31 ); case(VAR31) 2'd0: VAR27 = (counter[3:0] == 4'd0); 2'd1: VAR27 = (counter[7:4] == 4'd0); 2'd2: VAR27 = (counter[11:8] == 4'd0); 2'd3: VAR27 = (counter[15:12] == 4'd0); default: VAR27 = 0; endcase endfunction always @(posedge VAR17) if( VAR61 ) begin VAR53 <= 0; VAR25 <= 0; VAR54 <= 0; VAR24 <= 0; end else if( VAR40 && ~VAR69 ) begin VAR25 <= VAR48; VAR54 <= VAR5; VAR24 <= VAR41; if( ~VAR39 ) VAR53 <= ~VAR53; end always @(negedge VAR17) begin VAR16 <= VAR25; VAR28 <= VAR54; VAR66 <= VAR24; VAR64 <= VAR39; end always @(posedge VAR17) begin if( VAR61 ) begin VAR35 <= 0; VAR57 <= 0; VAR20[0] <= 0; VAR20[1] <= 0; VAR20[2] <= 0; VAR20[3] <= 0; VAR33 <= 0; state <= VAR65; VAR69 <= 0; end else begin case( state ) VAR62: begin if( VAR27(VAR75) ) state <= VAR14; if( ~VAR67[0] ) counter[3:0] <= counter[3:0] - 1'b1; if( ~VAR67[1] ) counter[7:4] <= counter[7:4] - 1'b1; if( ~VAR67[2] ) counter[11:8] <= counter[11:8] - 1'b1; if( ~VAR67[3] ) counter[15:12] <= counter[15:12] - 1'b1; end VAR32: begin if( counter == 0 ) state <= VAR14; end else counter <= counter - 1'b1; end VAR65: begin VAR1 <= 0; counter <= -16'd1; state <= VAR32; VAR14 <= VAR10; end VAR10: begin counter <= 16'h1111; VAR33 <= 0; state <= VAR62; VAR47 <= 7; VAR14 <= VAR15; end VAR15: begin state <= VAR58; end VAR58: begin counter <= 16'h7777; state <= VAR62; if( VAR47 == 0 ) VAR14 <= VAR46; end else begin VAR47 <= VAR47 - 1'b1; VAR14 <= VAR15; end end VAR4: begin if( counter == 0 ) begin VAR33 <= 0; counter <= 16'h1111; state <= VAR62; VAR14 <= VAR68; end end VAR46: begin counter <= 4'd0; state <= VAR62; VAR14 <= VAR30; end VAR30: begin counter <= 16'h8888; state <= VAR62; VAR14 <= VAR68; end VAR68: begin counter <= 16'h8888; state <= VAR62; VAR14 <= VAR73; if( VAR70 ) VAR35 <= ~VAR35; end VAR73: begin if( VAR70 ) begin state <= VAR4; VAR69 <= 0; end else if( VAR64 ) begin if( VAR39 ) VAR57 <= ~VAR57; VAR69 <= 1'b1; if( VAR33[VAR75] ) begin if( VAR20[VAR75] != VAR51 ) begin state <= VAR59; end else state <= (VAR16) ? VAR38 : (VAR28) ? VAR36 : VAR73; end else state <= VAR3; end else begin state <= VAR73; VAR69 <= 0; end VAR1 <= 0; end VAR59: begin VAR9(VAR75, 1); VAR33[VAR75] <= 0; state <= VAR62; VAR14 <= VAR3; end VAR3: begin VAR9(VAR75, 1); state <= VAR62; VAR14 <= (VAR16) ? VAR38 : (VAR28) ? VAR36 : VAR73; VAR33[VAR75] <= 1; VAR20[VAR75] <= VAR51; end VAR38: begin VAR9(VAR75, 0); state <= VAR62; VAR14 <= VAR6; end VAR6: begin VAR1 <= 1'b1; VAR9(VAR75, 6); state <= VAR62; VAR14 <= VAR73; end VAR36: begin state <= VAR52; VAR1 <= 1'b1; end VAR52: begin VAR9(VAR75, 5); state <= VAR62; VAR14 <= VAR22; end VAR22: begin VAR9(VAR75, 0); VAR1 <= 0; state <= VAR62; VAR14 <= VAR73; VAR69 <= 0; end default: state <= VAR65; endcase end end always @(negedge VAR17) begin if( VAR61 ) begin VAR34 <= 0; VAR19 <= 0; end else begin if(VAR34[11:7] == 5'b10011) if(VAR34[9:8] == 2'b11) begin VAR34 <= 12'd0; if( ~VAR70 ) VAR19 <= ~VAR19; end else VAR34 <= (VAR34 + 1'b1); VAR44 <= (VAR1 || (state == VAR6) || (state == VAR36)) && (state != VAR73) && (state != VAR22); case( state ) VAR73: VAR12(VAR63(0)); VAR65: VAR12(VAR63(0)); VAR62: VAR12(VAR63(0)); VAR32: VAR12(VAR63(0)); VAR58: VAR12(VAR63(0)); VAR10: VAR12(VAR63(1)); VAR4: VAR12(VAR63(1)); VAR30: VAR12(VAR63(3)); VAR68: VAR12(VAR63(3)); VAR15: VAR12(VAR63(3)); VAR59: VAR12(VAR63(4)); VAR3: VAR12(VAR63(5)); VAR38: VAR12(VAR63(6)); VAR6: VAR12(VAR63(0)); VAR36: VAR12(VAR63(7)); VAR52: VAR12(VAR63(0)); VAR22: VAR12(VAR63(0)); VAR46: begin VAR12(VAR63(2)); VAR76 <= 12'h23; end default: VAR12(VAR63(0)); endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21bo/sky130_fd_sc_hd__a21bo_2.v
2,318
module MODULE1 ( VAR2 , VAR10 , VAR5 , VAR7, VAR4, VAR8, VAR1 , VAR3 ); output VAR2 ; input VAR10 ; input VAR5 ; input VAR7; input VAR4; input VAR8; input VAR1 ; input VAR3 ; VAR9 VAR6 ( .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR7(VAR7), .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR2 , VAR10 , VAR5 , VAR7 ); output VAR2 ; input VAR10 ; input VAR5 ; input VAR7; supply1 VAR4; supply0 VAR8; supply1 VAR1 ; supply0 VAR3 ; VAR9 VAR6 ( .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR7(VAR7) ); endmodule
apache-2.0