repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
trivoldus28/pulsarch-verilog
|
design/sys/iop/srams/rtl/bw_r_rf32x152b.v
| 8,655 |
module MODULE2(dout, VAR22, VAR25, VAR27, VAR2, VAR8, din, VAR28, VAR12,
VAR32, VAR42, VAR13, VAR3);
parameter VAR4 = 32;
input [4:0] VAR27;
input VAR25;
input VAR2;
input [4:0] VAR8;
input [151:0] din;
input VAR42;
input VAR3;
input VAR13;
input VAR32;
input VAR28;
input VAR12;
output [151:0] dout;
reg [151:0] dout;
output VAR22;
wire clk;
wire VAR47;
reg [151:0] VAR31[(VAR4 - 1):0] ;
assign clk = VAR42;
assign VAR47 = ((VAR2 & (~VAR13)) & VAR3);
always @(posedge clk) begin
if (VAR47) begin
VAR31[VAR8] = din;
end
end
always @(posedge clk) begin
if (VAR25) begin
dout[151:0] <= VAR31[VAR27[4:0]];
end
end
endmodule
module MODULE2 (
dout, VAR22,
VAR25, VAR27, VAR2, VAR8, din,
VAR28, VAR12, VAR32, VAR42, VAR13, VAR3);
parameter VAR4 = 32 ;
input [4:0] VAR27; input VAR25; input VAR2; input [4:0] VAR8; input [151:0] din; input VAR42; input VAR3; input VAR13; input VAR32; input VAR28; input VAR12;
output [151:0] dout ; output VAR22 ;
wire [151:0] dout;
wire clk;
wire VAR47;
reg [151:0] VAR31 [VAR4-1:0];
reg [151:0] VAR40;
integer VAR45,VAR11;
wire [4:0] VAR16; wire VAR14; wire VAR33; wire [4:0] VAR38; wire [151:0] VAR23;
wire [4:0] VAR35; wire VAR39; wire VAR43; wire [4:0] VAR21; wire [151:0] VAR37;
assign clk=VAR42;
VAR15 #(6) VAR46 (
.VAR19 ({VAR27[4:0], VAR25}),
.VAR30 ({VAR35[4:0], VAR39}),
.sel (VAR32),
.dout ({VAR16[4:0],VAR14})
);
VAR26 #(6) VAR44(
.din ({VAR16[4:0], VAR14}),
.VAR1 ({VAR35[4:0], VAR39}),
.clk (clk),
.VAR12 (VAR12),
.VAR28 (),
.VAR22 ()
);
VAR15 #(6) VAR36 (
.VAR19 ({VAR8[4:0], VAR2}),
.VAR30 ({VAR21[4:0], VAR43}),
.sel (VAR32),
.dout ({VAR38[4:0],VAR33})
);
VAR26 #(6) VAR10(
.din ({VAR38[4:0], VAR33}),
.VAR1 ({VAR21[4:0], VAR43}),
.clk (clk),
.VAR12 (VAR12),
.VAR28 (),
.VAR22 ()
);
VAR15 #(152) VAR9 (
.VAR19 (din[151:0]),
.VAR30 (VAR37[151:0]),
.sel (VAR32),
.dout (VAR23[151:0])
);
VAR26 #(152) VAR34(
.din (VAR23[151:0]),
.VAR1 (VAR37[151:0]),
.clk (clk),
.VAR12 (VAR12),
.VAR28 (),
.VAR22 ()
);
assign VAR47 = VAR33 & ~VAR13 & VAR3;
always @ ( posedge clk )
begin
if (VAR47)
VAR31[VAR38] = VAR23[151:0] ;
end
always @ ( posedge clk )
begin
if (VAR14)
begin
if (VAR47 & (VAR38[4:0] == VAR16[4:0]) )
VAR40[151:0] <= 152'VAR5;
end
else
for (VAR11=0;VAR11<VAR4;VAR11=VAR11+1)
begin
if (VAR16[4:0] == VAR11)
VAR40[151:0] <= VAR31[VAR11] ;
end
end
end
always @ ( ~VAR3 )
begin
VAR40[151:0] <=
152'VAR6 ;
end
assign dout[151:0] = VAR40[151:0];
always @ (posedge clk)
begin
if ((VAR25 == 1'VAR41) | (VAR14 & (VAR16[4:0] == 5'VAR7)) & VAR3) if (VAR16[4:0] == 5'VAR7)
begin
%VAR29 ", VAR27[4:0]);
%VAR29 ", VAR27[4:0]);
("VAR17"," read VAR20 VAR18 (VAR24) %VAR29 ", VAR27[4:0]);
end
if ((VAR47 == 1'VAR41) | (VAR47 & (VAR38[4:0] == 5'VAR7)) & VAR3) begin
%VAR29 ", VAR8[4:0]);
%VAR29 ", VAR8[4:0]);
("VAR17"," write VAR18 (VAR24) %VAR29 ", VAR8[4:0]);
end
end
endmodule
|
gpl-2.0
|
titorgalaxy/Titor
|
rtl/verilog/rc/RC.v
| 2,844 |
module MODULE1(
dout,
din,
address,
VAR4,
VAR9,
enable,
VAR2,
reset,
clk
);
output reg [VAR6-1:0] dout;
input wire [VAR6-1:0] din;
input wire [VAR6-1:0] address;
input wire [VAR11-1:0] VAR4;
input wire VAR9;
input wire enable;
output wire VAR2;
input reset;
input clk;
localparam VAR8 = 1*10**6;
reg [VAR6-1:0] VAR5;
reg [VAR6-1:0] VAR12;
reg [VAR6-1:0] period;
reg [VAR6-1:0] VAR10;
assign VAR2 = (VAR10 < period);
always @(posedge clk) begin
if(reset) begin
VAR5 <= 0;
period <= 0;
VAR10 <= 0;
end
else begin
if((enable==VAR3) && (VAR9==VAR1) && (address==0)) begin
VAR5 <= din;
end
else begin
VAR5 <= VAR5;
end
if(VAR10==VAR8-1+VAR12) begin
VAR12 <= VAR5;
period <= VAR5;
VAR10 <= 0;
end
else begin
VAR12 <= VAR12;
period <= period;
VAR10 <= VAR10+1;
end
end
end
always @(posedge clk) begin
if(reset) dout <= 0;
end
else if((enable==VAR3) && (VAR9==VAR7) && (address==0)) dout <= VAR5;
else dout <= 0;
end
endmodule
|
gpl-3.0
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/ddr3_s4_uniphy_example_if0_p0_hr_to_fr.v
| 1,298 |
module MODULE1(
clk,
VAR9,
VAR5,
VAR12,
VAR4,
VAR2,
VAR8
);
input clk;
input VAR9;
input VAR5;
input VAR12;
input VAR4;
output VAR2;
output VAR8;
reg VAR7;
reg VAR6;
reg VAR10;
reg VAR1;
reg VAR11;
reg VAR3;
always @(posedge clk)
begin
VAR7 <= VAR9;
VAR10 <= VAR12;
VAR6 <= VAR5;
VAR1 <= VAR4;
end
always @(negedge clk)
begin
VAR11 <= VAR10;
VAR3 <= VAR1;
end
assign VAR2 = clk ? VAR11 : VAR7;
assign VAR8 = clk ? VAR3 : VAR6;
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/and2b/sky130_fd_sc_lp__and2b_2.v
| 2,136 |
module MODULE2 (
VAR7 ,
VAR4 ,
VAR6 ,
VAR8,
VAR5,
VAR3 ,
VAR9
);
output VAR7 ;
input VAR4 ;
input VAR6 ;
input VAR8;
input VAR5;
input VAR3 ;
input VAR9 ;
VAR1 VAR2 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR7 ,
VAR4,
VAR6
);
output VAR7 ;
input VAR4;
input VAR6 ;
supply1 VAR8;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR9 ;
VAR1 VAR2 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
hoangt/NOCulator
|
hring/hw/buffered/src/c_matrix_arbiter.v
| 3,433 |
module MODULE1
(clk, reset, VAR10, req, VAR8);
parameter VAR9 = 32;
parameter VAR17 = VAR2;
input clk;
input reset;
input VAR10;
input [0:VAR9-1] req;
output [0:VAR9-1] VAR8;
wire [0:VAR9-1] VAR8;
generate
if(VAR9 > 1)
begin
wire [0:VAR9*VAR9-1] VAR16;
genvar VAR3;
for(VAR3 = 0; VAR3 < VAR9; VAR3 = VAR3 + 1)
begin:VAR14
genvar VAR1;
for(VAR1 = 0; VAR1 < VAR3; VAR1 = VAR1 + 1)
begin:VAR6
assign VAR16[VAR3*VAR9+VAR1] = ~VAR16[VAR1*VAR9+VAR3];
end
assign VAR16[VAR3*VAR9+VAR3] = 1'b1;
if(VAR3 < VAR9-1)
begin
wire [(VAR3+1):VAR9-1] VAR15, VAR11;
assign VAR15
= VAR10 ?
(VAR11 | VAR8[(VAR3+1):VAR9-1]) &
~{(VAR9-VAR3-1){VAR8[VAR3]}} :
VAR11;
VAR12
.VAR4(VAR3+1),
.VAR17(VAR17),
.VAR5({(VAR9-VAR3-1){1'b1}}))
VAR11
(.clk(clk),
.reset(reset),
.VAR7(VAR15),
.VAR13(VAR11));
assign VAR16[VAR3*VAR9+VAR3+1:(VAR3+1)*VAR9-1]
= VAR11;
end
assign VAR8[VAR3]
= req[VAR3] &
(&(VAR16[VAR3*VAR9:(VAR3+1)*VAR9-1] | ~req));
end
end
else
assign VAR8 = req;
endgenerate
endmodule
|
mit
|
nyaxt/dmix
|
dmix.v
| 4,784 |
module MODULE1 #(
parameter VAR60 = 1,
parameter VAR42 = 2,
parameter VAR63 = 1,
parameter VAR16 = 5,
parameter VAR29 = 32
)(
input wire VAR81,
input wire rst,
input wire [0:(VAR60-1)] VAR1,
output wire VAR62,
output wire VAR58,
output wire VAR75,
output wire VAR71,
input wire VAR86,
input wire VAR68,
output wire VAR14,
input wire VAR84,
output wire VAR38, output wire [3:0] VAR32
);
wire VAR20;
wire VAR70;
wire VAR66;
VAR49 VAR53(
.VAR81(VAR81),
.VAR20(VAR20),
.VAR70(VAR70),
.VAR66(VAR66));
wire VAR73;
wire VAR2;
reg [19:0] VAR27;
always @(posedge VAR20)
if(rst)
VAR27 <= 0;
else if(VAR27 != 20'hfffff)
VAR27 <= VAR27 + 1;
assign VAR2 = (VAR27[19:3] == 17'h00000);
assign VAR73 = (VAR27[19:3] == 17'h0000e);
wire [(VAR42*VAR29-1):0] VAR79;
wire [(VAR42*VAR16-1):0] VAR36;
wire [(VAR42-1):0] VAR57;
wire [(VAR42-1):0] VAR3;
wire [(VAR42*24-1):0] VAR65;
wire [(VAR60*192-1):0] VAR67;
wire [(VAR60*192-1):0] VAR61;
VAR44 #(.VAR42(VAR42), .VAR60(VAR60)) VAR44(
.clk(VAR70),
.rst(VAR73),
.VAR5(VAR86), .VAR88(VAR14), .VAR19(VAR68), .VAR46(VAR84),
.VAR18(VAR79), .VAR15(VAR36), .VAR69(VAR67), .VAR76(VAR61));
genvar VAR90;
generate
for(VAR90 = 0; VAR90 < VAR60; VAR90 = VAR90 + 1) begin:VAR64
wire [23:0] VAR78;
wire VAR82;
wire VAR17;
wire VAR6;
wire [(VAR16-1):0] VAR92;
wire [191:0] VAR8;
wire [191:0] VAR43;
VAR30 VAR55(
.clk(VAR66),
.rst(VAR73),
.VAR10(VAR1[VAR90]),
.VAR23(VAR78),
.VAR87(VAR17),
.VAR40(VAR82),
.VAR22(VAR6),
.VAR83(VAR8),
.VAR12(VAR43),
.VAR54(VAR92));
wire [23:0] VAR72;
wire VAR34;
reg VAR80;
wire VAR50;
VAR26 #(.VAR89(24 + 1)) VAR56(
.VAR31(VAR66),
.VAR93(VAR73),
.VAR48({VAR78, VAR82}),
.VAR51(VAR17),
.VAR28(VAR70),
.VAR21(VAR73),
.VAR23({VAR72, VAR34}),
.VAR41(VAR80),
.VAR39(VAR50));
always @(posedge VAR70) begin
if (VAR73)
VAR80 <= 0;
end
else
VAR80 <= VAR50 ? 0 : 1;
end
assign VAR36[(VAR90*VAR16*2) +: (VAR16*2)] = {2{VAR92}};
assign VAR67[(VAR90*192) +: 192] = VAR8;
assign VAR61[(VAR90*192) +: 192] = VAR43;
assign VAR57[(VAR90*2) +: 2] = {2{~VAR6}};
assign VAR3[(VAR90*2) +: 2] = {VAR80 & ~VAR34, VAR80 & VAR34};
assign VAR65[(VAR90*24*2) +: (24*2)] = {2{VAR72}};
end
endgenerate
wire [(VAR42-1):0] VAR4;
wire [47:0] VAR37;
wire [(VAR42-1):0] VAR85;
VAR24 #(.VAR42(VAR42), .VAR63(VAR63)) VAR13(
.clk(VAR70),
.rst(VAR73),
.VAR57(VAR57),
.VAR15(VAR36),
.VAR51(VAR3),
.VAR48(VAR65),
.VAR41(VAR4),
.VAR23(VAR37),
.VAR87(VAR85));
wire [1:0] VAR47;
wire [23:0] VAR33;
wire [1:0] VAR91;
VAR52 #(.VAR59(VAR42), .VAR35(VAR63),
.VAR7(2), .VAR25(1), .VAR29(32)) VAR52(
.clk(VAR70), .rst(VAR73), .VAR57(VAR57),
.VAR45(VAR4), .VAR51(VAR85), .VAR48(VAR37),
.VAR77(VAR79),
.VAR41(VAR91), .VAR23(VAR33), .VAR87(VAR47));
VAR11 VAR11(
.clk(VAR70),
.rst(VAR73),
.VAR74(VAR58),
.VAR40(VAR75),
.VAR23(VAR71),
.VAR51(VAR47),
.VAR48(VAR33),
.VAR45(VAR91));
wire [23:0] VAR9 = VAR85[1] ? VAR37[47:24] : VAR37[23:0];
VAR11 VAR11(
.clk(VAR70),
.rst(VAR73),
.VAR74(VAR58),
.VAR40(VAR75),
.VAR23(VAR71),
.VAR51(VAR85),
.VAR48(VAR9),
.VAR45(VAR4));
assign VAR62 = VAR20;
assign VAR38 = VAR64[0].VAR6;
assign VAR32[0] = VAR86;
assign VAR32[1] = VAR68;
assign VAR32[2] = VAR14;
assign VAR32[3] = VAR84;
endmodule
|
mit
|
myriadrf/A2300
|
hdl/wca/WcaDcOffset.v
| 2,759 |
module MODULE1 (
input VAR4,
input reset,
input VAR1,
input VAR3,
input signed [11:0] VAR5, output signed [11:0] VAR6, output signed [11:0] VAR8 );
reg signed [25:0] VAR2[1:0];
assign VAR6 = VAR2[VAR3][25:14];
assign VAR8 = VAR5 - VAR6;
wire signed [25:0] VAR7 = VAR2[VAR3] + {{(14){VAR8[11]}},VAR8};
always @(negedge VAR4)
begin
if(reset)
begin
VAR2[0] <= 26'd0;
VAR2[1] <= 26'd0;
end
else if(VAR1)
VAR2[VAR3] <= VAR7;
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a2bb2o/sky130_fd_sc_lp__a2bb2o.pp.blackbox.v
| 1,465 |
module MODULE1 (
VAR5 ,
VAR3,
VAR4,
VAR6 ,
VAR1 ,
VAR7,
VAR2,
VAR9 ,
VAR8
);
output VAR5 ;
input VAR3;
input VAR4;
input VAR6 ;
input VAR1 ;
input VAR7;
input VAR2;
input VAR9 ;
input VAR8 ;
endmodule
|
apache-2.0
|
VerticalResearchGroup/miaow
|
src/verilog/rtl/lsu/lsu_op_manager.v
| 17,472 |
module MODULE1
(
VAR59,
VAR1,
VAR58,
VAR104,
VAR121,
VAR89,
VAR18,
VAR26,
VAR113,
VAR21,
VAR32,
VAR36,
VAR75,
VAR99,
VAR77,
VAR81,
VAR125,
VAR42,
VAR19,
VAR5,
VAR14,
VAR56,
VAR107,
VAR52, VAR123, VAR72,
VAR54,
VAR78,
VAR86,
VAR9,
VAR112,
VAR31, VAR64,
VAR94, VAR110,
VAR76, VAR37,
VAR44,
VAR7,
VAR55,
VAR97,
VAR23,
VAR68,
VAR34,
VAR49,
VAR91,
VAR85,
VAR38,
VAR84,
VAR39,
VAR51,
VAR13,
VAR67,
VAR118,
clk,
rst
);
parameter VAR87 = 32;
parameter VAR46 = 1;
input [5:0] VAR59; input [31:0] VAR1;
input [5:0] VAR58; input VAR104; input VAR121; input VAR89; input VAR18;
input [3:0] VAR26;
input [1:0] VAR113;
input [63:0] VAR21;
input [2047:0] VAR32;
input VAR36;
input [VAR87-1:0] VAR75;
input [2047:0] VAR99;
input [VAR46-1:0] VAR77;
input VAR81;
input VAR125;
input [8:0] VAR42;
input [8:0] VAR19;
input VAR5;
input [9:0] VAR14;
input [9:0] VAR56;
input [11:0] VAR107;
input clk;
input rst;
output VAR85;
output VAR38;
output [8:0] VAR84;
output [8:0] VAR39;
output VAR51;
output VAR13;
output [9:0] VAR67;
output [9:0] VAR118;
output [127:0] VAR52;
output [8:0] VAR72;
output [3:0] VAR123;
output [2047:0] VAR54;
output [9:0] VAR9;
output VAR78;
output [63:0] VAR86;
output VAR97;
output VAR23;
output [31:0] VAR68;
output [VAR87-1:0] VAR34;
output [6:0] VAR49;
output VAR91;
output VAR112;
output VAR31;
output VAR94;
output VAR76;
output [5:0] VAR64;
output [5:0] VAR110;
output [5:0] VAR37;
output [31:0] VAR44;
output VAR7;
output [2047:0] VAR55;
localparam VAR100 = 4'b0000;
localparam VAR92 = 4'b0001;
localparam VAR70 = 4'b0010; localparam VAR47 = 4'b0011; localparam VAR25 = 4'b0100; localparam VAR109 = 4'b0101; localparam VAR40 = 4'b0110; localparam VAR53 = 4'b0111; localparam VAR101 = 4'b1000;
reg [5:0] VAR124;
reg [5:0] VAR45;
reg [31:0] VAR3;
reg [31:0] VAR71;
reg [3:0] VAR43;
reg [3:0] VAR60;
reg VAR10; reg VAR16;
reg [5:0] VAR61;
reg [5:0] VAR80;
reg [6:0] VAR33;
reg [6:0] VAR108;
reg [2047:0] VAR106;
reg [2047:0] VAR88;
reg [2047:0] VAR117;
reg [2047:0] VAR66;
reg [31:0] VAR95;
reg [31:0] VAR79;
reg [2047:0] VAR62;
reg [2047:0] VAR111;
reg VAR105;
reg VAR35;
reg [1:0] VAR102;
reg [1:0] VAR57;
reg [1:0] VAR93;
reg [1:0] VAR50;
reg [2047:0] VAR24;
reg [2047:0] VAR114;
reg [31:0] VAR2 [0:63];
reg [5:0] VAR22;
reg [5:0] VAR120;
reg VAR29;
reg VAR48;
reg VAR115;
reg VAR4;
reg VAR11;
reg VAR69;
reg VAR17;
reg VAR96;
reg VAR65;
reg [8:0] VAR82;
reg [8:0] VAR30;
reg VAR116;
reg VAR15;
reg [9:0] VAR27;
reg [9:0] VAR41;
reg [9:0] VAR73;
reg [9:0] VAR6;
reg [11:0] VAR119;
reg [11:0] VAR83;
reg [3:0] VAR98;
reg [3:0] VAR74;
reg [63:0] VAR90;
reg [63:0] VAR63;
reg [63:0] VAR103;
reg [63:0] VAR28;
always@(posedge clk) begin
if(rst) begin
VAR124 <= 6'd0;
VAR3 <= 32'd0;
VAR43 <= VAR100;
VAR10 <= 1'b0;
VAR61 <= 6'd0;
VAR33 <= 7'd0;
VAR106 <= 2048'd0;
VAR117 <= 2048'd0;
VAR95 <= 32'd0;
VAR24 <= 2048'd0;
VAR22 <= 6'd0;
VAR62 <= 2048'd0;
VAR115 <= 1'b0;
VAR11 <= 1'b0;
VAR119 <= 12'd0;
VAR102 <= 2'd0;
VAR93 <= 2'd0;
VAR98 <= 4'd0;
VAR90 <= 64'd0;
VAR103 <= 64'd0;
VAR73 <= 10'd0;
VAR105 <= 1'b0;
end
else begin
VAR124 <= VAR45;
VAR3 <= VAR71;
VAR43 <= VAR60;
VAR10 <= VAR16;
VAR61 <= VAR80;
VAR33 <= VAR108;
VAR106 <= VAR88;
VAR117 <= VAR66;
VAR95 <= VAR79;
VAR24 <= VAR114;
VAR62 <= VAR111;
VAR115 <= VAR4;
VAR11 <= VAR69;
VAR119 <= VAR83;
VAR102 <= VAR57;
VAR93 <= VAR50;
VAR98 <= VAR74;
VAR90 <= VAR63;
VAR103 <= VAR28;
VAR73 <= VAR6;
VAR105 <= VAR35;
end
end
always@(*) begin
VAR60 <= VAR43;
VAR16 <= VAR10;
VAR80 <= VAR61;
VAR108 <= VAR33;
VAR88 <= VAR106;
VAR66 <= VAR117;
VAR79 <= VAR95;
VAR29 <= 1'b0;
VAR48 <= 1'b0;
VAR111 <= VAR62;
begin : VAR122
integer VAR12;
for(VAR12 = 0; VAR12 < 64; VAR12 = VAR12 + 1) begin
VAR2[VAR12] <= VAR24[32 * VAR12+:32];
VAR114[32 * VAR12+:32] <= VAR2[VAR12];
end
end
VAR74 <= VAR98;
VAR63 <= VAR90;
VAR28 <= VAR103;
VAR4 <= VAR115;
VAR69 <= VAR11;
VAR17 <= 1'b0;
VAR45 <= VAR124;
VAR71 <= VAR3;
VAR57 <= VAR102;
VAR50 <= VAR93;
VAR6 <= VAR73;
VAR96 <= 1'b0;
VAR65 <= 1'b0;
VAR82 <= 9'VAR20;
VAR30 <= 9'VAR20;
VAR116 <= 1'b0;
VAR15 <= 1'b0;
VAR27 <= 10'VAR8;
VAR41 <= 10'VAR8;
VAR83 <= VAR119;
VAR35 <= VAR105;
case(VAR43)
VAR100: begin
VAR16 <= 1'b0;
if(VAR104 | VAR121) begin
VAR45 <= VAR59;
VAR71 <= VAR1;
VAR60 <= VAR92;
VAR80 <= VAR58;
VAR108 <= 6'd0;
VAR79 <= 32'd0;
VAR96 <= VAR81;
VAR65 <= VAR125;
VAR82 <= VAR42;
VAR30 <= VAR19;
VAR15 <= VAR5;
VAR41 <= VAR56;
VAR57 <= VAR113;
end
if(VAR121) begin
VAR16 <= 1'b1;
VAR6 <= VAR14;
end
VAR63 <= VAR21;
VAR28 <= VAR21;
VAR74 <= VAR26;
if(VAR104) begin
VAR4 <= ~VAR89;
VAR69 <= VAR89;
VAR83 <= VAR107;
end
end
VAR92: begin
VAR60 <= VAR70;
VAR88 <= VAR32;
VAR66 <= VAR32;
VAR111 <= VAR32;
VAR50 <= 2'd0;
VAR108 <= 6'd0;
if(VAR10) begin
VAR60 <= VAR25;
end
VAR35 <= VAR18;
end
VAR70: begin
VAR29 <= 1'b1;
if(VAR11 & ~VAR90[0]) begin
VAR60 <= VAR47;
VAR29 <= 1'b0;
end
else if(VAR36) begin
VAR29 <= 1'b0;
VAR108 <= VAR33 + 6'd1;
if(VAR115) begin
VAR79 <= VAR95 + 32'd4;
end
if(VAR11) begin
VAR88[2015:0] <= VAR106[2047:32];
end
VAR2[VAR33] <= VAR75;
VAR63[62:0] <= VAR90[63:1];
if(VAR33 == VAR61) begin
VAR60 <= VAR47;
end
end
end
VAR47: begin
VAR17 <= 1'b1;
VAR50 <= VAR93 + 2'd1;
VAR60 <= VAR70;
VAR108 <= 6'd0;
VAR88 <= VAR117;
VAR63 <= VAR103;
VAR83 <= VAR119 + 12'd1;
if(VAR11) begin
VAR79 <= VAR95 + 32'd4;
end
if(VAR93 == VAR102) begin
VAR60 <= VAR101;
end
end
VAR25: begin
VAR116 <= 1'b1;
VAR27 <= VAR73;
VAR60 <= VAR109;
end
VAR109: begin
VAR114 <= VAR99;
VAR60 <= VAR40;
end
VAR40: begin
VAR48 <= 1'b1;
if(VAR11 & ~VAR90[0]) begin
VAR60 <= VAR53;
VAR48 <= 1'b0;
end
else if(VAR36) begin
VAR48 <= 1'b0;
VAR108 <= VAR33 + 6'd1;
VAR88[2015:0] <= VAR106[2047:32];
VAR114[2015:0] <= VAR24[2047:32];
VAR63[62:0] <= VAR90[63:1];
if(VAR33 == VAR61) begin
VAR60 <= VAR53;
end
end
end
VAR53: begin
VAR60 <= VAR25;
VAR50 <= VAR93 + 2'd1;
VAR6 <= VAR73 + 10'd1;
if(VAR93 == VAR102) begin
VAR60 <= VAR101;
end
end
VAR101: begin
VAR60 <= VAR100;
end
endcase
end
assign VAR112 = (VAR43 == VAR100) ? 1'b1 : 1'b0;
assign VAR31 = (VAR43 == VAR101) ? 1'b1 : 1'b0;
assign VAR64 = VAR124;
assign VAR44 = (VAR43 == VAR101) ? VAR3 : 32'd0;
assign VAR7 = (VAR43 == VAR101) ? VAR105 : 1'b0;
assign VAR94 = (VAR43 == VAR101) ? VAR115 : 1'b0;
assign VAR76 = (VAR43 == VAR101) ? VAR11 : 1'b0;
assign VAR110 = VAR124;
assign VAR37 = VAR124;
assign VAR52 = VAR24[127:0];
assign VAR123 = {4{VAR115 & VAR17}} & VAR98;
assign VAR72 = VAR119[8:0];
assign VAR54 = VAR24;
assign VAR78 = VAR11 & VAR17;
assign VAR86 = VAR103;
assign VAR9 = VAR119[9:0];
assign VAR85 = VAR96;
assign VAR38 = VAR65;
assign VAR84 = VAR82;
assign VAR39 = VAR30;
assign VAR51 = VAR116;
assign VAR13 = VAR15;
assign VAR67 = VAR27;
assign VAR118 = VAR41;
assign VAR97 = VAR29;
assign VAR23 = VAR48;
assign VAR68 = VAR106[31:0] + VAR95;
assign VAR34 = VAR24[31:0];
assign VAR49 = {VAR124, VAR29};
assign VAR91 = VAR105;
assign VAR55 = VAR62;
endmodule
|
bsd-3-clause
|
walkthetalk/fsref
|
ip/fscpu/src/include/AM_img.v
| 7,054 |
module MODULE1 # (
parameter integer VAR18 = 12,
parameter integer VAR20 = 12,
parameter integer VAR7 = 32,
parameter integer VAR26 = 1
) (
input wire clk,
input wire VAR32,
input wire VAR6,
input wire VAR21,
input wire VAR43,
input wire [VAR20-1:0] VAR1,
input wire [VAR20-1:0] VAR39,
input wire VAR36,
input wire VAR15,
input wire VAR25,
input wire VAR29,
input wire [VAR20-1:0] VAR16 ,
input wire VAR5,
input wire [VAR20-1:0] VAR8 ,
input wire VAR11,
input wire [VAR20-1:0] VAR46 ,
input wire VAR24,
input wire [VAR20-1:0] VAR47 ,
input wire VAR38,
input wire VAR48,
output reg [VAR20-1:0] VAR19,
input wire [VAR7-1:0] VAR17,
output reg VAR22,
output reg signed [VAR7-1:0] VAR45,
output reg VAR23,
output reg VAR28
);
reg [VAR20-1:0] VAR51 ;
reg VAR13;
reg [VAR20-1:0] VAR35 ;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR13 <= 1'b0;
VAR51 <= 0;
VAR35 <= 0;
end
else if (VAR36) begin
VAR13 <= (VAR11 & VAR24);
if (VAR26) begin
VAR51 <= ((VAR16) - (VAR8));
VAR35 <= ((VAR46) - (VAR47));
end
else begin
VAR51 <= ((VAR8) - (VAR16));
VAR35 <= ((VAR47) - (VAR46));
end
end
end
reg [1:0] VAR27;
reg [1:0] VAR49;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR27 <= 0;
VAR49 <= 0;
end
else if (VAR36) begin
VAR27 <= {VAR27[0], VAR38};
VAR49 <= {VAR49[0], VAR48};
end
else begin
if (VAR38)
VAR27[0] <= 1'b1;
if (VAR48)
VAR49[0] <= 1'b1;
end
end
reg VAR14;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR14 <= 0;
end
else if (VAR14 == 1'b1)
VAR14 <= 0;
else if (VAR36) begin
VAR14 <= (VAR43
&& VAR15
&& VAR25
&& VAR29
&& VAR5);
end
end
reg [VAR20-1:0] VAR3 ;
reg [VAR20-1:0] VAR50;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR3 <= 0;
VAR50 <= 0;
end
else if (VAR14) begin
if (VAR21)
VAR3 <= ((VAR51) - (VAR35)) >>> 2;
end
else
VAR3 <= 0;
VAR50 <= VAR35;
end
end
reg VAR40;
reg VAR4;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR40 <= 0;
VAR4 <= 0;
end
else if (VAR14) begin
VAR40 <= (VAR27 == 2'b00);
VAR4 <= (VAR49 == 2'b00);
end
end
reg VAR37;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR37 <= 0;
end
else
VAR37 <= VAR14;
end
reg [VAR20-1:0] VAR9 ;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR9 <= 0;
end
else if (VAR37) begin
if (VAR21 && VAR13) begin
VAR9 <= ((VAR50) - (VAR3));
end
else begin
VAR9 <= VAR51;
end
end
end
reg VAR30;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR30 <= 0;
end
else
VAR30 <= VAR37;
end
reg [VAR20-1:0] VAR33 ;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR33 <= 0;
end
else if (VAR30) begin
if ((VAR9) > 0)
VAR33 <= (VAR9) - (VAR1);
end
else
VAR33 <= (VAR9) + (VAR1);
end
end
reg VAR41;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR41 <= 0;
end
else
VAR41 <= VAR30;
end
reg [VAR20-1:0] VAR31;
reg [VAR20-1:0] VAR42;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR31 <= 0;
VAR42 <= 0;
end
else if (VAR41) begin
if ((VAR33) > 0) begin
VAR31 <= 0;
VAR42 <= VAR33;
end
else begin
VAR31 <= 1;
VAR42 <= ~VAR33 + 1;
end
end
end
reg VAR10;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR10 <= 0;
end
else
VAR10 <= VAR41;
end
reg VAR34;
reg VAR2;
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR2 <= 0;
VAR34 <= 0;
VAR19 <= 0;
end
else if (VAR10) begin
VAR2 <= (VAR42 < VAR39);
VAR34 <= VAR31;
VAR19 <= VAR42;
end
else begin
end
end
reg VAR44;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR44 <= 0;
end
else
VAR44 <= VAR10;
end
reg VAR12;
always @ (posedge clk) begin
if (VAR32 == 1'b0)
VAR12 <= 0;
end
else
VAR12 <= VAR44;
end
always @ (posedge clk) begin
if (VAR32 == 1'b0) begin
VAR22 <= 0;
VAR45 <= 0;
VAR23 <= 0;
VAR28 <= 0;
end
else if (VAR36 && VAR6) begin
VAR22 <= 1;
VAR45 <= 0;
VAR23 <= 1;
VAR28 <= 0;
end
else if (VAR12) begin
VAR22 <= 1;
VAR45 <= (VAR34 ? (0-VAR17) : VAR17);
VAR23 <= (VAR4 && VAR2);
VAR28 <= (VAR40 && ~VAR2);
end
else begin
VAR22 <= 0;
VAR45 <= 0;
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/lsbufhv2hv_lh/sky130_fd_sc_hvl__lsbufhv2hv_lh.pp.blackbox.v
| 1,452 |
module MODULE1 (
VAR2 ,
VAR1 ,
VAR6 ,
VAR4 ,
VAR3,
VAR5 ,
VAR7
);
output VAR2 ;
input VAR1 ;
input VAR6 ;
input VAR4 ;
input VAR3;
input VAR5 ;
input VAR7 ;
endmodule
|
apache-2.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/models/adc_model.v
| 1,819 |
module MODULE1
(input clk, input rst,
output [13:0] VAR2,
output VAR14,
input VAR12,
input VAR16,
output [13:0] VAR15,
output VAR10,
input VAR6,
input VAR3
);
VAR4 VAR13 ( ) ;
reg [13:0] VAR1 = 0;
reg [13:0] VAR8 = 0;
assign VAR2 = VAR16 ? VAR1 : 14'VAR11;
assign VAR14 = VAR16 ? 1'b0 : 1'VAR11;
assign VAR15 = VAR3 ? VAR8 : 14'VAR11;
assign VAR10 = VAR3 ? 1'b0 : 1'VAR11;
real VAR7 = 0;
real VAR5 = 330000/100000000;
real VAR9 = 8190; always @(posedge clk)
if(rst)
begin
VAR1 <= 0;
VAR8 <= 0;
end
else
begin
if(VAR12)
VAR1 <= VAR1 + 3;
if(VAR6)
VAR8 <= VAR8 - 7;
if(VAR7 > 1)
VAR7 <= VAR7 + VAR5 - 1;
end
else
VAR7 <= VAR7 + VAR5;
end
endmodule
|
gpl-2.0
|
GSejas/Karatsuba_FPU
|
FPGA_FLOW/Karat/source/rtl/KOA.v
| 7,899 |
module MODULE1
(
input wire [VAR16-1:0] VAR25, input wire [VAR16-1:0] VAR20, output wire [2*VAR16-1:0] VAR30 );
wire [VAR16/2+1:0] VAR29;
wire [VAR16/2+1:0] VAR17;
wire [VAR16-1:0] VAR14;
wire [2*(VAR16/2+1)-1:0] VAR23;
wire [2*(VAR16/2+2)-1:0] VAR32;
wire [2*(VAR16/2+2)-1:0] VAR26;
wire [2*(VAR16/2+2)-1:0] VAR13;
wire [4*(VAR16/2)+2:0] VAR10;
wire [1:0] VAR21;
wire [3:0] VAR3;
assign VAR21 =2'b00;
assign VAR3 =4'b0000;
wire [VAR16/2-1:0] VAR28;
wire [VAR16/2-1:0] VAR12;
wire VAR18;
assign VAR18 = 1'b0;
wire [VAR16/2:0] VAR34;
wire [4*(VAR16/2)-1:0] VAR8;
assign VAR28 = (VAR16/2) *1'b0;
assign VAR12 = (VAR16/2) *1'b0;
assign VAR34 = (VAR16/2+1)*1'b0;
localparam VAR33 = VAR16/2;
localparam VAR27 = VAR16 - 1;
generate
case (VAR16%2)
0:begin
VAR5 #(.VAR2(VAR33)) VAR1(
.VAR25(VAR25[VAR27:VAR33]), .VAR20(VAR20[VAR27:VAR33]), .VAR9(VAR14)
);
VAR5 #(.VAR2(VAR33)) VAR6(
.VAR25(VAR25[VAR33-1:0]), .VAR20(VAR20[VAR33-1:0]), .VAR9(VAR23[VAR16-1:0]) );
VAR11 #(.VAR2(VAR16/2)) VAR22 (
.VAR25(VAR25[VAR16-1:VAR16/2]), .VAR20(VAR25[VAR16/2-1:0]), .VAR9(VAR29[VAR16/2:0]) );
VAR11 #(.VAR2(VAR16/2)) VAR31 (
.VAR25(VAR20[VAR16-1:VAR16/2]), .VAR20(VAR20[VAR16/2-1:0]), .VAR9(VAR17[VAR16/2:0]) );
VAR5 #(.VAR2(VAR16/2+1)) VAR19 (
.VAR25(VAR29[VAR16/2:0]), .VAR20(VAR17[VAR16/2:0]), .VAR9(VAR32[VAR16+1:0]) );
VAR4 #(.VAR2(VAR16+2)) VAR7 (
.VAR25(VAR32[VAR16+1:0]), .VAR20({VAR21, VAR14}), .VAR9(VAR26[VAR16+1:0])
);
VAR4 #(.VAR2(VAR16+2)) VAR15 (
.VAR25(VAR26[VAR16+1:0]),
.VAR20({VAR21,VAR23[VAR16-1:0]}), .VAR9(VAR13[VAR16+1:0])
);
VAR11 #(.VAR2(2*VAR16)) VAR24(
.VAR25({VAR14,VAR23[VAR16-1:0]}),
.VAR20({VAR12,VAR13[VAR16+1:0],VAR28}),
.VAR9(VAR10[2*VAR16:0]) );
assign VAR30 = VAR10[(2*VAR16):0];
end
1:begin
VAR5 #(.VAR2(VAR16/2)) VAR1(
.VAR25(VAR25[VAR16-1:VAR16/2]),
.VAR20(VAR20[VAR16-1:VAR16/2]),
.VAR9(VAR14)
);
VAR5 #(.VAR2((VAR16/2)+1)) VAR6(
.VAR25(VAR25[VAR16/2-1:0]),
.VAR20(VAR20[VAR16/2-1:0]),
.VAR9(VAR23)
);
VAR11 #(.VAR2(VAR16/2+1)) VAR22 (
.VAR25({VAR18,VAR25[VAR16-1:VAR16-VAR16/2]}),
.VAR20(VAR25[VAR16/2-1:0]),
.VAR9(VAR29)
);
VAR11 #(.VAR2(VAR16/2+1)) VAR31 (
.VAR25({VAR18,VAR20[VAR16-1:VAR16-VAR16/2]}),
.VAR20(VAR20[VAR16/2-1:0]),
.VAR9(VAR17)
);
VAR5 #(.VAR2(VAR16/2+2)) VAR19 (
.VAR25(VAR29),
.VAR20(VAR17),
.VAR9(VAR32)
);
VAR4 #(.VAR2(2*(VAR16/2+2))) VAR7 (
.VAR25(VAR14,VAR23}),
.VAR20({VAR13,VAR34}),
.VAR9(VAR10[4*(VAR16/2)+2:0])
);
assign VAR30 = VAR10[2*VAR16-1:0];
end
endcase
endgenerate
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/clkinv/sky130_fd_sc_hdll__clkinv_1.v
| 2,052 |
module MODULE2 (
VAR3 ,
VAR5 ,
VAR7,
VAR1,
VAR8 ,
VAR6
);
output VAR3 ;
input VAR5 ;
input VAR7;
input VAR1;
input VAR8 ;
input VAR6 ;
VAR4 VAR2 (
.VAR3(VAR3),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR3,
VAR5
);
output VAR3;
input VAR5;
supply1 VAR7;
supply0 VAR1;
supply1 VAR8 ;
supply0 VAR6 ;
VAR4 VAR2 (
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
jlrandulfe/UviSpace
|
DE1-SoC/FPGA_Design/ip/sdram_control/Sdram_Control.v
| 14,514 |
module MODULE1(
VAR22,
VAR94,
VAR49,
VAR55,
VAR90,
VAR46,
VAR28,
VAR143,
VAR72,
VAR82,
VAR108,
VAR23,
VAR134,
VAR114,
VAR53,
VAR67,
VAR51,
VAR99,
VAR35,
VAR89,
VAR25,
VAR92,
VAR80,
VAR45,
VAR138,
VAR88,
VAR58,
VAR83,
VAR68,
VAR147,
VAR31,
VAR118,
VAR26,
VAR24,
VAR126,
VAR56,
VAR48,
VAR13,
VAR121,
VAR5,
VAR32,
VAR70,
VAR85,
VAR15,
VAR77,
VAR47,
VAR34,
VAR103,
VAR42,
VAR105
);
input VAR22; input VAR94; input [VAR4-1:0] VAR55; input VAR90; input [VAR20-1:0] VAR46; input [VAR20-1:0] VAR28; input [8:0] VAR143; input VAR72; input VAR82; output VAR108; output [15:0] VAR23; input [VAR4-1:0] VAR134; input VAR114; input [VAR20-1:0] VAR53; input [VAR20-1:0] VAR67; input [8:0] VAR51; input VAR99; input VAR35; output VAR89; output [15:0] VAR25; output [VAR4-1:0] VAR92; input VAR80; input [VAR20-1:0] VAR45; input [VAR20-1:0] VAR138; input [8:0] VAR88; input VAR58; input VAR83; output VAR68; output [15:0] VAR147; output [VAR4-1:0] VAR31; input VAR118; input [VAR20-1:0] VAR26; input [VAR20-1:0] VAR24; input [8:0] VAR126; input VAR56; input VAR48; output VAR13; output [15:0] VAR121; output [VAR120-1:0] VAR5; output [1:0] VAR32; output [1:0] VAR70; output VAR85; output VAR15; output VAR77; output VAR47; inout [VAR4-1:0] VAR34; output [VAR4/8-1:0] VAR103; output VAR42; reg [VAR20-1:0] VAR52; reg [8:0] VAR150; reg [VAR20-1:0] VAR148; reg [VAR20-1:0] VAR38; reg [VAR20-1:0] VAR117; reg [VAR20-1:0] VAR6; reg [1:0] VAR1; reg [1:0] VAR144; reg VAR69; reg VAR60; reg VAR44,VAR107; reg VAR9,VAR152; reg [9:0] VAR59; reg [1:0] VAR62; reg VAR111; reg VAR11; reg VAR131; reg VAR71; reg [VAR4-1:0] VAR119; wire [VAR4-1:0] VAR27; wire [VAR4-1:0] VAR86; wire [VAR4-1:0] VAR106; wire VAR54; reg [VAR4/8-1:0] VAR103; reg [VAR120-1:0] VAR5; reg [1:0] VAR32; reg [1:0] VAR70; reg VAR85; reg VAR15; reg VAR77; reg VAR47; wire [VAR4-1:0] VAR137; wire [VAR4/8-1:0] VAR81; wire [VAR120-1:0] VAR146; wire [1:0] VAR101; wire [1:0] VAR10; wire VAR136; wire VAR63; wire VAR50; wire VAR139; reg VAR97; reg VAR7; wire [15:0] VAR33;
wire [15:0] VAR129;
wire [15:0] VAR12;
wire [15:0] VAR21;
wire [VAR20-1:0] VAR95;
wire VAR104;
wire VAR123;
wire VAR2;
wire VAR125;
wire VAR8;
wire VAR151;
wire VAR65;
wire VAR93;
wire VAR76;
wire VAR29;
wire VAR112;
wire VAR149;
output VAR49;
output wire VAR105;
VAR132 VAR124(
.VAR140(VAR22), .rst(1'b0), .VAR79(VAR49), .VAR43(VAR42), .VAR61() );
VAR87 VAR127 (
.VAR49(VAR49),
.VAR94(VAR94),
.VAR62(VAR62),
.VAR91(VAR52),
.VAR133(VAR93),
.VAR102(VAR112),
.VAR17(VAR123),
.VAR130(VAR2),
.VAR98(VAR125),
.VAR78(VAR8),
.VAR64(VAR151),
.VAR113(VAR104),
.VAR16(VAR95),
.VAR145(VAR76),
.VAR73(VAR29),
.VAR54(VAR54)
);
VAR18 VAR153(
.VAR49(VAR49),
.VAR94(VAR94),
.VAR16(VAR95),
.VAR17(VAR123),
.VAR130(VAR2),
.VAR98(VAR125),
.VAR78(VAR8),
.VAR113(VAR104),
.VAR64(VAR151),
.VAR145(VAR76),
.VAR73(VAR29),
.VAR133(VAR93),
.VAR102(VAR112),
.VAR142(VAR65),
.VAR111(VAR111),
.VAR11(VAR11),
.VAR5(VAR146),
.VAR32(VAR101),
.VAR70(VAR10),
.VAR85(VAR136),
.VAR15(VAR63),
.VAR77(VAR50),
.VAR47(VAR139)
);
VAR74 VAR109(
.VAR49(VAR49),
.VAR94(VAR94),
.VAR110(VAR27),
.VAR14(2'b00),
.VAR137(VAR137),
.VAR103(VAR81)
);
VAR100 VAR116(
.VAR57(VAR55),
.VAR19(VAR90),
.VAR75(VAR82),
.VAR96(VAR72),
.VAR36(VAR7&VAR1[0]),
.VAR141(VAR49),
.VAR128(VAR86),
.VAR30(VAR108),
.VAR66(VAR23),
.VAR41(VAR33)
);
VAR100 VAR39(
.VAR57(VAR134),
.VAR19(VAR114),
.VAR75(VAR35),
.VAR96(VAR99),
.VAR36(VAR7&VAR1[1]),
.VAR141(VAR49),
.VAR128(VAR106),
.VAR30(VAR89),
.VAR66(VAR25),
.VAR41(VAR12)
);
assign VAR27 = (VAR1[0]) ? VAR86 :
VAR106 ;
VAR122 VAR37(
.VAR57(VAR119),
.VAR19(VAR97&VAR144[0]),
.VAR75(VAR49),
.VAR96(VAR58),
.VAR36(VAR80),
.VAR141(VAR83),
.VAR128(VAR92),
.VAR66(VAR129),
.VAR135(VAR68),
.VAR41(VAR147)
);
VAR122 VAR40(
.VAR57(VAR119),
.VAR19(VAR97&VAR144[1]),
.VAR75(VAR49),
.VAR96(VAR56),
.VAR36(VAR118),
.VAR141(VAR48),
.VAR128(VAR31),
.VAR66(VAR21),
.VAR135(VAR13),
.VAR41(VAR121)
);
always @(posedge VAR49)
begin
VAR5 <= (VAR59==VAR84+VAR150) ? 13'h200 : VAR146;
VAR32 <= VAR101;
VAR70 <= VAR10;
VAR85 <= VAR136;
VAR15 <= (VAR59==VAR84+VAR150) ? 1'b0 : VAR63;
VAR77 <= (VAR59==VAR84+VAR150) ? 1'b1 : VAR50;
VAR47 <= (VAR59==VAR84+VAR150) ? 1'b0 : VAR139;
VAR111 <= (VAR59==VAR84+VAR150) ? 1'b1 : 1'b0;
VAR11 <= (VAR59==VAR84+VAR115+VAR150+2) ? 1'b1 : 1'b0;
VAR103 <= ( VAR149 && (VAR59>=VAR84) ) ? ( ((VAR59==VAR84+VAR150) && VAR71)? 2'b11 : 2'b00 ) : 2'b11 ;
VAR119<= VAR34;
end
assign VAR34 = VAR65 ? VAR137 : VAR4'VAR3;
assign VAR149 = VAR131 | VAR71;
always@(posedge VAR49 or negedge VAR94)
begin
if(VAR94==0)
begin
VAR62 <= 0;
VAR59 <= 0;
VAR152 <= 0;
VAR107 <= 0;
VAR131 <= 0;
VAR71 <= 0;
VAR97 <= 0;
VAR7 <= 0;
VAR69 <= 0;
VAR60 <= 0;
end
else
begin
VAR152 <= VAR9;
VAR107 <= VAR44;
case(VAR59)
0: begin
if({VAR152,VAR9}==2'b01)
begin
VAR131 <= 1;
VAR71 <= 0;
VAR62 <= 2'b01;
VAR59 <= 1;
end
else if({VAR107,VAR44}==2'b01)
begin
VAR131 <= 0;
VAR71 <= 1;
VAR62 <= 2'b10;
VAR59 <= 1;
end
end
1: begin
if(VAR54==1)
begin
VAR62<=2'b00;
VAR59<=2;
end
end
default:
begin
if(VAR59!=VAR84+VAR115+VAR150+1)
VAR59<=VAR59+1;
end
else
VAR59<=0;
end
endcase
if(VAR131)
begin
if(VAR59==VAR84+VAR115+1)
VAR97 <= 1;
end
else if(VAR59==VAR84+VAR115+VAR150+1)
begin
VAR97 <= 0;
VAR131 <= 0;
VAR60 <= 1;
end
end
else
VAR60 <= 0;
if(VAR71)
begin
if(VAR59==VAR84-1)
VAR7 <= 1;
end
else if(VAR59==VAR84+VAR150-1)
VAR7 <= 0;
else if(VAR59==VAR84+VAR115+VAR150)
begin
VAR71 <= 0;
VAR69<= 1;
end
end
else
VAR69<= 0;
end
end
always@(posedge VAR49 or negedge VAR94)
begin
if(!VAR94)
begin
VAR148 <= VAR46;
VAR38 <= VAR53;
VAR117 <= VAR45;
VAR6 <= VAR26;
end
else
begin
if(VAR72)
VAR148 <= VAR46;
end
else if(VAR69&VAR1[0])
begin
if(VAR148<VAR28-VAR143)
VAR148 <= VAR148+VAR143;
end
else
VAR148 <= VAR46;
end
if(VAR99)
VAR38 <= VAR53;
else if(VAR69&VAR1[1])
begin
if(VAR38<VAR67-VAR51)
VAR38 <= VAR38+VAR51;
end
else
VAR38 <= VAR53;
end
if(VAR58)
VAR117 <= VAR45;
else if(VAR60&VAR144[0])
begin
if(VAR117<VAR138-VAR88)
VAR117 <= VAR117+VAR88;
end
else
VAR117 <= VAR45;
end
if(VAR56)
VAR6 <= VAR26;
else if(VAR60&VAR144[1])
begin
if(VAR6<VAR24-VAR126)
VAR6 <= VAR6+VAR126;
end
else
VAR6 <= VAR26;
end
end
end
always@(posedge VAR49 or negedge VAR94)
begin
if(!VAR94)
begin
VAR44 <= 0;
VAR9 <= 0;
VAR52 <= 0;
VAR150 <= 0;
VAR1 <= 0;
VAR144 <= 0;
end
else
begin
if( (VAR44==0) && (VAR9==0) && (VAR59==0) &&
(VAR1==0) && (VAR144==0) &&
(VAR72==0) && (VAR58==0) &&
(VAR99==0) && (VAR56==0) )
begin
if( (VAR129 < VAR88) )
begin
VAR52 <= VAR117;
VAR150 <= VAR88;
VAR1 <= 2'b00;
VAR144 <= 2'b01;
VAR44 <= 0;
VAR9 <= 1;
end
else if( (VAR21 < VAR126) )
begin
VAR52 <= VAR6;
VAR150 <= VAR126;
VAR1 <= 2'b00;
VAR144 <= 2'b10;
VAR44 <= 0;
VAR9 <= 1;
end
else if( (VAR33 >= VAR143) && (VAR143!=0) )
begin
VAR52 <= VAR148;
VAR150 <= VAR143;
VAR1 <= 2'b01;
VAR144 <= 2'b00;
VAR44 <= 1;
VAR9 <= 0;
end
else if( (VAR12 >= VAR51) && (VAR51!=0) )
begin
VAR52 <= VAR38;
VAR150 <= VAR51;
VAR1 <= 2'b10;
VAR144 <= 2'b00;
VAR44 <= 1;
VAR9 <= 0;
end
end
if(VAR69)
begin
VAR1 <= 0;
VAR44 <= 0;
end
if(VAR60)
begin
VAR144 <= 0;
VAR9 <= 0;
end
end
end
endmodule
|
gpl-3.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_121.v
| 1,522 |
module MODULE1 (
VAR3,
VAR9
);
input [31:0] VAR3;
output [31:0]
VAR9;
wire [31:0]
VAR4,
VAR1,
VAR13,
VAR7,
VAR5,
VAR12,
VAR6,
VAR10,
VAR8;
assign VAR4 = VAR3;
assign VAR13 = VAR1 - VAR4;
assign VAR1 = VAR4 << 14;
assign VAR8 = VAR5 - VAR10;
assign VAR5 = VAR13 + VAR7;
assign VAR12 = VAR4 << 2;
assign VAR10 = VAR6 << 3;
assign VAR6 = VAR4 + VAR12;
assign VAR7 = VAR4 << 13;
assign VAR9 = VAR8;
endmodule
module MODULE2(
VAR3,
VAR9,
clk
);
input [31:0] VAR3;
output [31:0] VAR9;
reg [31:0] VAR9;
input clk;
reg [31:0] VAR2;
wire [30:0] VAR11;
always @(posedge clk) begin
VAR2 <= VAR3;
VAR9 <= VAR11;
end
MODULE1 MODULE1(
.VAR3(VAR2),
.VAR9(VAR11)
);
endmodule
|
mit
|
ShirmanXia/EE469SPRING16
|
lab4/nios_system/synthesis/submodules/altera_reset_controller.v
| 12,023 |
module MODULE1
parameter VAR12 = 6,
parameter VAR36 = 0,
parameter VAR37 = 0,
parameter VAR9 = 0,
parameter VAR70 = 0,
parameter VAR39 = 0,
parameter VAR3 = 0,
parameter VAR7 = 0,
parameter VAR8 = 0,
parameter VAR4 = 0,
parameter VAR76 = 0,
parameter VAR29 = 0,
parameter VAR50 = 0,
parameter VAR16 = 0,
parameter VAR33 = 0,
parameter VAR24 = 0,
parameter VAR2 = 0,
parameter VAR40 = "VAR58",
parameter VAR71 = 2,
parameter VAR59 = 0,
parameter VAR49 = 3,
parameter VAR18 = 11,
parameter VAR60 = 4,
parameter VAR80 = 0
)
(
input VAR56,
input VAR46,
input VAR17,
input VAR68,
input VAR73,
input VAR22,
input VAR54,
input VAR45,
input VAR30,
input VAR19,
input VAR78,
input VAR53,
input VAR65,
input VAR57,
input VAR67,
input VAR27,
input VAR34,
input VAR51,
input VAR44,
input VAR28,
input VAR47,
input VAR64,
input VAR79,
input VAR52,
input VAR10,
input VAR77,
input VAR55,
input VAR31,
input VAR35,
input VAR38,
input VAR69,
input VAR66,
input clk,
output reg VAR6,
output reg VAR15
);
localparam VAR11 = (VAR40 == "VAR58");
localparam VAR75 = 3;
localparam VAR26 = VAR75 + VAR49;
localparam VAR61 = VAR49 > VAR60 ? VAR49 : VAR60;
localparam VAR1 = (VAR75 > VAR61) ?
VAR18 + 1 :
(
(VAR18 > VAR61)?
VAR18 + (VAR61 - VAR75 + 1) + 1 :
VAR18 + VAR60 + VAR49 - VAR75 + 2
);
localparam VAR23 = VAR60 + 1;
wire VAR14;
wire VAR25;
wire VAR43;
wire VAR32;
reg [VAR26: 0] VAR21;
reg [VAR1-1: 0] VAR20;
reg VAR13;
reg VAR41;
assign VAR14 = (
VAR56 |
VAR46 |
VAR17 |
VAR68 |
VAR73 |
VAR22 |
VAR54 |
VAR45 |
VAR30 |
VAR19 |
VAR78 |
VAR53 |
VAR65 |
VAR57 |
VAR67 |
VAR27
);
assign VAR25 = (
( (VAR36 == 1) ? VAR34 : 1'b0) |
( (VAR37 == 1) ? VAR51 : 1'b0) |
( (VAR9 == 1) ? VAR44 : 1'b0) |
( (VAR70 == 1) ? VAR28 : 1'b0) |
( (VAR39 == 1) ? VAR47 : 1'b0) |
( (VAR3 == 1) ? VAR64 : 1'b0) |
( (VAR7 == 1) ? VAR79 : 1'b0) |
( (VAR8 == 1) ? VAR52 : 1'b0) |
( (VAR4 == 1) ? VAR10 : 1'b0) |
( (VAR76 == 1) ? VAR77 : 1'b0) |
( (VAR29 == 1) ? VAR55 : 1'b0) |
( (VAR50 == 1) ? VAR31 : 1'b0) |
( (VAR16 == 1) ? VAR35 : 1'b0) |
( (VAR33 == 1) ? VAR38 : 1'b0) |
( (VAR24 == 1) ? VAR69 : 1'b0) |
( (VAR2 == 1) ? VAR66 : 1'b0)
);
generate if (VAR40 == "none" && (VAR59==0)) begin
assign VAR43 = VAR14;
assign VAR32 = VAR25;
end else begin
VAR63
.VAR48 (VAR71),
.VAR11(VAR59? 1'b1 : VAR11)
)
VAR62
(
.clk (clk),
.VAR72 (VAR14),
.VAR6 (VAR43)
);
VAR63
.VAR48 (VAR71),
.VAR11(0)
)
VAR42
(
.clk (clk),
.VAR72 (VAR25),
.VAR6 (VAR32)
);
end
endgenerate
generate if ( ( (VAR59 == 0) && (VAR80==0) )|
( (VAR80 == 1) && (VAR40 != "VAR58") ) ) begin
always @* begin
VAR6 = VAR43;
VAR15 = VAR32;
end
end else if ( (VAR59 == 0) && (VAR80==1) ) begin
wire VAR5;
VAR63
.VAR48 (VAR71+1),
.VAR11(0)
)
VAR74
(
.clk (clk),
.VAR72 (VAR43),
.VAR6 (VAR5)
);
always @* begin
VAR6 = VAR5;
VAR15 = VAR32;
end
end
else begin
begin
begin
begin
begin
begin
end
begin
begin
|
gpl-3.0
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_mig_7series_0_0/system_mig_7series_0_0/user_design/rtl/ip_top/mig_7series_v4_0_memc_ui_top_axi.v
| 57,385 |
module MODULE1 #
(
parameter VAR63 = 100,
parameter VAR222 = "135", parameter VAR220 = 64,
parameter VAR418 = "VAR376",
parameter VAR426 = "0", parameter VAR315 = 3, parameter VAR265 = 2, parameter VAR15 = "8", parameter VAR204 = "VAR243", parameter VAR102 = "VAR279", parameter VAR195 = 1, parameter VAR375 = 5,
parameter VAR225 = 12, parameter VAR217 = "VAR59", parameter VAR248 = 1, parameter VAR109 = 1, parameter VAR314 = 5,
parameter VAR379 = 64,
parameter VAR308 = 5,
parameter VAR80 = 1,
parameter VAR382 = "VAR35", parameter VAR339 = 8, parameter VAR108 = 6, parameter VAR348 = 64, parameter VAR116 = 3, parameter VAR344 = 8, parameter VAR29 = "VAR140",
parameter VAR37 = 8, parameter VAR455 = "VAR279",
parameter VAR406 = 8,
parameter VAR327 = "VAR279",
parameter VAR347 = 31,
parameter VAR165 = 0, parameter VAR70 = 0, parameter VAR152 = 4,
parameter VAR48 = 2, parameter VAR25 = 1, parameter VAR319 = "VAR362",
parameter VAR397 = "VAR279",
parameter VAR311 = "VAR144", parameter VAR320 = "VAR161", parameter VAR360 = "VAR59", parameter VAR415 = "VAR244",
parameter VAR141 = "VAR231",
parameter VAR56 = 1,
parameter VAR386 = "VAR364",
parameter VAR30 = "VAR279",
parameter VAR383 = "60",
parameter VAR454 = "120",
parameter VAR387 = 2,
parameter VAR97 = 2500, parameter VAR78 = 10000, parameter VAR260 = 40000, parameter VAR446 = 1000000, parameter VAR451 = 37500, parameter VAR76 = 12500, parameter VAR394 = 7800000, parameter VAR213 = 110000, parameter VAR185 = 12500, parameter VAR42 = 10000, parameter VAR343 = 7500, parameter VAR151 = 7500, parameter VAR132 = 128000000, parameter VAR294 = 64, parameter VAR447 = "VAR279", parameter VAR170 = "VAR59", parameter VAR456 = "VAR279",
parameter VAR103 = "VAR279",
parameter VAR290 = "VAR323",
parameter VAR392 = 1,
parameter VAR193 = 4,
parameter VAR331 = 1,
parameter VAR436 = 16, parameter VAR357 = 32,
parameter VAR77 = 8,
parameter VAR127 = 64,
parameter [3:0] VAR371 = 4'b1111,
parameter [3:0] VAR129 = 4'b1111,
parameter [3:0] VAR307 = 4'b1111,
parameter [3:0] VAR340 = 4'b1111,
parameter [3:0] VAR326 = 4'b1111,
parameter [3:0] VAR353 = 4'hc,
parameter [3:0] VAR62 = 4'hf,
parameter [3:0] VAR18 = 4'hf,
parameter [3:0] VAR399 = 4'h0,
parameter [3:0] VAR365 = 4'h0,
parameter [47:0] VAR389 = 48'h000000000000,
parameter [47:0] VAR416 = 48'h000000000000,
parameter [47:0] VAR301 = 48'h000000000000,
parameter [143:0] VAR304
= 144'h000000000000000000000000000000000000,
parameter [191:0] VAR65
= 192'h000000000000000000000000000000000000000000000000,
parameter [35:0] VAR184 = 36'h000000000,
parameter [11:0] VAR432 = 12'h000,
parameter [7:0] VAR138 = 8'h00,
parameter [95:0] VAR194 = 96'h000000000000000000000000,
parameter [95:0] VAR183 = 96'h000000000000000000000000,
parameter VAR135 = "VAR3",
parameter [119:0] VAR91 = 120'h000000000000000000000000000000,
parameter [11:0] VAR159 = 12'h000,
parameter [11:0] VAR153 = 12'h000,
parameter [11:0] VAR67 = 12'h000,
parameter [143:0] VAR385
= 144'h000000000000000000000000000000000000,
parameter [95:0] VAR380 = 96'h000000000000000000000000,
parameter [95:0] VAR177 = 96'h000000000000000000000000,
parameter [95:0] VAR303 = 96'h000000000000000000000000,
parameter [95:0] VAR352 = 96'h000000000000000000000000,
parameter [95:0] VAR58 = 96'h000000000000000000000000,
parameter [95:0] VAR391 = 96'h000000000000000000000000,
parameter [95:0] VAR275 = 96'h000000000000000000000000,
parameter [95:0] VAR137 = 96'h000000000000000000000000,
parameter [95:0] VAR13 = 96'h000000000000000000000000,
parameter [95:0] VAR6 = 96'h000000000000000000000000,
parameter [95:0] VAR34 = 96'h000000000000000000000000,
parameter [95:0] VAR107 = 96'h000000000000000000000000,
parameter [95:0] VAR302 = 96'h000000000000000000000000,
parameter [95:0] VAR106 = 96'h000000000000000000000000,
parameter [95:0] VAR182 = 96'h000000000000000000000000,
parameter [95:0] VAR1 = 96'h000000000000000000000000,
parameter [95:0] VAR158 = 96'h000000000000000000000000,
parameter [95:0] VAR155 = 96'h000000000000000000000000,
parameter [107:0] VAR373 = 108'h000000000000000000000000000,
parameter [107:0] VAR180 = 108'h000000000000000000000000000,
parameter [7:0] VAR51 = 8'b00000001,
parameter [7:0] VAR74 = 8'b00000000,
parameter VAR154 = "VAR287",
parameter [15:0] VAR457 = 16'h0000, parameter [11:0] VAR442 = 12'h000, parameter [2:0] VAR99 = 3'h0, parameter VAR27 = "VAR279",
parameter VAR55 = 300.0,
parameter VAR206 = 1, parameter VAR169 = 1, parameter VAR93 = 1, parameter VAR23 = "VAR59", parameter VAR438 = "VAR59", parameter VAR278 = "VAR59", parameter VAR125 = "VAR59", parameter VAR310 = "VAR3",
parameter VAR212 = 56,
parameter VAR297 = 4,
parameter VAR322 = 30,
parameter VAR190 = 32,
parameter VAR174 = 1,
parameter VAR197 = "VAR142",
parameter VAR277 = 20'h00000,
parameter VAR4 = 20'h00000,
parameter VAR17 = 32,
parameter VAR444 = 32,
parameter VAR210 = 32'h00000000,
parameter VAR367 = 1,
parameter VAR72 = 8,
parameter VAR428 = "VAR293"
)
(
input clk,
input VAR9,
input VAR334,
input [1:0] VAR296,
input VAR64 ,
input VAR115 ,
input VAR81,
input VAR448 ,
input VAR282,
input VAR130,
input rst,
inout [VAR348-1:0] VAR134,
inout [VAR344-1:0] VAR368,
inout [VAR344-1:0] VAR390,
output [VAR436-1:0] VAR61,
output [VAR315-1:0] VAR87,
output VAR44,
output [VAR195-1:0] VAR378,
output [VAR195-1:0] VAR218,
output [VAR109-1:0] VAR176,
output [VAR248*VAR25-1:0] VAR250,
output [VAR339-1:0] VAR266,
output [VAR331-1:0] VAR10,
output VAR412,
output VAR255,
output VAR219,
output VAR420,
output [VAR265-1:0] VAR26,
output [2*VAR48-1:0] VAR114,
output [2*VAR48-1:0] VAR257,
input VAR417,
output VAR256,
input VAR292,
output VAR242,
input VAR221,
output VAR126,
output VAR201,
input [6:0] VAR90,
input VAR324,
input [7:0] VAR199,
input VAR88,
input [11:0] VAR146,
output VAR268,
output VAR40,
input VAR337,
input VAR330,
input VAR123,
input VAR94,
input VAR309,
input VAR341,
input [VAR116-1:0] VAR441,
output [6*VAR344*VAR193-1:0] VAR33,
output [6*VAR344*VAR193-1:0] VAR11,
output [VAR344-1:0] VAR259,
output [2*VAR48*VAR348-1:0] VAR148,
output [1:0] VAR354,
output [1:0] VAR24,
output [1:0] VAR172,
output [5:0] VAR68,
output VAR85,
output VAR439,
output VAR95,
output VAR251,
output [6*VAR344-1:0] VAR12,
output [3*VAR344-1:0] VAR14,
input VAR171,
input [VAR297-1:0] VAR226,
input [VAR322-1:0] VAR253,
input [7:0] VAR228,
input [2:0] VAR356,
input [1:0] VAR300,
input [0:0] VAR69,
input [3:0] VAR377,
input [2:0] VAR36,
input [3:0] VAR407,
input VAR118,
output VAR333,
input [VAR190-1:0] VAR421,
input [VAR190/8-1:0] VAR233,
input VAR276,
input VAR427,
output VAR419,
input VAR224,
output [VAR297-1:0] VAR156,
output [1:0] VAR245,
output VAR274,
input [VAR297-1:0] VAR345,
input [VAR322-1:0] VAR149,
input [7:0] VAR131,
input [2:0] VAR424,
input [1:0] VAR46,
input [0:0] VAR119,
input [3:0] VAR189,
input [2:0] VAR453,
input [3:0] VAR179,
input VAR117,
output VAR216,
input VAR2,
output [VAR297-1:0] VAR318,
output [VAR190-1:0] VAR423,
output [1:0] VAR22,
output VAR450,
output VAR71,
input VAR235,
output VAR305,
input [VAR17-1:0] VAR335,
input VAR321,
output VAR433,
input [VAR444-1:0] VAR57,
output VAR246,
input VAR408,
output [1:0] VAR452,
input VAR372,
output VAR393,
input [VAR17-1:0] VAR295,
output VAR157,
input VAR258,
output [VAR444-1:0] VAR273,
output [1:0] VAR366,
output interrupt,
output VAR431,
input VAR150,
input VAR230,
input [VAR116:0] VAR192,
input VAR41,
input VAR272,
input VAR285,
input VAR429,
input VAR203,
output [6*VAR344*VAR193-1:0] VAR89,
output [5*VAR344*VAR193-1:0] VAR39,
output VAR239,
output [6*VAR344-1:0] VAR359,
output [3*VAR344-1:0] VAR5,
output VAR254,
input VAR139,
input VAR317,
output [6*VAR193-1:0] VAR395,
output [255:0] VAR19,
output [255:0] VAR20,
output [255:0] VAR370,
output [99:0] VAR168,
output [255:0] VAR136,
output [255:0] VAR209,
output [255:0] VAR128,
output [5:0] VAR291,
output [8:0] VAR104,
output VAR449,
output VAR237,
output VAR96,
output VAR124,
output VAR325,
output VAR350,
output VAR430,
output VAR402,
output VAR409,
output [11:0] VAR60,
output [11:0] VAR133,
output [6*VAR193-1:0] VAR267,
output [6*VAR193-1:0] VAR241,
output [5:0] VAR84,
output [5:0] VAR440,
output [5:0] VAR121,
output VAR443,
output VAR249,
output [255:0] VAR143,
output [VAR37*16 -1:0] VAR73,
output [6*VAR344*VAR193-1:0] VAR191,
output [6*VAR344*VAR193-1:0] VAR401,
output [6*VAR344*VAR193-1:0] VAR425,
output [1023:0] VAR332
);
localparam VAR86 = (VAR97 <= 1500)? VAR141 : VAR415;
localparam VAR338 = "VAR215";
localparam VAR47 = "VAR196";
localparam VAR405 = 2*VAR48*VAR379 ;
wire VAR200;
wire [2*VAR48-1:0] VAR281;
wire [2*VAR48-1:0] VAR422;
wire [2*VAR48-1:0] VAR110;
wire [VAR347-1:0] VAR31;
wire VAR54;
wire VAR79;
wire [2*VAR48-1:0] VAR120;
wire [VAR348/8-1:0] VAR229;
wire [VAR348-1:0] VAR236;
wire [VAR80-1:0] VAR403;
wire VAR358;
wire [VAR308-1:0] VAR163;
wire [VAR80-1:0] VAR280;
wire VAR205;
wire [VAR308-1:0] VAR261;
wire VAR434;
wire VAR43;
wire [2*VAR48*VAR220-1:0] VAR38;
wire VAR435;
wire VAR262;
wire VAR299;
wire [VAR436-1:0] VAR45;
wire [VAR392-1:0] VAR355;
wire VAR160;
wire [VAR308-1:0] VAR313;
wire [VAR225-1:0] VAR28;
wire [2:0] VAR289;
wire [VAR315-1:0] VAR223;
wire [2*VAR48*VAR220-1:0] VAR363;
wire [2*VAR48*VAR220/8-1:0] VAR21;
wire [VAR127-1:0] VAR413;
wire [VAR405-1:0] VAR16;
wire VAR66;
wire VAR186;
wire VAR167;
wire VAR411;
wire [VAR357-1:0] VAR75;
wire [2:0] VAR349;
wire VAR202;
wire VAR404;
wire VAR316;
wire [VAR127-1:0] VAR286;
wire [VAR405-1:0] VAR328;
wire VAR240;
wire [VAR77-1:0] VAR284;
wire [VAR405/8-1:0] VAR166;
wire VAR147;
wire VAR211;
wire VAR288;
wire VAR346;
wire VAR437;
wire VAR122;
wire VAR369;
wire VAR181;
wire VAR264;
wire VAR410;
reg reset ;
reg VAR263;
always @(posedge clk)
VAR263 <= VAR431;
always @(posedge clk)
VAR8 #
(
.VAR63 (VAR63),
.VAR222 (VAR222),
.VAR220 (VAR220),
.VAR418 (VAR418),
.VAR426 (VAR426),
.VAR315 (VAR315),
.VAR265 (VAR265),
.VAR15 (VAR15),
.VAR204 (VAR204),
.VAR102 (VAR102),
.VAR195 (VAR195),
.VAR225 (VAR225),
.VAR217 (VAR217),
.VAR248 (VAR248),
.VAR25 (VAR25),
.VAR109 (VAR109),
.VAR379 (VAR379),
.VAR308 (VAR308),
.VAR165 (VAR165),
.VAR80 (VAR80),
.VAR382 (VAR382),
.VAR339 (VAR339),
.VAR108 (VAR108),
.VAR348 (VAR348),
.VAR116 (VAR116),
.VAR344 (VAR344),
.VAR29 (VAR29),
.VAR37 (VAR37),
.VAR455 (VAR455),
.VAR406 (VAR406),
.VAR347 (VAR347),
.VAR55 (VAR55),
.VAR70 (VAR70),
.VAR152 (VAR152),
.VAR48 (VAR48),
.VAR319 (VAR319),
.VAR386 (VAR386),
.VAR397 (VAR397),
.VAR311 (VAR311),
.VAR320 (VAR320),
.VAR360 (VAR360),
.VAR86 (VAR86),
.VAR56 (VAR56),
.VAR30 (VAR30),
.VAR383 (VAR383),
.VAR454 (VAR454),
.VAR375 (VAR375),
.VAR314 (VAR314),
.VAR97 (VAR97),
.VAR78 (VAR78),
.VAR260 (VAR260),
.VAR446 (VAR446),
.VAR451 (VAR451),
.VAR76 (VAR76),
.VAR394 (VAR394),
.VAR213 (VAR213),
.VAR185 (VAR185),
.VAR42 (VAR42),
.VAR343 (VAR343),
.VAR151 (VAR151),
.VAR132 (VAR132),
.VAR294 (VAR294),
.VAR447 (VAR447),
.VAR170 (VAR170),
.VAR456 (VAR456),
.VAR103 (VAR103),
.VAR290 (VAR290),
.VAR392 (VAR392),
.VAR193 (VAR193),
.VAR331 (VAR331),
.VAR436 (VAR436),
.VAR27 (VAR27),
.VAR371 (VAR371),
.VAR129 (VAR129),
.VAR307 (VAR307),
.VAR340 (VAR340),
.VAR326 (VAR326),
.VAR353 (VAR353),
.VAR62 (VAR62),
.VAR18 (VAR18),
.VAR399 (VAR399),
.VAR365 (VAR365),
.VAR389 (VAR389),
.VAR416 (VAR416),
.VAR301 (VAR301),
.VAR304 (VAR304),
.VAR65 (VAR65),
.VAR184 (VAR184),
.VAR432 (VAR432),
.VAR138 (VAR138),
.VAR194 (VAR194),
.VAR183 (VAR183),
.VAR135 (VAR135),
.VAR91 (VAR91),
.VAR159 (VAR159),
.VAR153 (VAR153),
.VAR67 (VAR67),
.VAR385 (VAR385),
.VAR380 (VAR380),
.VAR177 (VAR177),
.VAR303 (VAR303),
.VAR352 (VAR352),
.VAR58 (VAR58),
.VAR391 (VAR391),
.VAR275 (VAR275),
.VAR137 (VAR137),
.VAR13 (VAR13),
.VAR6 (VAR6),
.VAR34 (VAR34),
.VAR107 (VAR107),
.VAR302 (VAR302),
.VAR106 (VAR106),
.VAR182 (VAR182),
.VAR1 (VAR1),
.VAR158 (VAR158),
.VAR155 (VAR155),
.VAR373 (VAR373),
.VAR180 (VAR180),
.VAR51 (VAR51),
.VAR74 (VAR74),
.VAR457 (VAR457),
.VAR442 (VAR442),
.VAR99 (VAR99),
.VAR387 (VAR387),
.VAR206 (VAR206),
.VAR169 (VAR169),
.VAR93 (VAR93),
.VAR23 (VAR23),
.VAR438 (VAR438),
.VAR278 (VAR278),
.VAR125 (VAR125),
.VAR212 (VAR212),
.VAR310 (VAR310),
.VAR428 (VAR428)
)
VAR187
(
.clk (clk),
.VAR9 (VAR9),
.VAR334 (VAR334),
.VAR296 (VAR97 <= 1500 ? VAR296[1] : VAR296[0]),
.VAR64 (VAR64), .VAR115 (VAR115),
.VAR81 (VAR81),
.VAR448 (VAR448),
.VAR282 (VAR282),
.VAR130 (VAR130),
.rst (rst),
.VAR264 (VAR264),
.reset (reset),
.VAR181 (VAR181),
.VAR134 (VAR134),
.VAR368 (VAR368),
.VAR390 (VAR390),
.VAR61 (VAR61),
.VAR87 (VAR87),
.VAR44 (VAR44),
.VAR378 (VAR378),
.VAR218 (VAR218),
.VAR176 (VAR176),
.VAR250 (VAR250),
.VAR266 (VAR266),
.VAR10 (VAR10),
.VAR412 (VAR412),
.VAR255 (VAR255),
.VAR219 (VAR219),
.VAR420 (VAR420),
.VAR32 (VAR51),
.VAR361 (VAR74),
.VAR200 (VAR200),
.VAR223 (VAR223),
.VAR289 (VAR289),
.VAR28 (VAR28),
.VAR313 (VAR313),
.VAR363 (VAR363),
.VAR21 (VAR21),
.VAR355 (VAR355),
.VAR281 (VAR281),
.VAR45 (VAR45),
.VAR160 (VAR160),
.VAR299 (VAR299),
.VAR262 (VAR262),
.VAR434 (VAR434),
.VAR43 (VAR43),
.VAR422 (VAR422),
.VAR110 (VAR110),
.VAR31 (VAR31),
.VAR38 (VAR38),
.VAR261 (VAR261),
.VAR205 (VAR205),
.VAR435 (VAR435),
.VAR280 (VAR280),
.VAR163 (VAR163),
.VAR358 (VAR358),
.VAR403 (VAR403),
.VAR26 (VAR26),
.VAR431 (VAR431),
.VAR410 (VAR410),
.VAR417 (VAR211),
.VAR256 (VAR288),
.VAR292 (VAR346),
.VAR242 (VAR437),
.VAR221 (VAR122),
.VAR126 (VAR369),
.VAR201 (VAR201),
.VAR324 (VAR324),
.VAR90 (VAR90),
.VAR199 (VAR199),
.VAR88 (VAR88),
.VAR146 (VAR146),
.VAR268 (VAR268),
.VAR40 (VAR40),
.VAR337 (VAR337),
.VAR229 (VAR229),
.VAR236 (VAR236),
.VAR94 (VAR94),
.VAR330 (VAR330),
.VAR309 (VAR309),
.VAR123 (VAR123),
.VAR441 (VAR441),
.VAR341 (VAR341),
.VAR19 (VAR19),
.VAR33 (VAR33),
.VAR11 (VAR11),
.VAR370 (VAR370),
.VAR168 (VAR168),
.VAR12 (VAR12),
.VAR14 (VAR14),
.VAR259 (VAR259),
.VAR148 (VAR148),
.VAR354 (VAR354),
.VAR24 (VAR24),
.VAR172 (VAR172),
.VAR68 (VAR68),
.VAR85 (VAR85),
.VAR439 (VAR439),
.VAR95 (VAR95),
.VAR251 (VAR251),
.VAR150 (VAR150),
.VAR230 (VAR230),
.VAR192 (VAR192),
.VAR41 (VAR41),
.VAR272 (VAR272),
.VAR285 (VAR285),
.VAR429 (VAR429),
.VAR203 (VAR203),
.VAR89 (VAR89),
.VAR39 (VAR39),
.VAR239 (VAR239),
.VAR359 (VAR359),
.VAR5 (VAR5),
.VAR20 (VAR20),
.VAR291 (VAR291),
.VAR104 (VAR104),
.VAR254 (VAR254),
.VAR139 (VAR139),
.VAR317 (VAR317),
.VAR395 (VAR395),
.VAR136 (VAR136),
.VAR209 (VAR209),
.VAR128 (VAR128),
.VAR449 (VAR449),
.VAR237 (VAR237),
.VAR96 (VAR96),
.VAR124 (VAR124),
.VAR325 (VAR325),
.VAR350 (VAR350),
.VAR430 (VAR430),
.VAR402 (VAR402),
.VAR409 (VAR409),
.VAR60 (VAR60),
.VAR133 (VAR133),
.VAR267 (VAR267),
.VAR241 (VAR241),
.VAR84 (VAR84),
.VAR440 (VAR440),
.VAR121 (VAR121),
.VAR143 (VAR143),
.VAR73 (VAR73),
.VAR443 (VAR443),
.VAR249 (VAR249),
.VAR232 (VAR191),
.VAR401 (VAR401),
.VAR425 (VAR425),
.VAR332 (VAR332[1023:0])
);
genvar VAR111;
generate
if(VAR327 == "VAR59") begin
if(VAR348 == 72) begin
for(VAR111=0;VAR111<8;VAR111=VAR111+1) begin
assign VAR286[VAR111*72+:72] = {VAR328[VAR111*64+:8],VAR328[VAR111*64+:64]} ;
assign VAR284[VAR111*9+:9] = {VAR166[VAR111*8],VAR166[VAR111*8+:8]} ;
end
end else begin
end
end else begin
assign VAR286 = VAR328 ;
assign VAR284 = VAR166 ;
end
endgenerate
genvar VAR384;
generate
if(VAR327 == "VAR59") begin
if(VAR348 == 72) begin
for(VAR384=0;VAR384<8;VAR384=VAR384+1) begin
assign VAR16[VAR384*64+:64] = VAR413[VAR384*72+:64];
end
end
end else begin
assign VAR16 = VAR413;
end
endgenerate
VAR173 #
(
.VAR63 (VAR63),
.VAR127 (VAR127),
.VAR77 (VAR77),
.VAR315 (VAR315),
.VAR225 (VAR225),
.VAR314 (VAR314),
.VAR308 (VAR308),
.VAR455 (VAR455),
.VAR327 (VAR327),
.VAR48 (VAR48),
.VAR319 (VAR319),
.VAR193 (VAR193),
.VAR392 (VAR392),
.VAR436 (VAR436),
.VAR154 (VAR154)
)
VAR112
(
.VAR21 (VAR21[VAR77-1:0]),
.VAR363 (VAR363[VAR127-1:0]),
.VAR262 (VAR262),
.VAR299 (VAR299),
.VAR45 (VAR45),
.VAR281 (VAR281),
.VAR355 (VAR355),
.VAR160 (VAR160),
.VAR313 (VAR313),
.VAR28 (VAR28),
.VAR289 (VAR289),
.VAR223 (VAR223),
.VAR411 (VAR411),
.VAR167 (VAR167),
.VAR186 (VAR186),
.VAR66 (VAR66),
.VAR413 (VAR413),
.VAR200 (VAR200),
.VAR403 (VAR403),
.VAR358 (VAR358),
.VAR163 (VAR163),
.rst (reset),
.VAR280 (VAR280),
.VAR435 (VAR435),
.VAR205 (VAR205),
.VAR261 (VAR261),
.VAR38 (VAR38[VAR127-1:0]),
.VAR110 (VAR110),
.VAR422 (VAR422),
.clk (clk),
.VAR147 (VAR147),
.VAR284 (VAR284),
.VAR240 (VAR240),
.VAR286 (VAR286),
.VAR316 (VAR316),
.VAR404 (VAR404),
.VAR202 (VAR202),
.VAR349 (VAR349),
.VAR75 (VAR75),
.VAR43 (VAR43),
.VAR434 (VAR434),
.VAR120 (VAR120),
.VAR381 (VAR114),
.VAR257 (VAR257),
.VAR54 (VAR79),
.VAR417 (VAR417),
.VAR207 (VAR211),
.VAR234 (VAR288),
.VAR256 (VAR256),
.VAR292 (VAR292),
.VAR306 (VAR346),
.VAR214 (VAR437),
.VAR242 (VAR242),
.VAR221 (VAR221),
.VAR336 (VAR122),
.VAR269 (VAR369),
.VAR126 (VAR126)
);
VAR414 #
(
.VAR47 (VAR47),
.VAR297 (VAR297),
.VAR322 (VAR322),
.VAR190 (VAR190),
.VAR82 (VAR405),
.VAR342 (VAR357),
.VAR396 (VAR15),
.VAR271 (VAR48),
.VAR174 (VAR174),
.VAR197 (VAR197),
.VAR277 (VAR277),
.VAR4 (VAR4),
.VAR270 (VAR455)
)
VAR198
(
.VAR445 (clk),
.VAR171 (VAR171),
.VAR226 (VAR226),
.VAR253 (VAR253),
.VAR228 (VAR228),
.VAR356 (VAR356),
.VAR300 (VAR300),
.VAR69 (VAR69),
.VAR377 (VAR377),
.VAR36 (VAR36),
.VAR407 (VAR407),
.VAR118 (VAR118),
.VAR333 (VAR333),
.VAR421 (VAR421),
.VAR233 (VAR233),
.VAR276 (VAR276),
.VAR427 (VAR427),
.VAR419 (VAR419),
.VAR156 (VAR156),
.VAR245 (VAR245),
.VAR274 (VAR274),
.VAR224 (VAR224),
.VAR345 (VAR345),
.VAR149 (VAR149),
.VAR131 (VAR131),
.VAR424 (VAR424),
.VAR46 (VAR46),
.VAR119 (VAR119),
.VAR189 (VAR189),
.VAR453 (VAR453),
.VAR179 (VAR179),
.VAR117 (VAR117),
.VAR216 (VAR216),
.VAR318 (VAR318),
.VAR423 (VAR423),
.VAR22 (VAR22),
.VAR450 (VAR450),
.VAR71 (VAR71),
.VAR2 (VAR2),
.VAR92 (VAR202),
.VAR50 (VAR349),
.VAR175 (VAR316),
.VAR52 (VAR75),
.VAR178 (VAR404),
.VAR374 (VAR167),
.VAR398 (VAR263),
.VAR227 (VAR147),
.VAR283 (VAR166),
.VAR298 (VAR328),
.VAR400 (VAR240),
.VAR252 (VAR411),
.VAR388 (VAR186),
.VAR312 (VAR16),
.VAR100 (VAR66),
.VAR49 (VAR114)
);
generate
if (VAR455 == "VAR59") begin : VAR105
reg [2*VAR48*VAR348-1:0] VAR238;
VAR83 #
(
.VAR17 (VAR17) ,
.VAR444 (VAR444) ,
.VAR322 (VAR322) ,
.VAR210 (VAR210) ,
.VAR53 (VAR327) ,
.VAR162 (VAR348) ,
.VAR247 (VAR406) ,
.VAR7 (VAR154) ,
.VAR145 (VAR315) ,
.VAR164 (VAR436) ,
.VAR188 (VAR225) ,
.VAR367 (VAR367) ,
.VAR72 (VAR72) ,
.VAR351 (VAR48) ,
.VAR101 (VAR347)
)
VAR113
(
.VAR445 (clk) ,
.VAR171 (VAR171) ,
.VAR118 (VAR235) ,
.VAR333 (VAR305) ,
.VAR253 (VAR335) ,
.VAR427 (VAR321) ,
.VAR419 (VAR433) ,
.VAR421 (VAR57) ,
.VAR274 (VAR246) ,
.VAR224 (VAR408) ,
.VAR245 (VAR452) ,
.VAR117 (VAR372) ,
.VAR216 (VAR393) ,
.VAR149 (VAR295) ,
.VAR71 (VAR157) ,
.VAR2 (VAR258) ,
.VAR423 (VAR273) ,
.VAR22 (VAR366) ,
.interrupt (interrupt) ,
.VAR329 (VAR263) ,
.VAR422 (VAR422) ,
.VAR110 (VAR110) ,
.VAR31 (VAR31) ,
.VAR54 (VAR54) ,
.VAR98 (VAR238) ,
.VAR229 (VAR229) ,
.VAR236 (VAR236)
);
always @(posedge clk) begin
VAR238 <= VAR148;
end
assign VAR120 = {2*VAR48{1'b0}};
assign VAR79 = VAR54 ;
end
else begin : VAR208
assign VAR305 = 1'b0;
assign VAR433 = 1'b0;
assign VAR246 = 1'b0;
assign VAR452 = 2'b0;
assign VAR393 = 1'b0;
assign VAR157 = 1'b0;
assign VAR273 = {VAR444{1'b0}};
assign VAR366 = 2'b0;
assign interrupt = 1'b0;
assign VAR54 = 1'b1;
assign VAR120 = 4'b0;
assign VAR229 = {VAR348/8{1'b0}};
assign VAR236 = {VAR348{1'b0}};
end
endgenerate
endmodule
|
apache-2.0
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_mig_7series_0_0/system_mig_7series_0_0/user_design/rtl/axi/mig_7series_v4_0_axi_mc_incr_cmd.v
| 10,181 |
module MODULE1 #
(
parameter integer VAR15 = 32,
parameter integer VAR2 = 30,
parameter integer VAR5 = 1,
parameter integer VAR12 = 32,
parameter integer VAR9 = 2,
parameter integer VAR8 = 0
)
(
input wire clk ,
input wire reset ,
input wire [VAR15-1:0] VAR21 ,
input wire [7:0] VAR13 ,
input wire [2:0] VAR1 ,
input wire VAR16 ,
output wire [VAR15-1:0] VAR14 ,
output wire VAR10 ,
output wire VAR25 ,
input wire VAR26 ,
output wire VAR11
);
localparam VAR30 = 8;
reg VAR29;
reg [7:0] VAR31;
reg [VAR15-1:0] VAR19;
reg VAR6;
wire VAR22;
wire VAR18;
wire VAR24;
wire [7:0] VAR4;
wire [7:0] VAR3;
wire [7:0] VAR27;
wire [VAR15-1:0] VAR23;
reg [VAR15-1:0] VAR20;
wire [7:0] VAR17;
wire VAR7;
wire VAR28;
assign VAR14 = VAR23;
generate
if(VAR5 == 1) begin
assign VAR18 = 1'b0;
assign VAR24 = 1'b1;
assign VAR23 = VAR16 ? VAR21 : VAR19;
end else begin
assign VAR18 = VAR21[VAR9];
assign VAR24 = VAR13[0];
if(VAR8 == 0) assign VAR23 = VAR19;
end
else
assign VAR23 = VAR16 ? VAR21 : VAR19;
end
endgenerate
always @(*) begin
VAR20 = VAR23 + (VAR17 * VAR5);
end
always @(posedge clk) begin
if(reset)
VAR19 <= {VAR15{1'b0}};
end
else if (VAR16 & ~VAR26)
VAR19 <= VAR21;
else if(VAR26)
VAR19 <= VAR20;
end
assign VAR17 = (VAR9 == 2) ? 8'd4 : (VAR9 == 3) ? 8'd8 :
(VAR9 == 4)? 8'd16 :(VAR9 == 5) ? 8'd32 :
(VAR9 == 6) ? 8'd64 : (VAR9 == 7) ? 8'd128 :8'd0;
assign VAR27 = (VAR5 == 1) ? VAR13 : (VAR13 >> 1);
assign VAR4 = VAR16 ? VAR27 : VAR31;
assign VAR3 = (VAR4 - 1'b1);
always @(posedge clk) begin
if(reset)
VAR31 <= 4'hf;
end
else if (VAR16 & ~VAR26)
VAR31 <= VAR27;
else if(VAR26)
VAR31 <= VAR3;
end
assign VAR28 = VAR18 & VAR24;
assign VAR11 = VAR28 ? VAR6 : VAR7;
assign VAR7 = |VAR4;
always @(posedge clk) begin
if(reset)
VAR6 <= 1'b1;
end
else if(VAR28 & VAR26)
VAR6 <= VAR7;
end
assign VAR10 = VAR22 ? VAR18 : 1'b0;
assign VAR25 = VAR11 ? 1'b0 : ~(VAR24 ^ VAR18);
assign VAR22 = (VAR16 | VAR29);
always @(posedge clk) begin
if (reset)
VAR29 <= 1'b0;
end
else if(VAR16 & ~VAR26)
VAR29 <= 1'b1;
else if(VAR26)
VAR29 <= 1'b0;
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/and3b/sky130_fd_sc_hd__and3b.symbol.v
| 1,307 |
module MODULE1 (
input VAR6,
input VAR4 ,
input VAR7 ,
output VAR1
);
supply1 VAR5;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
svofski/mahponk
|
src/deflector.v
| 1,113 |
module MODULE1(VAR1, VAR3, VAR4, VAR2);
input VAR1;
input [9:0] VAR3;
input [9:0] VAR4;
output reg[9:0] VAR2;
always @(posedge VAR1) begin
VAR2 = VAR3 - VAR4;
if (VAR2[9])
VAR2[8:0] = ~VAR2[8:0] + 1;
end
endmodule
|
bsd-2-clause
|
samyk/proxmark3
|
fpga/hi_flite.v
| 11,727 |
module MODULE1(
VAR28,
VAR2, VAR12, VAR1, VAR25, VAR4, VAR33,
VAR29, VAR8,
VAR18, VAR45, VAR41, VAR40,
VAR14,
VAR36
);
input VAR28;
output VAR2, VAR12, VAR1, VAR25, VAR4, VAR33;
input [7:0] VAR29;
output VAR8;
input VAR41;
output VAR18, VAR45, VAR40;
output VAR14;
input [3:0] VAR36;
assign VAR14 = 0;
wire VAR31 = VAR36[2];
wire VAR39 = VAR36[1];
wire VAR13 = VAR36[0];
assign VAR2 = 1'b0;
assign VAR25 = 1'b0;
assign VAR8 = VAR28;
reg [8:0] VAR32=VAR19;
reg [8:0] VAR27=VAR23;
reg [8:0] VAR16=VAR35;
reg [8:0] VAR44=VAR3;
reg VAR11 = 1'b1;
reg [1:0] state=1'd0;
reg VAR38=1'b0;
reg VAR34=0;
wire [7:0] VAR30 = VAR39 ? VAR15 : VAR10;
wire [7:0] VAR26 = VAR39 ? VAR20 : VAR37;
reg VAR40;
reg VAR18;
reg VAR24 = 1'b0;
reg [7:0] VAR5 = 8'd0;
reg [7:0] VAR7 = 8'd0;
reg VAR17 = 1'b0;
reg [8:0] VAR42 = 9'd0;
always @(posedge VAR8)
VAR42 <= (VAR42 + 1);
always @(negedge VAR8)
begin
if( ((~VAR39) && (VAR42[5:0] == 6'b000000)) || (VAR39 && (VAR42[4:0] == 5'b00000)))
begin
VAR40 <= 1'b1;
VAR45 <= VAR24;
end
if( ( (~VAR39) && (VAR42[5:0] == 6'b100000)) ||(VAR39 && VAR42[4:0] == 5'b10000))
VAR40 <= 1'b0;
if(( (~VAR39) && (VAR42[8:0] == 9'd31)) || (VAR39 && VAR42[7:0] == 8'd15))
begin
VAR18 <= 1'b1;
end
if(( (~VAR39) && (VAR42[8:0] == 9'b1011111)) || (VAR39 &&VAR42[7:0] == 8'b101111) )
begin
VAR18 <= 1'b0;
end
end
reg VAR45;
reg VAR22 = 1'b1;
reg[7:0] VAR6 = 8'd128;
reg [11:0] VAR21 = 12'd0;
reg VAR9;
always @(negedge VAR8) begin
if (VAR5 == VAR26)
begin
if ((~VAR38) && (VAR29 < VAR27) && VAR13 )
begin
VAR5 <= 1;
end
else
begin
VAR5 <= 0;
end
VAR9 <= VAR41;
if (VAR21 > 768) begin
VAR21 <= 0;
end
else
if (VAR31)
VAR21 <= 0;
end
else
VAR21 <= VAR21 + 1;
end
else
begin
if((~VAR38) && (VAR29 < VAR27) && VAR13)
begin
VAR5 <= 1;
end
else
begin
VAR5 <= VAR5 + 1;
end
end
if (VAR29 > VAR16)
begin
case (state)
0: begin
VAR44 <= VAR29 > VAR3? VAR29 : VAR3;
state <= 2;
end
1: begin
VAR27 <= ((VAR32 >> 1) + (VAR32 >> 2) + (VAR32 >> 4) + (VAR44 >> 3) + (VAR44 >> 4)); VAR16 <= ((VAR44 >> 1) + (VAR44 >> 2) + (VAR44 >> 4) + (VAR32 >> 3) + (VAR32 >> 4));
VAR44 <= VAR29 > 155 ? VAR29 : 155; state <= 2;
end
2: begin
if (VAR29 > VAR44)
VAR44 <= VAR29;
end
default:
begin
end
endcase
VAR11 <= 1'b1;
if(VAR38)
VAR7 <= 0;
end
else if (VAR29<VAR27) begin
case (state)
0: begin
VAR32 <= VAR29<VAR19? VAR29 :VAR19;
state <= 1;
end
1: begin
if (VAR29<VAR32)
VAR32 <= VAR29;
end
2: begin
VAR27 <= ( (VAR32 >> 1) + (VAR32 >> 2) + (VAR32 >> 4) + (VAR44 >> 3) + (VAR44 >> 4));
VAR16 <= ( (VAR44 >> 1) + (VAR44 >> 2) + (VAR44 >> 4) + (VAR32 >> 3) + (VAR32 >> 4));
VAR32 <= VAR29 < VAR19 ? VAR29 : VAR19;
state <= 1;
end
default:
begin
end
endcase
VAR11 <= 0;
if (~VAR38 ) begin
VAR38 <= 1;
VAR5 <= 1;
VAR34 <= 0;
VAR24 <= 0;
VAR6 <= 8'd127;
VAR7 <= 0;
VAR22 <= 1;
end
else
begin
VAR7 <= 0;
end
end
else begin
VAR27 <= ( (VAR32 >> 1) + (VAR32 >> 2) + (VAR32 >> 4) + (VAR44 >> 3) + (VAR44 >> 4));
VAR16 <= ( (VAR44 >> 1) + (VAR44 >> 2) + (VAR44 >> 4) + (VAR32 >> 3) + (VAR32 >> 4));
state <= 0;
if (VAR38 )
begin
if (VAR7 >= (128))
begin
VAR21 <= 1; VAR38 <= 0;
VAR34 <= 0; VAR32 <= VAR19; VAR44 <= VAR3;
VAR27 <= VAR23;
VAR16 <= VAR35;
VAR22 <= 1;
VAR7 <= 0;
VAR11 <= 1'b1;
VAR24 <= 0;
VAR6 <= 8'd128;
end
else
VAR7 <= (VAR7 + 1);
end
end
if (VAR38 && VAR7 < 128)
begin
if (VAR5 == VAR30)
begin
if ((~VAR34) && ((VAR22 == 1 && (VAR6 > 128))||(VAR22 == 0 && (VAR6 <= 128))))
begin
VAR34 <= 1'b1;
VAR17 <= ~VAR22; VAR24 <= 1;
end
else
VAR24 <= (VAR6 > 128) ? (~VAR17) : VAR17;
VAR22 <= (VAR6 > 128) ? 1 : 0;
if (VAR29 > VAR16)
VAR6 <= 8'd129;
end
else if (VAR29 < VAR27)
VAR6 <= 8'd127;
end
else
begin
if (VAR11)
begin
VAR6 <= 8'd129;
end
else
begin
VAR6 <= 8'd127;
end
end
end
else
begin
if (VAR5==VAR26)
begin
VAR22 <= (VAR6 > 128) ? 1 : 0;
VAR6 <= 128;
end
else
begin
if(VAR29 > VAR16)
VAR6 <= VAR6 + 1;
end
else if (VAR29 < VAR27)
VAR6 <= VAR6 - 1;
end
else
begin
if (VAR11)
begin
VAR6 <= VAR6 + 1;
end
else
begin
VAR6 <= VAR6 - 1;
end
end
end
end
end
else
begin
end
end
reg VAR12;
reg VAR1;
reg VAR4;
reg VAR33;
wire VAR43 = ((VAR5 >= VAR30) ^ VAR9) & (~VAR13);
always @(VAR28 or VAR41 or VAR31 or VAR13 or VAR43)
begin
if (VAR31)
begin
VAR12 <= VAR28;
VAR1 <= 1'b0; VAR4 <= 1'b0; VAR33 <= VAR43; end
else
begin
VAR12 <= 1'b0;
VAR1 <= 1'b0;
VAR4 <= 1'b0;
VAR33 <= VAR43;
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o31a/sky130_fd_sc_hs__o31a.blackbox.v
| 1,303 |
module MODULE1 (
VAR2 ,
VAR4,
VAR3,
VAR5,
VAR1
);
output VAR2 ;
input VAR4;
input VAR3;
input VAR5;
input VAR1;
supply1 VAR7;
supply0 VAR6;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o41ai/sky130_fd_sc_hs__o41ai.symbol.v
| 1,338 |
module MODULE1 (
input VAR2,
input VAR1,
input VAR6,
input VAR7,
input VAR4,
output VAR3
);
supply1 VAR5;
supply0 VAR8;
endmodule
|
apache-2.0
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_if.v
| 6,825 |
module MODULE1(
clk, rst,
VAR21, VAR12, VAR17, VAR9, VAR20,
VAR23, VAR11, VAR10, VAR16,
VAR18, VAR13, VAR7, VAR14,
VAR1, VAR2, VAR15
);
input clk;
input rst;
input [31:0] VAR21;
input VAR12;
input VAR17;
input [31:0] VAR9;
input [3:0] VAR20;
input VAR23;
output [31:0] VAR11;
output [31:0] VAR10;
input VAR16;
output VAR18;
input VAR13;
output VAR7;
input VAR14;
output VAR1;
output VAR2;
output VAR15;
reg [31:0] VAR8;
reg [31:0] VAR6;
reg VAR22;
assign VAR11 = VAR17 | VAR13 | VAR14 ? {VAR3, 26'h0410000} : VAR22 ? VAR8 : VAR12 ? VAR21 : {VAR3, 26'h0610000};
assign VAR10 = VAR22 ? VAR6 : VAR9;
assign VAR18 = !VAR17 & !VAR12 & !VAR22;
assign VAR7 = VAR22 & VAR12;
assign VAR1 = VAR17 & (VAR20 == VAR5) & !VAR13;
assign VAR2 = VAR17 & (VAR20 == VAR19) & !VAR13;
assign VAR15 = VAR17 & (VAR20 == VAR4) & !VAR13;
always @(posedge clk or posedge rst)
if (rst)
VAR22 <= 1'b0;
else if (VAR16)
VAR22 <= 1'b0;
else if (VAR12 & VAR23 & !VAR22)
VAR22 <= 1'b1;
else if (!VAR23)
VAR22 <= 1'b0;
always @(posedge clk or posedge rst)
if (rst)
VAR8 <= {VAR3, 26'h0410000};
else if (VAR16)
VAR8 <= {VAR3, 26'h0410000};
else if (VAR12 & VAR23 & !VAR22)
VAR8 <= VAR21;
else if (!VAR23)
VAR8 <= {VAR3, 26'h0410000};
always @(posedge clk or posedge rst)
if (rst)
VAR6 <= 32'h00000000;
else if (VAR16)
VAR6 <= 32'h00000000;
else if (VAR12 & VAR23 & !VAR22)
VAR6 <= VAR9;
else if (!VAR23)
VAR6 <= VAR9;
endmodule
|
gpl-2.0
|
mammenx/synesthesia_moksha
|
wxp/dgn/rtl/altera/lpddr2_cntrlr/lpddr2_cntrlr/sequencer_scc_acv_phase_decode.v
| 2,503 |
module MODULE1
VAR5 = 32,
VAR4 = 8,
VAR6 = "false"
)
(
VAR1,
VAR3
);
input [VAR5 - 1:0] VAR1;
output [3:0] VAR3;
reg [3:0] VAR3;
generate
if (VAR6 == "true")
begin
always @ begin : VAR2
VAR3 = 4'b0110;
case (VAR1[2:0])
3'b000: begin
VAR3 = 4'b0010;
end
3'b001: begin
VAR3 = 4'b0011;
end
3'b010: begin
VAR3 = 4'b0100;
end
3'b011: begin
VAR3 = 4'b0101;
end
3'b100: begin
VAR3 = 4'b0110;
end
3'b101: begin
VAR3 = 4'b1111;
end
3'b110: begin
VAR3 = 4'b1000;
end
3'b111: begin
VAR3 = 4'b1001;
end
default : begin end
endcase
end
end
endgenerate
endmodule
|
gpl-3.0
|
olgirard/openmsp430
|
fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp_synth.v
| 4,399 |
module MODULE1 (
VAR6,
VAR11,
VAR1,
VAR4,
VAR3,
VAR9,
VAR2,
VAR7,
VAR10,
VAR8,
VAR5,
VAR12
);
input VAR6;
input VAR11;
input [1 : 0] VAR1;
input [12 : 0] VAR4;
input [15 : 0] VAR3;
output [15 : 0] VAR9;
input VAR2;
input VAR7;
input [1 : 0] VAR10;
input [12 : 0] VAR8;
input [15 : 0] VAR5;
output [15 : 0] VAR12;
endmodule
|
bsd-3-clause
|
combinatorylogic/soc
|
backends/tiny1/hw/ice/initram.v
| 4,483 |
module MODULE2(input clk,
input [11:0] addr,
input [15:0] VAR9,
output [15:0] VAR5,
input VAR10,
input VAR12);
wire [15:0] VAR3;
wire [15:0] VAR17;
wire VAR7;
wire VAR15;
wire VAR4;
wire VAR1;
VAR13 MODULE2(.clk(clk),
.addr(addr[10:0]),
.VAR9(VAR9),
.VAR5(VAR3),
.VAR10(VAR7),
.VAR12(VAR15));
VAR11 MODULE1(.clk(clk),
.addr(addr[10:0]),
.VAR9(VAR9),
.VAR5(VAR17),
.VAR10(VAR4),
.VAR12(VAR1));
reg VAR6;
always @(posedge clk) VAR6 <= addr[11];
assign VAR5 = VAR6?VAR17:VAR3;
assign VAR4 = addr[11]&VAR10;
assign VAR7 = (~addr[11])&VAR10;
assign VAR15 = 1;
assign VAR1 = 1;
endmodule
module MODULE3(input clk,
input [11:0] addr,
input [15:0] VAR9,
output [15:0] VAR5,
input VAR10,
input VAR12);
wire [15:0] VAR3;
wire [15:0] VAR17;
wire VAR7;
wire VAR15;
wire VAR4;
wire VAR1;
VAR8 MODULE2(.clk(clk),
.addr(addr[10:0]),
.VAR9(VAR9),
.VAR5(VAR3),
.VAR10(VAR7),
.VAR12(VAR15));
VAR14 MODULE1(.clk(clk),
.addr(addr[10:0]),
.VAR9(VAR9),
.VAR5(VAR17),
.VAR10(VAR4),
.VAR12(VAR1));
reg VAR6;
always @(posedge clk) VAR6 <= addr[11];
assign VAR5 = VAR6?VAR17:VAR3;
assign VAR4 = addr[11]&VAR10;
assign VAR7 = (~addr[11])&VAR10;
assign VAR15 = 1;
assign VAR1 = 1;
endmodule
module MODULE1(input clk,
input [12:0] addr,
input [15:0] VAR9,
output [15:0] VAR5,
input VAR10,
input VAR12);
wire [15:0] VAR3;
wire [15:0] VAR17;
wire VAR7;
wire VAR15;
wire VAR4;
wire VAR1;
MODULE2 MODULE2(.clk(clk),
.addr(addr[11:0]),
.VAR9(VAR9),
.VAR5(VAR3),
.VAR10(VAR7),
.VAR12(VAR15));
MODULE3 MODULE1(.clk(clk),
.addr(addr[11:0]),
.VAR9(VAR9),
.VAR5(VAR17),
.VAR10(VAR4),
.VAR12(VAR1));
reg VAR6;
always @(posedge clk) VAR6 <= addr[12];
assign VAR5 = VAR6?VAR17:VAR3;
assign VAR4 = addr[12]&VAR10;
assign VAR7 = (~addr[12])&VAR10;
assign VAR15 = 1;
assign VAR1 = 1;
endmodule
input [12:0] addr,
input [15:0] VAR9,
output [15:0] VAR5,
input VAR10,
input VAR12);
MODULE2 MODULE2(.clk(clk),
.addr(addr[11:0]),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR10(VAR10&(~addr[12])),
.VAR12(VAR12&(~addr[12])));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfbbp/sky130_fd_sc_hdll__sdfbbp.pp.symbol.v
| 1,587 |
module MODULE1 (
input VAR12 ,
output VAR2 ,
output VAR11 ,
input VAR8,
input VAR10 ,
input VAR4 ,
input VAR9 ,
input VAR3 ,
input VAR7 ,
input VAR1 ,
input VAR6 ,
input VAR5
);
endmodule
|
apache-2.0
|
leekeith/DEVBOX
|
Dev_Box_HW/soc_system/synthesis/submodules/soc_system_jtag_uart.v
| 16,904 |
module MODULE2 (
clk,
VAR18,
VAR20,
VAR52,
VAR44,
VAR4,
VAR22
)
;
output VAR52;
output [ 7: 0] VAR44;
output VAR4;
output [ 5: 0] VAR22;
input clk;
input [ 7: 0] VAR18;
input VAR20;
wire VAR52;
wire [ 7: 0] VAR44;
wire VAR4;
wire [ 5: 0] VAR22;
always @(posedge clk)
begin
if (VAR20)
("%VAR41", VAR18);
end
assign VAR22 = {6{1'b0}};
assign VAR44 = {8{1'b0}};
assign VAR52 = 1'b0;
assign VAR4 = 1'b1;
endmodule
module MODULE3 (
clk,
VAR55,
VAR18,
VAR20,
VAR31,
VAR52,
VAR44,
VAR4,
VAR22
)
;
output VAR52;
output [ 7: 0] VAR44;
output VAR4;
output [ 5: 0] VAR22;
input clk;
input VAR55;
input [ 7: 0] VAR18;
input VAR20;
input VAR31;
wire VAR52;
wire [ 7: 0] VAR44;
wire VAR4;
wire [ 5: 0] VAR22;
MODULE2 MODULE1
(
.clk (clk),
.VAR52 (VAR52),
.VAR18 (VAR18),
.VAR20 (VAR20),
.VAR44 (VAR44),
.VAR4 (VAR4),
.VAR22 (VAR22)
);
endmodule
module MODULE1 (
clk,
VAR53,
VAR47,
VAR35,
VAR33,
VAR39,
VAR19
)
;
output VAR35;
output [ 7: 0] VAR33;
output VAR39;
output [ 5: 0] VAR19;
input clk;
input VAR53;
input VAR47;
reg [ 31: 0] VAR5;
wire VAR35;
reg VAR30;
wire [ 7: 0] VAR33;
wire VAR10;
wire [ 31: 0] VAR24;
wire [ 6: 0] VAR25;
wire VAR39;
wire [ 5: 0] VAR19;
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR5 <= 32'h0;
VAR30 <= 1'b0;
end
else
begin
VAR30 <= VAR53;
if (VAR30)
VAR5 <= VAR5 - 1'b1;
if (VAR10)
VAR5 <= VAR24;
end
end
assign VAR35 = VAR5 == 32'b0;
assign VAR39 = VAR5 > 7'h40;
assign VAR25 = (VAR39) ? 7'h40 : VAR5;
assign VAR19 = VAR25[5 : 0];
assign VAR10 = 1'b0;
assign VAR24 = 32'b0;
assign VAR33 = 8'b0;
endmodule
module MODULE4 (
clk,
VAR55,
VAR53,
VAR47,
VAR34,
VAR56,
VAR35,
VAR33,
VAR39,
VAR19
)
;
output VAR35;
output [ 7: 0] VAR33;
output VAR39;
output [ 5: 0] VAR19;
input clk;
input VAR55;
input VAR53;
input VAR47;
input [ 7: 0] VAR34;
input VAR56;
wire VAR35;
wire [ 7: 0] VAR33;
wire VAR39;
wire [ 5: 0] VAR19;
MODULE1 MODULE3
(
.clk (clk),
.VAR35 (VAR35),
.VAR53 (VAR53),
.VAR33 (VAR33),
.VAR39 (VAR39),
.VAR19 (VAR19),
.VAR47 (VAR47)
);
endmodule
module MODULE5 (
VAR51,
VAR29,
VAR48,
VAR26,
VAR46,
clk,
VAR47,
VAR36,
VAR40,
VAR14,
VAR9,
VAR2
)
;
output VAR36;
output [ 31: 0] VAR40;
output VAR14;
output VAR9;
output VAR2;
input VAR51;
input VAR29;
input VAR48;
input VAR26;
input [ 31: 0] VAR46;
input clk;
input VAR47;
reg VAR1;
wire VAR27;
wire VAR36;
wire [ 31: 0] VAR40;
reg VAR14;
reg VAR9;
reg VAR7;
reg VAR16;
wire VAR35;
wire VAR52;
wire VAR55;
wire VAR53;
wire [ 7: 0] VAR33;
wire [ 7: 0] VAR18;
reg VAR20;
reg VAR32;
reg VAR49;
wire VAR11;
wire VAR50;
reg VAR17;
wire [ 7: 0] VAR44;
wire VAR37;
reg VAR6;
wire VAR31;
reg VAR3;
reg VAR2;
wire VAR39;
wire [ 5: 0] VAR19;
reg VAR23;
reg VAR42;
reg VAR45;
reg VAR13;
reg VAR57;
wire [ 7: 0] VAR34;
reg VAR38;
wire VAR43;
wire VAR12;
wire VAR4;
wire [ 5: 0] VAR22;
reg VAR28;
wire VAR56;
assign VAR31 = VAR37 & ~VAR4;
assign VAR56 = VAR43 & ~VAR39;
assign VAR55 = ~VAR47;
MODULE3 MODULE2
(
.clk (clk),
.VAR52 (VAR52),
.VAR55 (VAR55),
.VAR18 (VAR18),
.VAR20 (VAR20),
.VAR44 (VAR44),
.VAR31 (VAR31),
.VAR4 (VAR4),
.VAR22 (VAR22)
);
MODULE4 MODULE4
(
.clk (clk),
.VAR35 (VAR35),
.VAR55 (VAR55),
.VAR53 (VAR53),
.VAR33 (VAR33),
.VAR39 (VAR39),
.VAR19 (VAR19),
.VAR47 (VAR47),
.VAR34 (VAR34),
.VAR56 (VAR56)
);
assign VAR11 = VAR32 & VAR7;
assign VAR50 = VAR49 & (VAR17 | VAR16);
assign VAR36 = VAR11 | VAR50;
assign VAR27 = VAR12 | VAR43;
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR17 <= 1'b0;
end
else if (VAR12 & ~VAR35)
VAR17 <= 1'b1;
else if (VAR3)
VAR17 <= 1'b0;
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR6 <= 1'b0;
VAR38 <= 1'b1;
end
else
begin
VAR6 <= VAR37 & ~VAR4;
VAR38 <= ~VAR39;
end
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR7 <= 1'b0;
VAR16 <= 1'b0;
VAR20 <= 1'b0;
VAR23 <= 1'b0;
VAR3 <= 1'b0;
VAR32 <= 1'b0;
VAR49 <= 1'b0;
VAR1 <= 1'b0;
VAR28 <= 1'b0;
VAR14 <= 1'b1;
end
else
begin
VAR7 <= {VAR52,VAR22} <= 8;
VAR16 <= (7'h40 - {VAR39,VAR19}) <= 8;
VAR20 <= 1'b0;
VAR3 <= 1'b0;
VAR14 <= ~(VAR29 & (~VAR26 | ~VAR48) & VAR14);
if (VAR27)
VAR1 <= 1'b1;
if (VAR29 & ~VAR26 & VAR14)
if (VAR51)
begin
VAR49 <= VAR46[0];
VAR32 <= VAR46[1];
if (VAR46[10] & ~VAR27)
VAR1 <= 1'b0;
end
else
begin
VAR20 <= ~VAR52;
VAR28 <= VAR52;
end
if (VAR29 & ~VAR48 & VAR14)
begin
if (~VAR51)
VAR23 <= ~VAR35;
VAR3 <= ~VAR51;
end
end
end
assign VAR18 = VAR46[7 : 0];
assign VAR53 = (VAR29 & ~VAR48 & VAR14 & ~VAR51) ? ~VAR35 : 1'b0;
assign VAR40 = VAR3 ? { {9{1'b0}},VAR39,VAR19,VAR23,VAR28,~VAR52,~VAR35,1'b0,VAR1,VAR11,VAR50,VAR33 } : { {9{1'b0}},(7'h40 - {VAR52,VAR22}),VAR23,VAR28,~VAR52,~VAR35,1'b0,VAR1,VAR11,VAR50,{6{1'b0}},VAR32,VAR49 };
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR2 <= 0;
end
else
VAR2 <= ~VAR52;
end
always @(posedge clk)
begin
VAR57 <= 1'b0;
VAR13 <= 1'b0;
VAR45 <= VAR38 ? VAR44 : {8{VAR6}};
VAR42 <= 1'b0;
end
assign VAR37 = VAR42;
assign VAR43 = VAR13;
assign VAR34 = VAR45;
assign VAR12 = VAR57;
always @(VAR35)
begin
VAR9 = ~VAR35;
end
endmodule
|
gpl-2.0
|
eda-globetrotter/MarcheProcessor
|
processor/spare/build1/alu_my.v
| 331,118 |
module MODULE1 (VAR7,VAR3,VAR5,VAR2,VAR8,VAR1,VAR4);
output [0:127] VAR1;
input [0:127] VAR7;
input [0:127] VAR3;
input [0:2] VAR5;
input [0:1] VAR2;
input [0:4] VAR8;
input [15:0] VAR4;
parameter VAR6 = 128'hffffffffffffffffffffffffffffffff;
reg [0:127] VAR1;
always @(VAR7 or VAR3 or VAR5 or VAR2 or VAR8 or VAR4)
begin
case(VAR8)
begin
case(VAR2)
case(VAR3[5:7])
0:
begin
VAR1[0:7]<=VAR7[0:7]>>0;
VAR1[8:15]<=VAR7[8:15]>>0;
VAR1[16:23]<=VAR7[16:23]>>0;
VAR1[24:31]<=VAR7[24:31]>>0;
VAR1[32:39]<=VAR7[32:39]>>0;
VAR1[40:47]<=VAR7[40:47]>>0;
VAR1[48:55]<=VAR7[48:55]>>0;
VAR1[56:63]<=VAR7[56:63]>>0;
VAR1[64:71]<=VAR7[64:71]>>0;
VAR1[72:79]<=VAR7[72:79]>>0;
VAR1[80:87]<=VAR7[80:87]>>0;
VAR1[88:95]<=VAR7[88:95]>>0;
VAR1[96:103]<=VAR7[96:103]>>0;
VAR1[104:111]<=VAR7[104:111]>>0;
VAR1[112:119]<=VAR7[112:119]>>0;
VAR1[120:127]<=VAR7[120:127]>>0;
end
1:
begin
VAR1[0:7]<=VAR7[0:7]>>1;
VAR1[0]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>1;
VAR1[8]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>1;
VAR1[16]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>1;
VAR1[24]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>1;
VAR1[32]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>1;
VAR1[40]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>1;
VAR1[48]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>1;
VAR1[56]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>1;
VAR1[64]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>1;
VAR1[72]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>1;
VAR1[80]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>1;
VAR1[88]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>1;
VAR1[96]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>1;
VAR1[104]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>1;
VAR1[112]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>1;
VAR1[120]<=VAR1[120];
end
2:
begin
VAR1[0:7]<=VAR7[0:7]>>2;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>2;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>2;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>2;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>2;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>2;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>2;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>2;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>2;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>2;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>2;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>2;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>2;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>2;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>2;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>2;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
end
3:
begin
VAR1[0:7]<=VAR7[0:7]>>3;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>3;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[10]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>3;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>3;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[26]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>3;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>3;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[42]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>3;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>3;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[58]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>3;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>3;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[74]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>3;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>3;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[90]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>3;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>3;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[106]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>3;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>3;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
VAR1[122]<=VAR1[120];
end
4:
begin
VAR1[0:7]<=VAR7[0:7]>>4;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>4;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[10]<=VAR1[8];
VAR1[11]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>4;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>4;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[26]<=VAR1[24];
VAR1[27]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>4;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>4;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[42]<=VAR1[40];
VAR1[43]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>4;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>4;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[58]<=VAR1[56];
VAR1[59]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>4;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>4;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[74]<=VAR1[72];
VAR1[75]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>4;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>4;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[90]<=VAR1[88];
VAR1[91]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>4;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>4;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[106]<=VAR1[104];
VAR1[107]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>4;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>4;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
VAR1[122]<=VAR1[120];
VAR1[123]<=VAR1[120];
end
5:
begin
VAR1[0:7]<=VAR7[0:7]>>5;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>5;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[10]<=VAR1[8];
VAR1[11]<=VAR1[8];
VAR1[12]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>5;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>5;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[26]<=VAR1[24];
VAR1[27]<=VAR1[24];
VAR1[28]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>5;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>5;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[42]<=VAR1[40];
VAR1[43]<=VAR1[40];
VAR1[44]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>5;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>5;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[58]<=VAR1[56];
VAR1[59]<=VAR1[56];
VAR1[60]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>5;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>5;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[74]<=VAR1[72];
VAR1[75]<=VAR1[72];
VAR1[76]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>5;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>5;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[90]<=VAR1[88];
VAR1[91]<=VAR1[88];
VAR1[92]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>5;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>5;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[106]<=VAR1[104];
VAR1[107]<=VAR1[104];
VAR1[108]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>5;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>5;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
VAR1[122]<=VAR1[120];
VAR1[123]<=VAR1[120];
VAR1[124]<=VAR1[120];
end
6:
begin
VAR1[0:7]<=VAR7[0:7]>>6;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>6;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[10]<=VAR1[8];
VAR1[11]<=VAR1[8];
VAR1[12]<=VAR1[8];
VAR1[13]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>6;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>6;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[26]<=VAR1[24];
VAR1[27]<=VAR1[24];
VAR1[28]<=VAR1[24];
VAR1[29]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>6;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>6;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[42]<=VAR1[40];
VAR1[43]<=VAR1[40];
VAR1[44]<=VAR1[40];
VAR1[45]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>6;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>6;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[58]<=VAR1[56];
VAR1[59]<=VAR1[56];
VAR1[60]<=VAR1[56];
VAR1[61]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>6;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>6;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[74]<=VAR1[72];
VAR1[75]<=VAR1[72];
VAR1[76]<=VAR1[72];
VAR1[77]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>6;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>6;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[90]<=VAR1[88];
VAR1[91]<=VAR1[88];
VAR1[92]<=VAR1[88];
VAR1[93]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>6;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>6;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[106]<=VAR1[104];
VAR1[107]<=VAR1[104];
VAR1[108]<=VAR1[104];
VAR1[109]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>6;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>6;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
VAR1[122]<=VAR1[120];
VAR1[123]<=VAR1[120];
VAR1[124]<=VAR1[120];
VAR1[125]<=VAR1[120];
end
default: begin
VAR1[0:7]<=VAR7[0:7]>>7;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[8:15]<=VAR7[8:15]>>7;
VAR1[8]<=VAR1[8];
VAR1[9]<=VAR1[8];
VAR1[10]<=VAR1[8];
VAR1[11]<=VAR1[8];
VAR1[12]<=VAR1[8];
VAR1[13]<=VAR1[8];
VAR1[14]<=VAR1[8];
VAR1[16:23]<=VAR7[16:23]>>7;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[24:31]<=VAR7[24:31]>>7;
VAR1[24]<=VAR1[24];
VAR1[25]<=VAR1[24];
VAR1[26]<=VAR1[24];
VAR1[27]<=VAR1[24];
VAR1[28]<=VAR1[24];
VAR1[29]<=VAR1[24];
VAR1[30]<=VAR1[24];
VAR1[32:39]<=VAR7[32:39]>>7;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[40:47]<=VAR7[40:47]>>7;
VAR1[40]<=VAR1[40];
VAR1[41]<=VAR1[40];
VAR1[42]<=VAR1[40];
VAR1[43]<=VAR1[40];
VAR1[44]<=VAR1[40];
VAR1[45]<=VAR1[40];
VAR1[46]<=VAR1[40];
VAR1[48:55]<=VAR7[48:55]>>7;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[56:63]<=VAR7[56:63]>>7;
VAR1[56]<=VAR1[56];
VAR1[57]<=VAR1[56];
VAR1[58]<=VAR1[56];
VAR1[59]<=VAR1[56];
VAR1[60]<=VAR1[56];
VAR1[61]<=VAR1[56];
VAR1[62]<=VAR1[56];
VAR1[64:71]<=VAR7[64:71]>>7;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[72:79]<=VAR7[72:79]>>7;
VAR1[72]<=VAR1[72];
VAR1[73]<=VAR1[72];
VAR1[74]<=VAR1[72];
VAR1[75]<=VAR1[72];
VAR1[76]<=VAR1[72];
VAR1[77]<=VAR1[72];
VAR1[78]<=VAR1[72];
VAR1[80:87]<=VAR7[80:87]>>7;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[88:95]<=VAR7[88:95]>>7;
VAR1[88]<=VAR1[88];
VAR1[89]<=VAR1[88];
VAR1[90]<=VAR1[88];
VAR1[91]<=VAR1[88];
VAR1[92]<=VAR1[88];
VAR1[93]<=VAR1[88];
VAR1[94]<=VAR1[88];
VAR1[96:103]<=VAR7[96:103]>>7;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[104:111]<=VAR7[104:111]>>7;
VAR1[104]<=VAR1[104];
VAR1[105]<=VAR1[104];
VAR1[106]<=VAR1[104];
VAR1[107]<=VAR1[104];
VAR1[108]<=VAR1[104];
VAR1[109]<=VAR1[104];
VAR1[110]<=VAR1[104];
VAR1[112:119]<=VAR7[112:119]>>7;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[120:127]<=VAR7[120:127]>>7;
VAR1[120]<=VAR1[120];
VAR1[121]<=VAR1[120];
VAR1[122]<=VAR1[120];
VAR1[123]<=VAR1[120];
VAR1[124]<=VAR1[120];
VAR1[125]<=VAR1[120];
VAR1[126]<=VAR1[120];
end
endcase
end
case(VAR3[4:7])
0:
begin
VAR1[0:15]<=VAR7[0:15]>>0;
VAR1[16:31]<=VAR7[16:31]>>0;
VAR1[32:47]<=VAR7[32:47]>>0;
VAR1[48:63]<=VAR7[48:63]>>0;
VAR1[64:79]<=VAR7[64:79]>>0;
VAR1[80:95]<=VAR7[80:95]>>0;
VAR1[96:111]<=VAR7[96:111]>>0;
VAR1[112:127]<=VAR7[112:127]>>0;
end
1:
begin
VAR1[0:15]<=VAR7[0:15]>>1;
VAR1[0]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>1;
VAR1[16]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>1;
VAR1[32]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>1;
VAR1[48]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>1;
VAR1[64]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>1;
VAR1[80]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>1;
VAR1[96]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>1;
VAR1[112]<=VAR1[112];
end
2:
begin
VAR1[0:15]<=VAR7[0:15]>>2;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>2;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>2;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>2;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>2;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>2;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>2;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>2;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
end
3:
begin
VAR1[0:15]<=VAR7[0:15]>>3;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>3;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>3;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>3;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>3;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>3;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>3;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>3;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
end
4:
begin
VAR1[0:15]<=VAR7[0:15]>>4;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>4;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>4;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>4;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>4;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>4;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>4;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>4;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
end
5:
begin
VAR1[0:15]<=VAR7[0:15]>>5;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>5;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>5;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>5;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>5;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>5;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>5;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>5;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
end
6:
begin
VAR1[0:15]<=VAR7[0:15]>>6;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>6;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>6;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>6;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>6;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>6;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>6;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>6;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
end
7:
begin
VAR1[0:15]<=VAR7[0:15]>>7;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>7;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>7;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>7;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>7;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>7;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>7;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>7;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
end
8:
begin
VAR1[0:15]<=VAR7[0:15]>>8;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>8;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>8;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>8;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>8;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>8;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>8;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>8;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
end
9:
begin
VAR1[0:15]<=VAR7[0:15]>>9;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>9;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>9;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>9;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>9;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>9;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>9;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>9;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
end
10:
begin
VAR1[0:15]<=VAR7[0:15]>>10;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>10;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>10;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>10;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>10;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>10;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>10;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>10;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
end
11:
begin
VAR1[0:15]<=VAR7[0:15]>>11;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>11;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[26]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>11;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>11;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[58]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>11;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>11;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[90]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>11;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>11;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
VAR1[122]<=VAR1[112];
end
12:
begin
VAR1[0:15]<=VAR7[0:15]>>12;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>12;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[26]<=VAR1[16];
VAR1[27]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>12;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>12;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[58]<=VAR1[48];
VAR1[59]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>12;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>12;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[90]<=VAR1[80];
VAR1[91]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>12;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>12;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
VAR1[122]<=VAR1[112];
VAR1[123]<=VAR1[112];
end
13:
begin
VAR1[0:15]<=VAR7[0:15]>>13;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>13;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[26]<=VAR1[16];
VAR1[27]<=VAR1[16];
VAR1[28]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>13;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>13;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[58]<=VAR1[48];
VAR1[59]<=VAR1[48];
VAR1[60]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>13;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>13;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[90]<=VAR1[80];
VAR1[91]<=VAR1[80];
VAR1[92]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>13;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>13;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
VAR1[122]<=VAR1[112];
VAR1[123]<=VAR1[112];
VAR1[124]<=VAR1[112];
end
14:
begin
VAR1[0:15]<=VAR7[0:15]>>14;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>14;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[26]<=VAR1[16];
VAR1[27]<=VAR1[16];
VAR1[28]<=VAR1[16];
VAR1[29]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>14;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>14;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[58]<=VAR1[48];
VAR1[59]<=VAR1[48];
VAR1[60]<=VAR1[48];
VAR1[61]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>14;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>14;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[90]<=VAR1[80];
VAR1[91]<=VAR1[80];
VAR1[92]<=VAR1[80];
VAR1[93]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>14;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>14;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
VAR1[122]<=VAR1[112];
VAR1[123]<=VAR1[112];
VAR1[124]<=VAR1[112];
VAR1[125]<=VAR1[112];
end
default: begin
VAR1[0:15]<=VAR7[0:15]>>15;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[16:31]<=VAR7[16:31]>>15;
VAR1[16]<=VAR1[16];
VAR1[17]<=VAR1[16];
VAR1[18]<=VAR1[16];
VAR1[19]<=VAR1[16];
VAR1[20]<=VAR1[16];
VAR1[21]<=VAR1[16];
VAR1[22]<=VAR1[16];
VAR1[23]<=VAR1[16];
VAR1[24]<=VAR1[16];
VAR1[25]<=VAR1[16];
VAR1[26]<=VAR1[16];
VAR1[27]<=VAR1[16];
VAR1[28]<=VAR1[16];
VAR1[29]<=VAR1[16];
VAR1[30]<=VAR1[16];
VAR1[32:47]<=VAR7[32:47]>>15;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[48:63]<=VAR7[48:63]>>15;
VAR1[48]<=VAR1[48];
VAR1[49]<=VAR1[48];
VAR1[50]<=VAR1[48];
VAR1[51]<=VAR1[48];
VAR1[52]<=VAR1[48];
VAR1[53]<=VAR1[48];
VAR1[54]<=VAR1[48];
VAR1[55]<=VAR1[48];
VAR1[56]<=VAR1[48];
VAR1[57]<=VAR1[48];
VAR1[58]<=VAR1[48];
VAR1[59]<=VAR1[48];
VAR1[60]<=VAR1[48];
VAR1[61]<=VAR1[48];
VAR1[62]<=VAR1[48];
VAR1[64:79]<=VAR7[64:79]>>15;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[80:95]<=VAR7[80:95]>>15;
VAR1[80]<=VAR1[80];
VAR1[81]<=VAR1[80];
VAR1[82]<=VAR1[80];
VAR1[83]<=VAR1[80];
VAR1[84]<=VAR1[80];
VAR1[85]<=VAR1[80];
VAR1[86]<=VAR1[80];
VAR1[87]<=VAR1[80];
VAR1[88]<=VAR1[80];
VAR1[89]<=VAR1[80];
VAR1[90]<=VAR1[80];
VAR1[91]<=VAR1[80];
VAR1[92]<=VAR1[80];
VAR1[93]<=VAR1[80];
VAR1[94]<=VAR1[80];
VAR1[96:111]<=VAR7[96:111]>>15;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[112:127]<=VAR7[112:127]>>15;
VAR1[112]<=VAR1[112];
VAR1[113]<=VAR1[112];
VAR1[114]<=VAR1[112];
VAR1[115]<=VAR1[112];
VAR1[116]<=VAR1[112];
VAR1[117]<=VAR1[112];
VAR1[118]<=VAR1[112];
VAR1[119]<=VAR1[112];
VAR1[120]<=VAR1[112];
VAR1[121]<=VAR1[112];
VAR1[122]<=VAR1[112];
VAR1[123]<=VAR1[112];
VAR1[124]<=VAR1[112];
VAR1[125]<=VAR1[112];
VAR1[126]<=VAR1[112];
end
endcase
end
default: begin
case(VAR3[5:7])
0:
begin
VAR1[0:31]<=VAR7[0:31]>>0;
VAR1[32:63]<=VAR7[32:63]>>0;
VAR1[64:95]<=VAR7[64:95]>>0;
VAR1[96:127]<=VAR7[96:127]>>0;
end
1:
begin
VAR1[0:31]<=VAR7[0:31]>>1;
VAR1[0]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>1;
VAR1[32]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>1;
VAR1[64]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>1;
VAR1[96]<=VAR1[96];
end
2:
begin
VAR1[0:31]<=VAR7[0:31]>>2;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>2;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>2;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>2;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
end
3:
begin
VAR1[0:31]<=VAR7[0:31]>>3;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>3;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>3;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>3;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
end
4:
begin
VAR1[0:31]<=VAR7[0:31]>>4;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>4;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>4;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>4;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
end
5:
begin
VAR1[0:31]<=VAR7[0:31]>>5;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>5;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>5;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>5;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
end
6:
begin
VAR1[0:31]<=VAR7[0:31]>>6;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>6;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>6;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>6;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
end
7:
begin
VAR1[0:31]<=VAR7[0:31]>>7;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>7;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>7;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>7;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
end
8:
begin
VAR1[0:31]<=VAR7[0:31]>>8;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>8;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>8;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>8;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
end
9:
begin
VAR1[0:31]<=VAR7[0:31]>>9;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>9;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>9;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>9;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
end
10:
begin
VAR1[0:31]<=VAR7[0:31]>>10;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>10;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>10;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>10;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
end
11:
begin
VAR1[0:31]<=VAR7[0:31]>>11;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>11;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>11;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>11;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
end
12:
begin
VAR1[0:31]<=VAR7[0:31]>>12;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>12;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>12;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>12;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
end
13:
begin
VAR1[0:31]<=VAR7[0:31]>>13;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>13;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>13;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>13;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
end
14:
begin
VAR1[0:31]<=VAR7[0:31]>>14;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>14;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>14;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>14;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
end
15:
begin
VAR1[0:31]<=VAR7[0:31]>>15;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>15;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>15;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>15;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
end
16:
begin
VAR1[0:31]<=VAR7[0:31]>>16;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>16;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>16;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>16;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
end
17:
begin
VAR1[0:31]<=VAR7[0:31]>>17;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>17;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>17;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>17;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
end
18:
begin
VAR1[0:31]<=VAR7[0:31]>>18;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>18;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>18;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>18;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
end
19:
begin
VAR1[0:31]<=VAR7[0:31]>>19;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>19;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>19;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>19;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
end
20:
begin
VAR1[0:31]<=VAR7[0:31]>>20;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>20;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>20;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>20;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
end
21:
begin
VAR1[0:31]<=VAR7[0:31]>>21;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>21;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>21;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>21;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
end
22:
begin
VAR1[0:31]<=VAR7[0:31]>>22;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>22;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>22;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>22;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
end
23:
begin
VAR1[0:31]<=VAR7[0:31]>>23;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>23;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>23;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>23;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
end
24:
begin
VAR1[0:31]<=VAR7[0:31]>>24;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>24;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>24;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>24;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
end
25:
begin
VAR1[0:31]<=VAR7[0:31]>>25;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>25;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>25;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>25;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
end
26:
begin
VAR1[0:31]<=VAR7[0:31]>>26;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>26;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>26;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>26;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
end
27:
begin
VAR1[0:31]<=VAR7[0:31]>>27;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[26]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>27;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[58]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>27;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[90]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>27;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
VAR1[122]<=VAR1[96];
end
28:
begin
VAR1[0:31]<=VAR7[0:31]>>28;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[26]<=VAR1[0];
VAR1[27]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>28;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[58]<=VAR1[32];
VAR1[59]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>28;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[90]<=VAR1[64];
VAR1[91]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>28;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
VAR1[122]<=VAR1[96];
VAR1[123]<=VAR1[96];
end
29:
begin
VAR1[0:31]<=VAR7[0:31]>>29;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[26]<=VAR1[0];
VAR1[27]<=VAR1[0];
VAR1[28]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>29;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[58]<=VAR1[32];
VAR1[59]<=VAR1[32];
VAR1[60]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>29;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[90]<=VAR1[64];
VAR1[91]<=VAR1[64];
VAR1[92]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>29;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
VAR1[122]<=VAR1[96];
VAR1[123]<=VAR1[96];
VAR1[124]<=VAR1[96];
end
30:
begin
VAR1[0:31]<=VAR7[0:31]>>30;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[26]<=VAR1[0];
VAR1[27]<=VAR1[0];
VAR1[28]<=VAR1[0];
VAR1[29]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>30;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[58]<=VAR1[32];
VAR1[59]<=VAR1[32];
VAR1[60]<=VAR1[32];
VAR1[61]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>30;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[90]<=VAR1[64];
VAR1[91]<=VAR1[64];
VAR1[92]<=VAR1[64];
VAR1[93]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>30;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
VAR1[122]<=VAR1[96];
VAR1[123]<=VAR1[96];
VAR1[124]<=VAR1[96];
VAR1[125]<=VAR1[96];
end
default: begin
VAR1[0:31]<=VAR7[0:31]>>31;
VAR1[0]<=VAR1[0];
VAR1[1]<=VAR1[0];
VAR1[2]<=VAR1[0];
VAR1[3]<=VAR1[0];
VAR1[4]<=VAR1[0];
VAR1[5]<=VAR1[0];
VAR1[6]<=VAR1[0];
VAR1[7]<=VAR1[0];
VAR1[8]<=VAR1[0];
VAR1[9]<=VAR1[0];
VAR1[10]<=VAR1[0];
VAR1[11]<=VAR1[0];
VAR1[12]<=VAR1[0];
VAR1[13]<=VAR1[0];
VAR1[14]<=VAR1[0];
VAR1[15]<=VAR1[0];
VAR1[16]<=VAR1[0];
VAR1[17]<=VAR1[0];
VAR1[18]<=VAR1[0];
VAR1[19]<=VAR1[0];
VAR1[20]<=VAR1[0];
VAR1[21]<=VAR1[0];
VAR1[22]<=VAR1[0];
VAR1[23]<=VAR1[0];
VAR1[24]<=VAR1[0];
VAR1[25]<=VAR1[0];
VAR1[26]<=VAR1[0];
VAR1[27]<=VAR1[0];
VAR1[28]<=VAR1[0];
VAR1[29]<=VAR1[0];
VAR1[30]<=VAR1[0];
VAR1[32:63]<=VAR7[32:63]>>31;
VAR1[32]<=VAR1[32];
VAR1[33]<=VAR1[32];
VAR1[34]<=VAR1[32];
VAR1[35]<=VAR1[32];
VAR1[36]<=VAR1[32];
VAR1[37]<=VAR1[32];
VAR1[38]<=VAR1[32];
VAR1[39]<=VAR1[32];
VAR1[40]<=VAR1[32];
VAR1[41]<=VAR1[32];
VAR1[42]<=VAR1[32];
VAR1[43]<=VAR1[32];
VAR1[44]<=VAR1[32];
VAR1[45]<=VAR1[32];
VAR1[46]<=VAR1[32];
VAR1[47]<=VAR1[32];
VAR1[48]<=VAR1[32];
VAR1[49]<=VAR1[32];
VAR1[50]<=VAR1[32];
VAR1[51]<=VAR1[32];
VAR1[52]<=VAR1[32];
VAR1[53]<=VAR1[32];
VAR1[54]<=VAR1[32];
VAR1[55]<=VAR1[32];
VAR1[56]<=VAR1[32];
VAR1[57]<=VAR1[32];
VAR1[58]<=VAR1[32];
VAR1[59]<=VAR1[32];
VAR1[60]<=VAR1[32];
VAR1[61]<=VAR1[32];
VAR1[62]<=VAR1[32];
VAR1[64:95]<=VAR7[64:95]>>31;
VAR1[64]<=VAR1[64];
VAR1[65]<=VAR1[64];
VAR1[66]<=VAR1[64];
VAR1[67]<=VAR1[64];
VAR1[68]<=VAR1[64];
VAR1[69]<=VAR1[64];
VAR1[70]<=VAR1[64];
VAR1[71]<=VAR1[64];
VAR1[72]<=VAR1[64];
VAR1[73]<=VAR1[64];
VAR1[74]<=VAR1[64];
VAR1[75]<=VAR1[64];
VAR1[76]<=VAR1[64];
VAR1[77]<=VAR1[64];
VAR1[78]<=VAR1[64];
VAR1[79]<=VAR1[64];
VAR1[80]<=VAR1[64];
VAR1[81]<=VAR1[64];
VAR1[82]<=VAR1[64];
VAR1[83]<=VAR1[64];
VAR1[84]<=VAR1[64];
VAR1[85]<=VAR1[64];
VAR1[86]<=VAR1[64];
VAR1[87]<=VAR1[64];
VAR1[88]<=VAR1[64];
VAR1[89]<=VAR1[64];
VAR1[90]<=VAR1[64];
VAR1[91]<=VAR1[64];
VAR1[92]<=VAR1[64];
VAR1[93]<=VAR1[64];
VAR1[94]<=VAR1[64];
VAR1[96:127]<=VAR7[96:127]>>31;
VAR1[96]<=VAR1[96];
VAR1[97]<=VAR1[96];
VAR1[98]<=VAR1[96];
VAR1[99]<=VAR1[96];
VAR1[100]<=VAR1[96];
VAR1[101]<=VAR1[96];
VAR1[102]<=VAR1[96];
VAR1[103]<=VAR1[96];
VAR1[104]<=VAR1[96];
VAR1[105]<=VAR1[96];
VAR1[106]<=VAR1[96];
VAR1[107]<=VAR1[96];
VAR1[108]<=VAR1[96];
VAR1[109]<=VAR1[96];
VAR1[110]<=VAR1[96];
VAR1[111]<=VAR1[96];
VAR1[112]<=VAR1[96];
VAR1[113]<=VAR1[96];
VAR1[114]<=VAR1[96];
VAR1[115]<=VAR1[96];
VAR1[116]<=VAR1[96];
VAR1[117]<=VAR1[96];
VAR1[118]<=VAR1[96];
VAR1[119]<=VAR1[96];
VAR1[120]<=VAR1[96];
VAR1[121]<=VAR1[96];
VAR1[122]<=VAR1[96];
VAR1[123]<=VAR1[96];
VAR1[124]<=VAR1[96];
VAR1[125]<=VAR1[96];
VAR1[126]<=VAR1[96];
end
endcase
end
endcase
end
begin
case(VAR2)
VAR1[0:7]<=VAR7[0:7]<<VAR3[5:7];
VAR1[8:15]<=VAR7[8:15]<<VAR3[13:15];
VAR1[16:23]<=VAR7[16:23]<<VAR3[21:23];
VAR1[24:31]<=VAR7[24:31]<<VAR3[29:31];
VAR1[32:39]<=VAR7[32:39]<<VAR3[37:39];
VAR1[40:47]<=VAR7[40:47]<<VAR3[45:47];
VAR1[48:55]<=VAR7[48:55]<<VAR3[53:55];
VAR1[56:63]<=VAR7[56:63]<<VAR3[61:63];
VAR1[64:71]<=VAR7[64:71]<<VAR3[69:71];
VAR1[72:79]<=VAR7[72:79]<<VAR3[77:79];
VAR1[80:87]<=VAR7[80:87]<<VAR3[85:87];
VAR1[88:95]<=VAR7[88:95]<<VAR3[93:95];
VAR1[96:103]<=VAR7[96:103]<<VAR3[101:103];
VAR1[104:111]<=VAR7[104:111]<<VAR3[109:111];
VAR1[112:119]<=VAR7[112:119]<<VAR3[117:119];
VAR1[120:127]<=VAR7[120:127]<<VAR3[125:127];
end
VAR1[0:15]<=VAR7[0:15]<<VAR3[12:15];
VAR1[16:31]<=VAR7[16:31]<<VAR3[28:31];
VAR1[32:47]<=VAR7[32:47]<<VAR3[44:47];
VAR1[48:63]<=VAR7[48:63]<<VAR3[60:63];
VAR1[64:79]<=VAR7[64:79]<<VAR3[76:79];
VAR1[80:95]<=VAR7[80:95]<<VAR3[92:95];
VAR1[96:111]<=VAR7[96:111]<<VAR3[108:111];
VAR1[112:127]<=VAR7[112:127]<<VAR3[124:127];
end
VAR1[0:31]<=VAR7[0:31]<<VAR3[27:31];
VAR1[32:63]<=VAR7[32:63]<<VAR3[59:63];
VAR1[64:95]<=VAR7[64:95]<<VAR3[91:95];
VAR1[96:127]<=VAR7[96:127]<<VAR3[123:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]>>VAR3[5:7];
VAR1[8:15]<=VAR7[8:15]>>VAR3[13:15];
VAR1[16:23]<=VAR7[16:23]>>VAR3[21:23];
VAR1[24:31]<=VAR7[24:31]>>VAR3[29:31];
VAR1[32:39]<=VAR7[32:39]>>VAR3[37:39];
VAR1[40:47]<=VAR7[40:47]>>VAR3[45:47];
VAR1[48:55]<=VAR7[48:55]>>VAR3[53:55];
VAR1[56:63]<=VAR7[56:63]>>VAR3[61:63];
VAR1[64:71]<=VAR7[64:71]>>VAR3[69:71];
VAR1[72:79]<=VAR7[72:79]>>VAR3[77:79];
VAR1[80:87]<=VAR7[80:87]>>VAR3[85:87];
VAR1[88:95]<=VAR7[88:95]>>VAR3[93:95];
VAR1[96:103]<=VAR7[96:103]>>VAR3[101:103];
VAR1[104:111]<=VAR7[104:111]>>VAR3[109:111];
VAR1[112:119]<=VAR7[112:119]>>VAR3[117:119];
VAR1[120:127]<=VAR7[120:127]>>VAR3[125:127];
end
VAR1[0:15]<=VAR7[0:15]>>VAR3[12:15];
VAR1[16:31]<=VAR7[16:31]>>VAR3[28:31];
VAR1[32:47]<=VAR7[32:47]>>VAR3[44:47];
VAR1[48:63]<=VAR7[48:63]>>VAR3[60:63];
VAR1[64:79]<=VAR7[64:79]>>VAR3[76:79];
VAR1[80:95]<=VAR7[80:95]>>VAR3[92:95];
VAR1[96:111]<=VAR7[96:111]>>VAR3[108:111];
VAR1[112:127]<=VAR7[112:127]>>VAR3[124:127];
end
VAR1[0:31]<=VAR7[0:31]>>VAR3[27:31];
VAR1[32:63]<=VAR7[32:63]>>VAR3[59:63];
VAR1[64:95]<=VAR7[64:95]>>VAR3[91:95];
VAR1[96:127]<=VAR7[96:127]>>VAR3[123:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]>>VAR3[5:7];
VAR1[8:15]<=VAR7[8:15]>>VAR3[13:15];
VAR1[16:23]<=VAR7[16:23]>>VAR3[21:23];
VAR1[24:31]<=VAR7[24:31]>>VAR3[29:31];
VAR1[32:39]<=VAR7[32:39]>>VAR3[37:39];
VAR1[40:47]<=VAR7[40:47]>>VAR3[45:47];
VAR1[48:55]<=VAR7[48:55]>>VAR3[53:55];
VAR1[56:63]<=VAR7[56:63]>>VAR3[61:63];
end
VAR1[0:15]<=VAR7[0:15]>>VAR3[12:15];
VAR1[16:31]<=VAR7[16:31]>>VAR3[28:31];
VAR1[32:47]<=VAR7[32:47]>>VAR3[44:47];
VAR1[48:63]<=VAR7[48:63]>>VAR3[60:63];
end
VAR1[0:31]<=VAR7[0:31]>>VAR3[27:31];
VAR1[32:63]<=VAR7[32:63]>>VAR3[59:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]>>VAR3[69:71];
VAR1[72:79]<=VAR7[72:79]>>VAR3[77:79];
VAR1[80:87]<=VAR7[80:87]>>VAR3[85:87];
VAR1[88:95]<=VAR7[88:95]>>VAR3[93:95];
VAR1[96:103]<=VAR7[96:103]>>VAR3[101:103];
VAR1[104:111]<=VAR7[104:111]>>VAR3[109:111];
VAR1[112:119]<=VAR7[112:119]>>VAR3[117:119];
VAR1[120:127]<=VAR7[120:127]>>VAR3[125:127];
end
VAR1[64:79]<=VAR7[64:79]>>VAR3[76:79];
VAR1[80:95]<=VAR7[80:95]>>VAR3[92:95];
VAR1[96:111]<=VAR7[96:111]>>VAR3[108:111];
VAR1[112:127]<=VAR7[112:127]>>VAR3[124:127];
end
VAR1[64:95]<=VAR7[64:95]>>VAR3[91:95];
VAR1[96:127]<=VAR7[96:127]>>VAR3[123:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]>>VAR3[5:7];
VAR1[16:23]<=VAR7[16:23]>>VAR3[21:23];
VAR1[32:39]<=VAR7[32:39]>>VAR3[37:39];
VAR1[48:55]<=VAR7[48:55]>>VAR3[53:55];
VAR1[64:71]<=VAR7[64:71]>>VAR3[69:71];
VAR1[80:87]<=VAR7[80:87]>>VAR3[85:87];
VAR1[96:103]<=VAR7[96:103]>>VAR3[101:103];
VAR1[112:119]<=VAR7[112:119]>>VAR3[117:119];
end
VAR1[0:15]<=VAR7[0:15]>>VAR3[12:15];
VAR1[32:47]<=VAR7[32:47]>>VAR3[44:47];
VAR1[64:79]<=VAR7[64:79]>>VAR3[76:79];
VAR1[96:111]<=VAR7[96:111]>>VAR3[108:111];
end
VAR1[0:31]<=VAR7[0:31]>>VAR3[27:31];
VAR1[64:95]<=VAR7[64:95]>>VAR3[91:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]>>VAR3[13:15];
VAR1[24:31]<=VAR7[24:31]>>VAR3[29:31];
VAR1[40:47]<=VAR7[40:47]>>VAR3[45:47];
VAR1[56:63]<=VAR7[56:63]>>VAR3[61:63];
VAR1[72:79]<=VAR7[72:79]>>VAR3[77:79];
VAR1[88:95]<=VAR7[88:95]>>VAR3[93:95];
VAR1[104:111]<=VAR7[104:111]>>VAR3[109:111];
VAR1[120:127]<=VAR7[120:127]>>VAR3[125:127];
end
VAR1[16:31]<=VAR7[16:31]>>VAR3[28:31];
VAR1[48:63]<=VAR7[48:63]>>VAR3[60:63];
VAR1[80:95]<=VAR7[80:95]>>VAR3[92:95];
VAR1[112:127]<=VAR7[112:127]>>VAR3[124:127];
end
VAR1[32:63]<=VAR7[32:63]>>VAR3[59:63];
VAR1[96:127]<=VAR7[96:127]>>VAR3[123:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]>>VAR3[5:7];
end
VAR1[0:15]<=VAR7[0:15]>>VAR3[12:15];
end
VAR1[0:31]<=VAR7[0:31]>>VAR3[27:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]>>VAR3[125:127];
end
VAR1[112:127]<=VAR7[112:127]>>VAR3[124:127];
end
VAR1[96:127]<=VAR7[96:127]>>VAR3[123:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]+VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]+VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]+VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]+VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]+VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]+VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]+VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]+VAR3[56:63];
VAR1[64:71]<=VAR7[64:71]+VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]+VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]+VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]+VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]+VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]+VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]+VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]+VAR3[120:127];
end
VAR1[0:15]<=VAR7[0:15]+VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]+VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]+VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]+VAR3[48:63];
VAR1[64:79]<=VAR7[64:79]+VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]+VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]+VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]+VAR3[112:127];
end
VAR1[0:31]<=VAR7[0:31]+VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]+VAR3[32:63];
VAR1[64:95]<=VAR7[64:95]+VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]+VAR3[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]+VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]+VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]+VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]+VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]+VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]+VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]+VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]+VAR3[56:63];
end
VAR1[0:15]<=VAR7[0:15]+VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]+VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]+VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]+VAR3[48:63];
end
VAR1[0:31]<=VAR7[0:31]+VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]+VAR3[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]+VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]+VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]+VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]+VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]+VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]+VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]+VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]+VAR3[120:127];
end
VAR1[64:79]<=VAR7[64:79]+VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]+VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]+VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]+VAR3[112:127];
end
VAR1[64:95]<=VAR7[64:95]+VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]+VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]+VAR3[0:7];
VAR1[16:23]<=VAR7[16:23]+VAR3[16:23];
VAR1[32:39]<=VAR7[32:39]+VAR3[32:39];
VAR1[48:55]<=VAR7[48:55]+VAR3[48:55];
VAR1[64:71]<=VAR7[64:71]+VAR3[64:71];
VAR1[80:87]<=VAR7[80:87]+VAR3[80:87];
VAR1[96:103]<=VAR7[96:103]+VAR3[96:103];
VAR1[112:119]<=VAR7[112:119]+VAR3[112:119];
end
VAR1[0:15]<=VAR7[0:15]+VAR3[0:15];
VAR1[32:47]<=VAR7[32:47]+VAR3[32:47];
VAR1[64:79]<=VAR7[64:79]+VAR3[64:79];
VAR1[96:111]<=VAR7[96:111]+VAR3[96:111];
end
VAR1[0:31]<=VAR7[0:31]+VAR3[0:31];
VAR1[64:95]<=VAR7[64:95]+VAR3[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]+VAR3[8:15];
VAR1[24:31]<=VAR7[24:31]+VAR3[24:31];
VAR1[40:47]<=VAR7[40:47]+VAR3[40:47];
VAR1[56:63]<=VAR7[56:63]+VAR3[56:63];
VAR1[72:79]<=VAR7[72:79]+VAR3[72:79];
VAR1[88:95]<=VAR7[88:95]+VAR3[88:95];
VAR1[104:111]<=VAR7[104:111]+VAR3[104:111];
VAR1[120:127]<=VAR7[120:127]+VAR3[120:127];
end
VAR1[16:31]<=VAR7[16:31]+VAR3[16:31];
VAR1[48:63]<=VAR7[48:63]+VAR3[48:63];
VAR1[80:95]<=VAR7[80:95]+VAR3[80:95];
VAR1[112:127]<=VAR7[112:127]+VAR3[112:127];
end
VAR1[32:63]<=VAR7[32:63]+VAR3[32:63];
VAR1[96:127]<=VAR7[96:127]+VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]+VAR3[0:7];
end
VAR1[0:15]<=VAR7[0:15]+VAR3[0:15];
end
VAR1[0:31]<=VAR7[0:31]+VAR3[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]+VAR3[120:127];
end
VAR1[112:127]<=VAR7[112:127]+VAR3[112:127];
end
VAR1[96:127]<=VAR7[96:127]+VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]&VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]&VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]&VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]&VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]&VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]&VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]&VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]&VAR3[56:63];
VAR1[64:71]<=VAR7[64:71]&VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]&VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]&VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]&VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]&VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]&VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]&VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]&VAR3[120:127];
end
VAR1[0:15]<=VAR7[0:15]&VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]&VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]&VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]&VAR3[48:63];
VAR1[64:79]<=VAR7[64:79]&VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]&VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]&VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]&VAR3[112:127];
end
VAR1[0:31]<=VAR7[0:31]&VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]&VAR3[32:63];
VAR1[64:95]<=VAR7[64:95]&VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]&VAR3[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]&VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]&VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]&VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]&VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]&VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]&VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]&VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]&VAR3[56:63];
end
VAR1[0:15]<=VAR7[0:15]&VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]&VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]&VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]&VAR3[48:63];
end
VAR1[0:31]<=VAR7[0:31]&VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]&VAR3[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]&VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]&VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]&VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]&VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]&VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]&VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]&VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]&VAR3[120:127];
end
VAR1[64:79]<=VAR7[64:79]&VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]&VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]&VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]&VAR3[112:127];
end
VAR1[64:95]<=VAR7[64:95]&VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]&VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]&VAR3[0:7];
VAR1[16:23]<=VAR7[16:23]&VAR3[16:23];
VAR1[32:39]<=VAR7[32:39]&VAR3[32:39];
VAR1[48:55]<=VAR7[48:55]&VAR3[48:55];
VAR1[64:71]<=VAR7[64:71]&VAR3[64:71];
VAR1[80:87]<=VAR7[80:87]&VAR3[80:87];
VAR1[96:103]<=VAR7[96:103]&VAR3[96:103];
VAR1[112:119]<=VAR7[112:119]&VAR3[112:119];
end
VAR1[0:15]<=VAR7[0:15]&VAR3[0:15];
VAR1[32:47]<=VAR7[32:47]&VAR3[32:47];
VAR1[64:79]<=VAR7[64:79]&VAR3[64:79];
VAR1[96:111]<=VAR7[96:111]&VAR3[96:111];
end
VAR1[0:31]<=VAR7[0:31]&VAR3[0:31];
VAR1[64:95]<=VAR7[64:95]&VAR3[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]&VAR3[8:15];
VAR1[24:31]<=VAR7[24:31]&VAR3[24:31];
VAR1[40:47]<=VAR7[40:47]&VAR3[40:47];
VAR1[56:63]<=VAR7[56:63]&VAR3[56:63];
VAR1[72:79]<=VAR7[72:79]&VAR3[72:79];
VAR1[88:95]<=VAR7[88:95]&VAR3[88:95];
VAR1[104:111]<=VAR7[104:111]&VAR3[104:111];
VAR1[120:127]<=VAR7[120:127]&VAR3[120:127];
end
VAR1[16:31]<=VAR7[16:31]&VAR3[16:31];
VAR1[48:63]<=VAR7[48:63]&VAR3[48:63];
VAR1[80:95]<=VAR7[80:95]&VAR3[80:95];
VAR1[112:127]<=VAR7[112:127]&VAR3[112:127];
end
VAR1[32:63]<=VAR7[32:63]&VAR3[32:63];
VAR1[96:127]<=VAR7[96:127]&VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]&VAR3[0:7];
end
VAR1[0:15]<=VAR7[0:15]&VAR3[0:15];
end
VAR1[0:31]<=VAR7[0:31]&VAR3[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]&VAR3[120:127];
end
VAR1[112:127]<=VAR7[112:127]&VAR3[112:127];
end
VAR1[96:127]<=VAR7[96:127]&VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=~VAR7[0:7];
VAR1[8:15]<=~VAR7[8:15];
VAR1[16:23]<=~VAR7[16:23];
VAR1[24:31]<=~VAR7[24:31];
VAR1[32:39]<=~VAR7[32:39];
VAR1[40:47]<=~VAR7[40:47];
VAR1[48:55]<=~VAR7[48:55];
VAR1[56:63]<=~VAR7[56:63];
VAR1[64:71]<=~VAR7[64:71];
VAR1[72:79]<=~VAR7[72:79];
VAR1[80:87]<=~VAR7[80:87];
VAR1[88:95]<=~VAR7[88:95];
VAR1[96:103]<=~VAR7[96:103];
VAR1[104:111]<=~VAR7[104:111];
VAR1[112:119]<=~VAR7[112:119];
VAR1[120:127]<=~VAR7[120:127];
end
VAR1[0:15]<=~VAR7[0:15];
VAR1[16:31]<=~VAR7[16:31];
VAR1[32:47]<=~VAR7[32:47];
VAR1[48:63]<=~VAR7[48:63];
VAR1[64:79]<=~VAR7[64:79];
VAR1[80:95]<=~VAR7[80:95];
VAR1[96:111]<=~VAR7[96:111];
VAR1[112:127]<=~VAR7[112:127];
end
VAR1[0:31]<=~VAR7[0:31];
VAR1[32:63]<=~VAR7[32:63];
VAR1[64:95]<=~VAR7[64:95];
VAR1[96:127]<=~VAR7[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=~VAR7[0:7];
VAR1[8:15]<=~VAR7[8:15];
VAR1[16:23]<=~VAR7[16:23];
VAR1[24:31]<=~VAR7[24:31];
VAR1[32:39]<=~VAR7[32:39];
VAR1[40:47]<=~VAR7[40:47];
VAR1[48:55]<=~VAR7[48:55];
VAR1[56:63]<=~VAR7[56:63];
end
VAR1[0:15]<=~VAR7[0:15];
VAR1[16:31]<=~VAR7[16:31];
VAR1[32:47]<=~VAR7[32:47];
VAR1[48:63]<=~VAR7[48:63];
end
VAR1[0:31]<=~VAR7[0:31];
VAR1[32:63]<=~VAR7[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=~VAR7[64:71];
VAR1[72:79]<=~VAR7[72:79];
VAR1[80:87]<=~VAR7[80:87];
VAR1[88:95]<=~VAR7[88:95];
VAR1[96:103]<=~VAR7[96:103];
VAR1[104:111]<=~VAR7[104:111];
VAR1[112:119]<=~VAR7[112:119];
VAR1[120:127]<=~VAR7[120:127];
end
VAR1[64:79]<=~VAR7[64:79];
VAR1[80:95]<=~VAR7[80:95];
VAR1[96:111]<=~VAR7[96:111];
VAR1[112:127]<=~VAR7[112:127];
end
VAR1[64:95]<=~VAR7[64:95];
VAR1[96:127]<=~VAR7[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=~VAR7[0:7];
VAR1[16:23]<=~VAR7[16:23];
VAR1[32:39]<=~VAR7[32:39];
VAR1[48:55]<=~VAR7[48:55];
VAR1[64:71]<=~VAR7[64:71];
VAR1[80:87]<=~VAR7[80:87];
VAR1[96:103]<=~VAR7[96:103];
VAR1[112:119]<=~VAR7[112:119];
end
VAR1[0:15]<=~VAR7[0:15];
VAR1[32:47]<=~VAR7[32:47];
VAR1[64:79]<=~VAR7[64:79];
VAR1[96:111]<=~VAR7[96:111];
end
VAR1[0:31]<=~VAR7[0:31];
VAR1[64:95]<=~VAR7[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=~VAR7[8:15];
VAR1[24:31]<=~VAR7[24:31];
VAR1[40:47]<=~VAR7[40:47];
VAR1[56:63]<=~VAR7[56:63];
VAR1[72:79]<=~VAR7[72:79];
VAR1[88:95]<=~VAR7[88:95];
VAR1[104:111]<=~VAR7[104:111];
VAR1[120:127]<=~VAR7[120:127];
end
VAR1[16:31]<=~VAR7[16:31];
VAR1[48:63]<=~VAR7[48:63];
VAR1[80:95]<=~VAR7[80:95];
VAR1[112:127]<=~VAR7[112:127];
end
VAR1[32:63]<=~VAR7[32:63];
VAR1[96:127]<=~VAR7[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=~VAR7[0:7];
end
VAR1[0:15]<=~VAR7[0:15];
end
VAR1[0:31]<=~VAR7[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=~VAR7[120:127];
end
VAR1[112:127]<=~VAR7[112:127];
end
VAR1[96:127]<=~VAR7[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]|VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]|VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]|VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]|VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]|VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]|VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]|VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]|VAR3[56:63];
VAR1[64:71]<=VAR7[64:71]|VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]|VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]|VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]|VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]|VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]|VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]|VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]|VAR3[120:127];
end
VAR1[0:15]<=VAR7[0:15]|VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]|VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]|VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]|VAR3[48:63];
VAR1[64:79]<=VAR7[64:79]|VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]|VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]|VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]|VAR3[112:127];
end
VAR1[0:31]<=VAR7[0:31]|VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]|VAR3[32:63];
VAR1[64:95]<=VAR7[64:95]|VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]|VAR3[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]|VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]|VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]|VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]|VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]|VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]|VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]|VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]|VAR3[56:63];
end
VAR1[0:15]<=VAR7[0:15]|VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]|VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]|VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]|VAR3[48:63];
end
VAR1[0:31]<=VAR7[0:31]|VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]|VAR3[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]|VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]|VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]|VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]|VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]|VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]|VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]|VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]|VAR3[120:127];
end
VAR1[64:79]<=VAR7[64:79]|VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]|VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]|VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]|VAR3[112:127];
end
VAR1[64:95]<=VAR7[64:95]|VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]|VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]|VAR3[0:7];
VAR1[16:23]<=VAR7[16:23]|VAR3[16:23];
VAR1[32:39]<=VAR7[32:39]|VAR3[32:39];
VAR1[48:55]<=VAR7[48:55]|VAR3[48:55];
VAR1[64:71]<=VAR7[64:71]|VAR3[64:71];
VAR1[80:87]<=VAR7[80:87]|VAR3[80:87];
VAR1[96:103]<=VAR7[96:103]|VAR3[96:103];
VAR1[112:119]<=VAR7[112:119]|VAR3[112:119];
end
VAR1[0:15]<=VAR7[0:15]|VAR3[0:15];
VAR1[32:47]<=VAR7[32:47]|VAR3[32:47];
VAR1[64:79]<=VAR7[64:79]|VAR3[64:79];
VAR1[96:111]<=VAR7[96:111]|VAR3[96:111];
end
VAR1[0:31]<=VAR7[0:31]|VAR3[0:31];
VAR1[64:95]<=VAR7[64:95]|VAR3[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]|VAR3[8:15];
VAR1[24:31]<=VAR7[24:31]|VAR3[24:31];
VAR1[40:47]<=VAR7[40:47]|VAR3[40:47];
VAR1[56:63]<=VAR7[56:63]|VAR3[56:63];
VAR1[72:79]<=VAR7[72:79]|VAR3[72:79];
VAR1[88:95]<=VAR7[88:95]|VAR3[88:95];
VAR1[104:111]<=VAR7[104:111]|VAR3[104:111];
VAR1[120:127]<=VAR7[120:127]|VAR3[120:127];
end
VAR1[16:31]<=VAR7[16:31]|VAR3[16:31];
VAR1[48:63]<=VAR7[48:63]|VAR3[48:63];
VAR1[80:95]<=VAR7[80:95]|VAR3[80:95];
VAR1[112:127]<=VAR7[112:127]|VAR3[112:127];
end
VAR1[32:63]<=VAR7[32:63]|VAR3[32:63];
VAR1[96:127]<=VAR7[96:127]|VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]|VAR3[0:7];
end
VAR1[0:15]<=VAR7[0:15]|VAR3[0:15];
end
VAR1[0:31]<=VAR7[0:31]|VAR3[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]|VAR3[120:127];
end
VAR1[112:127]<=VAR7[112:127]|VAR3[112:127];
end
VAR1[96:127]<=VAR7[96:127]|VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]^VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]^VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]^VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]^VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]^VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]^VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]^VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]^VAR3[56:63];
VAR1[64:71]<=VAR7[64:71]^VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]^VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]^VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]^VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]^VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]^VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]^VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]^VAR3[120:127];
end
VAR1[0:15]<=VAR7[0:15]^VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]^VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]^VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]^VAR3[48:63];
VAR1[64:79]<=VAR7[64:79]^VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]^VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]^VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]^VAR3[112:127];
end
VAR1[0:31]<=VAR7[0:31]^VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]^VAR3[32:63];
VAR1[64:95]<=VAR7[64:95]^VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]^VAR3[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]^VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]^VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]^VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]^VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]^VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]^VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]^VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]^VAR3[56:63];
end
VAR1[0:15]<=VAR7[0:15]^VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]^VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]^VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]^VAR3[48:63];
end
VAR1[0:31]<=VAR7[0:31]^VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]^VAR3[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]^VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]^VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]^VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]^VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]^VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]^VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]^VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]^VAR3[120:127];
end
VAR1[64:79]<=VAR7[64:79]^VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]^VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]^VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]^VAR3[112:127];
end
VAR1[64:95]<=VAR7[64:95]^VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]^VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]^VAR3[0:7];
VAR1[16:23]<=VAR7[16:23]^VAR3[16:23];
VAR1[32:39]<=VAR7[32:39]^VAR3[32:39];
VAR1[48:55]<=VAR7[48:55]^VAR3[48:55];
VAR1[64:71]<=VAR7[64:71]^VAR3[64:71];
VAR1[80:87]<=VAR7[80:87]^VAR3[80:87];
VAR1[96:103]<=VAR7[96:103]^VAR3[96:103];
VAR1[112:119]<=VAR7[112:119]^VAR3[112:119];
end
VAR1[0:15]<=VAR7[0:15]^VAR3[0:15];
VAR1[32:47]<=VAR7[32:47]^VAR3[32:47];
VAR1[64:79]<=VAR7[64:79]^VAR3[64:79];
VAR1[96:111]<=VAR7[96:111]^VAR3[96:111];
end
VAR1[0:31]<=VAR7[0:31]^VAR3[0:31];
VAR1[64:95]<=VAR7[64:95]^VAR3[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]^VAR3[8:15];
VAR1[24:31]<=VAR7[24:31]^VAR3[24:31];
VAR1[40:47]<=VAR7[40:47]^VAR3[40:47];
VAR1[56:63]<=VAR7[56:63]^VAR3[56:63];
VAR1[72:79]<=VAR7[72:79]^VAR3[72:79];
VAR1[88:95]<=VAR7[88:95]^VAR3[88:95];
VAR1[104:111]<=VAR7[104:111]^VAR3[104:111];
VAR1[120:127]<=VAR7[120:127]^VAR3[120:127];
end
VAR1[16:31]<=VAR7[16:31]^VAR3[16:31];
VAR1[48:63]<=VAR7[48:63]^VAR3[48:63];
VAR1[80:95]<=VAR7[80:95]^VAR3[80:95];
VAR1[112:127]<=VAR7[112:127]^VAR3[112:127];
end
VAR1[32:63]<=VAR7[32:63]^VAR3[32:63];
VAR1[96:127]<=VAR7[96:127]^VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]^VAR3[0:7];
end
VAR1[0:15]<=VAR7[0:15]^VAR3[0:15];
end
VAR1[0:31]<=VAR7[0:31]^VAR3[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]^VAR3[120:127];
end
VAR1[112:127]<=VAR7[112:127]^VAR3[112:127];
end
VAR1[96:127]<=VAR7[96:127]^VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
VAR1[0:7]<=VAR7[0:7]-VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]-VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]-VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]-VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]-VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]-VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]-VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]-VAR3[56:63];
VAR1[64:71]<=VAR7[64:71]-VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]-VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]-VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]-VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]-VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]-VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]-VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]-VAR3[120:127];
end
VAR1[0:15]<=VAR7[0:15]-VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]-VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]-VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]-VAR3[48:63];
VAR1[64:79]<=VAR7[64:79]-VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]-VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]-VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]-VAR3[112:127];
end
VAR1[0:31]<=VAR7[0:31]-VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]-VAR3[32:63];
VAR1[64:95]<=VAR7[64:95]-VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]-VAR3[96:127];
end
default: begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]-VAR3[0:7];
VAR1[8:15]<=VAR7[8:15]-VAR3[8:15];
VAR1[16:23]<=VAR7[16:23]-VAR3[16:23];
VAR1[24:31]<=VAR7[24:31]-VAR3[24:31];
VAR1[32:39]<=VAR7[32:39]-VAR3[32:39];
VAR1[40:47]<=VAR7[40:47]-VAR3[40:47];
VAR1[48:55]<=VAR7[48:55]-VAR3[48:55];
VAR1[56:63]<=VAR7[56:63]-VAR3[56:63];
end
VAR1[0:15]<=VAR7[0:15]-VAR3[0:15];
VAR1[16:31]<=VAR7[16:31]-VAR3[16:31];
VAR1[32:47]<=VAR7[32:47]-VAR3[32:47];
VAR1[48:63]<=VAR7[48:63]-VAR3[48:63];
end
VAR1[0:31]<=VAR7[0:31]-VAR3[0:31];
VAR1[32:63]<=VAR7[32:63]-VAR3[32:63];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[64:71]<=VAR7[64:71]-VAR3[64:71];
VAR1[72:79]<=VAR7[72:79]-VAR3[72:79];
VAR1[80:87]<=VAR7[80:87]-VAR3[80:87];
VAR1[88:95]<=VAR7[88:95]-VAR3[88:95];
VAR1[96:103]<=VAR7[96:103]-VAR3[96:103];
VAR1[104:111]<=VAR7[104:111]-VAR3[104:111];
VAR1[112:119]<=VAR7[112:119]-VAR3[112:119];
VAR1[120:127]<=VAR7[120:127]-VAR3[120:127];
end
VAR1[64:79]<=VAR7[64:79]-VAR3[64:79];
VAR1[80:95]<=VAR7[80:95]-VAR3[80:95];
VAR1[96:111]<=VAR7[96:111]-VAR3[96:111];
VAR1[112:127]<=VAR7[112:127]-VAR3[112:127];
end
VAR1[64:95]<=VAR7[64:95]-VAR3[64:95];
VAR1[96:127]<=VAR7[96:127]-VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]-VAR3[0:7];
VAR1[16:23]<=VAR7[16:23]-VAR3[16:23];
VAR1[32:39]<=VAR7[32:39]-VAR3[32:39];
VAR1[48:55]<=VAR7[48:55]-VAR3[48:55];
VAR1[64:71]<=VAR7[64:71]-VAR3[64:71];
VAR1[80:87]<=VAR7[80:87]-VAR3[80:87];
VAR1[96:103]<=VAR7[96:103]-VAR3[96:103];
VAR1[112:119]<=VAR7[112:119]-VAR3[112:119];
end
VAR1[0:15]<=VAR7[0:15]-VAR3[0:15];
VAR1[32:47]<=VAR7[32:47]-VAR3[32:47];
VAR1[64:79]<=VAR7[64:79]-VAR3[64:79];
VAR1[96:111]<=VAR7[96:111]-VAR3[96:111];
end
VAR1[0:31]<=VAR7[0:31]-VAR3[0:31];
VAR1[64:95]<=VAR7[64:95]-VAR3[64:95];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[8:15]<=VAR7[8:15]-VAR3[8:15];
VAR1[24:31]<=VAR7[24:31]-VAR3[24:31];
VAR1[40:47]<=VAR7[40:47]-VAR3[40:47];
VAR1[56:63]<=VAR7[56:63]-VAR3[56:63];
VAR1[72:79]<=VAR7[72:79]-VAR3[72:79];
VAR1[88:95]<=VAR7[88:95]-VAR3[88:95];
VAR1[104:111]<=VAR7[104:111]-VAR3[104:111];
VAR1[120:127]<=VAR7[120:127]-VAR3[120:127];
end
VAR1[16:31]<=VAR7[16:31]-VAR3[16:31];
VAR1[48:63]<=VAR7[48:63]-VAR3[48:63];
VAR1[80:95]<=VAR7[80:95]-VAR3[80:95];
VAR1[112:127]<=VAR7[112:127]-VAR3[112:127];
end
VAR1[32:63]<=VAR7[32:63]-VAR3[32:63];
VAR1[96:127]<=VAR7[96:127]-VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[0:7]<=VAR7[0:7]-VAR3[0:7];
end
VAR1[0:15]<=VAR7[0:15]-VAR3[0:15];
end
VAR1[0:31]<=VAR7[0:31]-VAR3[0:31];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
case(VAR2)
VAR1[120:127]<=VAR7[120:127]-VAR3[120:127];
end
VAR1[112:127]<=VAR7[112:127]-VAR3[112:127];
end
VAR1[96:127]<=VAR7[96:127]-VAR3[96:127];
end
default:
begin
VAR1<=128'd0;
end
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR3[4:7]) 4'd0:
VAR1[0:7]<=VAR7[0:7];
4'd1:
VAR1[0:7]<=VAR7[8:15];
4'd2:
VAR1[0:7]<=VAR7[16:23];
4'd3:
VAR1[0:7]<=VAR7[24:31];
4'd4:
VAR1[0:7]<=VAR7[32:39];
4'd5:
VAR1[0:7]<=VAR7[40:47];
4'd6:
VAR1[0:7]<=VAR7[48:55];
4'd7:
VAR1[0:7]<=VAR7[56:63];
4'd8:
VAR1[0:7]<=VAR7[64:71];
4'd9:
VAR1[0:7]<=VAR7[72:79];
4'd10:
VAR1[0:7]<=VAR7[80:87];
4'd11:
VAR1[0:7]<=VAR7[88:95];
4'd12:
VAR1[0:7]<=VAR7[96:103];
4'd13:
VAR1[0:7]<=VAR7[104:111];
4'd14:
VAR1[0:7]<=VAR7[112:119];
4'd15:
VAR1[0:7]<=VAR7[120:127];
endcase
case(VAR3[12:15]) 4'd0:
VAR1[8:15]<=VAR7[0:7];
4'd1:
VAR1[8:15]<=VAR7[8:15];
4'd2:
VAR1[8:15]<=VAR7[16:23];
4'd3:
VAR1[8:15]<=VAR7[24:31];
4'd4:
VAR1[8:15]<=VAR7[32:39];
4'd5:
VAR1[8:15]<=VAR7[40:47];
4'd6:
VAR1[8:15]<=VAR7[48:55];
4'd7:
VAR1[8:15]<=VAR7[56:63];
4'd8:
VAR1[8:15]<=VAR7[64:71];
4'd9:
VAR1[8:15]<=VAR7[72:79];
4'd10:
VAR1[8:15]<=VAR7[80:87];
4'd11:
VAR1[8:15]<=VAR7[88:95];
4'd12:
VAR1[8:15]<=VAR7[96:103];
4'd13:
VAR1[8:15]<=VAR7[104:111];
4'd14:
VAR1[8:15]<=VAR7[112:119];
4'd15:
VAR1[8:15]<=VAR7[120:127];
endcase
case(VAR3[20:23]) 4'd0:
VAR1[16:23]<=VAR7[0:7];
4'd1:
VAR1[16:23]<=VAR7[8:15];
4'd2:
VAR1[16:23]<=VAR7[16:23];
4'd3:
VAR1[16:23]<=VAR7[24:31];
4'd4:
VAR1[16:23]<=VAR7[32:39];
4'd5:
VAR1[16:23]<=VAR7[40:47];
4'd6:
VAR1[16:23]<=VAR7[48:55];
4'd7:
VAR1[16:23]<=VAR7[56:63];
4'd8:
VAR1[16:23]<=VAR7[64:71];
4'd9:
VAR1[16:23]<=VAR7[72:79];
4'd10:
VAR1[16:23]<=VAR7[80:87];
4'd11:
VAR1[16:23]<=VAR7[88:95];
4'd12:
VAR1[16:23]<=VAR7[96:103];
4'd13:
VAR1[16:23]<=VAR7[104:111];
4'd14:
VAR1[16:23]<=VAR7[112:119];
4'd15:
VAR1[16:23]<=VAR7[120:127];
endcase
case(VAR3[28:31]) 4'd0:
VAR1[24:31]<=VAR7[0:7];
4'd1:
VAR1[24:31]<=VAR7[8:15];
4'd2:
VAR1[24:31]<=VAR7[16:23];
4'd3:
VAR1[24:31]<=VAR7[24:31];
4'd4:
VAR1[24:31]<=VAR7[32:39];
4'd5:
VAR1[24:31]<=VAR7[40:47];
4'd6:
VAR1[24:31]<=VAR7[48:55];
4'd7:
VAR1[24:31]<=VAR7[56:63];
4'd8:
VAR1[24:31]<=VAR7[64:71];
4'd9:
VAR1[24:31]<=VAR7[72:79];
4'd10:
VAR1[24:31]<=VAR7[80:87];
4'd11:
VAR1[24:31]<=VAR7[88:95];
4'd12:
VAR1[24:31]<=VAR7[96:103];
4'd13:
VAR1[24:31]<=VAR7[104:111];
4'd14:
VAR1[24:31]<=VAR7[112:119];
4'd15:
VAR1[24:31]<=VAR7[120:127];
endcase
case(VAR3[36:39]) 4'd0:
VAR1[32:39]<=VAR7[0:7];
4'd1:
VAR1[32:39]<=VAR7[8:15];
4'd2:
VAR1[32:39]<=VAR7[16:23];
4'd3:
VAR1[32:39]<=VAR7[24:31];
4'd4:
VAR1[32:39]<=VAR7[32:39];
4'd5:
VAR1[32:39]<=VAR7[40:47];
4'd6:
VAR1[32:39]<=VAR7[48:55];
4'd7:
VAR1[32:39]<=VAR7[56:63];
4'd8:
VAR1[32:39]<=VAR7[64:71];
4'd9:
VAR1[32:39]<=VAR7[72:79];
4'd10:
VAR1[32:39]<=VAR7[80:87];
4'd11:
VAR1[32:39]<=VAR7[88:95];
4'd12:
VAR1[32:39]<=VAR7[96:103];
4'd13:
VAR1[32:39]<=VAR7[104:111];
4'd14:
VAR1[32:39]<=VAR7[112:119];
4'd15:
VAR1[32:39]<=VAR7[120:127];
endcase
case(VAR3[44:47]) 4'd0:
VAR1[40:47]<=VAR7[0:7];
4'd1:
VAR1[40:47]<=VAR7[8:15];
4'd2:
VAR1[40:47]<=VAR7[16:23];
4'd3:
VAR1[40:47]<=VAR7[24:31];
4'd4:
VAR1[40:47]<=VAR7[32:39];
4'd5:
VAR1[40:47]<=VAR7[40:47];
4'd6:
VAR1[40:47]<=VAR7[48:55];
4'd7:
VAR1[40:47]<=VAR7[56:63];
4'd8:
VAR1[40:47]<=VAR7[64:71];
4'd9:
VAR1[40:47]<=VAR7[72:79];
4'd10:
VAR1[40:47]<=VAR7[80:87];
4'd11:
VAR1[40:47]<=VAR7[88:95];
4'd12:
VAR1[40:47]<=VAR7[96:103];
4'd13:
VAR1[40:47]<=VAR7[104:111];
4'd14:
VAR1[40:47]<=VAR7[112:119];
4'd15:
VAR1[40:47]<=VAR7[120:127];
endcase
case(VAR3[52:55]) 4'd0:
VAR1[48:55]<=VAR7[0:7];
4'd1:
VAR1[48:55]<=VAR7[8:15];
4'd2:
VAR1[48:55]<=VAR7[16:23];
4'd3:
VAR1[48:55]<=VAR7[24:31];
4'd4:
VAR1[48:55]<=VAR7[32:39];
4'd5:
VAR1[48:55]<=VAR7[40:47];
4'd6:
VAR1[48:55]<=VAR7[48:55];
4'd7:
VAR1[48:55]<=VAR7[56:63];
4'd8:
VAR1[48:55]<=VAR7[64:71];
4'd9:
VAR1[48:55]<=VAR7[72:79];
4'd10:
VAR1[48:55]<=VAR7[80:87];
4'd11:
VAR1[48:55]<=VAR7[88:95];
4'd12:
VAR1[48:55]<=VAR7[96:103];
4'd13:
VAR1[48:55]<=VAR7[104:111];
4'd14:
VAR1[48:55]<=VAR7[112:119];
4'd15:
VAR1[48:55]<=VAR7[120:127];
endcase
case(VAR3[60:63]) 4'd0:
VAR1[56:63]<=VAR7[0:7];
4'd1:
VAR1[56:63]<=VAR7[8:15];
4'd2:
VAR1[56:63]<=VAR7[16:23];
4'd3:
VAR1[56:63]<=VAR7[24:31];
4'd4:
VAR1[56:63]<=VAR7[32:39];
4'd5:
VAR1[56:63]<=VAR7[40:47];
4'd6:
VAR1[56:63]<=VAR7[48:55];
4'd7:
VAR1[56:63]<=VAR7[56:63];
4'd8:
VAR1[56:63]<=VAR7[64:71];
4'd9:
VAR1[56:63]<=VAR7[72:79];
4'd10:
VAR1[56:63]<=VAR7[80:87];
4'd11:
VAR1[56:63]<=VAR7[88:95];
4'd12:
VAR1[56:63]<=VAR7[96:103];
4'd13:
VAR1[56:63]<=VAR7[104:111];
4'd14:
VAR1[56:63]<=VAR7[112:119];
4'd15:
VAR1[56:63]<=VAR7[120:127];
endcase
case(VAR3[68:71]) 4'd0:
VAR1[64:71]<=VAR7[0:7];
4'd1:
VAR1[64:71]<=VAR7[8:15];
4'd2:
VAR1[64:71]<=VAR7[16:23];
4'd3:
VAR1[64:71]<=VAR7[24:31];
4'd4:
VAR1[64:71]<=VAR7[32:39];
4'd5:
VAR1[64:71]<=VAR7[40:47];
4'd6:
VAR1[64:71]<=VAR7[48:55];
4'd7:
VAR1[64:71]<=VAR7[56:63];
4'd8:
VAR1[64:71]<=VAR7[64:71];
4'd9:
VAR1[64:71]<=VAR7[72:79];
4'd10:
VAR1[64:71]<=VAR7[80:87];
4'd11:
VAR1[64:71]<=VAR7[88:95];
4'd12:
VAR1[64:71]<=VAR7[96:103];
4'd13:
VAR1[64:71]<=VAR7[104:111];
4'd14:
VAR1[64:71]<=VAR7[112:119];
4'd15:
VAR1[64:71]<=VAR7[120:127];
endcase
case(VAR3[76:79]) 4'd0:
VAR1[72:79]<=VAR7[0:7];
4'd1:
VAR1[72:79]<=VAR7[8:15];
4'd2:
VAR1[72:79]<=VAR7[16:23];
4'd3:
VAR1[72:79]<=VAR7[24:31];
4'd4:
VAR1[72:79]<=VAR7[32:39];
4'd5:
VAR1[72:79]<=VAR7[40:47];
4'd6:
VAR1[72:79]<=VAR7[48:55];
4'd7:
VAR1[72:79]<=VAR7[56:63];
4'd8:
VAR1[72:79]<=VAR7[64:71];
4'd9:
VAR1[72:79]<=VAR7[72:79];
4'd10:
VAR1[72:79]<=VAR7[80:87];
4'd11:
VAR1[72:79]<=VAR7[88:95];
4'd12:
VAR1[72:79]<=VAR7[96:103];
4'd13:
VAR1[72:79]<=VAR7[104:111];
4'd14:
VAR1[72:79]<=VAR7[112:119];
4'd15:
VAR1[72:79]<=VAR7[120:127];
endcase
case(VAR3[84:87]) 4'd0:
VAR1[80:87]<=VAR7[0:7];
4'd1:
VAR1[80:87]<=VAR7[8:15];
4'd2:
VAR1[80:87]<=VAR7[16:23];
4'd3:
VAR1[80:87]<=VAR7[24:31];
4'd4:
VAR1[80:87]<=VAR7[32:39];
4'd5:
VAR1[80:87]<=VAR7[40:47];
4'd6:
VAR1[80:87]<=VAR7[48:55];
4'd7:
VAR1[80:87]<=VAR7[56:63];
4'd8:
VAR1[80:87]<=VAR7[64:71];
4'd9:
VAR1[80:87]<=VAR7[72:79];
4'd10:
VAR1[80:87]<=VAR7[80:87];
4'd11:
VAR1[80:87]<=VAR7[88:95];
4'd12:
VAR1[80:87]<=VAR7[96:103];
4'd13:
VAR1[80:87]<=VAR7[104:111];
4'd14:
VAR1[80:87]<=VAR7[112:119];
4'd15:
VAR1[80:87]<=VAR7[120:127];
endcase
case(VAR3[92:95]) 4'd0:
VAR1[88:95]<=VAR7[0:7];
4'd1:
VAR1[88:95]<=VAR7[8:15];
4'd2:
VAR1[88:95]<=VAR7[16:23];
4'd3:
VAR1[88:95]<=VAR7[24:31];
4'd4:
VAR1[88:95]<=VAR7[32:39];
4'd5:
VAR1[88:95]<=VAR7[40:47];
4'd6:
VAR1[88:95]<=VAR7[48:55];
4'd7:
VAR1[88:95]<=VAR7[56:63];
4'd8:
VAR1[88:95]<=VAR7[64:71];
4'd9:
VAR1[88:95]<=VAR7[72:79];
4'd10:
VAR1[88:95]<=VAR7[80:87];
4'd11:
VAR1[88:95]<=VAR7[88:95];
4'd12:
VAR1[88:95]<=VAR7[96:103];
4'd13:
VAR1[88:95]<=VAR7[104:111];
4'd14:
VAR1[88:95]<=VAR7[112:119];
4'd15:
VAR1[88:95]<=VAR7[120:127];
endcase
case(VAR3[100:103]) 4'd0:
VAR1[96:103]<=VAR7[0:7];
4'd1:
VAR1[96:103]<=VAR7[8:15];
4'd2:
VAR1[96:103]<=VAR7[16:23];
4'd3:
VAR1[96:103]<=VAR7[24:31];
4'd4:
VAR1[96:103]<=VAR7[32:39];
4'd5:
VAR1[96:103]<=VAR7[40:47];
4'd6:
VAR1[96:103]<=VAR7[48:55];
4'd7:
VAR1[96:103]<=VAR7[56:63];
4'd8:
VAR1[96:103]<=VAR7[64:71];
4'd9:
VAR1[96:103]<=VAR7[72:79];
4'd10:
VAR1[96:103]<=VAR7[80:87];
4'd11:
VAR1[96:103]<=VAR7[88:95];
4'd12:
VAR1[96:103]<=VAR7[96:103];
4'd13:
VAR1[96:103]<=VAR7[104:111];
4'd14:
VAR1[96:103]<=VAR7[112:119];
4'd15:
VAR1[96:103]<=VAR7[120:127];
endcase
case(VAR3[108:111]) 4'd0:
VAR1[104:111]<=VAR7[0:7];
4'd1:
VAR1[104:111]<=VAR7[8:15];
4'd2:
VAR1[104:111]<=VAR7[16:23];
4'd3:
VAR1[104:111]<=VAR7[24:31];
4'd4:
VAR1[104:111]<=VAR7[32:39];
4'd5:
VAR1[104:111]<=VAR7[40:47];
4'd6:
VAR1[104:111]<=VAR7[48:55];
4'd7:
VAR1[104:111]<=VAR7[56:63];
4'd8:
VAR1[104:111]<=VAR7[64:71];
4'd9:
VAR1[104:111]<=VAR7[72:79];
4'd10:
VAR1[104:111]<=VAR7[80:87];
4'd11:
VAR1[104:111]<=VAR7[88:95];
4'd12:
VAR1[104:111]<=VAR7[96:103];
4'd13:
VAR1[104:111]<=VAR7[104:111];
4'd14:
VAR1[104:111]<=VAR7[112:119];
4'd15:
VAR1[104:111]<=VAR7[120:127];
endcase
case(VAR3[116:119]) 4'd0:
VAR1[112:119]<=VAR7[112:119];
4'd1:
VAR1[112:119]<=VAR7[8:15];
4'd2:
VAR1[112:119]<=VAR7[16:23];
4'd3:
VAR1[112:119]<=VAR7[24:31];
4'd4:
VAR1[112:119]<=VAR7[32:39];
4'd5:
VAR1[112:119]<=VAR7[40:47];
4'd6:
VAR1[112:119]<=VAR7[48:55];
4'd7:
VAR1[112:119]<=VAR7[56:63];
4'd8:
VAR1[112:119]<=VAR7[64:71];
4'd9:
VAR1[112:119]<=VAR7[72:79];
4'd10:
VAR1[112:119]<=VAR7[80:87];
4'd11:
VAR1[112:119]<=VAR7[88:95];
4'd12:
VAR1[112:119]<=VAR7[96:103];
4'd13:
VAR1[112:119]<=VAR7[104:111];
4'd14:
VAR1[112:119]<=VAR7[112:119];
4'd15:
VAR1[112:119]<=VAR7[120:127];
endcase
case(VAR3[124:127]) 4'd0:
VAR1[120:127]<=VAR7[0:7];
4'd1:
VAR1[120:127]<=VAR7[8:15];
4'd2:
VAR1[120:127]<=VAR7[16:23];
4'd3:
VAR1[120:127]<=VAR7[24:31];
4'd4:
VAR1[120:127]<=VAR7[32:39];
4'd5:
VAR1[120:127]<=VAR7[40:47];
4'd6:
VAR1[120:127]<=VAR7[48:55];
4'd7:
VAR1[120:127]<=VAR7[56:63];
4'd8:
VAR1[120:127]<=VAR7[64:71];
4'd9:
VAR1[120:127]<=VAR7[72:79];
4'd10:
VAR1[120:127]<=VAR7[80:87];
4'd11:
VAR1[120:127]<=VAR7[88:95];
4'd12:
VAR1[120:127]<=VAR7[96:103];
4'd13:
VAR1[120:127]<=VAR7[104:111];
4'd14:
VAR1[120:127]<=VAR7[112:119];
4'd15:
VAR1[120:127]<=VAR7[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR1[0:7]<=VAR7[0:7];
4'd1:
VAR1[0:7]<=VAR7[8:15];
4'd2:
VAR1[0:7]<=VAR7[16:23];
4'd3:
VAR1[0:7]<=VAR7[24:31];
4'd4:
VAR1[0:7]<=VAR7[32:39];
4'd5:
VAR1[0:7]<=VAR7[40:47];
4'd6:
VAR1[0:7]<=VAR7[48:55];
4'd7:
VAR1[0:7]<=VAR7[56:63];
4'd8:
VAR1[0:7]<=VAR7[64:71];
4'd9:
VAR1[0:7]<=VAR7[72:79];
4'd10:
VAR1[0:7]<=VAR7[80:87];
4'd11:
VAR1[0:7]<=VAR7[88:95];
4'd12:
VAR1[0:7]<=VAR7[96:103];
4'd13:
VAR1[0:7]<=VAR7[104:111];
4'd14:
VAR1[0:7]<=VAR7[112:119];
4'd15:
VAR1[0:7]<=VAR7[120:127];
endcase
case(VAR3[12:15]) 4'd0:
VAR1[8:15]<=VAR7[0:7];
4'd1:
VAR1[8:15]<=VAR7[8:15];
4'd2:
VAR1[8:15]<=VAR7[16:23];
4'd3:
VAR1[8:15]<=VAR7[24:31];
4'd4:
VAR1[8:15]<=VAR7[32:39];
4'd5:
VAR1[8:15]<=VAR7[40:47];
4'd6:
VAR1[8:15]<=VAR7[48:55];
4'd7:
VAR1[8:15]<=VAR7[56:63];
4'd8:
VAR1[8:15]<=VAR7[64:71];
4'd9:
VAR1[8:15]<=VAR7[72:79];
4'd10:
VAR1[8:15]<=VAR7[80:87];
4'd11:
VAR1[8:15]<=VAR7[88:95];
4'd12:
VAR1[8:15]<=VAR7[96:103];
4'd13:
VAR1[8:15]<=VAR7[104:111];
4'd14:
VAR1[8:15]<=VAR7[112:119];
4'd15:
VAR1[8:15]<=VAR7[120:127];
endcase
case(VAR3[20:23]) 4'd0:
VAR1[16:23]<=VAR7[0:7];
4'd1:
VAR1[16:23]<=VAR7[8:15];
4'd2:
VAR1[16:23]<=VAR7[16:23];
4'd3:
VAR1[16:23]<=VAR7[24:31];
4'd4:
VAR1[16:23]<=VAR7[32:39];
4'd5:
VAR1[16:23]<=VAR7[40:47];
4'd6:
VAR1[16:23]<=VAR7[48:55];
4'd7:
VAR1[16:23]<=VAR7[56:63];
4'd8:
VAR1[16:23]<=VAR7[64:71];
4'd9:
VAR1[16:23]<=VAR7[72:79];
4'd10:
VAR1[16:23]<=VAR7[80:87];
4'd11:
VAR1[16:23]<=VAR7[88:95];
4'd12:
VAR1[16:23]<=VAR7[96:103];
4'd13:
VAR1[16:23]<=VAR7[104:111];
4'd14:
VAR1[16:23]<=VAR7[112:119];
4'd15:
VAR1[16:23]<=VAR7[120:127];
endcase
case(VAR3[28:31]) 4'd0:
VAR1[24:31]<=VAR7[0:7];
4'd1:
VAR1[24:31]<=VAR7[8:15];
4'd2:
VAR1[24:31]<=VAR7[16:23];
4'd3:
VAR1[24:31]<=VAR7[24:31];
4'd4:
VAR1[24:31]<=VAR7[32:39];
4'd5:
VAR1[24:31]<=VAR7[40:47];
4'd6:
VAR1[24:31]<=VAR7[48:55];
4'd7:
VAR1[24:31]<=VAR7[56:63];
4'd8:
VAR1[24:31]<=VAR7[64:71];
4'd9:
VAR1[24:31]<=VAR7[72:79];
4'd10:
VAR1[24:31]<=VAR7[80:87];
4'd11:
VAR1[24:31]<=VAR7[88:95];
4'd12:
VAR1[24:31]<=VAR7[96:103];
4'd13:
VAR1[24:31]<=VAR7[104:111];
4'd14:
VAR1[24:31]<=VAR7[112:119];
4'd15:
VAR1[24:31]<=VAR7[120:127];
endcase
case(VAR3[36:39]) 4'd0:
VAR1[32:39]<=VAR7[0:7];
4'd1:
VAR1[32:39]<=VAR7[8:15];
4'd2:
VAR1[32:39]<=VAR7[16:23];
4'd3:
VAR1[32:39]<=VAR7[24:31];
4'd4:
VAR1[32:39]<=VAR7[32:39];
4'd5:
VAR1[32:39]<=VAR7[40:47];
4'd6:
VAR1[32:39]<=VAR7[48:55];
4'd7:
VAR1[32:39]<=VAR7[56:63];
4'd8:
VAR1[32:39]<=VAR7[64:71];
4'd9:
VAR1[32:39]<=VAR7[72:79];
4'd10:
VAR1[32:39]<=VAR7[80:87];
4'd11:
VAR1[32:39]<=VAR7[88:95];
4'd12:
VAR1[32:39]<=VAR7[96:103];
4'd13:
VAR1[32:39]<=VAR7[104:111];
4'd14:
VAR1[32:39]<=VAR7[112:119];
4'd15:
VAR1[32:39]<=VAR7[120:127];
endcase
case(VAR3[44:47]) 4'd0:
VAR1[40:47]<=VAR7[0:7];
4'd1:
VAR1[40:47]<=VAR7[8:15];
4'd2:
VAR1[40:47]<=VAR7[16:23];
4'd3:
VAR1[40:47]<=VAR7[24:31];
4'd4:
VAR1[40:47]<=VAR7[32:39];
4'd5:
VAR1[40:47]<=VAR7[40:47];
4'd6:
VAR1[40:47]<=VAR7[48:55];
4'd7:
VAR1[40:47]<=VAR7[56:63];
4'd8:
VAR1[40:47]<=VAR7[64:71];
4'd9:
VAR1[40:47]<=VAR7[72:79];
4'd10:
VAR1[40:47]<=VAR7[80:87];
4'd11:
VAR1[40:47]<=VAR7[88:95];
4'd12:
VAR1[40:47]<=VAR7[96:103];
4'd13:
VAR1[40:47]<=VAR7[104:111];
4'd14:
VAR1[40:47]<=VAR7[112:119];
4'd15:
VAR1[40:47]<=VAR7[120:127];
endcase
case(VAR3[52:55]) 4'd0:
VAR1[48:55]<=VAR7[0:7];
4'd1:
VAR1[48:55]<=VAR7[8:15];
4'd2:
VAR1[48:55]<=VAR7[16:23];
4'd3:
VAR1[48:55]<=VAR7[24:31];
4'd4:
VAR1[48:55]<=VAR7[32:39];
4'd5:
VAR1[48:55]<=VAR7[40:47];
4'd6:
VAR1[48:55]<=VAR7[48:55];
4'd7:
VAR1[48:55]<=VAR7[56:63];
4'd8:
VAR1[48:55]<=VAR7[64:71];
4'd9:
VAR1[48:55]<=VAR7[72:79];
4'd10:
VAR1[48:55]<=VAR7[80:87];
4'd11:
VAR1[48:55]<=VAR7[88:95];
4'd12:
VAR1[48:55]<=VAR7[96:103];
4'd13:
VAR1[48:55]<=VAR7[104:111];
4'd14:
VAR1[48:55]<=VAR7[112:119];
4'd15:
VAR1[48:55]<=VAR7[120:127];
endcase
case(VAR3[60:63]) 4'd0:
VAR1[56:63]<=VAR7[0:7];
4'd1:
VAR1[56:63]<=VAR7[8:15];
4'd2:
VAR1[56:63]<=VAR7[16:23];
4'd3:
VAR1[56:63]<=VAR7[24:31];
4'd4:
VAR1[56:63]<=VAR7[32:39];
4'd5:
VAR1[56:63]<=VAR7[40:47];
4'd6:
VAR1[56:63]<=VAR7[48:55];
4'd7:
VAR1[56:63]<=VAR7[56:63];
4'd8:
VAR1[56:63]<=VAR7[64:71];
4'd9:
VAR1[56:63]<=VAR7[72:79];
4'd10:
VAR1[56:63]<=VAR7[80:87];
4'd11:
VAR1[56:63]<=VAR7[88:95];
4'd12:
VAR1[56:63]<=VAR7[96:103];
4'd13:
VAR1[56:63]<=VAR7[104:111];
4'd14:
VAR1[56:63]<=VAR7[112:119];
4'd15:
VAR1[56:63]<=VAR7[120:127];
endcase
VAR1[64:127]<=64'd0;
end
VAR1[0:63]<=64'd0;
case(VAR3[68:71]) 4'd0:
VAR1[64:71]<=VAR7[0:7];
4'd1:
VAR1[64:71]<=VAR7[8:15];
4'd2:
VAR1[64:71]<=VAR7[16:23];
4'd3:
VAR1[64:71]<=VAR7[24:31];
4'd4:
VAR1[64:71]<=VAR7[32:39];
4'd5:
VAR1[64:71]<=VAR7[40:47];
4'd6:
VAR1[64:71]<=VAR7[48:55];
4'd7:
VAR1[64:71]<=VAR7[56:63];
4'd8:
VAR1[64:71]<=VAR7[64:71];
4'd9:
VAR1[64:71]<=VAR7[72:79];
4'd10:
VAR1[64:71]<=VAR7[80:87];
4'd11:
VAR1[64:71]<=VAR7[88:95];
4'd12:
VAR1[64:71]<=VAR7[96:103];
4'd13:
VAR1[64:71]<=VAR7[104:111];
4'd14:
VAR1[64:71]<=VAR7[112:119];
4'd15:
VAR1[64:71]<=VAR7[120:127];
endcase
case(VAR3[76:79]) 4'd0:
VAR1[72:79]<=VAR7[0:7];
4'd1:
VAR1[72:79]<=VAR7[8:15];
4'd2:
VAR1[72:79]<=VAR7[16:23];
4'd3:
VAR1[72:79]<=VAR7[24:31];
4'd4:
VAR1[72:79]<=VAR7[32:39];
4'd5:
VAR1[72:79]<=VAR7[40:47];
4'd6:
VAR1[72:79]<=VAR7[48:55];
4'd7:
VAR1[72:79]<=VAR7[56:63];
4'd8:
VAR1[72:79]<=VAR7[64:71];
4'd9:
VAR1[72:79]<=VAR7[72:79];
4'd10:
VAR1[72:79]<=VAR7[80:87];
4'd11:
VAR1[72:79]<=VAR7[88:95];
4'd12:
VAR1[72:79]<=VAR7[96:103];
4'd13:
VAR1[72:79]<=VAR7[104:111];
4'd14:
VAR1[72:79]<=VAR7[112:119];
4'd15:
VAR1[72:79]<=VAR7[120:127];
endcase
case(VAR3[84:87]) 4'd0:
VAR1[80:87]<=VAR7[0:7];
4'd1:
VAR1[80:87]<=VAR7[8:15];
4'd2:
VAR1[80:87]<=VAR7[16:23];
4'd3:
VAR1[80:87]<=VAR7[24:31];
4'd4:
VAR1[80:87]<=VAR7[32:39];
4'd5:
VAR1[80:87]<=VAR7[40:47];
4'd6:
VAR1[80:87]<=VAR7[48:55];
4'd7:
VAR1[80:87]<=VAR7[56:63];
4'd8:
VAR1[80:87]<=VAR7[64:71];
4'd9:
VAR1[80:87]<=VAR7[72:79];
4'd10:
VAR1[80:87]<=VAR7[80:87];
4'd11:
VAR1[80:87]<=VAR7[88:95];
4'd12:
VAR1[80:87]<=VAR7[96:103];
4'd13:
VAR1[80:87]<=VAR7[104:111];
4'd14:
VAR1[80:87]<=VAR7[112:119];
4'd15:
VAR1[80:87]<=VAR7[120:127];
endcase
case(VAR3[92:95]) 4'd0:
VAR1[88:95]<=VAR7[0:7];
4'd1:
VAR1[88:95]<=VAR7[8:15];
4'd2:
VAR1[88:95]<=VAR7[16:23];
4'd3:
VAR1[88:95]<=VAR7[24:31];
4'd4:
VAR1[88:95]<=VAR7[32:39];
4'd5:
VAR1[88:95]<=VAR7[40:47];
4'd6:
VAR1[88:95]<=VAR7[48:55];
4'd7:
VAR1[88:95]<=VAR7[56:63];
4'd8:
VAR1[88:95]<=VAR7[64:71];
4'd9:
VAR1[88:95]<=VAR7[72:79];
4'd10:
VAR1[88:95]<=VAR7[80:87];
4'd11:
VAR1[88:95]<=VAR7[88:95];
4'd12:
VAR1[88:95]<=VAR7[96:103];
4'd13:
VAR1[88:95]<=VAR7[104:111];
4'd14:
VAR1[88:95]<=VAR7[112:119];
4'd15:
VAR1[88:95]<=VAR7[120:127];
endcase
case(VAR3[100:103]) 4'd0:
VAR1[96:103]<=VAR7[0:7];
4'd1:
VAR1[96:103]<=VAR7[8:15];
4'd2:
VAR1[96:103]<=VAR7[16:23];
4'd3:
VAR1[96:103]<=VAR7[24:31];
4'd4:
VAR1[96:103]<=VAR7[32:39];
4'd5:
VAR1[96:103]<=VAR7[40:47];
4'd6:
VAR1[96:103]<=VAR7[48:55];
4'd7:
VAR1[96:103]<=VAR7[56:63];
4'd8:
VAR1[96:103]<=VAR7[64:71];
4'd9:
VAR1[96:103]<=VAR7[72:79];
4'd10:
VAR1[96:103]<=VAR7[80:87];
4'd11:
VAR1[96:103]<=VAR7[88:95];
4'd12:
VAR1[96:103]<=VAR7[96:103];
4'd13:
VAR1[96:103]<=VAR7[104:111];
4'd14:
VAR1[96:103]<=VAR7[112:119];
4'd15:
VAR1[96:103]<=VAR7[120:127];
endcase
case(VAR3[108:111]) 4'd0:
VAR1[104:111]<=VAR7[0:7];
4'd1:
VAR1[104:111]<=VAR7[8:15];
4'd2:
VAR1[104:111]<=VAR7[16:23];
4'd3:
VAR1[104:111]<=VAR7[24:31];
4'd4:
VAR1[104:111]<=VAR7[32:39];
4'd5:
VAR1[104:111]<=VAR7[40:47];
4'd6:
VAR1[104:111]<=VAR7[48:55];
4'd7:
VAR1[104:111]<=VAR7[56:63];
4'd8:
VAR1[104:111]<=VAR7[64:71];
4'd9:
VAR1[104:111]<=VAR7[72:79];
4'd10:
VAR1[104:111]<=VAR7[80:87];
4'd11:
VAR1[104:111]<=VAR7[88:95];
4'd12:
VAR1[104:111]<=VAR7[96:103];
4'd13:
VAR1[104:111]<=VAR7[104:111];
4'd14:
VAR1[104:111]<=VAR7[112:119];
4'd15:
VAR1[104:111]<=VAR7[120:127];
endcase
case(VAR3[116:119]) 4'd0:
VAR1[112:119]<=VAR7[0:7];
4'd1:
VAR1[112:119]<=VAR7[8:15];
4'd2:
VAR1[112:119]<=VAR7[16:23];
4'd3:
VAR1[112:119]<=VAR7[24:31];
4'd4:
VAR1[112:119]<=VAR7[32:39];
4'd5:
VAR1[112:119]<=VAR7[40:47];
4'd6:
VAR1[112:119]<=VAR7[48:55];
4'd7:
VAR1[112:119]<=VAR7[56:63];
4'd8:
VAR1[112:119]<=VAR7[64:71];
4'd9:
VAR1[112:119]<=VAR7[72:79];
4'd10:
VAR1[112:119]<=VAR7[80:87];
4'd11:
VAR1[112:119]<=VAR7[88:95];
4'd12:
VAR1[112:119]<=VAR7[96:103];
4'd13:
VAR1[112:119]<=VAR7[104:111];
4'd14:
VAR1[112:119]<=VAR7[112:119];
4'd15:
VAR1[112:119]<=VAR7[120:127];
endcase
case(VAR3[124:127]) 4'd0:
VAR1[120:127]<=VAR7[0:7];
4'd1:
VAR1[120:127]<=VAR7[8:15];
4'd2:
VAR1[120:127]<=VAR7[16:23];
4'd3:
VAR1[120:127]<=VAR7[24:31];
4'd4:
VAR1[120:127]<=VAR7[32:39];
4'd5:
VAR1[120:127]<=VAR7[40:47];
4'd6:
VAR1[120:127]<=VAR7[48:55];
4'd7:
VAR1[120:127]<=VAR7[56:63];
4'd8:
VAR1[120:127]<=VAR7[64:71];
4'd9:
VAR1[120:127]<=VAR7[72:79];
4'd10:
VAR1[120:127]<=VAR7[80:87];
4'd11:
VAR1[120:127]<=VAR7[88:95];
4'd12:
VAR1[120:127]<=VAR7[96:103];
4'd13:
VAR1[120:127]<=VAR7[104:111];
4'd14:
VAR1[120:127]<=VAR7[112:119];
4'd15:
VAR1[120:127]<=VAR7[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR1[0:7]<=VAR7[0:7];
4'd1:
VAR1[0:7]<=VAR7[8:15];
4'd2:
VAR1[0:7]<=VAR7[16:23];
4'd3:
VAR1[0:7]<=VAR7[24:31];
4'd4:
VAR1[0:7]<=VAR7[32:39];
4'd5:
VAR1[0:7]<=VAR7[40:47];
4'd6:
VAR1[0:7]<=VAR7[48:55];
4'd7:
VAR1[0:7]<=VAR7[56:63];
4'd8:
VAR1[0:7]<=VAR7[64:71];
4'd9:
VAR1[0:7]<=VAR7[72:79];
4'd10:
VAR1[0:7]<=VAR7[80:87];
4'd11:
VAR1[0:7]<=VAR7[88:95];
4'd12:
VAR1[0:7]<=VAR7[96:103];
4'd13:
VAR1[0:7]<=VAR7[104:111];
4'd14:
VAR1[0:7]<=VAR7[112:119];
4'd15:
VAR1[0:7]<=VAR7[120:127];
endcase
VAR1[8:15]<=8'd0;
case(VAR3[20:23]) 4'd0:
VAR1[16:23]<=VAR7[0:7];
4'd1:
VAR1[16:23]<=VAR7[8:15];
4'd2:
VAR1[16:23]<=VAR7[16:23];
4'd3:
VAR1[16:23]<=VAR7[24:31];
4'd4:
VAR1[16:23]<=VAR7[32:39];
4'd5:
VAR1[16:23]<=VAR7[40:47];
4'd6:
VAR1[16:23]<=VAR7[48:55];
4'd7:
VAR1[16:23]<=VAR7[56:63];
4'd8:
VAR1[16:23]<=VAR7[64:71];
4'd9:
VAR1[16:23]<=VAR7[72:79];
4'd10:
VAR1[16:23]<=VAR7[80:87];
4'd11:
VAR1[16:23]<=VAR7[88:95];
4'd12:
VAR1[16:23]<=VAR7[96:103];
4'd13:
VAR1[16:23]<=VAR7[104:111];
4'd14:
VAR1[16:23]<=VAR7[112:119];
4'd15:
VAR1[16:23]<=VAR7[120:127];
endcase
VAR1[24:31]<=8'd0;
case(VAR3[36:39]) 4'd0:
VAR1[32:39]<=VAR7[0:7];
4'd1:
VAR1[32:39]<=VAR7[8:15];
4'd2:
VAR1[32:39]<=VAR7[16:23];
4'd3:
VAR1[32:39]<=VAR7[24:31];
4'd4:
VAR1[32:39]<=VAR7[32:39];
4'd5:
VAR1[32:39]<=VAR7[40:47];
4'd6:
VAR1[32:39]<=VAR7[48:55];
4'd7:
VAR1[32:39]<=VAR7[56:63];
4'd8:
VAR1[32:39]<=VAR7[64:71];
4'd9:
VAR1[32:39]<=VAR7[72:79];
4'd10:
VAR1[32:39]<=VAR7[80:87];
4'd11:
VAR1[32:39]<=VAR7[88:95];
4'd12:
VAR1[32:39]<=VAR7[96:103];
4'd13:
VAR1[32:39]<=VAR7[104:111];
4'd14:
VAR1[32:39]<=VAR7[112:119];
4'd15:
VAR1[32:39]<=VAR7[120:127];
endcase
VAR1[40:47]<=8'd0;
case(VAR3[52:55]) 4'd0:
VAR1[48:55]<=VAR7[0:7];
4'd1:
VAR1[48:55]<=VAR7[8:15];
4'd2:
VAR1[48:55]<=VAR7[16:23];
4'd3:
VAR1[48:55]<=VAR7[24:31];
4'd4:
VAR1[48:55]<=VAR7[32:39];
4'd5:
VAR1[48:55]<=VAR7[40:47];
4'd6:
VAR1[48:55]<=VAR7[48:55];
4'd7:
VAR1[48:55]<=VAR7[56:63];
4'd8:
VAR1[48:55]<=VAR7[64:71];
4'd9:
VAR1[48:55]<=VAR7[72:79];
4'd10:
VAR1[48:55]<=VAR7[80:87];
4'd11:
VAR1[48:55]<=VAR7[88:95];
4'd12:
VAR1[48:55]<=VAR7[96:103];
4'd13:
VAR1[48:55]<=VAR7[104:111];
4'd14:
VAR1[48:55]<=VAR7[112:119];
4'd15:
VAR1[48:55]<=VAR7[120:127];
endcase
VAR1[56:63]<=8'd0;
case(VAR3[68:71]) 4'd0:
VAR1[64:71]<=VAR7[0:7];
4'd1:
VAR1[64:71]<=VAR7[8:15];
4'd2:
VAR1[64:71]<=VAR7[16:23];
4'd3:
VAR1[64:71]<=VAR7[24:31];
4'd4:
VAR1[64:71]<=VAR7[32:39];
4'd5:
VAR1[64:71]<=VAR7[40:47];
4'd6:
VAR1[64:71]<=VAR7[48:55];
4'd7:
VAR1[64:71]<=VAR7[56:63];
4'd8:
VAR1[64:71]<=VAR7[64:71];
4'd9:
VAR1[64:71]<=VAR7[72:79];
4'd10:
VAR1[64:71]<=VAR7[80:87];
4'd11:
VAR1[64:71]<=VAR7[88:95];
4'd12:
VAR1[64:71]<=VAR7[96:103];
4'd13:
VAR1[64:71]<=VAR7[104:111];
4'd14:
VAR1[64:71]<=VAR7[112:119];
4'd15:
VAR1[64:71]<=VAR7[120:127];
endcase
VAR1[72:79]<=8'd0;
case(VAR3[84:87]) 4'd0:
VAR1[80:87]<=VAR7[0:7];
4'd1:
VAR1[80:87]<=VAR7[8:15];
4'd2:
VAR1[80:87]<=VAR7[16:23];
4'd3:
VAR1[80:87]<=VAR7[24:31];
4'd4:
VAR1[80:87]<=VAR7[32:39];
4'd5:
VAR1[80:87]<=VAR7[40:47];
4'd6:
VAR1[80:87]<=VAR7[48:55];
4'd7:
VAR1[80:87]<=VAR7[56:63];
4'd8:
VAR1[80:87]<=VAR7[64:71];
4'd9:
VAR1[80:87]<=VAR7[72:79];
4'd10:
VAR1[80:87]<=VAR7[80:87];
4'd11:
VAR1[80:87]<=VAR7[88:95];
4'd12:
VAR1[80:87]<=VAR7[96:103];
4'd13:
VAR1[80:87]<=VAR7[104:111];
4'd14:
VAR1[80:87]<=VAR7[112:119];
4'd15:
VAR1[80:87]<=VAR7[120:127];
endcase
VAR1[88:95]<=8'd0;
case(VAR3[100:103]) 4'd0:
VAR1[96:103]<=VAR7[0:7];
4'd1:
VAR1[96:103]<=VAR7[8:15];
4'd2:
VAR1[96:103]<=VAR7[16:23];
4'd3:
VAR1[96:103]<=VAR7[24:31];
4'd4:
VAR1[96:103]<=VAR7[32:39];
4'd5:
VAR1[96:103]<=VAR7[40:47];
4'd6:
VAR1[96:103]<=VAR7[48:55];
4'd7:
VAR1[96:103]<=VAR7[56:63];
4'd8:
VAR1[96:103]<=VAR7[64:71];
4'd9:
VAR1[96:103]<=VAR7[72:79];
4'd10:
VAR1[96:103]<=VAR7[80:87];
4'd11:
VAR1[96:103]<=VAR7[88:95];
4'd12:
VAR1[96:103]<=VAR7[96:103];
4'd13:
VAR1[96:103]<=VAR7[104:111];
4'd14:
VAR1[96:103]<=VAR7[112:119];
4'd15:
VAR1[96:103]<=VAR7[120:127];
endcase
VAR1[104:111]<=8'd0;
case(VAR3[116:119]) 4'd0:
VAR1[112:119]<=VAR7[112:119];
4'd1:
VAR1[112:119]<=VAR7[8:15];
4'd2:
VAR1[112:119]<=VAR7[16:23];
4'd3:
VAR1[112:119]<=VAR7[24:31];
4'd4:
VAR1[112:119]<=VAR7[32:39];
4'd5:
VAR1[112:119]<=VAR7[40:47];
4'd6:
VAR1[112:119]<=VAR7[48:55];
4'd7:
VAR1[112:119]<=VAR7[56:63];
4'd8:
VAR1[112:119]<=VAR7[64:71];
4'd9:
VAR1[112:119]<=VAR7[72:79];
4'd10:
VAR1[112:119]<=VAR7[80:87];
4'd11:
VAR1[112:119]<=VAR7[88:95];
4'd12:
VAR1[112:119]<=VAR7[96:103];
4'd13:
VAR1[112:119]<=VAR7[104:111];
4'd14:
VAR1[112:119]<=VAR7[112:119];
4'd15:
VAR1[112:119]<=VAR7[120:127];
endcase
VAR1[120:127]<=8'd0;
end
VAR1[0:7]<=8'd0;
case(VAR3[12:15]) 4'd0:
VAR1[8:15]<=VAR7[0:7];
4'd1:
VAR1[8:15]<=VAR7[8:15];
4'd2:
VAR1[8:15]<=VAR7[16:23];
4'd3:
VAR1[8:15]<=VAR7[24:31];
4'd4:
VAR1[8:15]<=VAR7[32:39];
4'd5:
VAR1[8:15]<=VAR7[40:47];
4'd6:
VAR1[8:15]<=VAR7[48:55];
4'd7:
VAR1[8:15]<=VAR7[56:63];
4'd8:
VAR1[8:15]<=VAR7[64:71];
4'd9:
VAR1[8:15]<=VAR7[72:79];
4'd10:
VAR1[8:15]<=VAR7[80:87];
4'd11:
VAR1[8:15]<=VAR7[88:95];
4'd12:
VAR1[8:15]<=VAR7[96:103];
4'd13:
VAR1[8:15]<=VAR7[104:111];
4'd14:
VAR1[8:15]<=VAR7[112:119];
4'd15:
VAR1[8:15]<=VAR7[120:127];
endcase
VAR1[16:23]<=8'd0;
case(VAR3[28:31]) 4'd0:
VAR1[24:31]<=VAR7[0:7];
4'd1:
VAR1[24:31]<=VAR7[8:15];
4'd2:
VAR1[24:31]<=VAR7[16:23];
4'd3:
VAR1[24:31]<=VAR7[24:31];
4'd4:
VAR1[24:31]<=VAR7[32:39];
4'd5:
VAR1[24:31]<=VAR7[40:47];
4'd6:
VAR1[24:31]<=VAR7[48:55];
4'd7:
VAR1[24:31]<=VAR7[56:63];
4'd8:
VAR1[24:31]<=VAR7[64:71];
4'd9:
VAR1[24:31]<=VAR7[72:79];
4'd10:
VAR1[24:31]<=VAR7[80:87];
4'd11:
VAR1[24:31]<=VAR7[88:95];
4'd12:
VAR1[24:31]<=VAR7[96:103];
4'd13:
VAR1[24:31]<=VAR7[104:111];
4'd14:
VAR1[24:31]<=VAR7[112:119];
4'd15:
VAR1[24:31]<=VAR7[120:127];
endcase
VAR1[32:39]<=8'd0;
case(VAR3[44:47]) 4'd0:
VAR1[40:47]<=VAR7[0:7];
4'd1:
VAR1[40:47]<=VAR7[8:15];
4'd2:
VAR1[40:47]<=VAR7[16:23];
4'd3:
VAR1[40:47]<=VAR7[24:31];
4'd4:
VAR1[40:47]<=VAR7[32:39];
4'd5:
VAR1[40:47]<=VAR7[40:47];
4'd6:
VAR1[40:47]<=VAR7[48:55];
4'd7:
VAR1[40:47]<=VAR7[56:63];
4'd8:
VAR1[40:47]<=VAR7[64:71];
4'd9:
VAR1[40:47]<=VAR7[72:79];
4'd10:
VAR1[40:47]<=VAR7[80:87];
4'd11:
VAR1[40:47]<=VAR7[88:95];
4'd12:
VAR1[40:47]<=VAR7[96:103];
4'd13:
VAR1[40:47]<=VAR7[104:111];
4'd14:
VAR1[40:47]<=VAR7[112:119];
4'd15:
VAR1[40:47]<=VAR7[120:127];
endcase
VAR1[48:55]<=8'd0;
case(VAR3[60:63]) 4'd0:
VAR1[56:63]<=VAR7[0:7];
4'd1:
VAR1[56:63]<=VAR7[8:15];
4'd2:
VAR1[56:63]<=VAR7[16:23];
4'd3:
VAR1[56:63]<=VAR7[24:31];
4'd4:
VAR1[56:63]<=VAR7[32:39];
4'd5:
VAR1[56:63]<=VAR7[40:47];
4'd6:
VAR1[56:63]<=VAR7[48:55];
4'd7:
VAR1[56:63]<=VAR7[56:63];
4'd8:
VAR1[56:63]<=VAR7[64:71];
4'd9:
VAR1[56:63]<=VAR7[72:79];
4'd10:
VAR1[56:63]<=VAR7[80:87];
4'd11:
VAR1[56:63]<=VAR7[88:95];
4'd12:
VAR1[56:63]<=VAR7[96:103];
4'd13:
VAR1[56:63]<=VAR7[104:111];
4'd14:
VAR1[56:63]<=VAR7[112:119];
4'd15:
VAR1[56:63]<=VAR7[120:127];
endcase
VAR1[64:71]<=8'd0;
case(VAR3[76:79]) 4'd0:
VAR1[72:79]<=VAR7[0:7];
4'd1:
VAR1[72:79]<=VAR7[8:15];
4'd2:
VAR1[72:79]<=VAR7[16:23];
4'd3:
VAR1[72:79]<=VAR7[24:31];
4'd4:
VAR1[72:79]<=VAR7[32:39];
4'd5:
VAR1[72:79]<=VAR7[40:47];
4'd6:
VAR1[72:79]<=VAR7[48:55];
4'd7:
VAR1[72:79]<=VAR7[56:63];
4'd8:
VAR1[72:79]<=VAR7[64:71];
4'd9:
VAR1[72:79]<=VAR7[72:79];
4'd10:
VAR1[72:79]<=VAR7[80:87];
4'd11:
VAR1[72:79]<=VAR7[88:95];
4'd12:
VAR1[72:79]<=VAR7[96:103];
4'd13:
VAR1[72:79]<=VAR7[104:111];
4'd14:
VAR1[72:79]<=VAR7[112:119];
4'd15:
VAR1[72:79]<=VAR7[120:127];
endcase
VAR1[80:87]<=8'd0;
case(VAR3[92:95]) 4'd0:
VAR1[88:95]<=VAR7[0:7];
4'd1:
VAR1[88:95]<=VAR7[8:15];
4'd2:
VAR1[88:95]<=VAR7[16:23];
4'd3:
VAR1[88:95]<=VAR7[24:31];
4'd4:
VAR1[88:95]<=VAR7[32:39];
4'd5:
VAR1[88:95]<=VAR7[40:47];
4'd6:
VAR1[88:95]<=VAR7[48:55];
4'd7:
VAR1[88:95]<=VAR7[56:63];
4'd8:
VAR1[88:95]<=VAR7[64:71];
4'd9:
VAR1[88:95]<=VAR7[72:79];
4'd10:
VAR1[88:95]<=VAR7[80:87];
4'd11:
VAR1[88:95]<=VAR7[88:95];
4'd12:
VAR1[88:95]<=VAR7[96:103];
4'd13:
VAR1[88:95]<=VAR7[104:111];
4'd14:
VAR1[88:95]<=VAR7[112:119];
4'd15:
VAR1[88:95]<=VAR7[120:127];
endcase
VAR1[96:103]<=8'd0;
case(VAR3[108:111]) 4'd0:
VAR1[104:111]<=VAR7[0:7];
4'd1:
VAR1[104:111]<=VAR7[8:15];
4'd2:
VAR1[104:111]<=VAR7[16:23];
4'd3:
VAR1[104:111]<=VAR7[24:31];
4'd4:
VAR1[104:111]<=VAR7[32:39];
4'd5:
VAR1[104:111]<=VAR7[40:47];
4'd6:
VAR1[104:111]<=VAR7[48:55];
4'd7:
VAR1[104:111]<=VAR7[56:63];
4'd8:
VAR1[104:111]<=VAR7[64:71];
4'd9:
VAR1[104:111]<=VAR7[72:79];
4'd10:
VAR1[104:111]<=VAR7[80:87];
4'd11:
VAR1[104:111]<=VAR7[88:95];
4'd12:
VAR1[104:111]<=VAR7[96:103];
4'd13:
VAR1[104:111]<=VAR7[104:111];
4'd14:
VAR1[104:111]<=VAR7[112:119];
4'd15:
VAR1[104:111]<=VAR7[120:127];
endcase
VAR1[112:119]<=8'd0;
case(VAR3[124:127]) 4'd0:
VAR1[120:127]<=VAR7[0:7];
4'd1:
VAR1[120:127]<=VAR7[8:15];
4'd2:
VAR1[120:127]<=VAR7[16:23];
4'd3:
VAR1[120:127]<=VAR7[24:31];
4'd4:
VAR1[120:127]<=VAR7[32:39];
4'd5:
VAR1[120:127]<=VAR7[40:47];
4'd6:
VAR1[120:127]<=VAR7[48:55];
4'd7:
VAR1[120:127]<=VAR7[56:63];
4'd8:
VAR1[120:127]<=VAR7[64:71];
4'd9:
VAR1[120:127]<=VAR7[72:79];
4'd10:
VAR1[120:127]<=VAR7[80:87];
4'd11:
VAR1[120:127]<=VAR7[88:95];
4'd12:
VAR1[120:127]<=VAR7[96:103];
4'd13:
VAR1[120:127]<=VAR7[104:111];
4'd14:
VAR1[120:127]<=VAR7[112:119];
4'd15:
VAR1[120:127]<=VAR7[120:127];
endcase
end
case(VAR3[4:7]) 4'd0:
VAR1[0:7]<=VAR7[0:7];
4'd1:
VAR1[0:7]<=VAR7[8:15];
4'd2:
VAR1[0:7]<=VAR7[16:23];
4'd3:
VAR1[0:7]<=VAR7[24:31];
4'd4:
VAR1[0:7]<=VAR7[32:39];
4'd5:
VAR1[0:7]<=VAR7[40:47];
4'd6:
VAR1[0:7]<=VAR7[48:55];
4'd7:
VAR1[0:7]<=VAR7[56:63];
4'd8:
VAR1[0:7]<=VAR7[64:71];
4'd9:
VAR1[0:7]<=VAR7[72:79];
4'd10:
VAR1[0:7]<=VAR7[80:87];
4'd11:
VAR1[0:7]<=VAR7[88:95];
4'd12:
VAR1[0:7]<=VAR7[96:103];
4'd13:
VAR1[0:7]<=VAR7[104:111];
4'd14:
VAR1[0:7]<=VAR7[112:119];
4'd15:
VAR1[0:7]<=VAR7[120:127];
endcase
VAR1[8:127]<=120'd0;
end
VAR1[0:119]<=120'd0;
case(VAR3[124:127]) 4'd0:
VAR1[120:127]<=VAR7[0:7];
4'd1:
VAR1[120:127]<=VAR7[8:15];
4'd2:
VAR1[120:127]<=VAR7[16:23];
4'd3:
VAR1[120:127]<=VAR7[24:31];
4'd4:
VAR1[120:127]<=VAR7[32:39];
4'd5:
VAR1[120:127]<=VAR7[40:47];
4'd6:
VAR1[120:127]<=VAR7[48:55];
4'd7:
VAR1[120:127]<=VAR7[56:63];
4'd8:
VAR1[120:127]<=VAR7[64:71];
4'd9:
VAR1[120:127]<=VAR7[72:79];
4'd10:
VAR1[120:127]<=VAR7[80:87];
4'd11:
VAR1[120:127]<=VAR7[88:95];
4'd12:
VAR1[120:127]<=VAR7[96:103];
4'd13:
VAR1[120:127]<=VAR7[104:111];
4'd14:
VAR1[120:127]<=VAR7[112:119];
4'd15:
VAR1[120:127]<=VAR7[120:127];
endcase
end
default: begin
VAR1<=128'd0;
end
endcase
end
begin
case(VAR5)
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
3'd1:
begin
VAR1[0:7]<={VAR7[1:7],{1'b0}};
VAR1[8:15]<={VAR7[9:15],{1'b0}};
VAR1[16:23]<={VAR7[17:23],{1'b0}};
VAR1[24:31]<={VAR7[25:31],{1'b0}};
VAR1[32:39]<={VAR7[33:39],{1'b0}};
VAR1[40:47]<={VAR7[41:47],{1'b0}};
VAR1[48:55]<={VAR7[49:55],{1'b0}};
VAR1[56:63]<={VAR7[57:63],{1'b0}};
VAR1[64:71]<={VAR7[65:71],{1'b0}};
VAR1[72:79]<={VAR7[73:79],{1'b0}};
VAR1[80:87]<={VAR7[81:87],{1'b0}};
VAR1[88:95]<={VAR7[89:95],{1'b0}};
VAR1[96:103]<={VAR7[97:103],{1'b0}};
VAR1[104:111]<={VAR7[105:111],{1'b0}};
VAR1[112:119]<={VAR7[113:119],{1'b0}};
VAR1[120:127]<={VAR7[121:127],{1'b0}};
end
3'd2:
begin
VAR1[0:7]<={VAR7[2:7],{2{1'b0}}};
VAR1[8:15]<={VAR7[10:15],{2{1'b0}}};
VAR1[16:23]<={VAR7[18:23],{2{1'b0}}};
VAR1[24:31]<={VAR7[26:31],{2{1'b0}}};
VAR1[32:39]<={VAR7[34:39],{2{1'b0}}};
VAR1[40:47]<={VAR7[42:47],{2{1'b0}}};
VAR1[48:55]<={VAR7[50:55],{2{1'b0}}};
VAR1[56:63]<={VAR7[58:63],{2{1'b0}}};
VAR1[64:71]<={VAR7[66:71],{2{1'b0}}};
VAR1[72:79]<={VAR7[74:79],{2{1'b0}}};
VAR1[80:87]<={VAR7[82:87],{2{1'b0}}};
VAR1[88:95]<={VAR7[90:95],{2{1'b0}}};
VAR1[96:103]<={VAR7[98:103],{2{1'b0}}};
VAR1[104:111]<={VAR7[106:111],{2{1'b0}}};
VAR1[112:119]<={VAR7[114:119],{2{1'b0}}};
VAR1[120:127]<={VAR7[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR1[0:7]<={VAR7[3:7],{3{1'b0}}};
VAR1[8:15]<={VAR7[11:15],{3{1'b0}}};
VAR1[16:23]<={VAR7[19:23],{3{1'b0}}};
VAR1[24:31]<={VAR7[27:31],{3{1'b0}}};
VAR1[32:39]<={VAR7[35:39],{3{1'b0}}};
VAR1[40:47]<={VAR7[43:47],{3{1'b0}}};
VAR1[48:55]<={VAR7[51:55],{3{1'b0}}};
VAR1[56:63]<={VAR7[59:63],{3{1'b0}}};
VAR1[64:71]<={VAR7[67:71],{3{1'b0}}};
VAR1[72:79]<={VAR7[75:79],{3{1'b0}}};
VAR1[80:87]<={VAR7[83:87],{3{1'b0}}};
VAR1[88:95]<={VAR7[91:95],{3{1'b0}}};
VAR1[96:103]<={VAR7[99:103],{3{1'b0}}};
VAR1[104:111]<={VAR7[107:111],{3{1'b0}}};
VAR1[112:119]<={VAR7[115:119],{3{1'b0}}};
VAR1[120:127]<={VAR7[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR1[0:7]<={VAR7[4:7],{4{1'b0}}};
VAR1[8:15]<={VAR7[12:15],{4{1'b0}}};
VAR1[16:23]<={VAR7[20:23],{4{1'b0}}};
VAR1[24:31]<={VAR7[28:31],{4{1'b0}}};
VAR1[32:39]<={VAR7[36:39],{4{1'b0}}};
VAR1[40:47]<={VAR7[44:47],{4{1'b0}}};
VAR1[48:55]<={VAR7[52:55],{4{1'b0}}};
VAR1[56:63]<={VAR7[60:63],{4{1'b0}}};
VAR1[64:71]<={VAR7[68:71],{4{1'b0}}};
VAR1[72:79]<={VAR7[76:79],{4{1'b0}}};
VAR1[80:87]<={VAR7[84:87],{4{1'b0}}};
VAR1[88:95]<={VAR7[92:95],{4{1'b0}}};
VAR1[96:103]<={VAR7[100:103],{4{1'b0}}};
VAR1[104:111]<={VAR7[108:111],{4{1'b0}}};
VAR1[112:119]<={VAR7[116:119],{4{1'b0}}};
VAR1[120:127]<={VAR7[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR1[0:7]<={VAR7[5:7],{5{1'b0}}};
VAR1[8:15]<={VAR7[13:15],{5{1'b0}}};
VAR1[16:23]<={VAR7[21:23],{5{1'b0}}};
VAR1[24:31]<={VAR7[29:31],{5{1'b0}}};
VAR1[32:39]<={VAR7[37:39],{5{1'b0}}};
VAR1[40:47]<={VAR7[45:47],{5{1'b0}}};
VAR1[48:55]<={VAR7[53:55],{5{1'b0}}};
VAR1[56:63]<={VAR7[61:63],{5{1'b0}}};
VAR1[64:71]<={VAR7[69:71],{5{1'b0}}};
VAR1[72:79]<={VAR7[77:79],{5{1'b0}}};
VAR1[80:87]<={VAR7[85:87],{5{1'b0}}};
VAR1[88:95]<={VAR7[93:95],{5{1'b0}}};
VAR1[96:103]<={VAR7[101:103],{5{1'b0}}};
VAR1[104:111]<={VAR7[109:111],{5{1'b0}}};
VAR1[112:119]<={VAR7[117:119],{5{1'b0}}};
VAR1[120:127]<={VAR7[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR1[0:7]<={VAR7[6:7],{6{1'b0}}};
VAR1[8:15]<={VAR7[14:15],{6{1'b0}}};
VAR1[16:23]<={VAR7[22:23],{6{1'b0}}};
VAR1[24:31]<={VAR7[30:31],{6{1'b0}}};
VAR1[32:39]<={VAR7[38:39],{6{1'b0}}};
VAR1[40:47]<={VAR7[46:47],{6{1'b0}}};
VAR1[48:55]<={VAR7[54:55],{6{1'b0}}};
VAR1[56:63]<={VAR7[62:63],{6{1'b0}}};
VAR1[64:71]<={VAR7[70:71],{6{1'b0}}};
VAR1[72:79]<={VAR7[78:79],{6{1'b0}}};
VAR1[80:87]<={VAR7[86:87],{6{1'b0}}};
VAR1[88:95]<={VAR7[94:95],{6{1'b0}}};
VAR1[96:103]<={VAR7[102:103],{6{1'b0}}};
VAR1[104:111]<={VAR7[110:111],{6{1'b0}}};
VAR1[112:119]<={VAR7[118:119],{6{1'b0}}};
VAR1[120:127]<={VAR7[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR1[0:7]<={VAR7[7],{7{1'b0}}};
VAR1[8:15]<={VAR7[15],{7{1'b0}}};
VAR1[16:23]<={VAR7[23],{7{1'b0}}};
VAR1[24:31]<={VAR7[31],{7{1'b0}}};
VAR1[32:39]<={VAR7[39],{7{1'b0}}};
VAR1[40:47]<={VAR7[47],{7{1'b0}}};
VAR1[48:55]<={VAR7[55],{7{1'b0}}};
VAR1[56:63]<={VAR7[63],{7{1'b0}}};
VAR1[64:71]<={VAR7[71],{7{1'b0}}};
VAR1[72:79]<={VAR7[79],{7{1'b0}}};
VAR1[80:87]<={VAR7[87],{7{1'b0}}};
VAR1[88:95]<={VAR7[95],{7{1'b0}}};
VAR1[96:103]<={VAR7[103],{7{1'b0}}};
VAR1[104:111]<={VAR7[111],{7{1'b0}}};
VAR1[112:119]<={VAR7[119],{7{1'b0}}};
VAR1[120:127]<={VAR7[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
4'd1:
begin
VAR1[0:15]<={VAR7[1:15],{1'b0}};
VAR1[16:31]<={VAR7[17:31],{1'b0}};
VAR1[32:47]<={VAR7[33:47],{1'b0}};
VAR1[48:63]<={VAR7[49:63],{1'b0}};
VAR1[64:79]<={VAR7[65:79],{1'b0}};
VAR1[80:95]<={VAR7[81:95],{1'b0}};
VAR1[96:111]<={VAR7[97:111],{1'b0}};
VAR1[112:127]<={VAR7[113:127],{1'b0}};
end
4'd2:
begin
VAR1[0:15]<={VAR7[2:15],{2{1'b0}}};
VAR1[16:31]<={VAR7[18:31],{2{1'b0}}};
VAR1[32:47]<={VAR7[34:47],{2{1'b0}}};
VAR1[48:63]<={VAR7[50:63],{2{1'b0}}};
VAR1[64:79]<={VAR7[66:79],{2{1'b0}}};
VAR1[80:95]<={VAR7[82:95],{2{1'b0}}};
VAR1[96:111]<={VAR7[98:111],{2{1'b0}}};
VAR1[112:127]<={VAR7[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR1[0:15]<={VAR7[3:15],{3{1'b0}}};
VAR1[16:31]<={VAR7[19:31],{3{1'b0}}};
VAR1[32:47]<={VAR7[35:47],{3{1'b0}}};
VAR1[48:63]<={VAR7[51:63],{3{1'b0}}};
VAR1[64:79]<={VAR7[67:79],{3{1'b0}}};
VAR1[80:95]<={VAR7[83:95],{3{1'b0}}};
VAR1[96:111]<={VAR7[99:111],{3{1'b0}}};
VAR1[112:127]<={VAR7[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR1[0:15]<={VAR7[4:15],{4{1'b0}}};
VAR1[16:31]<={VAR7[20:31],{4{1'b0}}};
VAR1[32:47]<={VAR7[36:47],{4{1'b0}}};
VAR1[48:63]<={VAR7[52:63],{4{1'b0}}};
VAR1[64:79]<={VAR7[68:79],{4{1'b0}}};
VAR1[80:95]<={VAR7[84:95],{4{1'b0}}};
VAR1[96:111]<={VAR7[100:111],{4{1'b0}}};
VAR1[112:127]<={VAR7[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR1[0:15]<={VAR7[5:15],{5{1'b0}}};
VAR1[16:31]<={VAR7[21:31],{5{1'b0}}};
VAR1[32:47]<={VAR7[37:47],{5{1'b0}}};
VAR1[48:63]<={VAR7[52:63],{5{1'b0}}};
VAR1[64:79]<={VAR7[69:79],{5{1'b0}}};
VAR1[80:95]<={VAR7[85:95],{5{1'b0}}};
VAR1[96:111]<={VAR7[101:111],{5{1'b0}}};
VAR1[112:127]<={VAR7[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR1[0:15]<={VAR7[6:15],{6{1'b0}}};
VAR1[16:31]<={VAR7[22:31],{6{1'b0}}};
VAR1[32:47]<={VAR7[38:47],{6{1'b0}}};
VAR1[48:63]<={VAR7[53:63],{6{1'b0}}};
VAR1[64:79]<={VAR7[70:79],{6{1'b0}}};
VAR1[80:95]<={VAR7[86:95],{6{1'b0}}};
VAR1[96:111]<={VAR7[102:111],{6{1'b0}}};
VAR1[112:127]<={VAR7[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR1[0:15]<={VAR7[7:15],{7{1'b0}}};
VAR1[16:31]<={VAR7[23:31],{7{1'b0}}};
VAR1[32:47]<={VAR7[39:47],{7{1'b0}}};
VAR1[48:63]<={VAR7[54:63],{7{1'b0}}};
VAR1[64:79]<={VAR7[71:79],{7{1'b0}}};
VAR1[80:95]<={VAR7[87:95],{7{1'b0}}};
VAR1[96:111]<={VAR7[103:111],{7{1'b0}}};
VAR1[112:127]<={VAR7[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR1[0:15]<={VAR7[8:15],{8{1'b0}}};
VAR1[16:31]<={VAR7[24:31],{8{1'b0}}};
VAR1[32:47]<={VAR7[40:47],{8{1'b0}}};
VAR1[48:63]<={VAR7[55:63],{8{1'b0}}};
VAR1[64:79]<={VAR7[72:79],{8{1'b0}}};
VAR1[80:95]<={VAR7[88:95],{8{1'b0}}};
VAR1[96:111]<={VAR7[104:111],{8{1'b0}}};
VAR1[112:127]<={VAR7[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR1[0:15]<={VAR7[9:15],{9{1'b0}}};
VAR1[16:31]<={VAR7[25:31],{9{1'b0}}};
VAR1[32:47]<={VAR7[41:47],{9{1'b0}}};
VAR1[48:63]<={VAR7[56:63],{9{1'b0}}};
VAR1[64:79]<={VAR7[73:79],{9{1'b0}}};
VAR1[80:95]<={VAR7[89:95],{9{1'b0}}};
VAR1[96:111]<={VAR7[105:111],{9{1'b0}}};
VAR1[112:127]<={VAR7[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR1[0:15]<={VAR7[10:15],{10{1'b0}}};
VAR1[16:31]<={VAR7[26:31],{10{1'b0}}};
VAR1[32:47]<={VAR7[42:47],{10{1'b0}}};
VAR1[48:63]<={VAR7[58:63],{10{1'b0}}};
VAR1[64:79]<={VAR7[74:79],{10{1'b0}}};
VAR1[80:95]<={VAR7[90:95],{10{1'b0}}};
VAR1[96:111]<={VAR7[106:111],{10{1'b0}}};
VAR1[112:127]<={VAR7[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR1[0:15]<={VAR7[11:15],{11{1'b0}}};
VAR1[16:31]<={VAR7[27:31],{11{1'b0}}};
VAR1[32:47]<={VAR7[43:47],{11{1'b0}}};
VAR1[48:63]<={VAR7[59:63],{11{1'b0}}};
VAR1[64:79]<={VAR7[75:79],{11{1'b0}}};
VAR1[80:95]<={VAR7[91:95],{11{1'b0}}};
VAR1[96:111]<={VAR7[107:111],{11{1'b0}}};
VAR1[112:127]<={VAR7[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR1[0:15]<={VAR7[12:15],{12{1'b0}}};
VAR1[16:31]<={VAR7[28:31],{12{1'b0}}};
VAR1[32:47]<={VAR7[44:47],{12{1'b0}}};
VAR1[48:63]<={VAR7[60:63],{12{1'b0}}};
VAR1[64:79]<={VAR7[76:79],{12{1'b0}}};
VAR1[80:95]<={VAR7[92:95],{12{1'b0}}};
VAR1[96:111]<={VAR7[108:111],{12{1'b0}}};
VAR1[112:127]<={VAR7[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR1[0:15]<={VAR7[13:15],{13{1'b0}}};
VAR1[16:31]<={VAR7[29:31],{13{1'b0}}};
VAR1[32:47]<={VAR7[45:47],{13{1'b0}}};
VAR1[48:63]<={VAR7[61:63],{13{1'b0}}};
VAR1[64:79]<={VAR7[77:79],{13{1'b0}}};
VAR1[80:95]<={VAR7[93:95],{13{1'b0}}};
VAR1[96:111]<={VAR7[109:111],{13{1'b0}}};
VAR1[112:127]<={VAR7[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR1[0:15]<={VAR7[14:15],{14{1'b0}}};
VAR1[16:31]<={VAR7[30:31],{14{1'b0}}};
VAR1[32:47]<={VAR7[46:47],{14{1'b0}}};
VAR1[48:63]<={VAR7[62:63],{14{1'b0}}};
VAR1[64:79]<={VAR7[78:79],{14{1'b0}}};
VAR1[80:95]<={VAR7[94:95],{14{1'b0}}};
VAR1[96:111]<={VAR7[110:111],{14{1'b0}}};
VAR1[112:127]<={VAR7[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR1[0:15]<={VAR7[15],{15{1'b0}}};
VAR1[16:31]<={VAR7[31],{15{1'b0}}};
VAR1[32:47]<={VAR7[47],{15{1'b0}}};
VAR1[48:63]<={VAR7[63],{15{1'b0}}};
VAR1[64:79]<={VAR7[79],{15{1'b0}}};
VAR1[80:95]<={VAR7[95],{15{1'b0}}};
VAR1[96:111]<={VAR7[111],{15{1'b0}}};
VAR1[112:127]<={VAR7[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
5'd1:
begin
VAR1[0:31]<={VAR7[1:31],{1'b0}};
VAR1[32:63]<={VAR7[33:63],{1'b0}};
VAR1[64:95]<={VAR7[65:95],{1'b0}};
VAR1[96:127]<={VAR7[97:127],{1'b0}};
end
5'd2:
begin
VAR1[0:31]<={VAR7[2:31],{2{1'b0}}};
VAR1[32:63]<={VAR7[34:63],{2{1'b0}}};
VAR1[64:95]<={VAR7[66:95],{2{1'b0}}};
VAR1[96:127]<={VAR7[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR1[0:31]<={VAR7[3:31],{3{1'b0}}};
VAR1[32:63]<={VAR7[35:63],{3{1'b0}}};
VAR1[64:95]<={VAR7[67:95],{3{1'b0}}};
VAR1[96:127]<={VAR7[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR1[0:31]<={VAR7[4:31],{4{1'b0}}};
VAR1[32:63]<={VAR7[36:63],{4{1'b0}}};
VAR1[64:95]<={VAR7[68:95],{4{1'b0}}};
VAR1[96:127]<={VAR7[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR1[0:31]<={VAR7[5:31],{5{1'b0}}};
VAR1[32:63]<={VAR7[37:63],{5{1'b0}}};
VAR1[64:95]<={VAR7[69:95],{5{1'b0}}};
VAR1[96:127]<={VAR7[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR1[0:31]<={VAR7[6:31],{6{1'b0}}};
VAR1[32:63]<={VAR7[38:63],{6{1'b0}}};
VAR1[64:95]<={VAR7[70:95],{6{1'b0}}};
VAR1[96:127]<={VAR7[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR1[0:31]<={VAR7[7:31],{7{1'b0}}};
VAR1[32:63]<={VAR7[39:63],{7{1'b0}}};
VAR1[64:95]<={VAR7[71:95],{7{1'b0}}};
VAR1[96:127]<={VAR7[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR1[0:31]<={VAR7[8:31],{8{1'b0}}};
VAR1[32:63]<={VAR7[40:63],{8{1'b0}}};
VAR1[64:95]<={VAR7[72:95],{8{1'b0}}};
VAR1[96:127]<={VAR7[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR1[0:31]<={VAR7[9:31],{9{1'b0}}};
VAR1[32:63]<={VAR7[41:63],{9{1'b0}}};
VAR1[64:95]<={VAR7[73:95],{9{1'b0}}};
VAR1[96:127]<={VAR7[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR1[0:31]<={VAR7[10:31],{10{1'b0}}};
VAR1[32:63]<={VAR7[42:63],{10{1'b0}}};
VAR1[64:95]<={VAR7[74:95],{10{1'b0}}};
VAR1[96:127]<={VAR7[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR1[0:31]<={VAR7[11:31],{11{1'b0}}};
VAR1[32:63]<={VAR7[43:63],{11{1'b0}}};
VAR1[64:95]<={VAR7[75:95],{11{1'b0}}};
VAR1[96:127]<={VAR7[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR1[0:31]<={VAR7[12:31],{12{1'b0}}};
VAR1[32:63]<={VAR7[44:63],{12{1'b0}}};
VAR1[64:95]<={VAR7[76:95],{12{1'b0}}};
VAR1[96:127]<={VAR7[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR1[0:31]<={VAR7[13:31],{13{1'b0}}};
VAR1[32:63]<={VAR7[45:63],{13{1'b0}}};
VAR1[64:95]<={VAR7[77:95],{13{1'b0}}};
VAR1[96:127]<={VAR7[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR1[0:31]<={VAR7[14:31],{14{1'b0}}};
VAR1[32:63]<={VAR7[46:63],{14{1'b0}}};
VAR1[64:95]<={VAR7[78:95],{14{1'b0}}};
VAR1[96:127]<={VAR7[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR1[0:31]<={VAR7[15:31],{15{1'b0}}};
VAR1[32:63]<={VAR7[47:63],{15{1'b0}}};
VAR1[64:95]<={VAR7[79:95],{15{1'b0}}};
VAR1[96:127]<={VAR7[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR1[0:31]<={VAR7[16:31],{16{1'b0}}};
VAR1[32:63]<={VAR7[48:63],{16{1'b0}}};
VAR1[64:95]<={VAR7[80:95],{16{1'b0}}};
VAR1[96:127]<={VAR7[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR1[0:31]<={VAR7[17:31],{17{1'b0}}};
VAR1[32:63]<={VAR7[49:63],{17{1'b0}}};
VAR1[64:95]<={VAR7[81:95],{17{1'b0}}};
VAR1[96:127]<={VAR7[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR1[0:31]<={VAR7[18:31],{18{1'b0}}};
VAR1[32:63]<={VAR7[50:63],{18{1'b0}}};
VAR1[64:95]<={VAR7[82:95],{18{1'b0}}};
VAR1[96:127]<={VAR7[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR1[0:31]<={VAR7[19:31],{19{1'b0}}};
VAR1[32:63]<={VAR7[51:63],{19{1'b0}}};
VAR1[64:95]<={VAR7[83:95],{19{1'b0}}};
VAR1[96:127]<={VAR7[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR1[0:31]<={VAR7[20:31],{20{1'b0}}};
VAR1[32:63]<={VAR7[52:63],{20{1'b0}}};
VAR1[64:95]<={VAR7[84:95],{20{1'b0}}};
VAR1[96:127]<={VAR7[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR1[0:31]<={VAR7[21:31],{21{1'b0}}};
VAR1[32:63]<={VAR7[53:63],{21{1'b0}}};
VAR1[64:95]<={VAR7[85:95],{21{1'b0}}};
VAR1[96:127]<={VAR7[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR1[0:31]<={VAR7[22:31],{22{1'b0}}};
VAR1[32:63]<={VAR7[54:63],{22{1'b0}}};
VAR1[64:95]<={VAR7[86:95],{22{1'b0}}};
VAR1[96:127]<={VAR7[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR1[0:31]<={VAR7[23:31],{23{1'b0}}};
VAR1[32:63]<={VAR7[55:63],{23{1'b0}}};
VAR1[64:95]<={VAR7[87:95],{23{1'b0}}};
VAR1[96:127]<={VAR7[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR1[0:31]<={VAR7[24:31],{24{1'b0}}};
VAR1[32:63]<={VAR7[56:63],{24{1'b0}}};
VAR1[64:95]<={VAR7[88:95],{24{1'b0}}};
VAR1[96:127]<={VAR7[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR1[0:31]<={VAR7[25:31],{25{1'b0}}};
VAR1[32:63]<={VAR7[57:63],{25{1'b0}}};
VAR1[64:95]<={VAR7[89:95],{25{1'b0}}};
VAR1[96:127]<={VAR7[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR1[0:31]<={VAR7[26:31],{26{1'b0}}};
VAR1[32:63]<={VAR7[58:63],{26{1'b0}}};
VAR1[64:95]<={VAR7[90:95],{26{1'b0}}};
VAR1[96:127]<={VAR7[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR1[0:31]<={VAR7[27:31],{27{1'b0}}};
VAR1[32:63]<={VAR7[59:63],{27{1'b0}}};
VAR1[64:95]<={VAR7[91:95],{27{1'b0}}};
VAR1[96:127]<={VAR7[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR1[0:31]<={VAR7[28:31],{28{1'b0}}};
VAR1[32:63]<={VAR7[60:63],{28{1'b0}}};
VAR1[64:95]<={VAR7[92:95],{28{1'b0}}};
VAR1[96:127]<={VAR7[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR1[0:31]<={VAR7[29:31],{29{1'b0}}};
VAR1[32:63]<={VAR7[61:63],{29{1'b0}}};
VAR1[64:95]<={VAR7[93:95],{29{1'b0}}};
VAR1[96:127]<={VAR7[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR1[0:31]<={VAR7[30:31],{30{1'b0}}};
VAR1[32:63]<={VAR7[62:63],{30{1'b0}}};
VAR1[64:95]<={VAR7[94:95],{30{1'b0}}};
VAR1[96:127]<={VAR7[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR1[0:31]<={VAR7[31],{31{1'b0}}};
VAR1[32:63]<={VAR7[63],{31{1'b0}}};
VAR1[64:95]<={VAR7[95],{31{1'b0}}};
VAR1[96:127]<={VAR7[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:63]<=VAR7[0:63];
VAR1[64:127]<=64'd0;
end
3'd1:
begin
VAR1[0:7]<={VAR7[1:7],{1'b0}};
VAR1[8:15]<={VAR7[9:15],{1'b0}};
VAR1[16:23]<={VAR7[17:23],{1'b0}};
VAR1[24:31]<={VAR7[25:31],{1'b0}};
VAR1[32:39]<={VAR7[33:39],{1'b0}};
VAR1[40:47]<={VAR7[41:47],{1'b0}};
VAR1[48:55]<={VAR7[49:55],{1'b0}};
VAR1[56:63]<={VAR7[57:63],{1'b0}};
VAR1[64:127]<=64'd0;
end
3'd2:
begin
VAR1[0:7]<={VAR7[2:7],{2{1'b0}}};
VAR1[8:15]<={VAR7[10:15],{2{1'b0}}};
VAR1[16:23]<={VAR7[18:23],{2{1'b0}}};
VAR1[24:31]<={VAR7[26:31],{2{1'b0}}};
VAR1[32:39]<={VAR7[34:39],{2{1'b0}}};
VAR1[40:47]<={VAR7[42:47],{2{1'b0}}};
VAR1[48:55]<={VAR7[50:55],{2{1'b0}}};
VAR1[56:63]<={VAR7[58:63],{2{1'b0}}};
VAR1[64:127]<=64'd0;
end
3'd3:
begin
VAR1[0:7]<={VAR7[3:7],{3{1'b0}}};
VAR1[8:15]<={VAR7[11:15],{3{1'b0}}};
VAR1[16:23]<={VAR7[19:23],{3{1'b0}}};
VAR1[24:31]<={VAR7[27:31],{3{1'b0}}};
VAR1[32:39]<={VAR7[35:39],{3{1'b0}}};
VAR1[40:47]<={VAR7[43:47],{3{1'b0}}};
VAR1[48:55]<={VAR7[51:55],{3{1'b0}}};
VAR1[56:63]<={VAR7[59:63],{3{1'b0}}};
VAR1[64:127]<=64'd0;
end
3'd4:
begin
VAR1[0:7]<={VAR7[4:7],{4{1'b0}}};
VAR1[8:15]<={VAR7[12:15],{4{1'b0}}};
VAR1[16:23]<={VAR7[20:23],{4{1'b0}}};
VAR1[24:31]<={VAR7[28:31],{4{1'b0}}};
VAR1[32:39]<={VAR7[36:39],{4{1'b0}}};
VAR1[40:47]<={VAR7[44:47],{4{1'b0}}};
VAR1[48:55]<={VAR7[52:55],{4{1'b0}}};
VAR1[56:63]<={VAR7[60:63],{4{1'b0}}};
VAR1[64:127]<=64'd0;
end
3'd5:
begin
VAR1[0:7]<={VAR7[5:7],{5{1'b0}}};
VAR1[8:15]<={VAR7[13:15],{5{1'b0}}};
VAR1[16:23]<={VAR7[21:23],{5{1'b0}}};
VAR1[24:31]<={VAR7[29:31],{5{1'b0}}};
VAR1[32:39]<={VAR7[37:39],{5{1'b0}}};
VAR1[40:47]<={VAR7[45:47],{5{1'b0}}};
VAR1[48:55]<={VAR7[53:55],{5{1'b0}}};
VAR1[56:63]<={VAR7[61:63],{5{1'b0}}};
VAR1[64:127]<=64'd0;
end
3'd6:
begin
VAR1[0:7]<={VAR7[6:7],{6{1'b0}}};
VAR1[8:15]<={VAR7[14:15],{6{1'b0}}};
VAR1[16:23]<={VAR7[22:23],{6{1'b0}}};
VAR1[24:31]<={VAR7[30:31],{6{1'b0}}};
VAR1[32:39]<={VAR7[38:39],{6{1'b0}}};
VAR1[40:47]<={VAR7[46:47],{6{1'b0}}};
VAR1[48:55]<={VAR7[54:55],{6{1'b0}}};
VAR1[56:63]<={VAR7[62:63],{6{1'b0}}};
VAR1[64:127]<=64'd0;
end
3'd7:
begin
VAR1[0:7]<={VAR7[7],{7{1'b0}}};
VAR1[8:15]<={VAR7[15],{7{1'b0}}};
VAR1[16:23]<={VAR7[23],{7{1'b0}}};
VAR1[24:31]<={VAR7[31],{7{1'b0}}};
VAR1[32:39]<={VAR7[39],{7{1'b0}}};
VAR1[40:47]<={VAR7[47],{7{1'b0}}};
VAR1[48:55]<={VAR7[55],{7{1'b0}}};
VAR1[56:63]<={VAR7[63],{7{1'b0}}};
VAR1[64:127]<=64'd0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:63]<=VAR7[0:63];
VAR1[64:127]<=64'd0;
end
4'd1:
begin
VAR1[0:15]<={VAR7[1:15],{1'b0}};
VAR1[16:31]<={VAR7[17:31],{1'b0}};
VAR1[32:47]<={VAR7[33:47],{1'b0}};
VAR1[48:63]<={VAR7[49:63],{1'b0}};
VAR1[64:127]<=64'd0;
end
4'd2:
begin
VAR1[0:15]<={VAR7[2:15],{2{1'b0}}};
VAR1[16:31]<={VAR7[18:31],{2{1'b0}}};
VAR1[32:47]<={VAR7[34:47],{2{1'b0}}};
VAR1[48:63]<={VAR7[50:63],{2{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd3:
begin
VAR1[0:15]<={VAR7[3:15],{3{1'b0}}};
VAR1[16:31]<={VAR7[19:31],{3{1'b0}}};
VAR1[32:47]<={VAR7[35:47],{3{1'b0}}};
VAR1[48:63]<={VAR7[51:63],{3{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd4:
begin
VAR1[0:15]<={VAR7[4:15],{4{1'b0}}};
VAR1[16:31]<={VAR7[20:31],{4{1'b0}}};
VAR1[32:47]<={VAR7[36:47],{4{1'b0}}};
VAR1[48:63]<={VAR7[52:63],{4{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd5:
begin
VAR1[0:15]<={VAR7[5:15],{5{1'b0}}};
VAR1[16:31]<={VAR7[21:31],{5{1'b0}}};
VAR1[32:47]<={VAR7[37:47],{5{1'b0}}};
VAR1[48:63]<={VAR7[52:63],{5{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd6:
begin
VAR1[0:15]<={VAR7[6:15],{6{1'b0}}};
VAR1[16:31]<={VAR7[22:31],{6{1'b0}}};
VAR1[32:47]<={VAR7[38:47],{6{1'b0}}};
VAR1[48:63]<={VAR7[53:63],{6{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd7:
begin
VAR1[0:15]<={VAR7[7:15],{7{1'b0}}};
VAR1[16:31]<={VAR7[23:31],{7{1'b0}}};
VAR1[32:47]<={VAR7[39:47],{7{1'b0}}};
VAR1[48:63]<={VAR7[54:63],{7{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd8:
begin
VAR1[0:15]<={VAR7[8:15],{8{1'b0}}};
VAR1[16:31]<={VAR7[24:31],{8{1'b0}}};
VAR1[32:47]<={VAR7[40:47],{8{1'b0}}};
VAR1[48:63]<={VAR7[55:63],{8{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd9:
begin
VAR1[0:15]<={VAR7[9:15],{9{1'b0}}};
VAR1[16:31]<={VAR7[25:31],{9{1'b0}}};
VAR1[32:47]<={VAR7[41:47],{9{1'b0}}};
VAR1[48:63]<={VAR7[56:63],{9{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd10:
begin
VAR1[0:15]<={VAR7[10:15],{10{1'b0}}};
VAR1[16:31]<={VAR7[26:31],{10{1'b0}}};
VAR1[32:47]<={VAR7[42:47],{10{1'b0}}};
VAR1[48:63]<={VAR7[58:63],{10{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd11:
begin
VAR1[0:15]<={VAR7[11:15],{11{1'b0}}};
VAR1[16:31]<={VAR7[27:31],{11{1'b0}}};
VAR1[32:47]<={VAR7[43:47],{11{1'b0}}};
VAR1[48:63]<={VAR7[59:63],{11{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd12:
begin
VAR1[0:15]<={VAR7[12:15],{12{1'b0}}};
VAR1[16:31]<={VAR7[28:31],{12{1'b0}}};
VAR1[32:47]<={VAR7[44:47],{12{1'b0}}};
VAR1[48:63]<={VAR7[60:63],{12{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd13:
begin
VAR1[0:15]<={VAR7[13:15],{13{1'b0}}};
VAR1[16:31]<={VAR7[29:31],{13{1'b0}}};
VAR1[32:47]<={VAR7[45:47],{13{1'b0}}};
VAR1[48:63]<={VAR7[61:63],{13{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd14:
begin
VAR1[0:15]<={VAR7[14:15],{14{1'b0}}};
VAR1[16:31]<={VAR7[30:31],{14{1'b0}}};
VAR1[32:47]<={VAR7[46:47],{14{1'b0}}};
VAR1[48:63]<={VAR7[62:63],{14{1'b0}}};
VAR1[64:127]<=64'd0;
end
4'd15:
begin
VAR1[0:15]<={VAR7[15],{15{1'b0}}};
VAR1[16:31]<={VAR7[31],{15{1'b0}}};
VAR1[32:47]<={VAR7[47],{15{1'b0}}};
VAR1[48:63]<={VAR7[63],{15{1'b0}}};
VAR1[64:127]<=64'd0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:63]<=VAR7[0:63];
VAR1[64:127]<=64'd0;
end
5'd1:
begin
VAR1[0:31]<={VAR7[1:31],{1'b0}};
VAR1[32:63]<={VAR7[33:63],{1'b0}};
VAR1[64:127]<=64'd0;
end
5'd2:
begin
VAR1[0:31]<={VAR7[2:31],{2{1'b0}}};
VAR1[32:63]<={VAR7[34:63],{2{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd3:
begin
VAR1[0:31]<={VAR7[3:31],{3{1'b0}}};
VAR1[32:63]<={VAR7[35:63],{3{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd4:
begin
VAR1[0:31]<={VAR7[4:31],{4{1'b0}}};
VAR1[32:63]<={VAR7[36:63],{4{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd5:
begin
VAR1[0:31]<={VAR7[5:31],{5{1'b0}}};
VAR1[32:63]<={VAR7[37:63],{5{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd6:
begin
VAR1[0:31]<={VAR7[6:31],{6{1'b0}}};
VAR1[32:63]<={VAR7[38:63],{6{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd7:
begin
VAR1[0:31]<={VAR7[7:31],{7{1'b0}}};
VAR1[32:63]<={VAR7[39:63],{7{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd8:
begin
VAR1[0:31]<={VAR7[8:31],{8{1'b0}}};
VAR1[32:63]<={VAR7[40:63],{8{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd9:
begin
VAR1[0:31]<={VAR7[9:31],{9{1'b0}}};
VAR1[32:63]<={VAR7[41:63],{9{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd10:
begin
VAR1[0:31]<={VAR7[10:31],{10{1'b0}}};
VAR1[32:63]<={VAR7[42:63],{10{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd11:
begin
VAR1[0:31]<={VAR7[11:31],{11{1'b0}}};
VAR1[32:63]<={VAR7[43:63],{11{1'b0}}};
VAR1[64:95]<={VAR7[75:95],{11{1'b0}}};
VAR1[96:127]<={VAR7[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR1[0:31]<={VAR7[12:31],{12{1'b0}}};
VAR1[32:63]<={VAR7[44:63],{12{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd13:
begin
VAR1[0:31]<={VAR7[13:31],{13{1'b0}}};
VAR1[32:63]<={VAR7[45:63],{13{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd14:
begin
VAR1[0:31]<={VAR7[14:31],{14{1'b0}}};
VAR1[32:63]<={VAR7[46:63],{14{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd15:
begin
VAR1[0:31]<={VAR7[15:31],{15{1'b0}}};
VAR1[32:63]<={VAR7[47:63],{15{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd16:
begin
VAR1[0:31]<={VAR7[16:31],{16{1'b0}}};
VAR1[32:63]<={VAR7[48:63],{16{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd17:
begin
VAR1[0:31]<={VAR7[17:31],{17{1'b0}}};
VAR1[32:63]<={VAR7[49:63],{17{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd18:
begin
VAR1[0:31]<={VAR7[18:31],{18{1'b0}}};
VAR1[32:63]<={VAR7[50:63],{18{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd19:
begin
VAR1[0:31]<={VAR7[19:31],{19{1'b0}}};
VAR1[32:63]<={VAR7[51:63],{19{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd20:
begin
VAR1[0:31]<={VAR7[20:31],{20{1'b0}}};
VAR1[32:63]<={VAR7[52:63],{20{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd21:
begin
VAR1[0:31]<={VAR7[21:31],{21{1'b0}}};
VAR1[32:63]<={VAR7[53:63],{21{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd22:
begin
VAR1[0:31]<={VAR7[22:31],{22{1'b0}}};
VAR1[32:63]<={VAR7[54:63],{22{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd23:
begin
VAR1[0:31]<={VAR7[23:31],{23{1'b0}}};
VAR1[32:63]<={VAR7[55:63],{23{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd24:
begin
VAR1[0:31]<={VAR7[24:31],{24{1'b0}}};
VAR1[32:63]<={VAR7[56:63],{24{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd25:
begin
VAR1[0:31]<={VAR7[25:31],{25{1'b0}}};
VAR1[32:63]<={VAR7[57:63],{25{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd26:
begin
VAR1[0:31]<={VAR7[26:31],{26{1'b0}}};
VAR1[32:63]<={VAR7[58:63],{26{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd27:
begin
VAR1[0:31]<={VAR7[27:31],{27{1'b0}}};
VAR1[32:63]<={VAR7[59:63],{27{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd28:
begin
VAR1[0:31]<={VAR7[28:31],{28{1'b0}}};
VAR1[32:63]<={VAR7[60:63],{28{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd29:
begin
VAR1[0:31]<={VAR7[29:31],{29{1'b0}}};
VAR1[32:63]<={VAR7[61:63],{29{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd30:
begin
VAR1[0:31]<={VAR7[30:31],{30{1'b0}}};
VAR1[32:63]<={VAR7[62:63],{30{1'b0}}};
VAR1[64:127]<=64'd0;
end
5'd31:
begin
VAR1[0:31]<={VAR7[31],{31{1'b0}}};
VAR1[32:63]<={VAR7[63],{31{1'b0}}};
VAR1[64:127]<=64'd0;
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:63]<=64'd0;
VAR1[64:127]<=VAR7[64:127];
end
3'd1:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[65:71],{1'b0}};
VAR1[72:79]<={VAR7[73:79],{1'b0}};
VAR1[80:87]<={VAR7[81:87],{1'b0}};
VAR1[88:95]<={VAR7[89:95],{1'b0}};
VAR1[96:103]<={VAR7[97:103],{1'b0}};
VAR1[104:111]<={VAR7[105:111],{1'b0}};
VAR1[112:119]<={VAR7[113:119],{1'b0}};
VAR1[120:127]<={VAR7[121:127],{1'b0}};
end
3'd2:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[66:71],{2{1'b0}}};
VAR1[72:79]<={VAR7[74:79],{2{1'b0}}};
VAR1[80:87]<={VAR7[82:87],{2{1'b0}}};
VAR1[88:95]<={VAR7[90:95],{2{1'b0}}};
VAR1[96:103]<={VAR7[98:103],{2{1'b0}}};
VAR1[104:111]<={VAR7[106:111],{2{1'b0}}};
VAR1[112:119]<={VAR7[114:119],{2{1'b0}}};
VAR1[120:127]<={VAR7[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[67:71],{3{1'b0}}};
VAR1[72:79]<={VAR7[75:79],{3{1'b0}}};
VAR1[80:87]<={VAR7[83:87],{3{1'b0}}};
VAR1[88:95]<={VAR7[91:95],{3{1'b0}}};
VAR1[96:103]<={VAR7[99:103],{3{1'b0}}};
VAR1[104:111]<={VAR7[107:111],{3{1'b0}}};
VAR1[112:119]<={VAR7[115:119],{3{1'b0}}};
VAR1[120:127]<={VAR7[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[68:71],{4{1'b0}}};
VAR1[72:79]<={VAR7[76:79],{4{1'b0}}};
VAR1[80:87]<={VAR7[84:87],{4{1'b0}}};
VAR1[88:95]<={VAR7[92:95],{4{1'b0}}};
VAR1[96:103]<={VAR7[100:103],{4{1'b0}}};
VAR1[104:111]<={VAR7[108:111],{4{1'b0}}};
VAR1[112:119]<={VAR7[116:119],{4{1'b0}}};
VAR1[120:127]<={VAR7[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[69:71],{5{1'b0}}};
VAR1[72:79]<={VAR7[77:79],{5{1'b0}}};
VAR1[80:87]<={VAR7[85:87],{5{1'b0}}};
VAR1[88:95]<={VAR7[93:95],{5{1'b0}}};
VAR1[96:103]<={VAR7[101:103],{5{1'b0}}};
VAR1[104:111]<={VAR7[109:111],{5{1'b0}}};
VAR1[112:119]<={VAR7[117:119],{5{1'b0}}};
VAR1[120:127]<={VAR7[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[70:71],{6{1'b0}}};
VAR1[72:79]<={VAR7[78:79],{6{1'b0}}};
VAR1[80:87]<={VAR7[86:87],{6{1'b0}}};
VAR1[88:95]<={VAR7[94:95],{6{1'b0}}};
VAR1[96:103]<={VAR7[102:103],{6{1'b0}}};
VAR1[104:111]<={VAR7[110:111],{6{1'b0}}};
VAR1[112:119]<={VAR7[118:119],{6{1'b0}}};
VAR1[120:127]<={VAR7[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR1[0:63]<=64'd0;
VAR1[64:71]<={VAR7[71],{7{1'b0}}};
VAR1[72:79]<={VAR7[79],{7{1'b0}}};
VAR1[80:87]<={VAR7[87],{7{1'b0}}};
VAR1[88:95]<={VAR7[95],{7{1'b0}}};
VAR1[96:103]<={VAR7[103],{7{1'b0}}};
VAR1[104:111]<={VAR7[111],{7{1'b0}}};
VAR1[112:119]<={VAR7[119],{7{1'b0}}};
VAR1[120:127]<={VAR7[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:63]<=64'd0;
VAR1[64:127]<=VAR7[64:127];
end
4'd1:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[65:79],{1'b0}};
VAR1[80:95]<={VAR7[81:95],{1'b0}};
VAR1[96:111]<={VAR7[97:111],{1'b0}};
VAR1[112:127]<={VAR7[113:127],{1'b0}};
end
4'd2:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[66:79],{2{1'b0}}};
VAR1[80:95]<={VAR7[82:95],{2{1'b0}}};
VAR1[96:111]<={VAR7[98:111],{2{1'b0}}};
VAR1[112:127]<={VAR7[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[67:79],{3{1'b0}}};
VAR1[80:95]<={VAR7[83:95],{3{1'b0}}};
VAR1[96:111]<={VAR7[99:111],{3{1'b0}}};
VAR1[112:127]<={VAR7[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[68:79],{4{1'b0}}};
VAR1[80:95]<={VAR7[84:95],{4{1'b0}}};
VAR1[96:111]<={VAR7[100:111],{4{1'b0}}};
VAR1[112:127]<={VAR7[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[69:79],{5{1'b0}}};
VAR1[80:95]<={VAR7[85:95],{5{1'b0}}};
VAR1[96:111]<={VAR7[101:111],{5{1'b0}}};
VAR1[112:127]<={VAR7[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[70:79],{6{1'b0}}};
VAR1[80:95]<={VAR7[86:95],{6{1'b0}}};
VAR1[96:111]<={VAR7[102:111],{6{1'b0}}};
VAR1[112:127]<={VAR7[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[71:79],{7{1'b0}}};
VAR1[80:95]<={VAR7[87:95],{7{1'b0}}};
VAR1[96:111]<={VAR7[103:111],{7{1'b0}}};
VAR1[112:127]<={VAR7[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[72:79],{8{1'b0}}};
VAR1[80:95]<={VAR7[88:95],{8{1'b0}}};
VAR1[96:111]<={VAR7[104:111],{8{1'b0}}};
VAR1[112:127]<={VAR7[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[73:79],{9{1'b0}}};
VAR1[80:95]<={VAR7[89:95],{9{1'b0}}};
VAR1[96:111]<={VAR7[105:111],{9{1'b0}}};
VAR1[112:127]<={VAR7[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[74:79],{10{1'b0}}};
VAR1[80:95]<={VAR7[90:95],{10{1'b0}}};
VAR1[96:111]<={VAR7[106:111],{10{1'b0}}};
VAR1[112:127]<={VAR7[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[75:79],{11{1'b0}}};
VAR1[80:95]<={VAR7[91:95],{11{1'b0}}};
VAR1[96:111]<={VAR7[107:111],{11{1'b0}}};
VAR1[112:127]<={VAR7[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[76:79],{12{1'b0}}};
VAR1[80:95]<={VAR7[92:95],{12{1'b0}}};
VAR1[96:111]<={VAR7[108:111],{12{1'b0}}};
VAR1[112:127]<={VAR7[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[77:79],{13{1'b0}}};
VAR1[80:95]<={VAR7[93:95],{13{1'b0}}};
VAR1[96:111]<={VAR7[109:111],{13{1'b0}}};
VAR1[112:127]<={VAR7[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[78:79],{14{1'b0}}};
VAR1[80:95]<={VAR7[94:95],{14{1'b0}}};
VAR1[96:111]<={VAR7[110:111],{14{1'b0}}};
VAR1[112:127]<={VAR7[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR1[0:63]<=64'd0;
VAR1[64:79]<={VAR7[79],{15{1'b0}}};
VAR1[80:95]<={VAR7[95],{15{1'b0}}};
VAR1[96:111]<={VAR7[111],{15{1'b0}}};
VAR1[112:127]<={VAR7[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:63]<=64'd0;
VAR1[64:127]<=VAR7[64:127];
end
5'd1:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[65:95],{1'b0}};
VAR1[96:127]<={VAR7[97:127],{1'b0}};
end
5'd2:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[66:95],{2{1'b0}}};
VAR1[96:127]<={VAR7[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[67:95],{3{1'b0}}};
VAR1[96:127]<={VAR7[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[68:95],{4{1'b0}}};
VAR1[96:127]<={VAR7[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[69:95],{5{1'b0}}};
VAR1[96:127]<={VAR7[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[70:95],{6{1'b0}}};
VAR1[96:127]<={VAR7[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[71:95],{7{1'b0}}};
VAR1[96:127]<={VAR7[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[72:95],{8{1'b0}}};
VAR1[96:127]<={VAR7[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[73:95],{9{1'b0}}};
VAR1[96:127]<={VAR7[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[74:95],{10{1'b0}}};
VAR1[96:127]<={VAR7[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[75:95],{11{1'b0}}};
VAR1[96:127]<={VAR7[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[76:95],{12{1'b0}}};
VAR1[96:127]<={VAR7[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[77:95],{13{1'b0}}};
VAR1[96:127]<={VAR7[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[78:95],{14{1'b0}}};
VAR1[96:127]<={VAR7[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[79:95],{15{1'b0}}};
VAR1[96:127]<={VAR7[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[80:95],{16{1'b0}}};
VAR1[96:127]<={VAR7[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[81:95],{17{1'b0}}};
VAR1[96:127]<={VAR7[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[82:95],{18{1'b0}}};
VAR1[96:127]<={VAR7[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[83:95],{19{1'b0}}};
VAR1[96:127]<={VAR7[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[84:95],{20{1'b0}}};
VAR1[96:127]<={VAR7[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[85:95],{21{1'b0}}};
VAR1[96:127]<={VAR7[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[86:95],{22{1'b0}}};
VAR1[96:127]<={VAR7[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[87:95],{23{1'b0}}};
VAR1[96:127]<={VAR7[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[88:95],{24{1'b0}}};
VAR1[96:127]<={VAR7[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[89:95],{25{1'b0}}};
VAR1[96:127]<={VAR7[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[90:95],{26{1'b0}}};
VAR1[96:127]<={VAR7[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[91:95],{27{1'b0}}};
VAR1[96:127]<={VAR7[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[92:95],{28{1'b0}}};
VAR1[96:127]<={VAR7[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[93:95],{29{1'b0}}};
VAR1[96:127]<={VAR7[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[94:95],{30{1'b0}}};
VAR1[96:127]<={VAR7[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR1[0:63]<=64'd0;
VAR1[64:95]<={VAR7[95],{31{1'b0}}};
VAR1[96:127]<={VAR7[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:7]<=VAR7[0:7];
VAR1[8:15]<=8'b0;
VAR1[16:23]<=VAR7[16:23];
VAR1[24:31]<=8'b0;
VAR1[32:39]<=VAR7[33:39];
VAR1[40:47]<=8'b0;
VAR1[48:55]<=VAR7[48:55];
VAR1[56:63]<=8'b0;
VAR1[64:71]<=VAR7[64:71];
VAR1[72:79]<=8'b0;
VAR1[80:87]<=VAR7[80:87];
VAR1[88:95]<=8'b0;
VAR1[96:103]<=VAR7[96:103];
VAR1[104:111]<=8'b0;
VAR1[112:119]<=VAR7[112:119];
VAR1[120:127]<=8'b0;
end
3'd1:
begin
VAR1[0:7]<={VAR7[1:7],{1'b0}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[17:23],{1'b0}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[33:39],{1'b0}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[49:55],{1'b0}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[65:71],{1'b0}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[81:87],{1'b0}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[97:103],{1'b0}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[113:119],{1'b0}};
VAR1[120:127]<=8'b0;
end
3'd2:
begin
VAR1[0:7]<={VAR7[2:7],{2{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[18:23],{2{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[34:39],{2{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[50:55],{2{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[66:71],{2{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[82:87],{2{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[98:103],{2{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[114:119],{2{1'b0}}};
VAR1[120:127]<=8'b0;
end
3'd3:
begin
VAR1[0:7]<={VAR7[3:7],{3{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[19:23],{3{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[35:39],{3{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[51:55],{3{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[67:71],{3{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[83:87],{3{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[99:103],{3{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[115:119],{3{1'b0}}};
VAR1[120:127]<=8'b0;
end
3'd4:
begin
VAR1[0:7]<={VAR7[4:7],{4{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[20:23],{4{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[36:39],{4{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[52:55],{4{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[68:71],{4{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[84:87],{4{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[100:103],{4{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[116:119],{4{1'b0}}};
VAR1[120:127]<=8'b0;
end
3'd5:
begin
VAR1[0:7]<={VAR7[5:7],{5{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[21:23],{5{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[37:39],{5{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[53:55],{5{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[69:71],{5{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[85:87],{5{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[101:103],{5{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[117:119],{5{1'b0}}};
VAR1[120:127]<=8'b0;
end
3'd6:
begin
VAR1[0:7]<={VAR7[6:7],{6{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[22:23],{6{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[38:39],{6{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[54:55],{6{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[70:71],{6{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[86:87],{6{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[102:103],{6{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[118:119],{6{1'b0}}};
VAR1[120:127]<=8'b0;
end
3'd7:
begin
VAR1[0:7]<={VAR7[7],{7{1'b0}}};
VAR1[8:15]<=8'b0;
VAR1[16:23]<={VAR7[23],{7{1'b0}}};
VAR1[24:31]<=8'b0;
VAR1[32:39]<={VAR7[39],{7{1'b0}}};
VAR1[40:47]<=8'b0;
VAR1[48:55]<={VAR7[55],{7{1'b0}}};
VAR1[56:63]<=8'b0;
VAR1[64:71]<={VAR7[71],{7{1'b0}}};
VAR1[72:79]<=8'b0;
VAR1[80:87]<={VAR7[87],{7{1'b0}}};
VAR1[88:95]<=8'b0;
VAR1[96:103]<={VAR7[103],{7{1'b0}}};
VAR1[104:111]<=8'b0;
VAR1[112:119]<={VAR7[119],{7{1'b0}}};
VAR1[120:127]<=8'b0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
4'd1:
begin
VAR1[0:15]<={VAR7[1:15],{1'b0}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[33:47],{1'b0}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[65:79],{1'b0}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[97:111],{1'b0}};
VAR1[112:127]<=16'b0;
end
4'd2:
begin
VAR1[0:15]<={VAR7[2:15],{2{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[34:47],{2{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[66:79],{2{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[98:111],{2{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd3:
begin
VAR1[0:15]<={VAR7[3:15],{3{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[35:47],{3{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[67:79],{3{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[99:111],{3{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd4:
begin
VAR1[0:15]<={VAR7[4:15],{4{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[36:47],{4{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[68:79],{4{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[100:111],{4{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd5:
begin
VAR1[0:15]<={VAR7[5:15],{5{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[37:47],{5{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[69:79],{5{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[101:111],{5{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd6:
begin
VAR1[0:15]<={VAR7[6:15],{6{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[38:47],{6{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[70:79],{6{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[102:111],{6{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd7:
begin
VAR1[0:15]<={VAR7[7:15],{7{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[39:47],{7{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[71:79],{7{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[103:111],{7{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd8:
begin
VAR1[0:15]<={VAR7[8:15],{8{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[40:47],{8{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[72:79],{8{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[104:111],{8{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd9:
begin
VAR1[0:15]<={VAR7[9:15],{9{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[41:47],{9{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[73:79],{9{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[105:111],{9{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd10:
begin
VAR1[0:15]<={VAR7[10:15],{10{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[42:47],{10{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[74:79],{10{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[106:111],{10{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd11:
begin
VAR1[0:15]<={VAR7[11:15],{11{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[43:47],{11{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[75:79],{11{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[107:111],{11{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd12:
begin
VAR1[0:15]<={VAR7[12:15],{12{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[44:47],{12{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[76:79],{12{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[108:111],{12{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd13:
begin
VAR1[0:15]<={VAR7[13:15],{13{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[45:47],{13{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[77:79],{13{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[109:111],{13{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd14:
begin
VAR1[0:15]<={VAR7[14:15],{14{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[46:47],{14{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[78:79],{14{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[110:111],{14{1'b0}}};
VAR1[112:127]<=16'b0;
end
4'd15:
begin
VAR1[0:15]<={VAR7[15],{15{1'b0}}};
VAR1[16:31]<=16'b0;
VAR1[32:47]<={VAR7[47],{15{1'b0}}};
VAR1[48:63]<=16'b0;
VAR1[64:79]<={VAR7[79],{15{1'b0}}};
VAR1[80:95]<=16'b0;
VAR1[96:111]<={VAR7[111],{15{1'b0}}};
VAR1[112:127]<=16'b0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
5'd1:
begin
VAR1[0:31]<={VAR7[1:31],{1'b0}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[65:95],{1'b0}};
VAR1[96:127]<=32'b0;
end
5'd2:
begin
VAR1[0:31]<={VAR7[2:31],{2{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[66:95],{2{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd3:
begin
VAR1[0:31]<={VAR7[3:31],{3{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[67:95],{3{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd4:
begin
VAR1[0:31]<={VAR7[4:31],{4{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[68:95],{4{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd5:
begin
VAR1[0:31]<={VAR7[5:31],{5{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[69:95],{5{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd6:
begin
VAR1[0:31]<={VAR7[6:31],{6{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[70:95],{6{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd7:
begin
VAR1[0:31]<={VAR7[7:31],{7{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[71:95],{7{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd8:
begin
VAR1[0:31]<={VAR7[8:31],{8{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[72:95],{8{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd9:
begin
VAR1[0:31]<={VAR7[9:31],{9{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[73:95],{9{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd10:
begin
VAR1[0:31]<={VAR7[10:31],{10{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[74:95],{10{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd11:
begin
VAR1[0:31]<={VAR7[11:31],{11{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[75:95],{11{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd12:
begin
VAR1[0:31]<={VAR7[12:31],{12{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[76:95],{12{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd13:
begin
VAR1[0:31]<={VAR7[13:31],{13{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[77:95],{13{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd14:
begin
VAR1[0:31]<={VAR7[14:31],{14{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[78:95],{14{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd15:
begin
VAR1[0:31]<={VAR7[15:31],{15{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[79:95],{15{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd16:
begin
VAR1[0:31]<={VAR7[16:31],{16{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[80:95],{16{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd17:
begin
VAR1[0:31]<={VAR7[17:31],{17{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[81:95],{17{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd18:
begin
VAR1[0:31]<={VAR7[18:31],{18{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[82:95],{18{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd19:
begin
VAR1[0:31]<={VAR7[19:31],{19{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[83:95],{19{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd20:
begin
VAR1[0:31]<={VAR7[20:31],{20{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[84:95],{20{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd21:
begin
VAR1[0:31]<={VAR7[21:31],{21{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[85:95],{21{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd22:
begin
VAR1[0:31]<={VAR7[22:31],{22{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[86:95],{22{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd23:
begin
VAR1[0:31]<={VAR7[23:31],{23{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[87:95],{23{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd24:
begin
VAR1[0:31]<={VAR7[24:31],{24{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[88:95],{24{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd25:
begin
VAR1[0:31]<={VAR7[25:31],{25{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[89:95],{25{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd26:
begin
VAR1[0:31]<={VAR7[26:31],{26{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[90:95],{26{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd27:
begin
VAR1[0:31]<={VAR7[27:31],{27{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[91:95],{27{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd28:
begin
VAR1[0:31]<={VAR7[28:31],{28{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[92:95],{28{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd29:
begin
VAR1[0:31]<={VAR7[29:31],{29{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[93:95],{29{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd30:
begin
VAR1[0:31]<={VAR7[30:31],{30{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[94:95],{30{1'b0}}};
VAR1[96:127]<=32'b0;
end
5'd31:
begin
VAR1[0:31]<={VAR7[31],{31{1'b0}}};
VAR1[32:63]<=32'b0;
VAR1[64:95]<={VAR7[95],{31{1'b0}}};
VAR1[96:127]<=32'b0;
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
3'd1:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[9:15],{1'b0}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[25:31],{1'b0}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[41:47],{1'b0}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[57:63],{1'b0}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[73:79],{1'b0}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[89:95],{1'b0}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[105:111],{1'b0}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[121:127],{1'b0}};
end
3'd2:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[10:15],{2{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[26:31],{2{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[42:47],{2{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[58:63],{2{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[74:79],{2{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[90:95],{2{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[106:111],{2{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[11:15],{3{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[27:31],{3{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[43:47],{3{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[59:63],{3{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[75:79],{3{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[91:95],{3{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[107:111],{3{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[12:15],{4{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[28:31],{4{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[44:47],{4{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[60:63],{4{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[76:79],{4{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[92:95],{4{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[108:111],{4{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[13:15],{5{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[29:31],{5{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[45:47],{5{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[61:63],{5{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[77:79],{5{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[93:95],{5{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[109:111],{5{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[14:15],{6{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[30:31],{6{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[46:47],{6{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[62:63],{6{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[78:79],{6{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[94:95],{6{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[110:111],{6{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR1[0:7]<=8'b0;
VAR1[8:15]<={VAR7[15],{7{1'b0}}};
VAR1[16:23]<=8'b0;
VAR1[24:31]<={VAR7[31],{7{1'b0}}};
VAR1[32:39]<=8'b0;
VAR1[40:47]<={VAR7[47],{7{1'b0}}};
VAR1[48:55]<=8'b0;
VAR1[56:63]<={VAR7[63],{7{1'b0}}};
VAR1[64:71]<=8'b0;
VAR1[72:79]<={VAR7[79],{7{1'b0}}};
VAR1[80:87]<=8'b0;
VAR1[88:95]<={VAR7[95],{7{1'b0}}};
VAR1[96:103]<=8'b0;
VAR1[104:111]<={VAR7[111],{7{1'b0}}};
VAR1[112:119]<=8'b0;
VAR1[120:127]<={VAR7[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
4'd1:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[17:31],{1'b0}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[49:63],{1'b0}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[81:95],{1'b0}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[113:127],{1'b0}};
end
4'd2:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[18:31],{2{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[50:63],{2{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[82:95],{2{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[19:31],{3{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[51:63],{3{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[83:95],{3{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[20:31],{4{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[52:63],{4{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[84:95],{4{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[21:31],{5{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[52:63],{5{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[85:95],{5{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[22:31],{6{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[53:63],{6{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[86:95],{6{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[23:31],{7{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[54:63],{7{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[87:95],{7{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[24:31],{8{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[55:63],{8{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[88:95],{8{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[25:31],{9{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[56:63],{9{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[89:95],{9{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[26:31],{10{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[58:63],{10{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[90:95],{10{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[27:31],{11{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[59:63],{11{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[91:95],{11{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[28:31],{12{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[60:63],{12{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[92:95],{12{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[29:31],{13{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[61:63],{13{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[93:95],{13{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[30:31],{14{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[62:63],{14{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[94:95],{14{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR1[0:15]<=16'b0;
VAR1[16:31]<={VAR7[31],{15{1'b0}}};
VAR1[32:47]<=16'b0;
VAR1[48:63]<={VAR7[63],{15{1'b0}}};
VAR1[64:79]<=16'b0;
VAR1[80:95]<={VAR7[95],{15{1'b0}}};
VAR1[96:111]<=16'b0;
VAR1[112:127]<={VAR7[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:127]<=VAR7[0:127];
end
5'd1:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[33:63],{1'b0}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[97:127],{1'b0}};
end
5'd2:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[34:63],{2{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[35:63],{3{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[36:63],{4{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[37:63],{5{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[38:63],{6{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[39:63],{7{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[40:63],{8{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[41:63],{9{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[42:63],{10{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[43:63],{11{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[44:63],{12{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[45:63],{13{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[46:63],{14{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[47:63],{15{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[48:63],{16{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[49:63],{17{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[50:63],{18{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[51:63],{19{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[52:63],{20{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[53:63],{21{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[54:63],{22{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[55:63],{23{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[56:63],{24{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[57:63],{25{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[58:63],{26{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[59:63],{27{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[60:63],{28{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[61:63],{29{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[62:63],{30{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR1[0:31]<=32'b0;
VAR1[32:63]<={VAR7[63],{31{1'b0}}};
VAR1[64:95]<=32'b0;
VAR1[96:127]<={VAR7[127],{31{1'b0}}};
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:7]<=VAR7[0:7];
VAR1[8:127]<=119'b0;
end
3'd1:
begin
VAR1[0:7]<={VAR7[1:7],{1'b0}};
VAR1[8:127]<=119'b0;
end
3'd2:
begin
VAR1[0:7]<={VAR7[2:7],{2{1'b0}}};
VAR1[8:127]<=119'b0;
end
3'd3:
begin
VAR1[0:7]<={VAR7[3:7],{3{1'b0}}};
VAR1[8:127]<=119'b0;
end
3'd4:
begin
VAR1[0:7]<={VAR7[4:7],{4{1'b0}}};
VAR1[8:127]<=119'b0;
end
3'd5:
begin
VAR1[0:7]<={VAR7[5:7],{5{1'b0}}};
VAR1[8:127]<=119'b0;
end
3'd6:
begin
VAR1[0:7]<={VAR7[6:7],{6{1'b0}}};
VAR1[8:127]<=119'b0;
end
3'd7:
begin
VAR1[0:7]<={VAR7[7],{7{1'b0}}};
VAR1[8:127]<=119'b0;
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:15]<=VAR7[0:15];
VAR1[16:127]<=112'b0;
end
4'd1:
begin
VAR1[0:15]<={VAR7[1:15],{1'b0}};
VAR1[16:127]<=112'b0;
end
4'd2:
begin
VAR1[0:15]<={VAR7[2:15],{2{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd3:
begin
VAR1[0:15]<={VAR7[3:15],{3{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd4:
begin
VAR1[0:15]<={VAR7[4:15],{4{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd5:
begin
VAR1[0:15]<={VAR7[5:15],{5{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd6:
begin
VAR1[0:15]<={VAR7[6:15],{6{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd7:
begin
VAR1[0:15]<={VAR7[7:15],{7{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd8:
begin
VAR1[0:15]<={VAR7[8:15],{8{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd9:
begin
VAR1[0:15]<={VAR7[9:15],{9{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd10:
begin
VAR1[0:15]<={VAR7[10:15],{10{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd11:
begin
VAR1[0:15]<={VAR7[11:15],{11{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd12:
begin
VAR1[0:15]<={VAR7[12:15],{12{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd13:
begin
VAR1[0:15]<={VAR7[13:15],{13{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd14:
begin
VAR1[0:15]<={VAR7[14:15],{14{1'b0}}};
VAR1[16:127]<=112'b0;
end
4'd15:
begin
VAR1[0:15]<={VAR7[15],{15{1'b0}}};
VAR1[16:127]<=112'b0;
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:31]<=VAR7[0:31];
VAR1[32:127]<=96'b0;
end
5'd1:
begin
VAR1[0:31]<={VAR7[1:31],{1'b0}};
VAR1[32:127]<=96'b0;
end
5'd2:
begin
VAR1[0:31]<={VAR7[2:31],{2{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd3:
begin
VAR1[0:31]<={VAR7[3:31],{3{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd4:
begin
VAR1[0:31]<={VAR7[4:31],{4{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd5:
begin
VAR1[0:31]<={VAR7[5:31],{5{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd6:
begin
VAR1[0:31]<={VAR7[6:31],{6{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd7:
begin
VAR1[0:31]<={VAR7[7:31],{7{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd8:
begin
VAR1[0:31]<={VAR7[8:31],{8{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd9:
begin
VAR1[0:31]<={VAR7[9:31],{9{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd10:
begin
VAR1[0:31]<={VAR7[10:31],{10{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd11:
begin
VAR1[0:31]<={VAR7[11:31],{11{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd12:
begin
VAR1[0:31]<={VAR7[12:31],{12{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd13:
begin
VAR1[0:31]<={VAR7[13:31],{13{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd14:
begin
VAR1[0:31]<={VAR7[14:31],{14{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd15:
begin
VAR1[0:31]<={VAR7[15:31],{15{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd16:
begin
VAR1[0:31]<={VAR7[16:31],{16{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd17:
begin
VAR1[0:31]<={VAR7[17:31],{17{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd18:
begin
VAR1[0:31]<={VAR7[18:31],{18{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd19:
begin
VAR1[0:31]<={VAR7[19:31],{19{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd20:
begin
VAR1[0:31]<={VAR7[20:31],{20{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd21:
begin
VAR1[0:31]<={VAR7[21:31],{21{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd22:
begin
VAR1[0:31]<={VAR7[22:31],{22{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd23:
begin
VAR1[0:31]<={VAR7[23:31],{23{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd24:
begin
VAR1[0:31]<={VAR7[24:31],{24{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd25:
begin
VAR1[0:31]<={VAR7[25:31],{25{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd26:
begin
VAR1[0:31]<={VAR7[26:31],{26{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd27:
begin
VAR1[0:31]<={VAR7[27:31],{27{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd28:
begin
VAR1[0:31]<={VAR7[28:31],{28{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd29:
begin
VAR1[0:31]<={VAR7[29:31],{29{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd30:
begin
VAR1[0:31]<={VAR7[30:31],{30{1'b0}}};
VAR1[32:127]<=96'b0;
end
5'd31:
begin
VAR1[0:31]<={VAR7[31],{31{1'b0}}};
VAR1[32:127]<=96'b0;
end
endcase
end
endcase
end
case(VAR2)
begin
case(VAR3[2:4])
3'd0:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<=VAR7[120:127];
end
3'd1:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[121:127],{1'b0}};
end
3'd2:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR1[0:119]<=120'b0;
VAR1[120:127]<={VAR7[127],{7{1'b0}}};
end
endcase
end
begin
case(VAR3[1:4])
4'd0:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<=VAR7[112:127];
end
4'd1:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[113:127],{1'b0}};
end
4'd2:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR1[0:111]<=112'b0;
VAR1[112:127]<={VAR7[127],{15{1'b0}}};
end
endcase
end
begin
case(VAR3[0:4])
5'd0:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<=VAR7[96:127];
end
5'd1:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[97:127],{1'b0}};
end
5'd2:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR1[0:95]<=96'b0;
VAR1[96:127]<={VAR7[127],{31{1'b0}}};
end
endcase
end
endcase
end
endcase
end
default:
begin
VAR1<=128'd0;
end
endcase
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nor2/gf180mcu_fd_sc_mcu7t5v0__nor2_4.behavioral.pp.v
| 1,254 |
module MODULE1( VAR1, VAR3, VAR6, VAR8, VAR7 );
input VAR6, VAR3;
inout VAR8, VAR7;
output VAR1;
VAR2 VAR4(.VAR1(VAR1),.VAR3(VAR3),.VAR6(VAR6),.VAR8(VAR8),.VAR7(VAR7));
VAR2 VAR5(.VAR1(VAR1),.VAR3(VAR3),.VAR6(VAR6),.VAR8(VAR8),.VAR7(VAR7));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a311o/sky130_fd_sc_hd__a311o.symbol.v
| 1,387 |
module MODULE1 (
input VAR1,
input VAR6,
input VAR5,
input VAR8,
input VAR3,
output VAR4
);
supply1 VAR9;
supply0 VAR2;
supply1 VAR7 ;
supply0 VAR10 ;
endmodule
|
apache-2.0
|
vad-rulezz/megabot
|
fusesoc/orpsoc-cores/trunk/systems/neek/backend/rtl/verilog/ddr_ctrl_ip/alt_mem_ddrx_odt_gen.v
| 14,233 |
module MODULE1
VAR35 = 2,
VAR16 = 1,
VAR37 = 2, VAR33 = 2,
VAR8 = 1,
VAR31 = 4,
VAR7 = 4,
VAR38 = 3,
VAR12 = 3,
VAR41 = 4,
VAR4 = 4
)
(
VAR43,
VAR18,
VAR32,
VAR20,
VAR46,
VAR29,
VAR22,
VAR9,
VAR44,
VAR11,
VAR23,
VAR17,
VAR13,
VAR14,
VAR10
);
input VAR43;
input VAR18;
input [VAR12 -1:0] VAR32;
input [VAR7 -1:0] VAR20;
input [VAR31 -1:0] VAR46;
input [VAR38 -1:0] VAR29;
input [VAR41 -1:0] VAR22;
input [VAR4 -1:0] VAR9;
input [4:0] VAR44;
input [VAR8 -1:0] VAR11;
input VAR23;
input VAR17;
input VAR13;
input [VAR37 -1:0] VAR14;
output [(VAR33*(VAR35/2))-1:0] VAR10;
wire [VAR33-1:0] VAR19 [VAR37-1:0];
wire [VAR33-1:0] VAR15 [VAR37-1:0];
wire [VAR33-1:0] VAR21;
wire [VAR33-1:0] VAR40;
wire [VAR33-1:0] VAR30;
wire [VAR33-1:0] VAR24;
wire [VAR33-1:0] VAR39;
wire [VAR33-1:0] VAR1;
reg [VAR33-1:0] VAR3;
reg [VAR33-1:0] VAR6;
reg [VAR33-1:0] VAR26;
reg [VAR33-1:0] VAR25;
reg [VAR33-1:0] VAR34;
reg [VAR33-1:0] VAR45;
integer VAR42;
generate
genvar VAR28;
begin : VAR36
for (VAR28=0; VAR28<VAR37; VAR28=VAR28+1)
begin : VAR5
assign VAR19[VAR28] = VAR22 [(VAR28*VAR33)+VAR33-1:VAR28*VAR33];
assign VAR15[VAR28] = VAR9 [(VAR28*VAR33)+VAR33-1:VAR28*VAR33];
end
end
endgenerate
always @
begin
if (VAR32 == VAR2)
begin
VAR3 = VAR21;
VAR6 = VAR40;
VAR26 = {(VAR33){1'b0}};
VAR25 = {(VAR33){1'b0}};
end
else if (VAR32 == VAR27)
begin
VAR3 = VAR30;
VAR6 = VAR24;
VAR26 = VAR39;
VAR25 = VAR1;
end
else
begin
VAR3 = {(VAR33){1'b0}};
VAR6 = {(VAR33){1'b0}};
VAR26 = {(VAR33){1'b0}};
VAR25 = {(VAR33){1'b0}};
end
end
generate
if (VAR16 == 1)
begin
if (VAR35 == 2) assign VAR10 = VAR3;
end
else if (VAR35 == 4) assign VAR10 = {VAR6,VAR3};
else if (VAR35 == 8) assign VAR10 = {VAR6,VAR25, VAR26, VAR3};
end
else
assign VAR10 = {(VAR33 * (VAR35/2)){1'b0}};
endgenerate
endmodule
|
gpl-2.0
|
hydai/Verilog-Practice
|
DigitalDesign/101062124_hw4/fifo_64x16.v
| 2,702 |
module MODULE1 (
input clk,
input VAR23,
input VAR22,
input VAR1,
input [15:0] VAR29,
output VAR27,
output VAR28,
output VAR13,
output VAR33,
output VAR37,
output reg [15:0] VAR14
);
wire [5:0] addr;
wire VAR18;
wire VAR6;
wire VAR17;
wire [15:0] VAR10;
wire [7:0] VAR26, VAR3, VAR12, VAR34;
reg [7:0] VAR31, VAR5, VAR35, VAR36;
reg [5:0] VAR7;
VAR9 VAR11 (
.clk(clk),
.VAR23(VAR23),
.VAR22(VAR22),
.VAR1(VAR1),
.VAR27(VAR27),
.VAR28(VAR28),
.VAR13(VAR13),
.VAR33(VAR33),
.VAR37(VAR37),
.VAR18(VAR18),
.VAR17(VAR17),
.VAR6(VAR6),
.addr(addr)
);
VAR25 VAR19 (
.VAR20(VAR26),
.VAR16(clk),
.VAR32(VAR18),
.VAR24(VAR17),
.VAR2(VAR7[4:0]),
.VAR8(VAR31),
.VAR21(VAR6)
);
VAR25 VAR4 (
.VAR20(VAR3),
.VAR16(clk),
.VAR32(VAR18),
.VAR24(VAR17),
.VAR2(VAR7[4:0]),
.VAR8(VAR5),
.VAR21(VAR6)
);
VAR25 VAR15 (
.VAR20(VAR12),
.VAR16(clk),
.VAR32(VAR18),
.VAR24(VAR17),
.VAR2(VAR7[4:0]),
.VAR8(VAR35),
.VAR21(VAR6)
);
VAR25 VAR30 (
.VAR20(VAR34),
.VAR16(clk),
.VAR32(VAR18),
.VAR24(VAR17),
.VAR2(VAR7[4:0]),
.VAR8(VAR34),
.VAR21(VAR6)
);
always @(*) begin
if (addr[4] == 1) begin
VAR14 = {VAR12, VAR34};
{VAR35, VAR36} = VAR29;
{VAR31, VAR5} = {VAR31, VAR5};
end else begin
VAR14 = {VAR26, VAR3};
{VAR31, VAR5} = VAR29;
{VAR35, VAR36} = {VAR35, VAR36};
end
end
endmodule
|
mit
|
DSDL2016/project2
|
source/project2_top.v
| 6,283 |
module MODULE1 (
input VAR94,
input VAR90,
input VAR36,
output VAR81,
input VAR11,
output VAR71,
input VAR101,
output VAR102,
inout VAR32, output VAR63,
inout VAR98, inout VAR57, input VAR3, output VAR49, inout VAR22, output VAR40,
output VAR55,
input VAR45,
output VAR59,
input VAR68,
output VAR12,
input VAR83,
output VAR104,
input VAR99,
output VAR103,
output VAR13,
output VAR61,
output [6:0] VAR76, VAR84,
output [6:0] VAR27, VAR28,
output [6:0] VAR24, VAR97,
output [6:0] VAR82, VAR7,
output [7:0] VAR42,
output VAR91, VAR6, VAR79,
output VAR47, VAR18,
output [10:0] VAR80
);
genvar VAR52;
wire VAR60;
wire [3:0] VAR10 = { VAR45, VAR68, VAR83, VAR99 };
wire [3:0] VAR78;
wire [6:0] VAR25 [0:3][0:1];
VAR87 VAR39 (
.VAR70 (VAR90),
.reset (VAR60)
);
generate
for (VAR52 = 0; VAR52 < 4; VAR52 = VAR52+1) begin: VAR34
VAR37 VAR95 (
.VAR70 (VAR90),
.VAR92 (VAR10[VAR52]),
.VAR72 (1'b1),
.VAR58 (VAR78[VAR52])
);
end
endgenerate
assign { VAR59, VAR12, VAR104, VAR103 } = VAR78;
assign { VAR71, VAR102, VAR81 }
= { VAR11, VAR101, VAR36};
wire VAR73, VAR93;
wire VAR64, VAR89;
wire VAR9;
VAR20 fsm (
.VAR70 (VAR90),
.reset (1'b0),
.VAR35 (VAR78),
.VAR48 (VAR48),
.VAR56 (VAR9),
.VAR73 (VAR73),
.VAR93 (VAR93),
.VAR64 (VAR64),
.VAR89 (VAR89)
);
assign VAR13 = VAR73;
localparam VAR2 = 4;
wire [7*VAR2-1:0] VAR77;
VAR15 VAR31 (
.VAR70 (VAR90),
.VAR16 (VAR73),
.reset (VAR93),
.VAR50 (VAR77),
.VAR56 (VAR9)
);
wire [6:0] VAR50 [0:3];
parameter [1:0] VAR44 = 2'd0,
VAR88 = 2'd1,
VAR21 = 2'd2,
VAR14 = 2'd3;
generate
for (VAR52 = 0; VAR52 < 4; VAR52 = VAR52+1) begin: VAR100
assign VAR50[VAR52] = VAR77[7*(VAR52+1)-1 -: 7];
end
endgenerate
wire [3:0] VAR17 [0:3][0:1];
generate
for (VAR52 = 0; VAR52 < 4; VAR52 = VAR52+1) begin: VAR46
VAR29 VAR69 (
.VAR1 (VAR50[VAR52]),
.VAR54 (VAR17[VAR52][1]),
.VAR4 (VAR17[VAR52][0])
);
VAR86 VAR26 (
.VAR17 (VAR17[VAR52][1]),
.VAR30 (1'b0),
.VAR72 (1'b1),
.VAR105 (VAR25[VAR52][1])
);
VAR86 VAR41 (
.VAR17 (VAR17[VAR52][0]),
.VAR30 (1'b0),
.VAR72 (1'b1),
.VAR105 (VAR25[VAR52][0])
);
end
endgenerate
assign {VAR84, VAR76} = {VAR25[VAR44][0], VAR25[VAR44][1]};
assign {VAR28, VAR27} = {VAR25[VAR88][0], VAR25[VAR88][1]};
assign {VAR97, VAR24} = {VAR25[VAR21][0], VAR25[VAR21][1]};
assign {VAR7, VAR82} = {VAR25[VAR14][0], VAR25[VAR14][1]};
generate
for (VAR52 = 0; VAR52 < 10; VAR52 = VAR52+1) begin: VAR43
assign VAR80[VAR52] = VAR11 &
((VAR17[0][1] == VAR52 && ~ VAR17[1][0][0]) || (VAR17[0][1] == (10 - VAR52) && VAR17[1][0][0]));
end
endgenerate
assign VAR80[10] = VAR11 & (VAR17[0][1] == 4'd0 && VAR17[1][0][0]);
wire [2*4*4-1:0] VAR74;
generate
for (VAR52 = 0; VAR52 < 4; VAR52 = VAR52+1) begin: VAR62
assign VAR74[8*VAR52 +: 8] = {VAR17[3-VAR52][0], VAR17[3-VAR52][1]};
end
endgenerate
wire [7:0] VAR67;
wire [4:0] VAR51;
assign VAR42 = VAR67;
assign {VAR91, VAR6, VAR79, VAR47, VAR18} = VAR51;
VAR65 VAR65 (
.VAR70 (VAR90),
.reset (VAR60),
.VAR66 (VAR64),
.VAR75 (VAR74),
.VAR8 (VAR89),
.VAR38 (VAR48),
.VAR42 (VAR67),
.VAR53 (VAR51)
);
assign VAR61 = VAR48;
wire VAR23 = (VAR17[0][1] == 4'b0000) && VAR13 && VAR101;
VAR19 VAR5 (
.VAR94 (VAR94),
.VAR90 (VAR90),
.VAR96 (VAR45 & VAR68 & VAR83 & ~VAR23),
.VAR33 (VAR99),
.VAR85 (VAR36),
.VAR32 (VAR32),
.VAR63 (VAR63),
.VAR98 (VAR98),
.VAR3 (VAR3),
.VAR57 (VAR57),
.VAR49 (VAR49),
.VAR22 (VAR22),
.VAR40 (VAR40),
.VAR55 (VAR55)
);
endmodule
|
mit
|
hoglet67/CoPro6502
|
src/wb/arm2/wb_tube.v
| 4,346 |
module MODULE1 #(
parameter VAR8 = 0 ) (
input clk,
input reset,
input VAR21,
input VAR12,
output reg VAR16,
input VAR15,
input [2:0] VAR13,
input [3:0] VAR3,
input [31:0] VAR19,
output reg [31:0] VAR18,
output reg [2:0] VAR4,
inout [7:0] VAR20,
output reg VAR23, output reg VAR9, output reg VAR22 );
wire VAR2 = VAR21 & VAR12 & ~VAR15 & ~VAR16;
wire VAR14 = VAR21 & VAR12 & VAR15 & ~VAR16;
reg [7:0] VAR6;
reg VAR17;
assign VAR20 = VAR17 ? VAR6 : 8'VAR11;
reg [2:0] VAR5;
parameter VAR7 = 0;
parameter VAR1 = 1;
parameter VAR10 = 2;
reg [2:0] state;
always @(posedge clk)
begin
if (reset) begin
state <= VAR7;
VAR5 <= 0;
VAR16 <= 0;
end else begin
case (state)
VAR7: begin
VAR16 <= 0;
if (VAR2) begin
VAR23 <= 0;
VAR9 <= 0;
VAR22 <= 1;
VAR4 <= VAR13;
VAR17 <= 0;
VAR5 <= VAR8;
state <= VAR1;
end else if (VAR14) begin
VAR23 <= 0;
VAR9 <= 1;
VAR22 <= 0;
VAR4 <= VAR13;
VAR6 <= VAR19[7:0];
VAR17 <= 1;
VAR5 <= VAR8;
state <= VAR10;
end else begin
VAR23 <= 1;
VAR9 <= 1;
VAR22 <= 1;
VAR17 <= 0;
end
end
VAR1: begin
if (VAR5 != 0) begin
VAR5 <= VAR5 - 1;
end else begin
VAR23 <= 1;
VAR9 <= 1;
VAR22 <= 1;
VAR18 <= VAR20;
VAR16 <= 1;
state <= VAR7;
end
end
VAR10: begin
if (VAR5 != 0) begin
VAR5 <= VAR5 - 1;
end else begin
VAR23 <= 1;
VAR9 <= 1;
VAR22 <= 1;
VAR16 <= 1; state <= VAR7; end
end
endcase
end
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o221a/sky130_fd_sc_hs__o221a.pp.symbol.v
| 1,368 |
module MODULE1 (
input VAR4 ,
input VAR8 ,
input VAR6 ,
input VAR2 ,
input VAR1 ,
output VAR7 ,
input VAR5,
input VAR3
);
endmodule
|
apache-2.0
|
Jam-G/MIPS
|
ID_EX.v
| 2,700 |
module MODULE1(
input VAR18,
input VAR29,
input VAR10,
input [31:0]VAR47,
input [5:0]VAR16,
input [2:0]VAR13,
input VAR22,
input VAR42,
input VAR11,
input VAR8,
input VAR9,
input [1:0] VAR32,
input VAR33,
input VAR2,
input [3:0]VAR46,
input [1:0]VAR37,
input VAR41,
input [1:0]VAR28,
input [31:0]VAR25,
input [31:0]VAR39,
input [4:0]VAR6,
input [4:0]VAR36,
input [4:0]VAR17,
input [31:0]VAR48,
input [4:0]VAR44,
input VAR30,
output reg [31:0]VAR38,
output reg [5:0]VAR14,
output reg [2:0]VAR4,
output reg VAR27,
output reg VAR15,
output reg VAR24,
output reg VAR43,
output reg VAR35,
output reg [1:0]VAR31,
output reg VAR21,
output reg VAR1,
output reg [3:0]VAR7,
output reg [1:0]VAR23,
output reg VAR20,
output reg [1:0]VAR26,
output reg [31:0]VAR34,
output reg [31:0]VAR5,
output reg [4:0]VAR19,
output reg [4:0]VAR12,
output reg [4:0]VAR45,
output reg [31:0]VAR49,
output reg [4:0]VAR3,
output reg VAR40
);
begin
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dff_pr_pp_sn/sky130_fd_sc_hs__udp_dff_pr_pp_sn.blackbox.v
| 1,394 |
module MODULE1 (
VAR6 ,
VAR2 ,
VAR4 ,
VAR1 ,
VAR3 ,
VAR5
);
output VAR6 ;
input VAR2 ;
input VAR4 ;
input VAR1 ;
input VAR3 ;
input VAR5;
endmodule
|
apache-2.0
|
OpticalMeasurementsSystems/2DImageProcessing
|
src/dragster_spi_adapter.v
| 4,341 |
module MODULE1 #
(
VAR7 = 3,
VAR1 = 128,
VAR12 = 16
)
(
input wire clk,
input wire reset,
input wire VAR2,
output wire VAR4,
input wire VAR22,
input wire[1:0] VAR6,
output reg VAR14,
input wire VAR9,
output wire VAR11,
output reg[1:0] VAR20
);
reg[VAR12 - 1 : 0] VAR17[VAR1 - 1 : 0];
reg[1:0] VAR5[VAR1 - 1 : 0];
reg[3:0] VAR3;
reg[3:0] VAR10;
reg[7:0] VAR21;
reg VAR13;
reg VAR15;
reg[7:0] VAR18;
reg[7:0] VAR19;
reg[7:0] VAR8;
integer counter;
assign VAR11 = VAR15 ? clk : 0;
always @ (negedge clk)
begin
if(!reset)
begin
VAR19 <= 0;
VAR3 <= 0;
VAR14 <= 0;
VAR20 <= 3;
VAR15 <= 0;
VAR13 <= 0;
VAR21 <= 0;
end
else
begin
if(VAR18 > 0 && VAR19 < VAR18)
begin
VAR15 <= 1;
if(VAR15 && ~VAR13)
begin
VAR3 <= VAR3 + 1;
VAR20 <= VAR5[VAR19];
VAR14 <= VAR17[VAR19][VAR3];
if(VAR3 == VAR12 - 1)
VAR13 <= 1;
end
else
begin
VAR21 <= VAR21 + 1;
if(VAR7 == 0 || VAR21 == VAR7)
begin
VAR21 <= 0;
VAR13 <= 0;
VAR15 <= 0;
VAR20 <= 3;
VAR14 <= 0;
if(VAR19 == VAR1 - 1)
VAR19 <= 0;
end
else VAR19 <= VAR19 + 1;
VAR3 <= 0;
end
end
end
end
end
reg[15:0] VAR16;
always @ (negedge reset or negedge VAR22)
begin
if(!reset)
begin
for(counter = 0; counter < VAR1; counter = counter + 1)
begin
VAR5[counter] = 3;
VAR17[counter] = 0;
end
VAR18 = 0;
VAR10 = 0;
end
else
begin
VAR10 = VAR10 + 1;
VAR16[VAR10 - 1] = VAR2;
if(VAR10 == 0) begin
VAR16[15] = VAR2;
VAR17[VAR18] = VAR16;
VAR5[VAR18] = VAR6;
if(VAR18 == VAR1 - 1)
VAR18 = 0;
end
else VAR18 = VAR18 + 1;
VAR10 = 0;
end
end
end
endmodule
|
gpl-2.0
|
Kumikomi/openreroc_pwm
|
hardware/src/motor_ctl.v
| 2,823 |
module MODULE1(
input clk,
input VAR30,
input [31:0] VAR28,
input [0:0] VAR5,
input [0:0] VAR12,
output [31:0] VAR17,
output [0:0] VAR6,
output [0:0] VAR39,
output VAR3,
output VAR4,
output VAR9,
output VAR25
);
parameter VAR21 = 0,
VAR7 = 1,
VAR1 = 2,
VAR14 = 3,
VAR11 = 4,
VAR41 = 5;
wire [31:0] VAR13;
wire VAR2;
wire VAR26;
wire [31:0] VAR22;
wire VAR37;
wire VAR34;
reg [3:0] VAR16;
VAR18 VAR27(
.clk(clk),
.VAR43(VAR30),
.din(VAR28),
.VAR10(VAR5),
.VAR31(VAR6),
.dout(VAR13),
.VAR8(VAR2),
.VAR23(VAR26)
);
VAR18 VAR29(
.clk(clk),
.VAR43(VAR30),
.din(VAR22),
.VAR10(VAR37),
.VAR31(VAR34),
.dout(VAR17),
.VAR8(VAR12),
.VAR23(VAR39)
);
reg VAR38;
reg [14:0] VAR19;
reg VAR35;
reg [14:0] VAR20;
VAR40 VAR42
(
.clk(clk),
.rst(VAR30),
.VAR36(VAR19),
.VAR15(VAR38),
.VAR32(VAR3),
.VAR33(VAR9)
);
VAR40 VAR24
(
.clk(clk),
.rst(VAR30),
.VAR36(VAR20),
.VAR15(VAR35),
.VAR32(VAR4),
.VAR33(VAR25)
);
always @(posedge clk)begin
if(VAR30)
VAR16 <= 0;
end
else
case (VAR16)
VAR21: VAR16 <= VAR7;
VAR7: if(VAR26 == 0) VAR16 <= VAR1;
VAR1: VAR16 <= VAR14;
VAR14: VAR16 <= VAR11;
VAR11: if(1) VAR16 <= VAR41;
VAR41: VAR16 <= VAR7;
endcase
end
assign VAR2 = (VAR16 == VAR1);
assign VAR37 = (VAR16 == VAR41);
always @(posedge clk)begin
if(VAR30)begin
VAR38 <= 0;
VAR19 <= 0;
VAR35 <= 0;
VAR20 <= 0;
end
else if (VAR16 == VAR1)begin
VAR38 <= VAR28[0:0];
VAR19 <= VAR28[15:1];
VAR35 <= VAR28[16:16];
VAR20 <= VAR28[31:17];
end
end
endmodule
|
bsd-3-clause
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
source/hardware/low-level-nfc/llnfc-ddr200mt-1.0.0/NPCG_Toggle_BNC_P_read_DT00h.v
| 10,236 |
module MODULE1
(
parameter VAR51 = 4
)
(
VAR11 ,
VAR21 ,
VAR50 ,
VAR52 ,
VAR23 ,
VAR16 ,
VAR27 ,
VAR39 ,
VAR20 ,
VAR25 ,
VAR44 ,
VAR33 ,
VAR22 ,
VAR30 ,
VAR24 ,
VAR6 ,
VAR4 ,
VAR40 ,
VAR26 ,
VAR28 ,
VAR32 ,
VAR17 ,
VAR34 ,
VAR13 ,
VAR36 ,
VAR1 ,
VAR41 ,
VAR35 ,
VAR31
);
input VAR11 ;
input VAR21 ;
input [5:0] VAR50 ;
input [4:0] VAR52 ;
input [4:0] VAR23 ;
input [15:0] VAR16 ;
input VAR27 ;
output VAR39 ;
output [31:0] VAR20 ;
output VAR25 ;
output VAR44 ;
input VAR33 ;
input [VAR51 - 1:0] VAR22 ;
input [15:0] VAR30 ;
input [23:0] VAR24 ;
output VAR6 ;
output VAR4 ;
input [7:0] VAR40 ;
input [7:0] VAR26 ;
output [7:0] VAR28 ;
output [2:0] VAR32 ;
output [VAR51 - 1:0] VAR17 ;
output [15:0] VAR34 ;
output VAR13 ;
output [7:0] VAR36 ;
input [31:0] VAR1 ;
input VAR41 ;
input VAR35 ;
output VAR31 ;
reg [VAR51 - 1:0] VAR48 ;
reg [15:0] VAR10 ;
reg [23:0] VAR46 ;
wire VAR5;
reg [7:0] VAR45 ;
reg [2:0] VAR7 ;
reg [15:0] VAR42 ;
reg [15:0] VAR43 ;
reg [7:0] VAR3 ;
reg VAR47 ;
localparam VAR37 = 4'b0000 ;
localparam VAR9 = 4'b0001 ;
localparam VAR15 = 4'b0011 ;
localparam VAR38 = 4'b0010 ;
localparam VAR2 = 4'b0110 ;
localparam VAR18 = 4'b0111 ;
localparam VAR19 = 4'b0101 ;
localparam VAR29 = 4'b0100 ;
localparam VAR8 = 4'b1100 ;
localparam VAR14 = 4'b1101 ;
localparam VAR12 = 4'b1111 ;
reg [3:0] VAR53 ;
reg [3:0] VAR49 ;
always @ (posedge VAR11)
if (VAR21)
VAR53 <= VAR37;
else
VAR53 <= VAR49;
always @
case (VAR53)
VAR9:
VAR45 <= 8'b01000000;
VAR15:
VAR45 <= 8'b00001000;
VAR29:
VAR45 <= 8'b00000001;
VAR8:
VAR45 <= 8'b00000010;
VAR14:
VAR45 <= 8'b00000001;
default:
VAR45 <= 8'b00000000;
endcase
always @
if (VAR21)
VAR42 <= 0;
else
case (VAR53)
VAR15:
VAR42 <= 16'd3;
VAR29:
VAR42 <= 16'd33; VAR8:
VAR42 <= VAR43;
VAR14:
VAR42 <= 16'd3; default:
VAR42 <= 0;
endcase
always @
if (VAR21)
VAR3 <= 0;
else
case (VAR53)
VAR38:
VAR3 <= 8'h05;
VAR2:
VAR3 <= VAR10[7:0];
VAR18:
VAR3 <= VAR10[15:8];
VAR19:
VAR3 <= 8'hE0;
default:
VAR3 <= 0;
endcase
assign VAR6 = VAR5;
assign VAR4 = (VAR53 == VAR12) & VAR26[0];
assign VAR20 = VAR1;
assign VAR25 = VAR41;
assign VAR44 = VAR35;
assign VAR31 = VAR33;
assign VAR28 = VAR45;
assign VAR32 = VAR7; assign VAR17 = VAR48;
assign VAR34 = VAR42 ;
assign VAR13 = VAR47;
assign VAR36 = VAR3;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/busreceiver/sky130_fd_sc_lp__busreceiver_1.v
| 2,086 |
module MODULE2 (
VAR5 ,
VAR3 ,
VAR2,
VAR7,
VAR6 ,
VAR1
);
output VAR5 ;
input VAR3 ;
input VAR2;
input VAR7;
input VAR6 ;
input VAR1 ;
VAR8 VAR4 (
.VAR5(VAR5),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR5,
VAR3
);
output VAR5;
input VAR3;
supply1 VAR2;
supply0 VAR7;
supply1 VAR6 ;
supply0 VAR1 ;
VAR8 VAR4 (
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/impl/verilog/FIFO_image_filter_img_1_data_stream_0_V.v
| 3,017 |
module MODULE2 (
clk,
VAR4,
VAR16,
VAR15,
VAR2);
parameter VAR10 = 32'd8;
parameter VAR14 = 32'd1;
parameter VAR3 = 32'd2;
input clk;
input [VAR10-1:0] VAR4;
input VAR16;
input [VAR14-1:0] VAR15;
output [VAR10-1:0] VAR2;
reg[VAR10-1:0] VAR26 [0:VAR3-1];
integer VAR24;
always @ (posedge clk)
begin
if (VAR16)
begin
for (VAR24=0;VAR24<VAR3-1;VAR24=VAR24+1)
VAR26[VAR24+1] <= VAR26[VAR24];
VAR26[0] <= VAR4;
end
end
assign VAR2 = VAR26[VAR15];
endmodule
module MODULE1 (
clk,
reset,
VAR25,
VAR22,
VAR5,
VAR6,
VAR18,
VAR13,
VAR11,
VAR23);
parameter VAR21 = "VAR19";
parameter VAR10 = 32'd8;
parameter VAR14 = 32'd1;
parameter VAR3 = 32'd2;
input clk;
input reset;
output VAR25;
input VAR22;
input VAR5;
output[VAR10 - 1:0] VAR6;
output VAR18;
input VAR13;
input VAR11;
input[VAR10 - 1:0] VAR23;
wire[VAR14 - 1:0] VAR1 ;
wire[VAR10 - 1:0] VAR17, VAR27;
reg[VAR14:0] VAR7 = {(VAR14+1){1'b1}};
reg VAR9 = 0, VAR20 = 1;
assign VAR25 = VAR9;
assign VAR18 = VAR20;
assign VAR17 = VAR23;
assign VAR6 = VAR27;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR7 <= ~{VAR14+1{1'b0}};
VAR9 <= 1'b0;
VAR20 <= 1'b1;
end
else begin
if (((VAR5 & VAR22) == 1 & VAR9 == 1) &&
((VAR11 & VAR13) == 0 | VAR20 == 0))
begin
VAR7 <= VAR7 -1;
if (VAR7 == 0)
VAR9 <= 1'b0;
VAR20 <= 1'b1;
end
else if (((VAR5 & VAR22) == 0 | VAR9 == 0) &&
((VAR11 & VAR13) == 1 & VAR20 == 1))
begin
VAR7 <= VAR7 +1;
VAR9 <= 1'b1;
if (VAR7 == VAR3-2)
VAR20 <= 1'b0;
end
end
end
assign VAR1 = VAR7[VAR14] == 1'b0 ? VAR7[VAR14-1:0]:{VAR14{1'b0}};
assign VAR12 = (VAR11 & VAR13) & VAR20;
MODULE2
.VAR10(VAR10),
.VAR14(VAR14),
.VAR3(VAR3))
VAR8 (
.clk(clk),
.VAR4(VAR17),
.VAR16(VAR12),
.VAR15(VAR1),
.VAR2(VAR27));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o32a/sky130_fd_sc_ms__o32a.functional.pp.v
| 2,188 |
module MODULE1 (
VAR19 ,
VAR14 ,
VAR16 ,
VAR13 ,
VAR20 ,
VAR7 ,
VAR11,
VAR1,
VAR3 ,
VAR4
);
output VAR19 ;
input VAR14 ;
input VAR16 ;
input VAR13 ;
input VAR20 ;
input VAR7 ;
input VAR11;
input VAR1;
input VAR3 ;
input VAR4 ;
wire VAR12 ;
wire VAR10 ;
wire VAR6 ;
wire VAR15;
or VAR5 (VAR12 , VAR16, VAR14, VAR13 );
or VAR9 (VAR10 , VAR7, VAR20 );
and VAR18 (VAR6 , VAR12, VAR10 );
VAR8 VAR17 (VAR15, VAR6, VAR11, VAR1);
buf VAR2 (VAR19 , VAR15 );
endmodule
|
apache-2.0
|
dagrende/quad_stepper
|
qsfpga/qsfpga.v
| 1,072 |
module MODULE1(VAR8, VAR12, VAR20, VAR11, VAR15, VAR24, VAR9, VAR7);
parameter VAR14 = 32;
input VAR8, VAR12;
output VAR11;
input VAR20, VAR15, VAR24;
output VAR9, VAR7;
wire clk;
VAR4 #(
.VAR2("53.2")
) VAR16 (
.VAR17(1'b0),
.VAR10(clk)
);
reg [VAR14 - 1: 0] VAR22;
reg VAR9;
wire [VAR14 * 2 - 1: 0] VAR13;
wire [VAR14 - 1:0] VAR19;
wire [VAR14 - 1: 0] VAR21;
wire [VAR14 * 2 - 1: 0] VAR23;
assign VAR23[VAR14 * 2 - 1:VAR14] = VAR19;
assign VAR23[VAR14 - 1:0] = VAR21;
VAR25 #(VAR14) counter(clk, VAR8, VAR12, VAR19);
VAR18 #(VAR14) VAR3(clk, VAR22, VAR21, VAR9, VAR7);
VAR1 #(VAR14 * 2) VAR5(clk, VAR20, VAR11, VAR15, VAR24, VAR23, VAR13, VAR6);
always @(posedge VAR6)
begin
VAR22 = VAR13[VAR14 - 1:0];
VAR9 = VAR13[VAR14];
end
endmodule
|
apache-2.0
|
jotego/jt51
|
ver/common/sep32_cnt.v
| 1,039 |
module MODULE1(
input clk,
input VAR3,
input VAR2,
output reg [4:0] VAR4
);
reg VAR1;
always @(posedge clk) if(VAR3) begin : VAR5
VAR1 <= VAR2;
VAR4 <= (VAR2&&!VAR1) ? 5'd1 : VAR4 + 5'b1;
end
endmodule MODULE1
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/lucy_tc/de3d_tc_fmt.v
| 9,780 |
module MODULE1
(
input VAR7,
input [5:0] VAR5,
output reg [2:0] VAR26, output reg [4:0] VAR20, output VAR1
);
parameter VAR18 = 3'h0,
VAR22 = 3'h1,
VAR8 = 3'h2,
VAR15 = 3'h3,
VAR9 = 3'h4,
VAR11 = 3'h5,
VAR13 = 5'd0,
VAR19 = 5'd1,
VAR12 = 5'd2,
VAR28 = 5'd3,
VAR16 = 5'd4,
VAR25 = 5'd5,
VAR14 = 5'd6,
VAR2 = 5'd7,
VAR10 = 5'd8,
VAR3 = 5'd9,
VAR27 = 5'd10,
VAR21 = 5'd11,
VAR6 = 5'd12,
VAR4 = 5'd13,
VAR23 = 5'd14,
VAR24 = 5'd15,
VAR17 = 5'd16;
always @(posedge VAR7) begin
casex (VAR5)
6'b000000, 6'b000001, 6'b000010, 6'b000011,
6'b011000: VAR26 = VAR18;
6'b000100, 6'b000101, 6'b000110, 6'b000111,
6'b011001: VAR26 = VAR22;
6'b100000, 6'b100100, 6'b101100, 6'b001000,
6'b001001, 6'b001010, 6'b001011, 6'b011010: VAR26 = VAR8;
6'b100001, 6'b100101, 6'b101000, 6'b101001,
6'b101101, 6'b110000, 6'b001100, 6'b001101,
6'b001110, 6'b001111, 6'b011100, 6'b011101,
6'b111110, 6'b111111, 6'b011110: VAR26 = VAR15;
6'b101010, 6'b010000, 6'b010001, 6'b010010,
6'b010011: VAR26 = VAR9;
default: VAR26 = VAR11;
endcase
end
always @(posedge VAR7) begin
casex (VAR5)
6'b000000, 6'b000100, 6'b001000, 6'b001110,
6'b010001: VAR20 = VAR13;
6'b000001, 6'b000101, 6'b001001, 6'b001111,
6'b010010: VAR20 = VAR19;
6'b000010, 6'b000110, 6'b001010, 6'b011100,
6'b010000: VAR20 = VAR12;
6'b000011, 6'b000111, 6'b001011, 6'b011101,
6'b010100, 6'b111110, 6'b111111: VAR20 = VAR28;
6'b011110, 6'b010011, 6'b011000, 6'b011001,
6'b011010: VAR20 = VAR16;
6'b001100: VAR20 = VAR25;
6'b001101: VAR20 = VAR14;
6'b100000: VAR20 = VAR2;
6'b100001: VAR20 = VAR10;
6'b100100: VAR20 = VAR3;
6'b100101: VAR20 = VAR27;
6'b101000: VAR20 = VAR21;
6'b101001: VAR20 = VAR6;
6'b101010: VAR20 = VAR4;
6'b101100: VAR20 = VAR23;
6'b101101: VAR20 = VAR24;
default: VAR20 = VAR17;
endcase
end
assign VAR1 = (VAR5 == 6'h00) | |(VAR5 == 6'h01) || (VAR5 == 6'h02) || (VAR5 == 6'h03) ||
(VAR5 == 6'h04) | |(VAR5 == 6'h05) || (VAR5 == 6'h06) || (VAR5 == 6'h07) ||
(VAR5 == 6'h08) | |(VAR5 == 6'h09) || (VAR5 == 6'h0A) || (VAR5 == 6'h0B) ||
(VAR5 == 6'h18) | |(VAR5 == 6'h19) || (VAR5 == 6'h1A) || (VAR5 == 6'h0E) ||
(VAR5 == 6'h1A) | |(VAR5 == 6'h0F) || (VAR5 == 6'h1C) || (VAR5 == 6'h1D) ||
(VAR5 == 6'h3E) | |(VAR5 == 6'h3F) || (VAR5 == 6'h1E);
endmodule
|
gpl-3.0
|
xuwenyihust/MapReduce_NoC
|
RTL/mapper_router.v
| 4,620 |
module MODULE1(clk, rst, VAR5, VAR17, VAR40, VAR11, VAR4, VAR52, VAR59,
VAR39, VAR57, VAR27, VAR44, VAR34,
VAR19, VAR7, VAR55, VAR33,
VAR65, VAR18, VAR45, VAR28,
VAR42, VAR62, VAR2, VAR24,
VAR36, VAR8);
parameter VAR10=36;
parameter VAR64=8;
parameter VAR46=4;
parameter VAR61=5;
parameter VAR38=5;
input clk;
input rst;
input [1:0] VAR36;
input [1:0] VAR8;
input [VAR10-1:0] VAR5;
input [VAR10-1:0] VAR17;
input [VAR10-1:0] VAR40;
input [VAR10-1:0] VAR11;
input VAR4;
input VAR52;
input VAR59;
input VAR39;
output [VAR10-1:0] VAR57;
output [VAR10-1:0] VAR27;
output [VAR10-1:0] VAR44;
output [VAR10-1:0] VAR34;
output VAR19;
output VAR33;
output VAR7;
output VAR55;
input VAR65;
input VAR18;
input VAR45;
input VAR28;
output VAR42;
output VAR24;
output VAR62;
output VAR2;
wire [4:0] VAR58;
wire VAR16;
assign VAR42 = VAR58[0];
assign VAR24 = VAR58[1] ;
assign VAR62 = VAR58[2] ;
assign VAR2 = VAR58[3] ;
assign VAR16 = VAR58[4];
wire [31:0] VAR63;
wire [VAR10-1:0] VAR56;
wire [31:0] VAR20;
wire [VAR10-1:0] VAR14;
wire VAR51;
wire VAR13;
wire VAR31;
wire [5*VAR10-1:0] VAR1;
assign VAR57 = VAR1[VAR10-1:0];
assign VAR34 = VAR1[2*VAR10-1:VAR10];
assign VAR27 = VAR1[3*VAR10-1:2*VAR10];
assign VAR44 = VAR1[4*VAR10-1:3*VAR10];
assign VAR56 = VAR1[5*VAR10-1:4*VAR10];
assign VAR63 = VAR56[35:4];
wire [4:0] VAR35;
assign VAR35[0] = VAR19;
assign VAR35[1] = VAR33;
assign VAR35[2] = VAR7;
assign VAR35[3] = VAR55;
assign VAR35[4] = VAR51;
wire [5*VAR10-1:0] VAR15;
assign VAR15[VAR10-1:0] = VAR5;
assign VAR15[2*VAR10-1:VAR10] = VAR11;
assign VAR15[3*VAR10-1:2*VAR10] = VAR17;
assign VAR15[4*VAR10-1:3*VAR10] = VAR40;
assign VAR15[5*VAR10-1:4*VAR10] = VAR14;
assign VAR14[35:4] = VAR20;
assign VAR14[3:0] = (VAR31==1 && (VAR20!=0))?4'b1101:4'b0000;
wire [4:0] VAR43;
assign VAR43[0] = VAR65;
assign VAR43[1] = VAR18;
assign VAR43[2] = VAR45;
assign VAR43[3] = VAR28;
assign VAR43[4] = VAR31;
wire [4:0] VAR6;
assign VAR6[0] = VAR4;
assign VAR6[1] = VAR39;
assign VAR6[2] = VAR52;
assign VAR6[3] = VAR59;
assign VAR6[4] = VAR13;
assign VAR13 = 1'VAR54;
VAR48 VAR49(
.clk(clk),
.VAR22(rst),
.VAR9(VAR15),
.VAR26(VAR6),
.VAR37(VAR43),
.VAR41(VAR36),
.VAR30(VAR8),
.VAR50(VAR1),
.VAR47(),
.VAR29(VAR58)
);
VAR32 VAR21(
.clk(clk),
.rst(rst),
.VAR3(VAR63),
.VAR12(VAR28),
.VAR53(1'b1),
.VAR25(VAR20),
.VAR60(VAR60));
reg VAR23;
wire VAR60;
assign VAR31 = VAR60 && (VAR20!=0);
endmodule
|
mit
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_mm_interconnect_0_avalon_st_adapter.v
| 6,167 |
module MODULE1 #(
parameter VAR4 = 34,
parameter VAR20 = 0,
parameter VAR24 = 34,
parameter VAR25 = 0,
parameter VAR17 = 0,
parameter VAR14 = 0,
parameter VAR6 = 1,
parameter VAR22 = 1,
parameter VAR7 = 0,
parameter VAR18 = 34,
parameter VAR12 = 0,
parameter VAR2 = 1,
parameter VAR11 = 0,
parameter VAR16 = 1,
parameter VAR15 = 1,
parameter VAR9 = 0
) (
input wire VAR10, input wire VAR8, input wire [33:0] VAR19, input wire VAR1, output wire VAR13, output wire [33:0] VAR21, output wire VAR23, input wire VAR3, output wire [0:0] VAR5 );
generate
if (VAR4 != 34)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/muxb8to1/sky130_fd_sc_hdll__muxb8to1.pp.blackbox.v
| 1,347 |
module MODULE1 (
VAR6 ,
VAR2 ,
VAR4 ,
VAR5,
VAR1,
VAR7 ,
VAR3
);
output VAR6 ;
input [7:0] VAR2 ;
input [7:0] VAR4 ;
input VAR5;
input VAR1;
input VAR7 ;
input VAR3 ;
endmodule
|
apache-2.0
|
alexforencich/verilog-ethernet
|
example/C10LP/fpga/rtl/fpga.v
| 5,557 |
module MODULE1 (
input wire VAR8,
input wire VAR83,
input wire [3:0] VAR54,
input wire [2:0] VAR129,
output wire [3:0] VAR87,
input wire VAR15,
input wire [3:0] VAR74,
input wire VAR98,
output wire VAR48,
output wire [3:0] VAR56,
output wire VAR70,
output wire VAR7,
input wire VAR124
);
wire VAR103;
wire VAR67;
wire VAR49 = ~VAR83;
wire VAR58;
wire VAR112;
VAR24 #(
.VAR146("VAR31"),
.VAR118(1),
.VAR45(50),
.VAR101(1),
.VAR59("0"),
.VAR82(1),
.VAR76(50),
.VAR116(1),
.VAR114("2000"),
.VAR34("VAR39"),
.VAR100(8000),
.VAR134("VAR18 10 VAR102"),
.VAR132("VAR46"),
.VAR53("VAR31"),
.VAR4("VAR84"),
.VAR68("VAR95"),
.VAR99("VAR84"),
.VAR77("VAR84"),
.VAR78("VAR84"),
.VAR35("VAR84"),
.VAR29("VAR84"),
.VAR51("VAR84"),
.VAR144("VAR95"),
.VAR65("VAR84"),
.VAR115("VAR95"),
.VAR136("VAR84"),
.VAR88("VAR84"),
.VAR91("VAR84"),
.VAR60("VAR84"),
.VAR72("VAR84"),
.VAR142("VAR84"),
.VAR69("VAR84"),
.VAR133("VAR84"),
.VAR5("VAR84"),
.VAR140("VAR84"),
.VAR21("VAR84"),
.VAR2("VAR84"),
.VAR17("VAR84"),
.VAR13("VAR84"),
.VAR43("VAR95"),
.VAR127("VAR95"),
.VAR28("VAR84"),
.VAR75("VAR84"),
.VAR143("VAR84"),
.VAR37("VAR84"),
.VAR23("VAR84"),
.VAR38("VAR84"),
.VAR93("VAR84"),
.VAR79("VAR84"),
.VAR63("VAR84"),
.VAR113("VAR84"),
.VAR128("VAR84"),
.VAR55("VAR84"),
.VAR111("VAR84"),
.VAR57("VAR84"),
.VAR139("VAR33"),
.VAR42(5)
)
VAR107 (
.VAR121(VAR49),
.VAR80({1'b0, VAR8}),
.clk({VAR112, VAR103}),
.VAR92(VAR58),
.VAR52(),
.VAR130(),
.VAR131({6{1'b1}}),
.VAR135(),
.VAR119(1'b0),
.VAR10(1'b0),
.VAR32(),
.VAR137(),
.VAR86(),
.VAR109({4{1'b1}}),
.VAR73(1'b1),
.VAR25(),
.VAR47(),
.VAR61(),
.VAR147(),
.VAR16(1'b1),
.VAR12({4{1'b1}}),
.VAR126(),
.VAR94(1'b1),
.VAR66(1'b1),
.VAR117(1'b1),
.VAR97(1'b0),
.VAR14(1'b0),
.VAR141(1'b1),
.VAR122(1'b0),
.VAR1(),
.VAR104(),
.VAR41(1'b0),
.VAR26(1'b0),
.VAR9(),
.VAR62(),
.VAR22(),
.VAR96()
);
VAR6 #(
.VAR108(4)
)
VAR36 (
.clk(VAR103),
.rst(~VAR58),
.out(VAR67)
);
wire [3:0] VAR44;
wire [2:0] VAR71;
wire [3:0] VAR19;
VAR138 #(
.VAR110(7),
.VAR108(4),
.VAR81(125000)
)
VAR125 (
.clk(VAR103),
.rst(VAR67),
.in({VAR54,
VAR129}),
.out({VAR44,
VAR71})
);
assign VAR87 = ~VAR19;
VAR3 #(
.VAR145("VAR30")
)
VAR90 (
.clk(VAR103),
.VAR106(VAR112),
.rst(VAR67),
.VAR64(VAR44),
.VAR11(VAR71),
.VAR85(VAR19),
.VAR20(VAR15),
.VAR123(VAR74),
.VAR40(VAR98),
.VAR105(VAR48),
.VAR89(VAR56),
.VAR120(VAR70),
.VAR27(VAR7),
.VAR50(VAR124)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and3b/sky130_fd_sc_hs__and3b_1.v
| 2,091 |
module MODULE2 (
VAR1 ,
VAR3 ,
VAR7 ,
VAR8 ,
VAR4,
VAR2
);
output VAR1 ;
input VAR3 ;
input VAR7 ;
input VAR8 ;
input VAR4;
input VAR2;
VAR5 VAR6 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR1 ,
VAR3,
VAR7 ,
VAR8
);
output VAR1 ;
input VAR3;
input VAR7 ;
input VAR8 ;
supply1 VAR4;
supply0 VAR2;
VAR5 VAR6 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/lsbufhv2hv_hl/sky130_fd_sc_hvl__lsbufhv2hv_hl.functional.v
| 1,278 |
module MODULE1 (
VAR2,
VAR3
);
output VAR2;
input VAR3;
buf VAR1 (VAR2 , VAR3 );
endmodule
|
apache-2.0
|
eda-globetrotter/PicenoDecoders
|
zhiyang_and_andrew/syn/src/decoder.v
| 21,721 |
module MODULE3 (VAR17, VAR59, VAR33, VAR3, VAR70, VAR99);
output [3:0] VAR17;
output VAR59;
input [3:0] VAR33;
input [1:0] VAR3;
input [3:0] VAR70;
input [1:0] VAR99;
reg [3:0] VAR17;
reg VAR59;
reg [3:0] VAR141;
reg [3:0] VAR126;
reg [4:0] VAR52;
reg [4:0] VAR62;
parameter VAR84 = 4'd15;
always @ (VAR33 or VAR3)
begin
VAR52 = VAR33 + VAR3;
if(VAR52 > VAR84)
begin
VAR141 = VAR84;
end
else
begin
VAR141 = VAR33 + VAR3;
end
end
always @ (VAR70 or VAR99)
begin
VAR62 = VAR70 + VAR99;
if(VAR62 > VAR84)
begin
VAR126 = VAR84;
end
else
begin
VAR126 = VAR70 + VAR99;
end
end
always @ (VAR141 or VAR126)
begin
if(VAR141 <= VAR126)
begin
VAR59 = 1'b0;
end
else
begin
VAR59 = 1'b1;
end
end
always @ (VAR59 or VAR141 or VAR126)
begin
if(VAR59)
begin
VAR17 = VAR126;
end
else
begin
VAR17 = VAR141;
end
end
endmodule
module MODULE1 (VAR36, VAR103, VAR90, VAR3, VAR99, VAR60, VAR83, VAR47, VAR107, VAR140);
output [1:0] VAR90, VAR3, VAR99, VAR60, VAR83, VAR47, VAR107, VAR140;
input VAR36, VAR103;
reg [1:0] VAR90, VAR3, VAR99, VAR60, VAR83, VAR47, VAR107, VAR140;
always@ (VAR36 or VAR103)
begin
if (VAR36==0 && VAR103==0)
begin
VAR90 <= 2'd0; VAR3 <= 2'd2; VAR99 <= 2'd2; VAR60 <= 2'd0; VAR83 <= 2'd1; VAR47 <= 2'd1; VAR107 <= 2'd1; VAR140 <= 2'd1; end
else if (VAR36==0 && VAR103==1)
begin
VAR90 <= 2'd1; VAR3 <= 2'd1; VAR99 <= 2'd1; VAR60 <= 2'd1; VAR83 <= 2'd2; VAR47 <= 2'd0; VAR107 <= 2'd0; VAR140 <= 2'd2; end
else if (VAR36==1 && VAR103==0)
begin
VAR90 <= 2'd1; VAR3 <= 2'd1; VAR99 <= 2'd1; VAR60 <= 2'd1; VAR83 <= 2'd0; VAR47 <= 2'd2; VAR107 <= 2'd2; VAR140 <= 2'd0; end
else if (VAR36==1 && VAR103==1)
begin
VAR90 <= 2'd2; VAR3 <= 2'd0; VAR99 <= 2'd0; VAR60 <= 2'd2; VAR83 <= 2'd1; VAR47 <= 2'd1; VAR107 <= 2'd1; VAR140 <= 2'd1; end
end endmodule
module MODULE4 (VAR95, VAR80, VAR39, VAR81, d0, d1, out);
output out;
input VAR95, VAR80, VAR39, VAR81;
input d0, d1;
reg VAR68, VAR21, out;
always@(VAR95 or VAR80 or VAR39 or VAR81 or d0 or d1)
begin
VAR68 = d0?VAR80:VAR95;
VAR21 = d0?VAR81:VAR39;
out = d1?VAR21:VAR68;
end
endmodule
module MODULE6 (VAR118, VAR133, VAR97, VAR91, VAR53, VAR33, VAR70, VAR109, clk, reset);
output [3:0] VAR53, VAR33, VAR70, VAR109;
input clk, reset;
input [3:0] VAR118, VAR133, VAR97, VAR91;
reg [3:0] VAR53, VAR33, VAR70, VAR109;
reg [3:0] VAR74, VAR96, VAR116, VAR28;
parameter VAR42 = 4'd15;
always @ (VAR118 or VAR133 or VAR97 or VAR91)
begin
if ((VAR118 <= VAR133)&&(VAR118 <= VAR97)&&(VAR118 <= VAR91))
begin
VAR74 <= 0;
VAR96 <= VAR133-VAR118;
VAR116 <= VAR97-VAR118;
VAR28 <= VAR91-VAR118;
end
else if ((VAR133 <= VAR118)&&(VAR133 <= VAR97)&&(VAR133 <= VAR91))
begin
VAR74 <= VAR118-VAR133;
VAR96 <= 0;
VAR116 <= VAR97-VAR133;
VAR28 <= VAR91-VAR133;
end
else if ((VAR97 <= VAR118)&&(VAR97 <= VAR133)&&(VAR97 <= VAR91))
begin
VAR74 <= VAR118-VAR97;
VAR96 <= VAR133-VAR97;
VAR116 <= 0;
VAR28 <= VAR91-VAR97;
end
else if ((VAR91 <= VAR118)&&(VAR91 <= VAR133)&&(VAR91 <= VAR97))
begin
VAR74 <= VAR118-VAR91;
VAR96 <= VAR133-VAR91;
VAR116 <= VAR97-VAR91;
VAR28 <= 0;
end
end
always @ (posedge clk)
begin
if (reset)
begin
VAR53 <= 4'd0;
VAR33 <= VAR42;
VAR70 <= VAR42;
VAR109 <= VAR42;
end
else
begin
VAR53 <= VAR74;
VAR33 <= VAR96;
VAR70 <= VAR116;
VAR109 <= VAR28;
end
end endmodule
module MODULE7 (VAR53, VAR33, VAR70, VAR109, d0, d1);
output d0, d1;
input [3:0] VAR53, VAR33, VAR70, VAR109;
reg d0, d1;
reg [1:0] VAR20, VAR72;
reg [3:0] VAR54, VAR50;
always @ (VAR53 or VAR33 or VAR70 or VAR109)
begin
VAR20 = (VAR53<=VAR33)?2'd0:2'd1; VAR72 = (VAR70<=VAR109)?2'd2:2'd3; VAR54 = (VAR53<=VAR33)?VAR53:VAR33; VAR50 = (VAR70<=VAR109)?VAR70:VAR109; {d1,d0} = (VAR54<=VAR50)?VAR20:VAR72; end
endmodule
module MODULE5 (d0, d1, d2, d3, VAR53, VAR33, VAR70, VAR109, out, clk, reset);
output out;
input d0, d1, d2, d3;
input [3:0] VAR53, VAR33, VAR70, VAR109;
input clk, reset;
wire out;
wire VAR92, VAR9;
wire VAR119, VAR22, VAR49, VAR111;
wire VAR66, VAR4, VAR93, VAR101;
wire VAR10, VAR32, VAR77, VAR127;
wire VAR18, VAR112, VAR37, VAR113;
wire VAR56, VAR67, VAR85, VAR129;
wire VAR121, VAR106, VAR40, VAR137;
wire VAR5, VAR35, VAR61, VAR120;
wire VAR79, VAR19, VAR34, VAR48;
wire VAR108, VAR38, VAR46, VAR76;
wire VAR12, VAR55, VAR73, VAR69;
wire VAR43, VAR114, VAR23, VAR110;
wire VAR132, VAR131, VAR104, VAR124;
wire VAR25, VAR100, VAR78, VAR57;
wire VAR27, VAR134, VAR123, VAR139;
wire VAR88, VAR8, VAR58, VAR128;
MODULE8 MODULE8(1'b0,
1'b0,
1'b1,
1'b1, d0, d1, d2, d3,
VAR119,
VAR22,
VAR49,
VAR111, clk, reset);
MODULE8 MODULE11(VAR119,
VAR22,
VAR49,
VAR111, d0, d1, d2, d3,
VAR66,
VAR4,
VAR93,
VAR101, clk, reset);
MODULE8 MODULE22(VAR66,
VAR4,
VAR93,
VAR101, d0, d1, d2, d3,
VAR10,
VAR32,
VAR77,
VAR127, clk, reset);
MODULE8 MODULE20(VAR10,
VAR32,
VAR77,
VAR127, d0, d1, d2, d3,
VAR18,
VAR112,
VAR37,
VAR113, clk, reset);
MODULE8 MODULE14(VAR18,
VAR112,
VAR37,
VAR113, d0, d1, d2, d3,
VAR56,
VAR67,
VAR85,
VAR129, clk, reset);
MODULE8 MODULE3(VAR56,
VAR67,
VAR85,
VAR129, d0, d1, d2, d3,
VAR121,
VAR106,
VAR40,
VAR137, clk, reset);
MODULE8 MODULE4(VAR121,
VAR106,
VAR40,
VAR137, d0, d1, d2, d3,
VAR5,
VAR35,
VAR61,
VAR120, clk, reset);
MODULE8 MODULE19(VAR5,
VAR35,
VAR61,
VAR120, d0, d1, d2, d3,
VAR79,
VAR19,
VAR34,
VAR48, clk, reset);
MODULE8 MODULE12(VAR79,
VAR19,
VAR34,
VAR48, d0, d1, d2, d3,
VAR108,
VAR38,
VAR46,
VAR76, clk, reset);
MODULE8 MODULE17(VAR108,
VAR38,
VAR46,
VAR76, d0, d1, d2, d3,
VAR12,
VAR55,
VAR73,
VAR69, clk, reset);
MODULE8 MODULE10(VAR12,
VAR55,
VAR73,
VAR69, d0, d1, d2, d3,
VAR43,
VAR114,
VAR23,
VAR110, clk, reset);
MODULE8 MODULE2(VAR43,
VAR114,
VAR23,
VAR110, d0, d1, d2, d3,
VAR132,
VAR131,
VAR104,
VAR124, clk, reset);
MODULE8 MODULE13(VAR132,
VAR131,
VAR104,
VAR124, d0, d1, d2, d3,
VAR25,
VAR100,
VAR78,
VAR57, clk, reset);
MODULE8 MODULE7(VAR25,
VAR100,
VAR78,
VAR57, d0, d1, d2, d3,
VAR27,
VAR134,
VAR123,
VAR139, clk, reset);
MODULE8 MODULE21(VAR27,
VAR134,
VAR123,
VAR139, d0, d1, d2, d3,
VAR88,
VAR8,
VAR58,
VAR128, clk, reset);
MODULE7 MODULE1 (VAR53, VAR33, VAR70, VAR109, VAR92, VAR9);
MODULE4 MODULE16 (VAR88, VAR8, VAR58, VAR128,
VAR92, VAR9, out);
endmodule
module MODULE8 (VAR95, VAR80, VAR39, VAR81, d0, d1, d2, d3,
VAR138, VAR2, VAR45, VAR122, clk, reset);
output VAR138, VAR2, VAR45, VAR122;
input VAR95, VAR80, VAR39, VAR81;
input d0, d1, d2, d3;
input clk, reset;
reg VAR15, VAR65, VAR14, VAR71;
reg VAR138, VAR2, VAR45, VAR122;
always @ (d0 or d1 or d2 or d3 or VAR95 or VAR80 or VAR39 or VAR81)
begin
VAR15 <= d0?VAR80:VAR95; VAR65 <= d1?VAR81:VAR39; VAR14 <= d2?VAR80:VAR95; VAR71 <= d3?VAR81:VAR39; end
always @ (posedge clk)
begin
if (reset)
begin
VAR138 <= 1'b0;
VAR2 <= 1'b0;
VAR45 <= 1'b0;
VAR122 <= 1'b0;
end
else
begin
VAR138 <= VAR15;
VAR2 <= VAR65;
VAR45 <= VAR14;
VAR122 <= VAR71;
end
end
endmodule
module MODULE2 (VAR59, VAR1, clk, reset);
output VAR59;
input [1:0] VAR1;
input clk;
input reset;
wire VAR59;
wire [1:0] VAR24,VAR142,VAR44,VAR87;
wire [1:0] VAR102,VAR29,VAR130,VAR6;
wire d0,d1,d2,d3;
wire [3:0] VAR118,VAR133,VAR97,VAR91;
wire [3:0] VAR53, VAR33, VAR70, VAR109;
MODULE1 MODULE5 (VAR1[0], VAR1[1],
VAR24,VAR142,VAR44,VAR87,
VAR102,VAR29,VAR130,VAR6);
MODULE3 MODULE15 (VAR118, d0,
VAR53, VAR24, VAR33, VAR44);
MODULE3 MODULE18 (VAR133, d1,
VAR70, VAR102, VAR109, VAR130);
MODULE3 MODULE24 (VAR97, d2,
VAR53, VAR142, VAR33, VAR87);
MODULE3 MODULE6 (VAR91, d3,
VAR70, VAR29, VAR109, VAR6);
MODULE6 MODULE23 (VAR118, VAR133, VAR97, VAR91,
VAR53, VAR33, VAR70, VAR109, clk, reset);
MODULE5 MODULE9 (d0, d1, d2, d3, VAR53, VAR33, VAR70, VAR109,
VAR59, clk, reset);
endmodule
|
mit
|
saisrivathsa/Image-Watermarking
|
ipcore_dir/Cmul.v
| 3,680 |
module MODULE1 (
VAR19, VAR38
);
output [7 : 0] VAR19;
input [7 : 0] VAR38;
wire [7 : 2] VAR31;
wire [6 : 6] VAR3;
assign
VAR19[7] = VAR3[6],
VAR19[6] = VAR3[6],
VAR19[5] = VAR31[7],
VAR19[4] = VAR31[6],
VAR19[3] = VAR31[5],
VAR19[2] = VAR31[4],
VAR19[1] = VAR31[3],
VAR19[0] = VAR31[2],
VAR31[7] = VAR38[7],
VAR31[6] = VAR38[6],
VAR31[5] = VAR38[5],
VAR31[4] = VAR38[4],
VAR31[3] = VAR38[3],
VAR31[2] = VAR38[2];
VAR27 \VAR33/VAR11 (
.VAR23(VAR3[6])
);
endmodule
module MODULE2 ();
parameter VAR5 = 100000;
parameter VAR37 = 0;
wire VAR12;
wire VAR4;
wire VAR24;
wire VAR18;
tri1 VAR8;
tri (weak1, strong0) VAR25 = VAR8;
wire VAR35;
wire VAR16;
reg VAR39;
reg VAR9;
reg VAR32;
wire VAR6;
wire VAR14;
wire VAR28;
wire VAR29;
wire VAR2;
reg VAR1;
reg VAR13;
reg VAR10;
reg VAR40;
reg VAR20;
reg VAR7 = 0;
reg VAR17 = 0 ;
reg VAR22 = 0;
reg VAR34 = 0;
reg VAR21 = 1'VAR26;
reg VAR36 = 1'VAR26;
reg VAR15 = 1'VAR26;
reg VAR30 = 1'VAR26;
assign (weak1, weak0) VAR12 = VAR39;
assign (weak1, weak0) VAR4 = VAR9;
assign (weak1, weak0) VAR18 = VAR32;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkdlyinv3sd1/sky130_fd_sc_hs__clkdlyinv3sd1.pp.blackbox.v
| 1,291 |
module MODULE1 (
VAR4 ,
VAR3 ,
VAR2,
VAR1
);
output VAR4 ;
input VAR3 ;
input VAR2;
input VAR1;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/inv/sky130_fd_sc_ls__inv.functional.pp.v
| 1,748 |
module MODULE1 (
VAR7 ,
VAR10 ,
VAR1,
VAR12,
VAR2 ,
VAR11
);
output VAR7 ;
input VAR10 ;
input VAR1;
input VAR12;
input VAR2 ;
input VAR11 ;
wire VAR4 ;
wire VAR9;
not VAR6 (VAR4 , VAR10 );
VAR3 VAR8 (VAR9, VAR4, VAR1, VAR12);
buf VAR5 (VAR7 , VAR9 );
endmodule
|
apache-2.0
|
cafe-alpha/wasca
|
fpga_firmware/wasca/synthesis/submodules/altera_up_audio_in_deserializer.v
| 7,476 |
module MODULE1 (
clk,
reset,
VAR11,
VAR33,
VAR37,
VAR30,
VAR13,
VAR23,
VAR29,
VAR9,
VAR26,
VAR3,
VAR15,
VAR1
);
parameter VAR4 = 15;
parameter VAR28 = 5'h0F;
input clk;
input reset;
input VAR11;
input VAR33;
input VAR37;
input VAR30;
input VAR13;
input VAR23;
input VAR29;
input VAR9;
output reg [ 7: 0] VAR26;
output reg [ 7: 0] VAR3;
output [VAR4: 0] VAR15;
output [VAR4: 0] VAR1;
wire VAR17;
wire VAR34;
wire VAR35;
wire VAR10;
wire VAR2;
wire [ 6: 0] VAR21;
wire [ 6: 0] VAR19;
reg [VAR4: 0] VAR36;
always @(posedge clk)
begin
if (reset == 1'b1)
VAR26 <= 8'h00;
end
else
begin
VAR26[7] <= VAR10;
VAR26[6:0] <= VAR21;
end
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR3 <= 8'h00;
end
else
begin
VAR3[7] <= VAR2;
VAR3[6:0] <= VAR19;
end
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR36 <= 'h0;
end
else if (VAR11 & VAR17)
VAR36 <=
{VAR36[(VAR4 - 1):0],
VAR23};
end
VAR27 VAR12 (
.clk (clk),
.reset (reset),
.VAR11 (VAR11),
.VAR33 (VAR33),
.VAR37 (VAR37),
.VAR30 (VAR30),
.VAR18 (VAR17)
);
VAR12.VAR28 = VAR28;
VAR14 VAR25(
.clk (clk),
.reset (reset),
.VAR7 (VAR30 & ~VAR10 & VAR13),
.VAR20 (VAR36),
.VAR16 (VAR29 & ~VAR34),
.VAR5 (VAR34),
.VAR22 (VAR10),
.VAR32 (VAR21),
.VAR24 (VAR15)
);
VAR25.VAR4 = VAR4,
VAR25.VAR31 = 128,
VAR25.VAR6 = 6;
VAR14 VAR8(
.clk (clk),
.reset (reset),
.VAR7 (VAR37 & ~VAR2 & VAR13),
.VAR20 (VAR36),
.VAR16 (VAR9 & ~VAR35),
.VAR5 (VAR35),
.VAR22 (VAR2),
.VAR32 (VAR19),
.VAR24 (VAR1)
);
VAR8.VAR4 = VAR4,
VAR8.VAR31 = 128,
VAR8.VAR6 = 6;
endmodule
|
gpl-2.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/ipcore_dir/ddr_stream_fifo.v
| 14,032 |
module MODULE1(
VAR421,
VAR404,
VAR314,
VAR185,
VAR359,
VAR370,
VAR119,
VAR285,
VAR89,
VAR283,
VAR316
);
input VAR421;
input VAR404;
input VAR314;
input VAR185;
output VAR359;
input [63 : 0] VAR370;
output VAR119;
input VAR285;
output [63 : 0] VAR89;
output [9 : 0] VAR283;
output [9 : 0] VAR316;
VAR295 #(
.VAR140(0),
.VAR235(0),
.VAR325(0),
.VAR144(0),
.VAR303(0),
.VAR377(0),
.VAR415(0),
.VAR251(32),
.VAR208(1),
.VAR263(1),
.VAR111(1),
.VAR205(64),
.VAR115(4),
.VAR312(1),
.VAR10(0),
.VAR104(1),
.VAR38(64),
.VAR425(4),
.VAR326(8),
.VAR297(8),
.VAR33(8),
.VAR16(4),
.VAR100(0),
.VAR379(0),
.VAR178(0),
.VAR241(10),
.VAR215("VAR165"),
.VAR13(18),
.VAR20(64),
.VAR112(32),
.VAR313(64),
.VAR253(32),
.VAR73(64),
.VAR294(2),
.VAR154("0"),
.VAR211(18),
.VAR97(0),
.VAR299(1),
.VAR334(0),
.VAR261(0),
.VAR32(0),
.VAR333(0),
.VAR256(0),
.VAR279(0),
.VAR392(0),
.VAR308("VAR322"),
.VAR335(1),
.VAR244(0),
.VAR212(0),
.VAR339(0),
.VAR21(0),
.VAR106(0),
.VAR166(0),
.VAR349(0),
.VAR423(0),
.VAR306(0),
.VAR135(1),
.VAR77(0),
.VAR57(0),
.VAR43(0),
.VAR36(0),
.VAR15(1),
.VAR27(0),
.VAR55(0),
.VAR225(0),
.VAR396(0),
.VAR347(1),
.VAR191(0),
.VAR237(0),
.VAR226(0),
.VAR321(0),
.VAR231(0),
.VAR357(0),
.VAR34(0),
.VAR46(0),
.VAR175(0),
.VAR202(0),
.VAR14(0),
.VAR401(0),
.VAR405(0),
.VAR247(0),
.VAR214(0),
.VAR367(0),
.VAR310(0),
.VAR262(1),
.VAR428(0),
.VAR80(0),
.VAR426(0),
.VAR12(0),
.VAR298(0),
.VAR369(0),
.VAR257(0),
.VAR286(0),
.VAR3(11),
.VAR252(12),
.VAR307(11),
.VAR260(12),
.VAR7(11),
.VAR61(12),
.VAR37(0),
.VAR118(1),
.VAR194(1),
.VAR234("VAR165"),
.VAR98(1),
.VAR45(0),
.VAR324(0),
.VAR394(1),
.VAR224(0),
.VAR341("4kx4"),
.VAR373(2),
.VAR384(509),
.VAR213(13),
.VAR277(1021),
.VAR114(13),
.VAR60(1021),
.VAR66(13),
.VAR372(3),
.VAR250(0),
.VAR402(0),
.VAR71(0),
.VAR412(0),
.VAR395(0),
.VAR122(0),
.VAR177(0),
.VAR403(1022),
.VAR363(511),
.VAR31(15),
.VAR52(1023),
.VAR101(15),
.VAR189(1023),
.VAR258(15),
.VAR281(1021),
.VAR158(0),
.VAR173(0),
.VAR130(0),
.VAR39(0),
.VAR29(0),
.VAR107(0),
.VAR26(0),
.VAR304(0),
.VAR242(10),
.VAR56(1024),
.VAR11(1),
.VAR287(10),
.VAR305(0),
.VAR232(0),
.VAR408(0),
.VAR319(0),
.VAR300(0),
.VAR210(0),
.VAR329(0),
.VAR197(2),
.VAR267(0),
.VAR309(0),
.VAR204(0),
.VAR172(0),
.VAR338(1),
.VAR53(0),
.VAR30(0),
.VAR108(0),
.VAR67(0),
.VAR160(0),
.VAR200(0),
.VAR275(0),
.VAR137(0),
.VAR240(0),
.VAR265(0),
.VAR337(0),
.VAR332(0),
.VAR156(0),
.VAR182(0),
.VAR356(10),
.VAR362(1024),
.VAR42(512),
.VAR233(16),
.VAR345(1024),
.VAR168(16),
.VAR266(1024),
.VAR288(16),
.VAR230(1),
.VAR143(10),
.VAR290(9),
.VAR406(4),
.VAR368(10),
.VAR162(4),
.VAR51(10),
.VAR82(4),
.VAR123(1),
.VAR320(0)
)
VAR102 (
.VAR28(VAR421),
.VAR35(VAR404),
.VAR229(VAR314),
.VAR217(VAR185),
.VAR382(VAR359),
.VAR429(VAR370),
.VAR142(VAR119),
.VAR315(VAR285),
.VAR125(VAR89),
.VAR430(VAR283),
.VAR22(VAR316),
.VAR76(),
.VAR255(),
.VAR146(),
.VAR411(),
.VAR138(),
.VAR180(),
.VAR75(),
.VAR195(),
.VAR103(),
.VAR383(),
.VAR113(),
.VAR385(),
.VAR127(),
.VAR134(),
.VAR69(),
.VAR209(),
.VAR301(),
.VAR424(),
.VAR354(),
.VAR420(),
.VAR6(),
.VAR181(),
.VAR323(),
.VAR350(),
.VAR68(),
.VAR62(),
.VAR374(),
.VAR293(),
.VAR99(),
.VAR188(),
.VAR120(),
.VAR407(),
.VAR176(),
.VAR365(),
.VAR227(),
.VAR355(),
.VAR126(),
.VAR318(),
.VAR291(),
.VAR273(),
.VAR5(),
.VAR353(),
.VAR186(),
.VAR222(),
.VAR157(),
.VAR132(),
.VAR271(),
.VAR183(),
.VAR136(),
.VAR254(),
.VAR152(),
.VAR386(),
.VAR190(),
.VAR147(),
.VAR296(),
.VAR352(),
.VAR206(),
.VAR216(),
.VAR410(),
.VAR139(),
.VAR390(),
.VAR416(),
.VAR422(),
.VAR90(),
.VAR381(),
.VAR4(),
.VAR63(),
.VAR133(),
.VAR116(),
.VAR248(),
.VAR83(),
.VAR280(),
.VAR91(),
.VAR47(),
.VAR203(),
.VAR18(),
.VAR292(),
.VAR121(),
.VAR218(),
.VAR418(),
.VAR375(),
.VAR343(),
.VAR311(),
.VAR199(),
.VAR117(),
.VAR419(),
.VAR88(),
.VAR269(),
.VAR164(),
.VAR272(),
.VAR196(),
.VAR238(),
.VAR371(),
.VAR93(),
.VAR409(),
.VAR167(),
.VAR413(),
.VAR317(),
.VAR9(),
.VAR78(),
.VAR70(),
.VAR364(),
.VAR124(),
.VAR59(),
.VAR163(),
.VAR207(),
.VAR236(),
.VAR427(),
.VAR220(),
.VAR361(),
.VAR284(),
.VAR1(),
.VAR86(),
.VAR398(),
.VAR8(),
.VAR153(),
.VAR81(),
.VAR145(),
.VAR19(),
.VAR155(),
.VAR246(),
.VAR388(),
.VAR179(),
.VAR387(),
.VAR245(),
.VAR94(),
.VAR397(),
.VAR92(),
.VAR87(),
.VAR44(),
.VAR58(),
.VAR249(),
.VAR24(),
.VAR259(),
.VAR110(),
.VAR64(),
.VAR23(),
.VAR270(),
.VAR380(),
.VAR50(),
.VAR148(),
.VAR228(),
.VAR351(),
.VAR49(),
.VAR17(),
.VAR327(),
.VAR169(),
.VAR79(),
.VAR414(),
.VAR276(),
.VAR378(),
.VAR239(),
.VAR48(),
.VAR342(),
.VAR65(),
.VAR366(),
.VAR302(),
.VAR243(),
.VAR105(),
.VAR331(),
.VAR399(),
.VAR278(),
.VAR95(),
.VAR358(),
.VAR174(),
.VAR72(),
.VAR109(),
.VAR141(),
.VAR41(),
.VAR400(),
.VAR150(),
.VAR201(),
.VAR431(),
.VAR330(),
.VAR268(),
.VAR336(),
.VAR131(),
.VAR340(),
.VAR129(),
.VAR221(),
.VAR393(),
.VAR432(),
.VAR84(),
.VAR161(),
.VAR360(),
.VAR198(),
.VAR128(),
.VAR289(),
.VAR192(),
.VAR170(),
.VAR328(),
.VAR193(),
.VAR389(),
.VAR282(),
.VAR417(),
.VAR85(),
.VAR184(),
.VAR151(),
.VAR264(),
.VAR274(),
.VAR74(),
.VAR187(),
.VAR391(),
.VAR149(),
.VAR25(),
.VAR159(),
.VAR171(),
.VAR376(),
.VAR346(),
.VAR40(),
.VAR2(),
.VAR219(),
.VAR223(),
.VAR348(),
.VAR96(),
.VAR54(),
.VAR344()
);
endmodule
|
mit
|
rurume/openrisc_vision_hardware
|
ISE/or1200_ic_tag.v
| 5,533 |
module MODULE1(
clk, rst,
VAR5, VAR10, VAR17,
addr, en, VAR14, VAR1, VAR8, VAR16
);
parameter VAR2 = VAR6;
parameter VAR7 = VAR4;
input clk;
input rst;
input VAR5;
input [VAR3 - 1:0] VAR17;
output VAR10;
input [VAR7-1:0] addr;
input en;
input VAR14;
input [VAR2-1:0] VAR1;
output VAR8;
output [VAR2-2:0] VAR16;
assign VAR16 = {VAR2-1{1'b0}};
assign VAR8 = 1'b0;
assign VAR10 = VAR5;
VAR9 VAR15(
VAR20 VAR15(
VAR11 VAR15(
.VAR5(VAR5),
.VAR10(VAR10),
.VAR17(VAR17),
.clk(clk),
.rst(rst),
.VAR12(en),
.VAR14(VAR14),
.VAR18(1'b1),
.addr(addr),
.VAR19(VAR1),
.VAR13({VAR16, VAR8})
);
endmodule
|
gpl-2.0
|
sarchar/vga_de0_nano
|
framebuffer.v
| 1,389 |
module MODULE1
(input VAR2,
input VAR10,
input VAR4,
input VAR1,
input VAR7,
output reg [7:0] VAR8,
output reg [7:0] VAR9,
output reg [7:0] VAR3
);
reg VAR5;
reg [7:0] VAR6;
always @(posedge VAR10 or negedge VAR2 or posedge VAR7) begin
if(~VAR2 || VAR7) begin
VAR8 <= 8'b00000000;
VAR9 <= 8'b00000000;
VAR3 <= 8'b00000000;
VAR6 <= 8'b00000000;
VAR5 <= 0;
end else begin
if(VAR1 && ~VAR5) begin
VAR8 <= VAR8 + 1;
VAR9 <= 8'b0;
VAR3 <= VAR6;
VAR6 <= VAR6 + 1;
VAR5 <= 1;
end else if(~VAR1 && VAR5) begin
VAR5 <= 0;
end
if(~VAR1 && ~VAR7) begin
VAR9 <= VAR9 + 1;
VAR3 <= VAR3 + 1;
end
end
end
endmodule
|
mit
|
chiggs/oc_mkjpeg
|
design/mdct/FinitePrecRndNrst.v
| 2,984 |
module MODULE1
parameter VAR17=37,
VAR13=16,
VAR3=15
)
( input wire VAR12,
input wire VAR7,
input wire signed [VAR17-1:0] VAR10,
input wire VAR8,
output wire signed [VAR13-1:0] VAR14,
output reg VAR4,
output reg VAR5
);
wire VAR2;
wire signed [VAR17-1:0] VAR16;
reg signed [VAR17-1:0] VAR15;
wire signed [VAR17-VAR3-1:0] VAR1;
reg signed [VAR13-1:0] VAR11;
reg VAR6;
reg VAR9;
assign VAR2 = VAR10[VAR17-1];
assign VAR16 = { {(VAR17-VAR3){1'b0}}, 1'b1, {(VAR3-1){1'b0}} };
always @(posedge VAR12 or posedge VAR7)
if(VAR7)
begin
VAR15 <= 'b0;
VAR6 <= 1'b0;
VAR9 <= 1'b0;
VAR5 <= 1'b0;
end
else
begin
VAR15 <= VAR10 + VAR16;
VAR6 <= VAR8;
VAR5 <= VAR6;
VAR9 <= VAR2;
end
assign VAR1 = VAR15[VAR17-1:VAR3];
always @(posedge VAR12 or posedge VAR7)
if(VAR7)
begin
VAR11 <= 'b0;
VAR4 <= 1'b0;
end
else
begin
VAR4 <= 1'b0;
if(
(
(VAR17-VAR3 != VAR13) &&
(~(&VAR1[VAR17-VAR3-1 : VAR13-1])) ==
(|(VAR1[VAR17-VAR3-1 : VAR13-1]))
)
|| (
(VAR17-VAR3 == VAR13) &&
(VAR1[VAR17-VAR3-1] != VAR9) &&
VAR1 != {VAR13{1'b0}}
)
)
begin
if(VAR6)
VAR4 <= 1'b1;
if(VAR9)
VAR11 <= -(2**(VAR13)/2)+1;
end
else
VAR11 <= (2**(VAR13)/2)-1;
end
else
VAR11 <= VAR1[VAR13-1:0];
end
assign VAR14 = VAR11;
endmodule
|
lgpl-3.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/image_filter_top.v
| 6,255 |
module MODULE1 (
VAR78,
VAR17,
VAR50,
VAR28,
VAR60,
VAR25,
VAR67,
VAR4,
VAR21,
VAR59,
VAR31,
VAR27,
VAR85,
VAR64,
VAR84,
VAR15,
VAR35,
interrupt,
VAR71,
VAR57,
VAR13,
VAR1,
VAR32,
VAR40,
VAR14,
VAR20,
VAR26,
VAR33,
VAR36,
VAR5,
VAR83,
VAR12,
VAR19,
VAR11,
VAR52,
VAR22,
VAR47,
VAR70
);
parameter VAR24 = 5;
parameter VAR79 = 32;
parameter VAR34 = 1;
input [VAR24 - 1:0] VAR78 ;
input VAR17 ;
output VAR50 ;
input [VAR79 - 1:0] VAR28 ;
input [VAR79/8 - 1:0] VAR60 ;
input VAR25 ;
output VAR67 ;
output [2 - 1:0] VAR4 ;
output VAR21 ;
input VAR59 ;
input [VAR24 - 1:0] VAR31 ;
input VAR27 ;
output VAR85 ;
output [VAR79 - 1:0] VAR64 ;
output [2 - 1:0] VAR84 ;
output VAR15 ;
input VAR35 ;
output interrupt ;
input VAR71 ;
input VAR57 ;
input [32 - 1:0] VAR13 ;
input [4 - 1:0] VAR1 ;
input [4 - 1:0] VAR32 ;
input [1 - 1:0] VAR40 ;
input [1 - 1:0] VAR14 ;
input [1 - 1:0] VAR20 ;
input [1 - 1:0] VAR26 ;
input VAR33 ;
output VAR36 ;
output [32 - 1:0] VAR5 ;
output [4 - 1:0] VAR83 ;
output [4 - 1:0] VAR12 ;
output [1 - 1:0] VAR19 ;
output [1 - 1:0] VAR11 ;
output [1 - 1:0] VAR52 ;
output [1 - 1:0] VAR22 ;
output VAR47 ;
input VAR70 ;
wire [VAR24 - 1:0] VAR78;
wire VAR17;
wire VAR50;
wire [VAR79 - 1:0] VAR28;
wire [VAR79/8 - 1:0] VAR60;
wire VAR25;
wire VAR67;
wire [2 - 1:0] VAR4;
wire VAR21;
wire VAR59;
wire [VAR24 - 1:0] VAR31;
wire VAR27;
wire VAR85;
wire [VAR79 - 1:0] VAR64;
wire [2 - 1:0] VAR84;
wire VAR15;
wire VAR35;
wire interrupt;
wire VAR71;
wire [32 - 1:0] VAR3;
wire [32 - 1:0] VAR61;
wire VAR38;
wire VAR73;
wire VAR80;
wire VAR66;
wire VAR10;
VAR7 VAR53(
.VAR45(VAR3),
.VAR77(VAR61),
.VAR68(VAR38),
.VAR29(VAR73),
.VAR87(VAR80),
.VAR51(VAR66),
.VAR41(VAR10),
.VAR69(VAR57),
.VAR13(VAR13),
.VAR1(VAR1),
.VAR32(VAR32),
.VAR40(VAR40),
.VAR14(VAR14),
.VAR20(VAR20),
.VAR26(VAR26),
.VAR33(VAR33),
.VAR36(VAR36),
.VAR5(VAR5),
.VAR83(VAR83),
.VAR12(VAR12),
.VAR19(VAR19),
.VAR11(VAR11),
.VAR52(VAR52),
.VAR22(VAR22),
.VAR47(VAR47),
.VAR70(VAR70)
);
VAR2 #(
.VAR55(VAR24),
.VAR72(VAR79))
VAR54(
.VAR39(VAR57),
.VAR74(VAR71),
.VAR43(VAR3),
.VAR49(VAR61),
.VAR9(VAR38),
.VAR82(VAR73),
.VAR16(VAR80),
.VAR8(VAR66),
.VAR56(VAR78),
.VAR81(VAR17),
.VAR63(VAR50),
.VAR65(VAR28),
.VAR46(VAR60),
.VAR62(VAR25),
.VAR48(VAR67),
.VAR75(VAR4),
.VAR88(VAR21),
.VAR6(VAR59),
.VAR58(VAR31),
.VAR37(VAR27),
.VAR18(VAR85),
.VAR76(VAR64),
.VAR42(VAR84),
.VAR44(VAR15),
.VAR86(VAR35),
.interrupt(interrupt));
VAR30 #(
.VAR34(VAR34))
VAR23(
.dout(VAR10),
.din(VAR71));
endmodule
|
gpl-3.0
|
vvk/sysrek
|
processor/i_mem.v
| 1,163 |
module MODULE1
(
input [7:0]address,
output [31:0]VAR1
);
wire [31:0]program[255:0];
assign program[0]=32'h0036e000; assign program[1]=32'h0036e104; assign program[2]=32'h0010e001; assign program[3]=32'h00001200; assign program[4]=32'h0332e702; assign program[5]=32'h0036e301;
assign VAR1=program[address];
endmodule
-----------------------------------------------
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dff_ps_pp_pg/sky130_fd_sc_hs__udp_dff_ps_pp_pg.blackbox.v
| 1,346 |
module MODULE1 (
VAR1 ,
VAR3 ,
VAR2 ,
VAR6 ,
VAR5,
VAR4
);
output VAR1 ;
input VAR3 ;
input VAR2 ;
input VAR6 ;
input VAR5;
input VAR4;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o41ai/sky130_fd_sc_hs__o41ai.functional.pp.v
| 1,960 |
module MODULE1 (
VAR13,
VAR2,
VAR7 ,
VAR4 ,
VAR16 ,
VAR11 ,
VAR8 ,
VAR15
);
input VAR13;
input VAR2;
output VAR7 ;
input VAR4 ;
input VAR16 ;
input VAR11 ;
input VAR8 ;
input VAR15 ;
wire VAR8 VAR1 ;
wire VAR10 ;
wire VAR6;
or VAR3 (VAR1 , VAR8, VAR11, VAR16, VAR4 );
nand VAR14 (VAR10 , VAR15, VAR1 );
VAR9 VAR5 (VAR6, VAR10, VAR13, VAR2);
buf VAR12 (VAR7 , VAR6 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/nor3/sky130_fd_sc_hd__nor3.functional.pp.v
| 1,844 |
module MODULE1 (
VAR10 ,
VAR13 ,
VAR7 ,
VAR12 ,
VAR4,
VAR9,
VAR3 ,
VAR11
);
output VAR10 ;
input VAR13 ;
input VAR7 ;
input VAR12 ;
input VAR4;
input VAR9;
input VAR3 ;
input VAR11 ;
wire VAR6 ;
wire VAR5;
nor VAR2 (VAR6 , VAR12, VAR13, VAR7 );
VAR1 VAR14 (VAR5, VAR6, VAR4, VAR9);
buf VAR8 (VAR10 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkdlyinv5sd1/sky130_fd_sc_ms__clkdlyinv5sd1.functional.v
| 1,344 |
module MODULE1 (
VAR5,
VAR2
);
output VAR5;
input VAR2;
wire VAR3;
not VAR1 (VAR3, VAR2 );
buf VAR4 (VAR5 , VAR3 );
endmodule
|
apache-2.0
|
AbhishekShah212/School_Projects
|
ELEN232/pset4/Problem4Part.v
| 1,145 |
module MODULE1(
input VAR5,
input VAR3,
input VAR6,
input VAR8,
input VAR2,
output reg VAR7,
output reg VAR4,
output reg VAR1
);
always @ (VAR5 or VAR3 or VAR6 or VAR8 or VAR2) begin
if ( VAR5 > VAR3 )
begin
VAR7 = 1;
VAR4 = 0;
VAR1 = 0;
end
else if ( VAR5 < VAR3 ) begin
VAR4 = 1;
VAR7 = 0;
VAR1 = 0;
end
else begin
VAR1 = VAR2;
VAR7 = VAR6;
VAR4 = VAR8;
end
end
endmodule
|
mit
|
kernelpanics/Grad
|
CORDIC-Exponential-Function/Verilog/Exponential/FSM_C_CORDIC.v
| 16,997 |
module MODULE1(
input wire VAR21, input wire VAR30, input wire VAR16, input wire VAR52, input wire VAR51, input wire VAR7, input wire VAR18, input wire [4:0] VAR14,
output reg VAR15, output reg VAR23, output reg [1:0] VAR50, output reg VAR2, output reg VAR8, output reg VAR28, output reg VAR45, output reg VAR46, output reg VAR19, output reg VAR29, output reg VAR22, output reg VAR12, output reg VAR1, output reg [1:0] VAR36, output reg VAR25, output reg VAR47, output reg VAR33, output reg VAR39,
output reg VAR24,
output reg VAR5,
output reg VAR49,
output reg VAR13
);
parameter [5:0]
VAR27 = 6'd0,
VAR26 = 6'd1,
VAR17 = 6'd2,
VAR6 = 6'd3,
VAR38 = 6'd4,
VAR9 = 6'd5,
VAR11 = 6'd6,
VAR34 = 6'd7,
VAR10 = 6'd8,
VAR40 = 6'd9,
VAR44 = 6'd10,
VAR42 = 6'd11,
VAR3 = 6'd12,
VAR32 = 6'd13,
VAR35 = 6'd14,
VAR37 = 6'd15,
VAR43 = 6'd16,
VAR20 = 6'd17,
VAR48 = 6'd18,
VAR41 = 6'd19;
reg [5:0] VAR4, VAR31 ;
always @(posedge VAR21, posedge VAR30)
if (VAR30) begin
VAR4 <= VAR27;
end
else begin
VAR4 <= VAR31;
end
always @*
begin
VAR31 = VAR4;
VAR25 = 0;
VAR2 = 0;
VAR8 = 0;
VAR22 = 0;
VAR12 = 0;
VAR1 = 0;
VAR33 = 0;
VAR45 = 0;
VAR46 = 0;
VAR19 = 0;
VAR29 = 0;
VAR39 = 0;
VAR47 = 0;
VAR15 = 0;
VAR50 = 2'b00;
VAR23 = 0;
VAR36 = 2'b00;
VAR28 = 0;
VAR24 = 0;
VAR5 = 0;
VAR49 = 0;
VAR13 = 0;
case(VAR4)
VAR27:
begin
if(VAR18)
begin
VAR15 = 1;
VAR31 = VAR26;
end
else
VAR31 = VAR27;
end
VAR26:
begin
VAR28 = 0;
VAR24 = 1;
VAR50 = 2'b00;
VAR5 = 1;
VAR23 = 1;
VAR49 = 1;
VAR36 = 2'b10;
VAR13 = 1;
VAR31 = VAR17;
end
VAR17:
begin
VAR19 = 1;
VAR31 = VAR6;
end
VAR6:
begin
VAR31 = VAR38;
end
VAR38:
begin
if(VAR51)
begin
VAR22 = 1;
VAR12 = 1;
VAR1 = 1;
VAR31 = VAR9;
end
else
VAR31 = VAR38;
end
VAR9:
begin
VAR23 = 0;
VAR49= 1;
VAR36 = 2'b01;
VAR13 = 1;
VAR28 = 0;
VAR24 = 1;
VAR31 = VAR11;
end
VAR11:
begin
VAR31 = VAR34;
end
VAR34:
begin
if(VAR14 == 5'b00001)
begin
VAR50 = 2'b01;
VAR5 = 1;
VAR31 = VAR10;
end
else if (VAR14 >= 5'b00010)
begin
VAR50 = 2'b10;
VAR5 = 1;
VAR31 = VAR10;
end
else
VAR31 = VAR10;
end
VAR10:
begin
VAR25 = 1;
VAR31 = VAR40;
end
VAR40:
begin
VAR33 = 1;
VAR31 = VAR44;
end
VAR44:
begin
VAR45 = 1;
VAR46 = 1;
VAR47 = 1;
VAR31 = VAR42;
end
VAR42:
begin
VAR31 = VAR3;
end
VAR3:
begin
VAR19 = 1;
if(VAR16 & VAR52)
begin
VAR22 = 1;
VAR12 = 1;
VAR31 = VAR32;
end
else
VAR31 = VAR3;
end
VAR32:
begin
if(VAR51)
begin
VAR1 = 1;
VAR31 = VAR35;
end
else
VAR31 = VAR32;
end
VAR35:
begin
if(VAR14 == 5'b01111 ) begin
VAR36 = 0;
VAR13 = 1;
VAR28 = 0;
VAR24 = 1;
VAR31 = VAR37;
end
else
VAR31 = VAR11;
end
VAR37:
begin
VAR19 = 1;
VAR31 = VAR43;
end
VAR43:
begin
if(VAR51)
begin
VAR2 = 1;
VAR31 = VAR20;
end
else
VAR31 = VAR43;
end
VAR20:
begin
VAR29 = 1;
VAR31 = VAR48;
end
VAR48:
begin
if(VAR7)
begin
VAR8 = 1;
VAR31 = VAR41;
end
else
VAR31 = VAR48;
end
VAR41:
begin
VAR39 = 1;
if(VAR30)
begin
VAR15 = 1;
VAR31 = VAR27;
end
end
endcase
end
endmodule
endmodule
|
gpl-3.0
|
alexforencich/verilog-ethernet
|
example/NetFPGA_SUME/fpga/rtl/si5324_i2c_init.v
| 17,538 |
module MODULE1 (
input wire clk,
input wire rst,
output wire [6:0] VAR16,
output wire VAR15,
output wire VAR6,
output wire VAR10,
output wire VAR2,
output wire VAR9,
output wire VAR4,
input wire VAR11,
output wire [7:0] VAR13,
output wire VAR1,
input wire VAR14,
output wire VAR3,
output wire VAR7,
input wire VAR5
);
localparam VAR12 = 37;
reg [8:0] VAR8 [VAR12-1:0];
|
mit
|
ShepardSiegel/ocpi
|
scripts/altera/altplay2_htgs4/mkFTop_htgs4.v
| 6,342 |
module MODULE1(VAR43,
VAR28,
VAR53,
VAR29,
VAR10,
VAR50,
VAR40,
VAR42,
VAR13,
VAR14,
VAR20);
input VAR43;
input VAR28;
input VAR53;
input VAR29;
input [3 : 0] VAR10;
output [3 : 0] VAR50;
input [7 : 0] VAR40;
output [7 : 0] VAR42;
output VAR13;
output VAR14;
output VAR20;
wire [7 : 0] VAR42;
wire [3 : 0] VAR50;
wire VAR14, VAR13, VAR20;
reg [31 : 0] VAR51;
wire [31 : 0] VAR80;
wire VAR23;
reg [7 : 0] VAR33;
wire [7 : 0] VAR55;
wire VAR2;
wire VAR48, VAR38, VAR21;
wire VAR8, VAR24, VAR34;
wire [127 : 0] VAR62;
wire [3 : 0] VAR39, VAR37;
wire VAR45,
VAR74,
VAR59,
VAR78,
VAR57,
VAR64,
VAR75,
VAR76,
VAR17,
VAR36,
VAR81;
wire VAR27, VAR7, VAR61, VAR46, VAR66, VAR30, VAR25;
assign VAR13 = VAR43 ;
assign VAR14 = 1'd1 ;
assign VAR20 = VAR28 ;
assign VAR50 = VAR37 ;
assign VAR42 =
{ ~VAR27,
VAR27,
VAR48,
VAR8,
VAR51[29:26] } ;
VAR71 #(.VAR79(1'd0)) VAR9(.VAR26(VAR74),
.VAR72(VAR43),
.VAR5(VAR78),
.VAR68(VAR38),
.VAR73(VAR21),
.VAR44(VAR48));
VAR71 #(.VAR79(1'd0)) VAR22(.VAR26(VAR74),
.VAR72(VAR43),
.VAR5(VAR78),
.VAR68(VAR24),
.VAR73(VAR34),
.VAR44(VAR8));
VAR54 VAR32(.VAR43(VAR43),
.VAR28(VAR28),
.VAR53(VAR53),
.VAR29(VAR29),
.VAR15(VAR39),
.VAR41(VAR57),
.VAR56(VAR64),
.VAR58(VAR62),
.VAR52(VAR75),
.VAR16(VAR76),
.VAR3(VAR17),
.VAR31(VAR36),
.VAR67(VAR81),
.VAR12(VAR37),
.VAR65(VAR45),
.VAR1(VAR59),
.VAR19(),
.VAR60(),
.VAR77(),
.VAR11(),
.VAR4(),
.VAR69(),
.VAR6(),
.VAR63(),
.VAR47(),
.VAR49(),
.VAR70(VAR74),
.VAR35(VAR78));
assign VAR80 = VAR51 + 32'd1 ;
assign VAR23 = 1'd1 ;
assign VAR55 = VAR40 ;
assign VAR2 = 1'd1 ;
assign VAR38 = VAR45 ;
assign VAR21 = 1'd1 ;
assign VAR24 = VAR59 ;
assign VAR34 = 1'd1 ;
assign VAR39 = VAR10 ;
assign VAR57 = 1'b0 ;
assign VAR64 = 1'b0 ;
assign VAR62 = 128'h0 ;
assign VAR75 = 1'b0 ;
assign VAR76 = 1'b0 ;
assign VAR17 = 1'b0 ;
assign VAR36 = 1'b0 ;
assign VAR81 = 1'b0 ;
assign VAR27 = VAR25 ^ VAR33[7] ;
assign VAR7 = VAR33[0] ^ VAR33[1] ;
assign VAR61 = VAR7 ^ VAR33[2] ;
assign VAR46 = VAR61 ^ VAR33[3] ;
assign VAR66 = VAR46 ^ VAR33[4] ;
assign VAR30 = VAR66 ^ VAR33[5] ;
assign VAR25 = VAR30 ^ VAR33[6] ;
always@(posedge VAR43)
begin
if (!VAR28)
begin
VAR51 <= VAR18 32'd0;
VAR33 <= VAR18 8'd0;
end
else
begin
if (VAR23) VAR51 <= VAR18 VAR80;
if (VAR2) VAR33 <= VAR18 VAR55;
end
end
begin
VAR51 = 32'hAAAAAAAA;
VAR33 = 8'hAA;
end
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/inv/sky130_fd_sc_hvl__inv.pp.symbol.v
| 1,242 |
module MODULE1 (
input VAR5 ,
output VAR4 ,
input VAR3 ,
input VAR6,
input VAR2,
input VAR1
);
endmodule
|
apache-2.0
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_except.v
| 22,447 |
module MODULE1(
clk, rst,
VAR30, VAR15, VAR24, VAR36, VAR6, VAR21, VAR50,
VAR31, VAR8, VAR63, VAR28, VAR48, VAR61,
VAR18, VAR11, VAR29, VAR22, VAR16, VAR112,
VAR46, VAR72, VAR47, VAR76, VAR77, VAR65, VAR39,
VAR85, VAR92, VAR10,
VAR98, VAR32, VAR75, VAR83, VAR87, VAR23, VAR64, VAR52, VAR94, VAR54,
VAR56, VAR86, VAR59, VAR95, VAR20, VAR89, VAR49, VAR97, VAR108, VAR58
);
input clk;
input rst;
input VAR30;
input VAR15;
input VAR24;
input VAR36;
input VAR6;
input VAR21;
input VAR50;
input VAR31;
input VAR8;
input VAR63;
input VAR28;
input VAR48;
input VAR61;
input VAR18;
input VAR11;
input VAR29;
input VAR22;
input VAR16;
input VAR112;
input [31:0] VAR46;
output [31:0] VAR72;
output [31:2] VAR47;
input [31:0] VAR75;
input [VAR88-1:0] VAR83;
input VAR87;
input VAR23;
input VAR64;
input VAR52;
output [31:0] VAR94;
output [31:0] VAR54;
output [VAR9-1:0] VAR56;
input [VAR9-1:0] VAR59;
input VAR86;
input [VAR9-1:0] VAR95;
input [31:0] VAR20;
output VAR76;
output VAR77;
output [VAR93-1:0] VAR65;
output VAR39;
output VAR85;
output [12:0] VAR92;
input VAR10;
output [31:0] VAR98;
output [31:0] VAR32;
output VAR89;
input VAR49;
input VAR97;
input VAR108;
input VAR58;
reg [VAR93-1:0] VAR65;
reg [31:0] VAR72;
reg [31:0] VAR110;
reg [31:0] VAR82;
reg [31:0] VAR94;
reg [31:0] VAR54;
reg [VAR9-1:0] VAR56;
reg [2:0] VAR101;
reg [2:0] VAR35;
reg [VAR55-1:0] state;
reg VAR77;
reg VAR73;
reg VAR69;
reg VAR79;
reg VAR99;
wire VAR85;
wire [12:0] VAR38;
wire VAR5;
reg [2:0] VAR4;
reg [2:0] VAR3;
wire VAR78;
wire VAR109;
assign VAR85 = (VAR73 ^ VAR77) & VAR39;
assign VAR47 = VAR110[31:2];
assign VAR98 = VAR82;
assign VAR32 = VAR10 ? VAR72 : VAR110;
assign VAR39 = (VAR65 != VAR70) & VAR77;
assign VAR78 = VAR31 & VAR95[VAR37] & VAR4[2] & ~VAR22 & ~VAR18 & ~VAR69 & ~VAR86;
assign VAR109 = VAR61 & VAR95[VAR42] & ~VAR22 & ~VAR18 & ~VAR69 & ~VAR86;
assign VAR89 = VAR15 | VAR50 | VAR21 | VAR36 | VAR24;
assign VAR38 = {
VAR109 & ~VAR83[VAR2],
VAR78 & ~VAR83[VAR91],
VAR35[1] & ~VAR83[VAR90],
VAR35[0] & ~VAR83[VAR80],
VAR35[2] & ~VAR83[VAR106],
VAR24 & ~VAR83[VAR81],
VAR36 & ~VAR83[VAR14],
VAR21 & ~VAR83[VAR71],
VAR50 & ~VAR83[VAR44],
VAR15 & ~VAR83[VAR106],
VAR6 & ~VAR83[VAR34],
VAR63 & ~VAR83[VAR100] & ~VAR22,
VAR8 & ~VAR83[VAR105] & ~VAR22
};
assign VAR92 = {
VAR109 & VAR83[VAR2],
VAR78 & VAR83[VAR91],
VAR35[1] & VAR83[VAR90],
VAR35[0] & VAR83[VAR80],
VAR35[2] & VAR83[VAR106],
VAR24 & VAR83[VAR81],
VAR36 & VAR83[VAR14],
VAR21 & VAR83[VAR71],
VAR50 & VAR83[VAR44],
VAR15 & VAR83[VAR106],
VAR6 & VAR83[VAR34],
VAR63 & VAR83[VAR100] & ~VAR22,
VAR8 & VAR83[VAR105] & ~VAR22
};
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR72 <= 32'd0;
VAR101 <= 3'b000;
end
else if (VAR76) begin
VAR72 <= 32'h00000000;
VAR101 <= 3'b000;
end
else if (!VAR29) begin
VAR72 <= VAR46;
VAR101 <= { VAR30, VAR28, VAR48 };
end
end
always @(posedge rst or posedge clk)
if (rst)
VAR4 <= 3'b000;
else if (!VAR95[VAR37])
VAR4 <= 3'b000;
else
VAR4 <= {VAR4[1:0], 1'b1};
always @(posedge rst or posedge clk)
if (rst)
VAR3 <= 3'b000;
else if (!VAR95[VAR42])
VAR3 <= 3'b000;
else
VAR3 <= {VAR3[1:0], 1'b1};
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR69 <= 1'b0;
VAR110 <= 32'd0;
VAR35 <= 3'b000;
VAR79 <= 1'b0;
VAR99 <= 1'b0;
end
else if (VAR76) begin
VAR69 <= 1'b0;
VAR110 <= 32'h00000000;
VAR35 <= 3'b000;
VAR79 <= 1'b0;
VAR99 <= 1'b0;
end
else if (!VAR22 & VAR29) begin
VAR69 <= 1'b0;
VAR110 <= VAR72;
VAR35 <= 3'b000;
VAR79 <= VAR69;
VAR99 <= VAR79;
end
else if (!VAR22) begin
VAR69 <= VAR18;
VAR110 <= VAR72;
VAR35 <= VAR101;
VAR79 <= VAR69;
VAR99 <= VAR79;
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR82 <= 32'd0;
end
else if (!VAR16) begin
VAR82 <= VAR110;
end
end
assign VAR76 = VAR5 | VAR52 | VAR77;
assign VAR5 = |VAR38 & ~|state;
always @(posedge clk or posedge rst) begin
if (rst) begin
state <= VAR111;
VAR65 <= VAR70;
VAR77 <= 1'b0;
VAR94 <= 32'b0;
VAR54 <= 32'b0;
VAR56 <= {1'b1, {VAR9-2{1'b0}}, 1'b1};
VAR73 <= 1'b0;
end
else begin
end
case (state) else
case (state) VAR53
if (VAR5) begin
state <= VAR33;
VAR77 <= 1'b1;
VAR56 <= VAR86 ? VAR59 : VAR95;
casex (VAR38)
13'VAR66: begin
VAR65 <= VAR57;
VAR94 <= VAR69 ? VAR82 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
end
13'VAR96: begin
VAR65 <= VAR27;
VAR94 <= VAR69 ? VAR82 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
end
13'VAR19: begin
VAR65 <= VAR74;
VAR54 <= VAR69 ? VAR110 : VAR110;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR45: begin
VAR65 <= VAR104;
VAR54 <= VAR69 ? VAR110 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
VAR94 <= VAR69 ? VAR82 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
end
13'VAR107: begin
VAR65 <= VAR25;
VAR54 <= VAR69 ? VAR82 : VAR110;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR67: begin
VAR65 <= VAR62;
VAR54 <= VAR110;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR41: begin
VAR65 <= VAR40;
VAR54 <= VAR20;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR13: begin
VAR65 <= VAR43;
VAR54 <= VAR20;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR51: begin
VAR65 <= VAR26;
VAR54 <= VAR20;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR1: begin VAR65 <= VAR25;
VAR54 <= VAR20;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'VAR60: begin
VAR65 <= VAR84;
VAR94 <= VAR69 ? VAR82 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
end
VAR65 <= VAR7;
VAR94 <= VAR69 ? VAR82 : VAR110;
end
13'b0000000000001: begin
VAR65 <= VAR68;
VAR94 <= VAR69 ? VAR82 : VAR79 ? VAR72 : VAR99 ? VAR72 : VAR72;
end
default:
VAR65 <= VAR70;
endcase
end
else if (VAR52) begin
state <= VAR33;
VAR77 <= 1'b1;
end
else begin
if (VAR87)
VAR94 <= VAR75;
if (VAR23)
VAR54 <= VAR75;
if (VAR64)
VAR56 <= {1'b1, VAR75[VAR9-2:0]};
end
if (VAR49 | VAR97 | VAR11)
state <= VAR17;
if (VAR65 == VAR7) begin
state <= VAR111;
VAR77 <= 1'b0;
VAR73 <= 1'b0;
VAR65 <= VAR70;
end
else
state <= VAR12;
begin
state <= VAR103;
end
state <= VAR102;
VAR77 <= 1'b0;
VAR73 <= 1'b0; end
default: begin
if (!VAR112 && !VAR29) begin
state <= VAR111;
VAR65 <= VAR70;
VAR73 <= 1'b0;
end
end
endcase
end
end
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_trn_v5_gtx_x8_125/source/tx_sync_gtp.v
| 6,607 |
module MODULE1
(
output VAR7,
output VAR14,
output VAR6,
input VAR11,
input VAR2
);
reg VAR13;
reg VAR8;
reg VAR3;
reg [14:0] VAR9;
reg [9:0] VAR4;
reg VAR12;
wire VAR10;
wire VAR15;
wire VAR1;
wire VAR16;
wire VAR5;
always @(posedge VAR11)
if(VAR2)
{VAR13,VAR12,VAR8,VAR3} <= VAR17 4'b1000;
else
begin
VAR13 <= VAR17 1'b0;
VAR12 <= VAR17 VAR16;
VAR8 <= VAR17 VAR15;
VAR3 <= VAR17 VAR1;
end
assign VAR16 = VAR13 |
(VAR12 & !VAR10);
assign VAR15 = (VAR12 & VAR10) |
(VAR8 & !VAR5);
assign VAR1 = (VAR8 & VAR5) |
VAR3;
always @(posedge VAR11)
begin
if (!VAR12)
VAR4 <= VAR17 10'b000000000;
end
else
VAR4 <= VAR17 VAR4 + 1'b1;
end
assign VAR10 = VAR4[9];
always @(posedge VAR11)
begin
if (!VAR8)
VAR9 <= VAR17 15'b000000000000000;
end
else
VAR9 <= VAR17 VAR9 + 1'b1;
end
assign VAR5 = VAR9[12];
assign VAR7 = !VAR13;
assign VAR14 = VAR8;
assign VAR6 = VAR3;
endmodule
|
lgpl-3.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_qpll_reset.v
| 13,343 |
module MODULE1 #
(
parameter VAR32 = "VAR36", parameter VAR31 = "VAR17", parameter VAR26 = 1, parameter VAR23 = 1
)
(
input VAR8,
input VAR18,
input VAR19,
input [VAR26-1:0] VAR6,
input [(VAR26-1)>>2:0]VAR40,
input [(VAR26-1)>>2:0]VAR46,
input [ 1:0] VAR45,
input [VAR26-1:0] VAR41,
input [VAR26-1:0] VAR21,
output VAR30,
output VAR10,
output VAR13,
output VAR15,
output VAR27,
output [11:0] VAR51
);
reg VAR5;
reg [VAR26-1:0] VAR47;
reg [(VAR26-1)>>2:0]VAR16;
reg [(VAR26-1)>>2:0]VAR34;
reg [ 1:0] VAR52;
reg [VAR26-1:0] VAR7;
reg [VAR26-1:0] VAR4;
reg VAR44;
reg [VAR26-1:0] VAR48;
reg [(VAR26-1)>>2:0]VAR11;
reg [(VAR26-1)>>2:0]VAR33;
reg [ 1:0] VAR1;
reg [VAR26-1:0] VAR43;
reg [VAR26-1:0] VAR49;
reg VAR37 = 1'd0;
reg VAR20 = 1'd1;
reg VAR42 = 1'd0;
reg [11:0] fsm = 12'd2;
localparam VAR9 = 12'b000000000001;
localparam VAR35 = 12'b000000000010;
localparam VAR28 = 12'b000000000100;
localparam VAR24 = 12'b000000001000;
localparam VAR39 = 12'b000000010000;
localparam VAR25 = 12'b000000100000;
localparam VAR12 = 12'b000001000000;
localparam VAR2 = 12'b000010000000;
localparam VAR38 = 12'b000100000000;
localparam VAR29 = 12'b001000000000;
localparam VAR22 = 12'b010000000000;
localparam VAR14 = 12'b100000000000;
always @ (posedge VAR8)
begin
if (!VAR18)
begin
VAR5 <= 1'd0;
VAR47 <= {VAR26{1'd1}};
VAR16 <= {(((VAR26-1)>>2)+1){1'd0}};
VAR34 <= {(((VAR26-1)>>2)+1){1'd0}};
VAR52 <= 2'd0;
VAR7 <= {VAR26{1'd1}};
VAR4 <= {VAR26{1'd0}};
VAR44 <= 1'd0;
VAR48 <= {VAR26{1'd1}};
VAR11 <= {(((VAR26-1)>>2)+1){1'd0}};
VAR33 <= {(((VAR26-1)>>2)+1){1'd0}};
VAR1 <= 2'd0;
VAR43 <= {VAR26{1'd1}};
VAR49 <= {VAR26{1'd0}};
end
else
begin
VAR5 <= VAR19;
VAR47 <= VAR6;
VAR16 <= VAR40;
VAR34 <= VAR46;
VAR52 <= VAR45;
VAR7 <= VAR41;
VAR4 <= VAR21;
VAR44 <= VAR5;
VAR48 <= VAR47;
VAR11 <= VAR16;
VAR33 <= VAR34;
VAR1 <= VAR52;
VAR43 <= VAR7;
VAR49 <= VAR4;
end
end
always @ (posedge VAR8)
begin
if (!VAR18)
begin
fsm <= VAR35;
VAR37 <= 1'd0;
VAR20 <= 1'd1;
VAR42 <= 1'd0;
end
else
begin
case (fsm)
VAR9 :
begin
if (!VAR18)
begin
fsm <= VAR35;
VAR37 <= 1'd0;
VAR20 <= 1'd1;
VAR42 <= 1'd0;
end
else
begin
fsm <= VAR9;
VAR37 <= VAR37;
VAR20 <= &VAR43;
VAR42 <= &VAR49;
end
end
VAR35 :
begin
fsm <= ((&(~VAR48)) && (&(~VAR33)) ? VAR28 : VAR35);
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
VAR28 :
begin
fsm <= ((VAR44 && (&VAR48)) ? VAR24 : VAR28);
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
VAR24:
begin
fsm <= (&(~VAR11) ? VAR39 : VAR24);
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
VAR39 :
begin
fsm <= (&VAR11 ? VAR25 : VAR39);
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
VAR25 :
begin
fsm <= (&VAR33 ? ((VAR23 == 1) ? VAR22 : VAR12) : VAR25);
VAR37 <= VAR37;
VAR20 <= 1'd0;
VAR42 <= VAR42;
end
VAR12:
begin
fsm <= (&(~VAR11) ? VAR2 : VAR12);
VAR37 <= 1'd1;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
VAR2 :
begin
if (&VAR11)
begin
fsm <= ((VAR32 == "VAR3") ? VAR38 : VAR22);
VAR37 <= VAR37;
VAR20 <= (VAR32 == "VAR3");
VAR42 <= VAR42;
end
else
begin
fsm <= VAR2;
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= VAR42;
end
end
VAR38 :
begin
fsm <= (&(~VAR33) ? VAR29 : VAR38);
VAR37 <= VAR37;
VAR20 <= 1'd1;
VAR42 <= 1'd0;
end
VAR29 :
begin
fsm <= (&VAR33 ? VAR9 : VAR29);
VAR37 <= VAR37;
VAR20 <= 1'd0;
VAR42 <= 1'd0;
end
VAR22 :
begin
fsm <= VAR14;
VAR37 <= VAR37;
VAR20 <= (VAR32 == "VAR36") ? (VAR1 != 2'd2) : 1'd0;
VAR42 <= VAR42;
end
VAR14 :
begin
fsm <= VAR9;
VAR37 <= VAR37;
VAR20 <= VAR20;
VAR42 <= (VAR32 == "VAR36") ? (VAR1 != 2'd2) : 1'd0;
end
default :
begin
fsm <= VAR35;
VAR37 <= 1'd0;
VAR20 <= 1'd0;
VAR42 <= 1'd0;
end
endcase
end
end
assign VAR30 = VAR37;
assign VAR10 = (fsm == VAR24) || (fsm == VAR12);
assign VAR13 = VAR20;
assign VAR15 = ((VAR31 == "VAR50") ? 1'd0 : VAR42);
assign VAR27 = (fsm == VAR9);
assign VAR51 = fsm;
endmodule
|
lgpl-3.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad9643/axi_ad9643_if.v
| 8,331 |
module MODULE1 (
VAR24,
VAR41,
VAR3,
VAR55,
VAR44,
VAR2,
VAR43,
VAR39,
VAR15,
VAR36,
VAR45,
VAR10,
VAR35,
VAR53,
VAR16,
VAR34,
VAR25,
VAR51,
VAR38,
VAR42,
VAR32);
parameter VAR46 = 0;
parameter VAR18 = "VAR23";
input VAR24;
input VAR41;
input [13:0] VAR3;
input [13:0] VAR55;
input VAR44;
input VAR2;
output VAR43;
output [13:0] VAR39;
output [13:0] VAR15;
output VAR36;
output VAR45;
output VAR10;
input VAR35;
input VAR53;
input VAR16;
input [14:0] VAR34;
input [74:0] VAR25;
output [74:0] VAR51;
input VAR38;
input VAR42;
output VAR32;
reg VAR10 = 'd0;
reg [13:0] VAR6 = 'd0;
reg [13:0] VAR9 = 'd0;
reg [13:0] VAR47 = 'd0;
reg VAR11 = 'd0;
reg VAR27 = 'd0;
reg VAR8 = 'd0;
reg [13:0] VAR30 = 'd0;
reg [13:0] VAR21 = 'd0;
reg VAR29 = 'd0;
reg VAR22 = 'd0;
reg [13:0] VAR39 = 'd0;
reg [13:0] VAR15 = 'd0;
reg VAR36 = 'd0;
reg VAR45 = 'd0;
wire [13:0] VAR52;
wire [13:0] VAR50;
wire VAR1;
wire VAR12;
genvar VAR19;
always @(posedge VAR43) begin
VAR10 <= 1'b1;
VAR6 <= VAR52;
VAR9 <= VAR50;
VAR47 <= VAR6;
VAR11 <= VAR1;
VAR27 <= VAR12;
VAR8 <= VAR11;
end
always @(posedge VAR43) begin
if (VAR35 == 1'b1) begin
VAR30 <= VAR47;
VAR21 <= VAR9;
VAR29 <= VAR8;
VAR22 <= VAR27;
end else begin
VAR30 <= VAR9;
VAR21 <= VAR6;
VAR29 <= VAR27;
VAR22 <= VAR11;
end
end
always @(posedge VAR43) begin
if (VAR53 == 1'b1) begin
VAR39 <= VAR30;
VAR15 <= VAR21;
VAR36 <= VAR29;
VAR45 <= VAR22;
end else begin
VAR39 <= { VAR21[13], VAR30[13],
VAR21[12], VAR30[12],
VAR21[11], VAR30[11],
VAR21[10], VAR30[10],
VAR21[ 9], VAR30[ 9],
VAR21[ 8], VAR30[ 8],
VAR21[ 7], VAR30[ 7]};
VAR15 <= { VAR21[ 6], VAR30[ 6],
VAR21[ 5], VAR30[ 5],
VAR21[ 4], VAR30[ 4],
VAR21[ 3], VAR30[ 3],
VAR21[ 2], VAR30[ 2],
VAR21[ 1], VAR30[ 1],
VAR21[ 0], VAR30[ 0]};
VAR36 <= VAR29;
VAR45 <= VAR22;
end
end
generate
for (VAR19 = 0; VAR19 <= 13; VAR19 = VAR19 + 1) begin : VAR33
VAR54 #(
.VAR20 (VAR46),
.VAR31 (0),
.VAR40 (VAR18))
VAR4 (
.VAR37 (VAR43),
.VAR48 (VAR3[VAR19]),
.VAR5 (VAR55[VAR19]),
.VAR28 (VAR52[VAR19]),
.VAR14 (VAR50[VAR19]),
.VAR16 (VAR16),
.VAR34 (VAR34[VAR19]),
.VAR25 (VAR25[((VAR19*5)+4):(VAR19*5)]),
.VAR51 (VAR51[((VAR19*5)+4):(VAR19*5)]),
.VAR38 (VAR38),
.VAR42 (VAR42),
.VAR32 ());
end
endgenerate
VAR54 #(
.VAR20 (VAR46),
.VAR31 (1),
.VAR40 (VAR18))
VAR17 (
.VAR37 (VAR43),
.VAR48 (VAR44),
.VAR5 (VAR2),
.VAR28 (VAR1),
.VAR14 (VAR12),
.VAR16 (VAR16),
.VAR34 (VAR34[14]),
.VAR25 (VAR25[74:70]),
.VAR51 (VAR51[74:70]),
.VAR38 (VAR38),
.VAR42 (VAR42),
.VAR32 (VAR32));
VAR7 #(
.VAR20 (VAR46))
VAR26 (
.VAR49 (VAR24),
.VAR13 (VAR41),
.clk (VAR43));
endmodule
|
gpl-3.0
|
alexforencich/verilog-axis
|
rtl/axis_mux.v
| 9,630 |
module MODULE1 #
(
parameter VAR65 = 4,
parameter VAR3 = 8,
parameter VAR13 = (VAR3>8),
parameter VAR56 = ((VAR3+7)/8),
parameter VAR31 = 0,
parameter VAR21 = 8,
parameter VAR25 = 0,
parameter VAR40 = 8,
parameter VAR44 = 1,
parameter VAR20 = 1
)
(
input wire clk,
input wire rst,
input wire [VAR65*VAR3-1:0] VAR14,
input wire [VAR65*VAR56-1:0] VAR15,
input wire [VAR65-1:0] VAR59,
output wire [VAR65-1:0] VAR61,
input wire [VAR65-1:0] VAR39,
input wire [VAR65*VAR21-1:0] VAR6,
input wire [VAR65*VAR40-1:0] VAR34,
input wire [VAR65*VAR20-1:0] VAR45,
output wire [VAR3-1:0] VAR67,
output wire [VAR56-1:0] VAR17,
output wire VAR68,
input wire VAR35,
output wire VAR51,
output wire [VAR21-1:0] VAR64,
output wire [VAR40-1:0] VAR9,
output wire [VAR20-1:0] VAR19,
input wire enable,
input wire [VAR37(VAR65)-1:0] select
);
parameter VAR18 = VAR37(VAR65);
reg [VAR18-1:0] VAR4 = 2'd0, VAR41;
reg VAR26 = 1'b0, VAR16;
reg [VAR65-1:0] VAR50 = 0, VAR1;
reg [VAR3-1:0] VAR42;
reg [VAR56-1:0] VAR36;
reg VAR43;
reg VAR33 = 1'b0;
reg VAR23;
reg [VAR21-1:0] VAR12;
reg [VAR40-1:0] VAR28;
reg [VAR20-1:0] VAR52;
wire VAR5;
assign VAR61 = VAR50;
wire [VAR3-1:0] VAR55 = VAR14[VAR4*VAR3 +: VAR3];
wire [VAR56-1:0] VAR22 = VAR15[VAR4*VAR56 +: VAR56];
wire VAR62 = VAR59[VAR4];
wire VAR48 = VAR61[VAR4];
wire VAR54 = VAR39[VAR4];
wire [VAR21-1:0] VAR24 = VAR6[VAR4*VAR21 +: VAR21];
wire [VAR40-1:0] VAR46 = VAR34[VAR4*VAR40 +: VAR40];
wire [VAR20-1:0] VAR7 = VAR45[VAR4*VAR20 +: VAR20];
always @* begin
VAR41 = VAR4;
VAR16 = VAR26;
VAR1 = 0;
if (VAR62 & VAR48) begin
if (VAR54) begin
VAR16 = 1'b0;
end
end
if (!VAR26 && enable && (VAR59 & (1 << select))) begin
VAR16 = 1'b1;
VAR41 = select;
end
VAR1 = (VAR5 && VAR16) << VAR41;
VAR42 = VAR55;
VAR36 = VAR22;
VAR43 = VAR62 && VAR48 && VAR26;
VAR23 = VAR54;
VAR12 = VAR24;
VAR28 = VAR46;
VAR52 = VAR7;
end
always @(posedge clk) begin
VAR4 <= VAR41;
VAR26 <= VAR16;
VAR50 <= VAR1;
if (rst) begin
VAR4 <= 0;
VAR26 <= 1'b0;
VAR50 <= 0;
end
end
reg [VAR3-1:0] VAR60 = {VAR3{1'b0}};
reg [VAR56-1:0] VAR8 = {VAR56{1'b0}};
reg VAR58 = 1'b0, VAR66;
reg VAR49 = 1'b0;
reg [VAR21-1:0] VAR70 = {VAR21{1'b0}};
reg [VAR40-1:0] VAR10 = {VAR40{1'b0}};
reg [VAR20-1:0] VAR29 = {VAR20{1'b0}};
reg [VAR3-1:0] VAR63 = {VAR3{1'b0}};
reg [VAR56-1:0] VAR38 = {VAR56{1'b0}};
reg VAR53 = 1'b0, VAR27;
reg VAR30 = 1'b0;
reg [VAR21-1:0] VAR2 = {VAR21{1'b0}};
reg [VAR40-1:0] VAR57 = {VAR40{1'b0}};
reg [VAR20-1:0] VAR69 = {VAR20{1'b0}};
reg VAR47;
reg VAR32;
reg VAR11;
assign VAR67 = VAR60;
assign VAR17 = VAR13 ? VAR8 : {VAR56{1'b1}};
assign VAR68 = VAR58;
assign VAR51 = VAR49;
assign VAR64 = VAR31 ? VAR70 : {VAR21{1'b0}};
assign VAR9 = VAR25 ? VAR10 : {VAR40{1'b0}};
assign VAR19 = VAR44 ? VAR29 : {VAR20{1'b0}};
assign VAR5 = VAR35 || (!VAR53 && (!VAR58 || !VAR43));
always @* begin
VAR66 = VAR58;
VAR27 = VAR53;
VAR47 = 1'b0;
VAR32 = 1'b0;
VAR11 = 1'b0;
if (VAR33) begin
if (VAR35 || !VAR58) begin
VAR66 = VAR43;
VAR47 = 1'b1;
end else begin
VAR27 = VAR43;
VAR32 = 1'b1;
end
end else if (VAR35) begin
VAR66 = VAR53;
VAR27 = 1'b0;
VAR11 = 1'b1;
end
end
always @(posedge clk) begin
VAR58 <= VAR66;
VAR33 <= VAR5;
VAR53 <= VAR27;
if (VAR47) begin
VAR60 <= VAR42;
VAR8 <= VAR36;
VAR49 <= VAR23;
VAR70 <= VAR12;
VAR10 <= VAR28;
VAR29 <= VAR52;
end else if (VAR11) begin
VAR60 <= VAR63;
VAR8 <= VAR38;
VAR49 <= VAR30;
VAR70 <= VAR2;
VAR10 <= VAR57;
VAR29 <= VAR69;
end
if (VAR32) begin
VAR63 <= VAR42;
VAR38 <= VAR36;
VAR30 <= VAR23;
VAR2 <= VAR12;
VAR57 <= VAR28;
VAR69 <= VAR52;
end
if (rst) begin
VAR58 <= 1'b0;
VAR33 <= 1'b0;
VAR53 <= 1'b0;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/edfxbp/sky130_fd_sc_hs__edfxbp_1.v
| 2,248 |
module MODULE1 (
VAR9 ,
VAR1 ,
VAR4 ,
VAR7 ,
VAR6 ,
VAR3,
VAR5
);
output VAR9 ;
output VAR1 ;
input VAR4 ;
input VAR7 ;
input VAR6 ;
input VAR3;
input VAR5;
VAR8 VAR2 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR9 ,
VAR1,
VAR4,
VAR7 ,
VAR6
);
output VAR9 ;
output VAR1;
input VAR4;
input VAR7 ;
input VAR6 ;
supply1 VAR3;
supply0 VAR5;
VAR8 VAR2 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/Tiger4NSC_v1_2_3/ff169405/src/AXI4CommandDivider.v
| 6,599 |
module MODULE1
(
parameter VAR20 = 32 ,
parameter VAR10 = 32 ,
parameter VAR23 = 16 ,
parameter VAR26 = 16
)
(
VAR17 ,
VAR22 ,
VAR19 ,
VAR2 ,
VAR6 ,
VAR9 ,
VAR27,
VAR28 ,
VAR11 ,
VAR5 ,
VAR1 ,
VAR21
);
input VAR17 ;
input VAR22 ;
input [VAR20 - 1:0] VAR19 ;
input [VAR23 - 1:0] VAR2 ;
input VAR6 ;
output VAR9 ;
input VAR27;
output [VAR20 - 1:0] VAR28 ;
output [7:0] VAR11 ;
output VAR5 ;
input VAR1 ;
output VAR21 ;
reg [7:0] VAR4 ;
reg VAR29 ;
reg VAR3 ;
localparam VAR13 = 2'b00 ;
localparam VAR14 = 2'b01 ;
localparam VAR25 = 2'b11 ;
reg [1:0] VAR24 ;
reg [1:0] VAR15 ;
reg [VAR20 - 1:0] VAR7 ;
reg [VAR23:0] VAR16 ;
reg [VAR8(VAR26):0] VAR30 ;
wire VAR18 ;
wire VAR12 ;
always @ (posedge VAR17)
if (!VAR22)
VAR24 <= VAR13;
else
VAR24 <= VAR15;
assign VAR18 = (VAR16 >= VAR30) ;
assign VAR12 = (VAR16 < VAR30) ;
always @
case (VAR24)
VAR13:
VAR3 <= 1'b1;
VAR25:
if (!VAR1) VAR3 <= 1'b1;
else
VAR3 <= 1'b0;
default:
VAR3 <= 1'b0;
endcase
assign VAR21 = VAR3;
endmodule
|
gpl-3.0
|
hitomi2500/wasca
|
fpga_firmware/wasca/synthesis/submodules/altera_reset_controller.v
| 12,017 |
module MODULE1
parameter VAR52 = 6,
parameter VAR38 = 0,
parameter VAR54 = 0,
parameter VAR33 = 0,
parameter VAR16 = 0,
parameter VAR57 = 0,
parameter VAR11 = 0,
parameter VAR5 = 0,
parameter VAR69 = 0,
parameter VAR4 = 0,
parameter VAR3 = 0,
parameter VAR71 = 0,
parameter VAR39 = 0,
parameter VAR78 = 0,
parameter VAR31 = 0,
parameter VAR55 = 0,
parameter VAR50 = 0,
parameter VAR14 = "VAR43",
parameter VAR6 = 2,
parameter VAR63 = 0,
parameter VAR42 = 3,
parameter VAR77 = 11,
parameter VAR66 = 4,
parameter VAR23 = 0
)
(
input VAR47,
input VAR68,
input VAR73,
input VAR60,
input VAR70,
input VAR7,
input VAR10,
input VAR41,
input VAR74,
input VAR30,
input VAR18,
input VAR9,
input VAR56,
input VAR62,
input VAR40,
input VAR44,
input VAR13,
input VAR51,
input VAR17,
input VAR2,
input VAR24,
input VAR36,
input VAR59,
input VAR72,
input VAR15,
input VAR64,
input VAR58,
input VAR28,
input VAR1,
input VAR46,
input VAR34,
input VAR12,
input clk,
output reg VAR27,
output reg VAR48
);
localparam VAR25 = (VAR14 == "VAR43");
localparam VAR21 = 3;
localparam VAR29 = VAR21 + VAR42;
localparam VAR22 = VAR42 > VAR66 ? VAR42 : VAR66;
localparam VAR61 = (VAR21 > VAR22) ?
VAR77 + 1 :
(
(VAR77 > VAR22)?
VAR77 + (VAR22 - VAR21 + 1) + 1 :
VAR77 + VAR66 + VAR42 - VAR21 + 2
);
localparam VAR19 = VAR66 + 1;
wire VAR37;
wire VAR80;
wire VAR26;
wire VAR53;
reg [VAR29: 0] VAR76;
reg [VAR61-1: 0] VAR45;
reg VAR75;
reg VAR79;
assign VAR37 = (
VAR47 |
VAR68 |
VAR73 |
VAR60 |
VAR70 |
VAR7 |
VAR10 |
VAR41 |
VAR74 |
VAR30 |
VAR18 |
VAR9 |
VAR56 |
VAR62 |
VAR40 |
VAR44
);
assign VAR80 = (
( (VAR38 == 1) ? VAR13 : 1'b0) |
( (VAR54 == 1) ? VAR51 : 1'b0) |
( (VAR33 == 1) ? VAR17 : 1'b0) |
( (VAR16 == 1) ? VAR2 : 1'b0) |
( (VAR57 == 1) ? VAR24 : 1'b0) |
( (VAR11 == 1) ? VAR36 : 1'b0) |
( (VAR5 == 1) ? VAR59 : 1'b0) |
( (VAR69 == 1) ? VAR72 : 1'b0) |
( (VAR4 == 1) ? VAR15 : 1'b0) |
( (VAR3 == 1) ? VAR64 : 1'b0) |
( (VAR71 == 1) ? VAR58 : 1'b0) |
( (VAR39 == 1) ? VAR28 : 1'b0) |
( (VAR78 == 1) ? VAR1 : 1'b0) |
( (VAR31 == 1) ? VAR46 : 1'b0) |
( (VAR55 == 1) ? VAR34 : 1'b0) |
( (VAR50 == 1) ? VAR12 : 1'b0)
);
generate if (VAR14 == "none" && (VAR63==0)) begin
assign VAR26 = VAR37;
assign VAR53 = VAR80;
end else begin
VAR35
.VAR65 (VAR6),
.VAR25(VAR63? 1'b1 : VAR25)
)
VAR32
(
.clk (clk),
.VAR8 (VAR37),
.VAR27 (VAR26)
);
VAR35
.VAR65 (VAR6),
.VAR25(0)
)
VAR67
(
.clk (clk),
.VAR8 (VAR80),
.VAR27 (VAR53)
);
end
endgenerate
generate if ( ( (VAR63 == 0) && (VAR23==0) )|
( (VAR23 == 1) && (VAR14 != "VAR43") ) ) begin
always @* begin
VAR27 = VAR26;
VAR48 = VAR53;
end
end else if ( (VAR63 == 0) && (VAR23==1) ) begin
wire VAR49;
VAR35
.VAR65 (VAR6+1),
.VAR25(0)
)
VAR20
(
.clk (clk),
.VAR8 (VAR26),
.VAR27 (VAR49)
);
always @* begin
VAR27 = VAR49;
VAR48 = VAR53;
end
end
else begin
begin
begin
begin
begin
begin
end
begin
begin
|
gpl-2.0
|
Murailab-arch/magukara
|
cores/rgmii2gmii/rtl/rgmii_io.v
| 10,625 |
module MODULE1
(
output [3:0] VAR101,
output VAR69,
input VAR82,
input VAR81,
input [3:0] VAR2,
input VAR136,
input VAR74,
input [7:0] VAR48, input VAR94,
input VAR13,
output [7:0] VAR84,
output VAR34,
output VAR86,
output VAR11,
output [1:0] VAR125,
output VAR127,
input reset
);
VAR73 VAR19 (
.VAR116(VAR119),
.VAR128 (VAR48[0]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR108 (
.VAR116(VAR61),
.VAR128 (VAR48[1]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR32 (
.VAR116(VAR76),
.VAR128 (VAR48[2]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR122 (
.VAR116(VAR79),
.VAR128 (VAR48[3]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR88 (
.VAR116(VAR28),
.VAR128 (VAR48[4]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR120 (
.VAR116(VAR21),
.VAR128 (VAR48[5]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR145 (
.VAR116(VAR105),
.VAR128 (VAR48[6]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR22 (
.VAR116(VAR78),
.VAR128 (VAR48[7]),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
wire [3:0] VAR42;
VAR112 VAR18 (
.VAR116 (VAR42[0]),
.VAR72(VAR119),
.VAR129(VAR28),
.VAR16(VAR82),
.VAR114(VAR81),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR112 VAR49 (
.VAR116 (VAR42[1]),
.VAR72(VAR61),
.VAR129(VAR21),
.VAR16(VAR82),
.VAR114(VAR81),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR112 VAR92 (
.VAR116 (VAR42[2]),
.VAR72(VAR76),
.VAR129(VAR105),
.VAR16(VAR82),
.VAR114(VAR81),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR112 VAR117 (
.VAR116 (VAR42[3]),
.VAR72(VAR79),
.VAR129(VAR78),
.VAR16(VAR82),
.VAR114(VAR81),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR66 VAR41 (.VAR80(VAR42[0]), .VAR4(VAR101[0]));
VAR66 VAR55 (.VAR80(VAR42[1]), .VAR4(VAR101[1]));
VAR66 VAR98 (.VAR80(VAR42[2]), .VAR4(VAR101[2]));
VAR66 VAR68 (.VAR80(VAR42[3]), .VAR4(VAR101[3]));
assign VAR59 = VAR94 ^ VAR13;
VAR73 VAR20 (
.VAR116(VAR113),
.VAR128 (VAR94),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR53 (
.VAR116(VAR40),
.VAR128 (VAR59),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR110 (
.VAR116(VAR131),
.VAR128 (VAR40),
.VAR96 (VAR82),
.VAR138(1'b1),
.VAR14(reset)
);
wire VAR56;
VAR112 VAR9 (
.VAR116 (VAR56),
.VAR72(VAR113),
.VAR129(VAR131),
.VAR16(VAR82),
.VAR114(VAR81),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR66 VAR26 (.VAR80(VAR56), .VAR4(VAR69));
assign VAR52 = ~VAR74;
VAR24 VAR83 (
.VAR30(VAR39),
.VAR118(VAR60),
.VAR128 (VAR2[0]),
.VAR16(VAR74),
.VAR114(VAR52),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR24 VAR134 (
.VAR30(VAR17),
.VAR118(VAR135),
.VAR128 (VAR2[1]),
.VAR16(VAR74),
.VAR114(VAR52),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR24 VAR62 (
.VAR30(VAR132),
.VAR118(VAR102),
.VAR128 (VAR2[2]),
.VAR16(VAR74),
.VAR114(VAR52),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR24 VAR5 (
.VAR30(VAR71),
.VAR118(VAR23),
.VAR128 (VAR2[3]),
.VAR16(VAR74),
.VAR114(VAR52),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR73 VAR50 (
.VAR116(VAR141),
.VAR128 (VAR39),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR47 (
.VAR116(VAR57),
.VAR128 (VAR17),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR27 (
.VAR116(VAR93),
.VAR128 (VAR132),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR104 (
.VAR116(VAR133),
.VAR128 (VAR71),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR29 (
.VAR116(VAR126),
.VAR128 (VAR60),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR65 (
.VAR116(VAR1),
.VAR128 (VAR135),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR54 (
.VAR116(VAR137),
.VAR128 (VAR102),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR115 (
.VAR116(VAR6),
.VAR128 (VAR23),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR107 (
.VAR116(VAR91),
.VAR128 (VAR141),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR15 (
.VAR116(VAR31),
.VAR128 (VAR57),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR8 (
.VAR116(VAR111),
.VAR128 (VAR93),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR37 (
.VAR116(VAR70),
.VAR128 (VAR133),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR46 (
.VAR116(VAR44),
.VAR128 (VAR126),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR12 (
.VAR116(VAR106),
.VAR128 (VAR1),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR87 (
.VAR116(VAR36),
.VAR128 (VAR137),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR35 (
.VAR116(VAR97),
.VAR128 (VAR6),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR58 (
.VAR116 (VAR84[0]),
.VAR128 (VAR91),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR33 (
.VAR116 (VAR84[1]),
.VAR128 (VAR31),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR100 (
.VAR116 (VAR84[2]),
.VAR128 (VAR111),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR63 (
.VAR116 (VAR84[3]),
.VAR128 (VAR70),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR89 (
.VAR116 (VAR84[4]),
.VAR128 (VAR44),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR143 (
.VAR116 (VAR84[5]),
.VAR128 (VAR106),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR124 (
.VAR116 (VAR84[6]),
.VAR128 (VAR36),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR103 (
.VAR116 (VAR84[7]),
.VAR128 (VAR97),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR24 VAR38 (
.VAR30(VAR95),
.VAR118(VAR90),
.VAR128 (VAR136),
.VAR16(VAR74),
.VAR114(VAR52),
.VAR138(1'b1),
.VAR142 (reset),
.VAR3 (1'b0)
);
VAR73 VAR75 (
.VAR116 (VAR7),
.VAR128 (VAR95),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR139 VAR85 (
.VAR116 (VAR64),
.VAR128 (VAR90),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR140 (
.VAR116 (VAR144),
.VAR128 (VAR7),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR99 (
.VAR116 (VAR67),
.VAR128 (VAR64),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
VAR73 VAR25 (
.VAR116 (VAR34),
.VAR128 (VAR144),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
assign VAR45 = VAR7 ^ VAR64;
VAR73 VAR130 (
.VAR116 (VAR86),
.VAR128 (VAR45),
.VAR96 (VAR74),
.VAR138(1'b1),
.VAR14(reset)
);
assign VAR10 = ~(VAR34 | VAR86);
VAR109 VAR51 (
.VAR116 (VAR11),
.VAR128 (VAR84[0]),
.VAR96 (VAR74),
.VAR138(VAR10),
.VAR142 (VAR121),
.VAR3 (1'b0)
);
VAR109 VAR43 (
.VAR116 (VAR125[0]),
.VAR128 (VAR84[0]),
.VAR96 (VAR74),
.VAR138(VAR10),
.VAR142 (VAR121),
.VAR3 (1'b0)
);
VAR109 VAR77 (
.VAR116 (VAR125[1]),
.VAR128 (VAR84[0]),
.VAR96 (VAR74),
.VAR138(VAR10),
.VAR142 (VAR121),
.VAR3 (1'b0)
);
VAR109 VAR123 (
.VAR116 (VAR127),
.VAR128 (VAR84[0]),
.VAR96 (VAR74),
.VAR138(VAR10),
.VAR142 (VAR121),
.VAR3 (1'b0)
);
endmodule
|
gpl-3.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v
| 5,295 |
module MODULE1 #(parameter VAR19(VAR4 )
,parameter VAR15=0
,parameter VAR21 = 0)
(
input VAR24
, input VAR17
, input VAR28 , input VAR7
, output [VAR4-1:0] VAR11 , output [VAR4-1:0] VAR20 , output [VAR4-1:0] VAR32 );
logic [VAR4-1:0] VAR30, VAR18;
logic [VAR4-1:0] VAR29, VAR25, VAR8;
logic [VAR4-1:0] VAR10, VAR22, VAR13;
assign VAR8 = VAR29 + 1'b1;
assign VAR18 = VAR28 ? VAR29 : VAR30;
assign VAR25 = VAR28 ? VAR8 : VAR29;
assign VAR10 = VAR28 ? ((VAR29 >> 1) ^ VAR29) : VAR22;
if (VAR21 == 0) begin: sync
if (VAR15)
begin
always @(negedge VAR24)
if (VAR17)
begin
VAR30 <= 0;
VAR29 <= 1;
end
else
begin
VAR30 <= VAR18;
VAR29 <= VAR25;
end
end
else
begin
always @(posedge VAR24)
if (VAR17)
begin
VAR30 <= 0;
VAR29 <= 1;
end
else
begin
VAR30 <= VAR18;
VAR29 <= VAR25;
end
end
end
else begin: async
if (VAR15)
begin : VAR5
logic [VAR4-1:0] VAR12;
logic [VAR4-1:0] VAR14;
assign VAR30 = VAR12;
assign VAR29 = VAR14;
always @(negedge VAR24 or posedge VAR17)
if (VAR17)
begin
VAR12 <= 0;
VAR14 <= 1;
end
else
begin
VAR12 <= VAR18;
VAR14 <= VAR25;
end
end
else
begin : VAR1
logic [VAR4-1:0] VAR12;
logic [VAR4-1:0] VAR14;
assign VAR30 = VAR12;
assign VAR29 = VAR14;
always @(posedge VAR24 or posedge VAR17)
if (VAR17)
begin
VAR12 <= 0;
VAR14 <= 1;
end
else
begin
VAR12 <= VAR18;
VAR14 <= VAR25;
end
end
end
assign VAR11 = VAR30;
VAR31 #(.VAR2(VAR4)
,.VAR15(VAR15)
,.VAR21(VAR21)) VAR9
(
.VAR3(VAR24)
,.VAR6(VAR17)
,.VAR23(VAR7)
,.VAR26(VAR10)
,.VAR27(VAR22)
,.VAR16(VAR13)
);
assign VAR20 = VAR22;
assign VAR32 = VAR13;
endmodule
|
bsd-3-clause
|
MiddleMan5/233
|
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_util_vector_logic_0_0/RAT_util_vector_logic_0_0_stub.v
| 1,304 |
module MODULE1(VAR3, VAR2, VAR1)
;
input [0:0]VAR3;
input [0:0]VAR2;
output [0:0]VAR1;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/invkapwr/sky130_fd_sc_lp__invkapwr.symbol.v
| 1,311 |
module MODULE1 (
input VAR6,
output VAR5
);
supply1 VAR1 ;
supply0 VAR3 ;
supply1 VAR7;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
Elphel/x353
|
memctrl353/descrproc353.v
| 30,498 |
module MODULE1 (clk, VAR14, VAR55, VAR37, VAR130, VAR52, VAR45, VAR2, VAR144, VAR27, VAR122, VAR83, VAR111, VAR11, VAR46, VAR19, VAR121, VAR40, VAR108, VAR58, VAR125, VAR54, VAR78, VAR9, VAR116, VAR95, VAR113, VAR131, VAR93 ); input clk; input [ 3:0] VAR14;
input [ 3:0] VAR55;
input [ 3:0] VAR37;
input VAR130;
input [17:0] VAR52;
output [31:0] VAR45;
input VAR2;
input VAR144;
input [ 1:0] VAR27;
output VAR122;
output [24:3] VAR83;
output [24:8] VAR111; output VAR11; output VAR46;
output VAR19;
output [ 1:0] VAR121;
output [ 5:0] VAR40;
output [17:0] VAR108;
output VAR58;
output VAR125;
output [ 3:0] VAR54;
output [ 3:0] VAR78;
output [ 3:0] VAR9;
input [ 3:0] VAR116; output [ 3:0] VAR95;
input VAR113; input [ 3:0] VAR131; output [3:0] VAR93;
reg [3:0] VAR93; reg [3:0] VAR117=4'hf; reg [3:0] VAR95;
wire VAR122;
wire VAR58;
wire VAR57;
reg VAR125;
wire VAR13;
reg [ 3:0] VAR54;
wire [ 3:0] VAR10;
reg [ 3:0] VAR17; reg [ 3:0] VAR75; assign VAR122=VAR75[2];
reg VAR86; reg VAR146; reg VAR115; reg VAR36; reg [ 1:0] VAR129; wire [ 2:0] VAR30;
wire [17:0] VAR108;
reg [ 1:0] VAR65; reg VAR70;
reg VAR35;
reg [ 3:0] VAR78;
reg VAR15;
reg VAR19; reg VAR46; reg [1:0] VAR121;
reg [5:0] VAR40;
reg [24:3] VAR83; reg [24:8] VAR111; reg VAR11, VAR68;
reg [ 9:0] VAR100;
reg [13:0] VAR73;
reg VAR63; wire VAR5; wire VAR126; wire VAR97; reg VAR1; reg VAR61;
wire VAR137; reg VAR77; reg VAR123;
wire VAR49; reg VAR23; reg [3:0] VAR9;
reg VAR119; wire [17:0] VAR85; wire [21:0] VAR51; wire rst=!VAR58;
reg [13:0] VAR101;
reg [13:4] VAR44; reg [13:0] VAR76;
reg VAR133; reg [ 1:0] VAR28; reg [ 3:0] VAR98; reg VAR50;
reg VAR79;
reg [3:0] VAR112; reg VAR145;
wire VAR16= (VAR27[1:0]==VAR28[1:0]); wire VAR74= VAR85[13]&&(!VAR85[14] || VAR85[15]);
wire VAR41= VAR85[13] && VAR85[14] && !VAR85[15]; wire VAR135;
wire VAR42;
wire VAR71=!VAR85[14] || VAR85[15] ; wire [17:0] VAR80;
wire [21:0] VAR142;
reg [13:0] VAR38;
reg VAR141;
wire [3:0] VAR84={VAR27[1] & VAR27[0],VAR27[1] & ~VAR27[0],~VAR27[1] & VAR27[0],~VAR27[1] & ~VAR27[0]};
assign VAR42= ((VAR101[13:4]==VAR76[13:4]) && (VAR145 || (VAR101[3:0]==VAR76[3:0])) && (!VAR119 || VAR123 ) ) || (VAR145 && (VAR44[13:4]==VAR76[13:4]) &&
(VAR101[3:2]==2'b0)) || (VAR123 && VAR61) || (VAR141 && !(|VAR101[13:2]) && (VAR145 || !(|VAR101[1:0]) )); always @(negedge clk)
if (VAR146 && VAR74) VAR145 <= VAR85[15];
always @(negedge clk or posedge rst)
if (rst) VAR133 <= 1'b0;
else if (VAR146) VAR133 <= VAR74 || (VAR133 && !VAR16);
always @(negedge clk)
if (VAR146 && VAR74) VAR28[1:0] <= VAR27[1:0];
always @(negedge clk) begin
VAR50 <= VAR17[3] && VAR19 && VAR15 && VAR133 && ~VAR50;
VAR79 <= VAR17[3] && !VAR19 && VAR15 && ~VAR79;
end
always @(negedge clk) if (VAR146) begin
if (VAR27[1:0]==2'b00) begin
VAR112[0] <= VAR74;
VAR117[0] <= VAR71;
end
if (VAR27[1:0]==2'b01) begin
VAR112[1] <= VAR74;
VAR117[1] <= VAR71;
end
if (VAR27[1:0]==2'b10) begin
VAR112[2] <= VAR74;
VAR117[2] <= VAR71;
end
if (VAR27[1:0]==2'b11) begin
VAR112[3] <= VAR74;
VAR117[3] <= VAR71;
end
end
always @(negedge clk) VAR95[3:0] <= VAR112[3:0]; reg [2:0] VAR134;
wire VAR7= &VAR134; always @ (negedge clk) begin
VAR93[3:0] <= ~VAR117[3:0] | VAR116[3:0] | (VAR93[3:0] &
~({4{VAR146}} & VAR84[3:0] ) & ~({4{VAR75[3] & VAR7} } & VAR84[3:0])); end
always @(negedge clk) begin
if (VAR146 && VAR41) VAR101[13:0] <= 14'h0;
end
else if (VAR50) VAR101[13:0] <= {VAR73[13:4],VAR46?4'b0:VAR73[3:0]};
if (VAR146 && VAR41) VAR119<=1'b1; else if (VAR50) VAR119<=VAR23;
VAR44[13:4] <= VAR101[13:4]-1;
if (VAR146 && VAR74) VAR76[13:0] <= 14'h0;
else if (VAR79) VAR76[13:0] <= {VAR73[13:4],VAR46?4'b0:VAR73[3:0]};
if (VAR146 && VAR74) VAR141 <= 1'b0;
else if (VAR79)
VAR141 <= VAR68 && (VAR73[13:4]==VAR38[13:4]) && (VAR46 || (VAR73[3:0]==VAR38[3:0]));
if (VAR146 && VAR41) VAR61 <= 1'b0;
else if (VAR50) VAR61 <= VAR1;
if (VAR146 && VAR74) VAR123 <= 1'b1;
else if (VAR79) VAR123 <= VAR77;
end
reg VAR22;
reg [3:0] VAR67;
wire [3:0] VAR60;
reg [3:0] VAR92;
reg [3:0] VAR128;
reg [3:0] VAR104;
always @(negedge clk) begin
VAR22 <= VAR113;
VAR67[3:0] <= VAR10[3:0] & {4{VAR22}};
VAR92[3:0] <= VAR60[3:0];
VAR128[3:0] <= VAR92[3:0];
VAR104[3:0] <= VAR92[3:0] & (~VAR128[3:0]);
end
VAR26 VAR132 (.VAR48(VAR131[0]),.VAR31(!VAR67[0] || VAR104[0] ),.VAR105(1'b1),.VAR47(VAR67[0]),.VAR8(VAR60[0]));
VAR26 VAR59 (.VAR48(VAR131[1]),.VAR31(!VAR67[1] || VAR104[1] ),.VAR105(1'b1),.VAR47(VAR67[1]),.VAR8(VAR60[1]));
VAR26 VAR32 (.VAR48(VAR131[2]),.VAR31(!VAR67[2] || VAR104[2] ),.VAR105(1'b1),.VAR47(VAR67[2]),.VAR8(VAR60[2]));
VAR26 VAR18 (.VAR48(VAR131[3]),.VAR31(!VAR67[3] || VAR104[3] ),.VAR105(1'b1),.VAR47(VAR67[3]),.VAR8(VAR60[3]));
VAR127 VAR96 (.VAR8(VAR135), .VAR99(4'h3), .VAR56(clk), .VAR47(VAR50 || VAR79));
always @(negedge clk)
if (VAR146 && VAR74) VAR98[0] <= (VAR27[1:0]==2'b00);
else if (VAR135 && (VAR28[1:0]==2'b00)) VAR98[0] <= VAR42;
always @(negedge clk)
if (VAR146 && VAR74) VAR98[1] <= (VAR27[1:0]==2'b01);
else if (VAR135 && (VAR28[1:0]==2'b01)) VAR98[1] <= VAR42;
always @(negedge clk)
if (VAR146 && VAR74) VAR98[2] <= (VAR27[1:0]==2'b10);
else if (VAR135 && (VAR28[1:0]==2'b10)) VAR98[2] <= VAR42;
always @(negedge clk)
if (VAR146 && VAR74) VAR98[3] <= (VAR27[1:0]==2'b11);
else if (VAR135 && (VAR28[1:0]==2'b11)) VAR98[3] <= VAR42;
VAR39 VAR107 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[ 1]),.VAR47(VAR52[ 0]),.VAR8(VAR57));
VAR39 VAR149 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[ 3]),.VAR47(VAR52[ 2]),.VAR8(VAR13));
VAR39 VAR34 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[ 5]),.VAR47(VAR52[ 4]),.VAR8(VAR10[0]));
VAR39 VAR136 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[ 7]),.VAR47(VAR52[ 6]),.VAR8(VAR10[1]));
VAR39 VAR6 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[ 9]),.VAR47(VAR52[ 8]),.VAR8(VAR10[2]));
VAR39 VAR140 (.VAR48(clk),.VAR105(VAR130 && (VAR55[3:0]==4'h7) && VAR52[11]),.VAR47(VAR52[10]),.VAR8(VAR10[3]));
always @ (negedge clk) {VAR54[3:0],VAR125} <= {(VAR10[3:0] & VAR93[3:0] & ~(VAR98[3:0] & VAR112[3:0])),VAR13}; VAR81 VAR114 (.VAR48(clk),.VAR47(VAR57),.VAR8(VAR58));
VAR81 VAR72 (.VAR48(clk),.VAR47((VAR130 && (VAR55[3:0] == 4'h3)) || (VAR30[0] && !VAR30[1]) ),.VAR8(VAR30[0]));
VAR81 VAR64 (.VAR48(clk),.VAR47(VAR30[0]),.VAR8(VAR30[1]));
VAR81 VAR147 (.VAR48(clk),.VAR47(VAR30[0] && VAR30[1]),.VAR8(VAR30[2]));
always @ (negedge clk) VAR129[1:0] <= {VAR30[0] || VAR75[1] || (VAR17[2] && !VAR75[2]),
VAR30[0] || VAR75[0] || (VAR17[1] && !VAR75[1])};
VAR81 #(.VAR148(1'b1)) VAR91 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 0]),.VAR8(VAR108[ 0]));
VAR81 #(.VAR148(1'b1)) VAR90 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 1]),.VAR8(VAR108[ 1]));
VAR81 #(.VAR148(1'b1)) VAR143 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 2]),.VAR8(VAR108[ 2]));
VAR81 #(.VAR148(1'b1)) VAR62 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 3]),.VAR8(VAR108[ 3]));
VAR81 #(.VAR148(1'b1)) VAR12 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 4]),.VAR8(VAR108[ 4]));
VAR81 #(.VAR148(1'b1)) VAR3 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 5]),.VAR8(VAR108[ 5]));
VAR81 #(.VAR148(1'b1)) VAR106 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 6]),.VAR8(VAR108[ 6]));
VAR81 #(.VAR148(1'b1)) VAR109 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 7]),.VAR8(VAR108[ 7]));
VAR81 #(.VAR148(1'b1)) VAR88 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 8]),.VAR8(VAR108[ 8]));
VAR81 #(.VAR148(1'b1)) VAR20 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[ 9]),.VAR8(VAR108[ 9]));
VAR81 #(.VAR148(1'b1)) VAR110 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[10]),.VAR8(VAR108[10]));
VAR81 #(.VAR148(1'b1)) VAR25 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[11]),.VAR8(VAR108[11]));
VAR81 #(.VAR148(1'b1)) VAR33 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[12]),.VAR8(VAR108[12]));
VAR81 #(.VAR148(1'b1)) VAR4 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[13]),.VAR8(VAR108[13]));
VAR81 #(.VAR148(1'b1)) VAR82 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[14]),.VAR8(VAR108[14]));
VAR81 #(.VAR148(1'b1)) VAR139 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[15]),.VAR8(VAR108[15]));
VAR81 #(.VAR148(1'b1)) VAR102 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[16]),.VAR8(VAR108[16]));
VAR81 #(.VAR148(1'b1)) VAR124 (.VAR48(clk),.VAR47(!VAR30[2] | VAR85[17]),.VAR8(VAR108[17]));
always @ (negedge clk) if (VAR130 && (VAR55[1:0] == 2'h0)) VAR65[1:0] <= VAR55[3:2];
always @ (negedge clk) begin
VAR70 <= VAR130 && (VAR55[1:0] == 2'h0);
VAR35 <= VAR70;
VAR78 <= VAR104[3:0] | {VAR35 && (VAR65[1:0]==2'b11),
VAR35 && (VAR65[1:0]==2'b10),
VAR35 && (VAR65[1:0]==2'b01),
VAR35 && (VAR65[1:0]==2'b00)};
end
always @ (negedge clk or posedge rst)
if (rst) begin
VAR17[3:0] <= 4'b0;
VAR86 <= 1'b0;
end else begin
VAR17[3:0] <= {VAR75[2:0],(VAR2 & !VAR144)} | (VAR17[3:0] &~VAR75[3:0]);
VAR86 <= VAR144 || (VAR86 && ~VAR146);
end
always @ (negedge clk) begin
VAR75[3:0] <= (VAR17[3:0] & ~VAR75[3:0]);
VAR36 <= |VAR17[2:0] && ~(|VAR75[2:0]);
VAR146 <= VAR86 && ~VAR146;
VAR115 <= (VAR86 || VAR17[3]) && ~VAR115;
end
assign VAR45[31:0]={VAR142[21:20], (VAR14[1:0]==2'h2)?
VAR142[13:0]: VAR142[19:6], (VAR14[3:0]==4'h7)?
{VAR93[3:0],VAR54[3:0],VAR125,VAR58,VAR10[3:0],VAR13,VAR57}:
VAR80[15:0]};
VAR53 #( .VAR120(18),.VAR103(4))
VAR21(.VAR47(VAR52[17:0]),
.VAR94(VAR130),
.clk(~clk),
.VAR89(VAR37[3:0]),
.VAR29({VAR27[1:0],VAR129[1:0]}),
.VAR87(VAR80[17:0]), .VAR66(VAR85[17:0]));
VAR53 #( .VAR120(22),.VAR103(2))
VAR118(.VAR47(VAR86?22'h300000:{VAR121[1:0],VAR73[13:4],(VAR46?VAR100[9:5]:{VAR73[3:0],1'b0}),VAR100[4:0]}),
.VAR94(VAR115),
.clk(~clk),
.VAR89(VAR27[1:0]),
.VAR29(VAR14[3:2]),
.VAR87(VAR51[21:0]),
.VAR66(VAR142[21:0]));
assign VAR5= VAR46?(VAR51[9:0]==VAR85[13:4]):(VAR51[4:0]==VAR85[13:9]); assign VAR126= (VAR51[19:10]==VAR85[13:4]) && (VAR46 || (VAR51[9:6]==VAR85[3:0])); assign VAR97= (VAR51[19:10]==VAR85[13:4]) && (VAR46 || (VAR51[9:8]==VAR85[3:2])); assign VAR137= (VAR51[19:0]==20'h0); assign VAR49= VAR63 && VAR126;
always @ (negedge clk) if (VAR75[0] || VAR146) begin VAR46 <=VAR85[15]; VAR19 <= VAR85[14] && !VAR85[15]; VAR68 <= VAR85[14] && VAR85[15] ; VAR15<= VAR85[13];
end
always @ (negedge clk) if (VAR75[2]) VAR11 <= VAR126 && VAR68;
always @ (negedge clk) if (VAR75[2]) VAR1 <= VAR97 ; always @ (negedge clk) if (VAR75[2]) VAR77 <= VAR137 ;
always @ (negedge clk) if (VAR75[0]) begin VAR121[1:0] <=VAR51[21:20]+1;
end
wire [4:0] VAR43;
assign VAR43=((VAR46 && (VAR85[8:4]==5'h1f))?(VAR85[13:9]+1'b1):VAR85[13:9])+1;
reg [16:0] VAR24;
wire [18:0] VAR69; wire [4:0] VAR138=VAR85[8:4]+1;
assign VAR69 = VAR43[4:0]*{VAR51[19:10],VAR46?4'b0:VAR51[9:6]};
always @ (negedge clk) if (VAR75[1]) begin VAR40[5:0] <= VAR46?({1'b0,VAR85[13:9]}+((VAR85[8:4]==5'h1f)?2'h2:2'h1)): ({1'b0,(VAR51[4:0]==VAR85[13:9])?(VAR138):5'b0});
VAR83[7:3] <= VAR46?VAR51[4:0]:5'b0;
VAR24 <= VAR69[16:0];
VAR63 <= VAR5;
VAR100[ 9:0] <= VAR5? 10'b0 : (VAR51[9:0]+1);
end
always @ (negedge clk) if (VAR75[2]) begin VAR73[13:0] <= VAR49? 14'b0 : (VAR51[19:6]+{9'b0,(VAR63 && VAR46),3'b0,(VAR63 && !VAR46)});
VAR38[13:0] <= VAR85[13:0];
VAR134[2:0] <={VAR63, (VAR51[19:10]==VAR85[13:4])?1'b1:1'b0, (VAR46 || (VAR51[9:6]==VAR85[3:0]))?1'b1:1'b0};
end
always @ (negedge clk) if (VAR36) begin
VAR83[24:8] <= VAR17[0]?
{4'b0,VAR85[12:0]}:
(VAR83[24:8]+
(VAR17[1]?
{VAR85[3:0],8'b0,VAR46?
VAR51[9:5]:
VAR51[4:0]}:
{VAR24[16:0]}));
end
always @ (negedge clk) if (VAR75[1]) begin
VAR111[24:8] <= {VAR85[3:0], VAR83[20:8]};
end
always @ (negedge clk) begin
if (VAR75[2] && (VAR27[1:0]==2'b00)) VAR9[0] <= VAR49;
if (VAR75[2] && (VAR27[1:0]==2'b01)) VAR9[1] <= VAR49;
if (VAR75[2] && (VAR27[1:0]==2'b10)) VAR9[2] <= VAR49;
if (VAR75[2] && (VAR27[1:0]==2'b11)) VAR9[3] <= VAR49;
if (VAR75[2] ) VAR23 <= VAR49;
end
endmodule
|
gpl-3.0
|
hoangt/NOCulator
|
hring/hw/buffered/src/c_port_filter.v
| 6,427 |
module MODULE1
(VAR17, VAR19, VAR22, VAR2);
parameter VAR10 = 2;
parameter VAR15 = 2;
parameter VAR24 = 5;
parameter VAR11 = 2;
parameter VAR26 = 4;
parameter VAR1 = 1;
parameter VAR14 = VAR21;
parameter VAR12 = VAR8;
parameter VAR3 = VAR20;
parameter VAR23 = 0;
parameter VAR25 = 0;
parameter VAR4 = 0;
input [0:VAR24-1] VAR17;
input VAR19;
output [0:VAR24-1] VAR22;
wire [0:VAR24-1] VAR22;
output VAR2;
wire VAR2;
wire [0:VAR24-1] VAR13;
wire [0:VAR24-1] VAR16;
generate
genvar VAR9;
for(VAR9 = 0; VAR9 < VAR24; VAR9 = VAR9 + 1)
begin:VAR18
if(((VAR9 < (VAR24 - VAR26)) &&
((VAR12 == VAR8) &&
((((VAR14 == VAR21) ||
(VAR14 == VAR7)) &&
(VAR9 == VAR23)) ||
((VAR14 == VAR6) &&
((VAR9 / VAR11) ==
(VAR23 / VAR11))) ||
((VAR23 < (VAR24 - VAR26)) &&
(((VAR3 == VAR20) &&
((VAR9 / VAR11) <
(VAR23 / VAR11))) ||
((VAR3 == VAR5) &&
((VAR9 / VAR11) >
(VAR23 / VAR11)))))))))
begin
if(VAR4 == (VAR15 - 1))
begin
assign VAR13[VAR9] = 1'b0;
assign VAR16[VAR9] = VAR17[VAR9];
end
else
begin
assign VAR13[VAR9]
= VAR17[VAR9] ;
assign VAR16[VAR9] = VAR17[VAR9] & ~VAR19;
end
end
else if((VAR9 >= (VAR24 - VAR26)) &&
((VAR4 < (VAR15 - 1)) ||
(VAR9 == VAR23)))
begin
assign VAR13[VAR9] = 1'b0;
assign VAR16[VAR9] = VAR17[VAR9];
end
else
begin
assign VAR13[VAR9] = VAR17[VAR9];
assign VAR16[VAR9] = 1'b0;
end
end
if(VAR1)
begin
assign VAR22 = VAR13;
assign VAR2 = |VAR16;
end
else
begin
assign VAR22 = VAR17;
assign VAR2 = 1'b0;
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a311o/sky130_fd_sc_ms__a311o.pp.blackbox.v
| 1,421 |
module MODULE1 (
VAR1 ,
VAR4 ,
VAR6 ,
VAR5 ,
VAR2 ,
VAR9 ,
VAR3,
VAR7,
VAR10 ,
VAR8
);
output VAR1 ;
input VAR4 ;
input VAR6 ;
input VAR5 ;
input VAR2 ;
input VAR9 ;
input VAR3;
input VAR7;
input VAR10 ;
input VAR8 ;
endmodule
|
apache-2.0
|
FPGA1988/udp_ip_stack
|
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/TECH/altera/CLK_DIV2.v
| 3,598 |
module MODULE1 (
input VAR2,
input VAR3,
output reg VAR1
);
always @ (posedge VAR3 or posedge VAR2)
if (VAR2)
VAR1 <=0;
else
VAR1 <=!VAR1;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dffnq/gf180mcu_fd_sc_mcu9t5v0__dffnq_2.behavioral.pp.v
| 2,214 |
module MODULE1( VAR12, VAR5, VAR3, VAR7, VAR9 );
input VAR12, VAR5;
inout VAR7, VAR9;
output VAR3;
reg VAR6;
VAR4 VAR1(.VAR12(VAR12),.VAR5(VAR5),.VAR3(VAR3),.VAR7(VAR7),.VAR9(VAR9),.VAR6(VAR6));
VAR4 VAR8(.VAR12(VAR12),.VAR5(VAR5),.VAR3(VAR3),.VAR7(VAR7),.VAR9(VAR9),.VAR6(VAR6));
not VAR13(VAR10,VAR5);
buf VAR2(VAR11,VAR5);
|
apache-2.0
|
ShepardSiegel/ocpi
|
vhdl/biasWorker.v
| 6,339 |
module MODULE1 (
input clk,
input VAR33,
input [2:0] VAR26,
input [0:0] VAR1,
input [3:0] VAR19,
input [19:0] VAR25,
input [31:0] VAR31,
output reg [1:0] VAR4,
output reg [31:0] VAR30,
input [1:0] VAR23,
output reg [1:0] VAR15,
output reg VAR35,
input [2:0] VAR36,
input VAR29,
input VAR32,
input [11:0] VAR9,
input [31:0] VAR34,
input [3:0] VAR5,
input [7:0] VAR6,
output VAR27,
output reg [2:0] VAR7,
output reg VAR28,
output reg VAR11,
output reg [11:0] VAR20,
output reg [31:0] VAR21,
output reg [3:0] VAR10,
output reg [7:0] VAR22,
input VAR24,
output VAR8,
input VAR14,
input VAR12,
output VAR13
);
reg [31:0] VAR18;
reg [2:0] VAR17;
wire VAR3, VAR2, VAR16;
assign VAR3 = (VAR26==3'h1 && VAR1[0]==1'b1);
assign VAR2 = (VAR26==3'h2 && VAR1[0]==1'b1);
assign VAR16 = (VAR26==3'h2 && VAR1[0]==1'b0);
assign VAR8 = VAR33;
assign VAR13 = VAR33;
assign VAR27 = (VAR24 || (VAR17!=2'h2));
always@(posedge clk)
begin
if (VAR17 == 2'h2) begin VAR21 = VAR34 + VAR18; VAR7 = VAR36;
end else begin VAR21 = 0;
VAR7 = 3'h0; end
VAR28 = VAR29;
VAR11 = VAR32;
VAR20 = VAR9;
VAR10 = VAR5;
VAR22 = VAR6;
VAR35 = 1'b0;
VAR4 = 2'b0;
if (VAR33==1'b0) begin VAR17 = 3'h0;
VAR4 = 2'h0;
VAR15 = 2'h0;
VAR35 = 2'b1;
VAR18 = 32'h00000000;
end else begin if (VAR3==1'b1) begin
VAR18 = VAR31; VAR4 = 2'h1;
end
if (VAR2==1'b1) begin
VAR30 = VAR18; VAR4 = 2'h1;
end
if (VAR16==1'b1) begin
case (VAR25[4:2])
2'h0 : VAR17 = 3'h1; 2'h1 : VAR17 = 3'h2; 2'h2 : VAR17 = 3'h3; 2'h3 : VAR17 = 3'h0; endcase
VAR30 = 32'hC0DE4201;
VAR4 = 2'h1;
end
end end endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a2111oi/sky130_fd_sc_hd__a2111oi.symbol.v
| 1,401 |
module MODULE1 (
input VAR9,
input VAR1,
input VAR8,
input VAR5,
input VAR10,
output VAR2
);
supply1 VAR3;
supply0 VAR6;
supply1 VAR4 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/ebufn/sky130_fd_sc_hs__ebufn.pp.symbol.v
| 1,294 |
module MODULE1 (
input VAR4 ,
output VAR1 ,
input VAR5,
input VAR3,
input VAR2
);
endmodule
|
apache-2.0
|
bgelb/digilite_zl
|
rtl/zl_reset_sync.v
| 1,212 |
module MODULE1
(
input clk,
input VAR3,
output VAR1
);
reg [1:0] VAR2;
always @(posedge clk or negedge VAR3) begin
if(!VAR3) begin
VAR2[1] <= 1'b0;
VAR2[0] <= 1'b0;
end
else begin
VAR2[1] <= 1'b1;
VAR2[0] <= VAR2[1];
end
end
assign VAR1 = VAR2[0];
endmodule
|
bsd-2-clause
|
Elphel/x353
|
extras/10364.v
| 36,765 |
module MODULE3 ( clk, VAR67, VAR50, VAR95, VAR116, do, VAR49, VAR78, VAR34, VAR107, VAR48, VAR69 );
parameter VAR23=24;
input clk; input VAR67; input VAR50; input VAR95; input [15:0] VAR116; output [31:0] do; input [1:0] VAR49; input [1:0] VAR78; input [1:0] VAR34; output [1:0] VAR107; output [1:0] VAR48; output [1:0] VAR69;
reg [10:0] addr; reg VAR28; reg VAR133;
reg VAR72;
reg VAR115;
reg VAR85;
reg VAR51;
reg [7:0] VAR20; reg [2:0] VAR99; reg [3:0] VAR16;
reg [7:0] VAR97; reg [2:0] VAR54; reg [3:0] VAR60; reg [11:0] VAR27; reg [11:0] VAR30;
reg [ 4:0] VAR39;
reg [ 4:0] VAR3;
reg [15:0] VAR1;
reg VAR135; wire [3:0] VAR38; wire [3:0] VAR119;
wire [VAR23-1:0] VAR14;
wire [VAR23-1:0] VAR79;
wire [3:0] sequence;
wire [3:0] VAR62;
wire [VAR23-1:0] VAR124;
wire VAR128;
wire VAR112;
reg VAR6, VAR66;
reg VAR121, VAR111;
reg VAR98;
wire [1:0] encoder;
assign encoder[1:0]=sequence[3]?(sequence[2]? VAR34[1:0]:VAR78[1:0]):VAR49[1:0];
assign VAR119[3:0]={addr[1:0],addr[3], ~addr[2]};
always @ (negedge clk) begin
VAR28 <= ~VAR95 & VAR50;
VAR133 <= VAR50 && (!VAR95) && (addr[9:2]==0);
VAR72 <= VAR50 && (!VAR95) && (addr[9:0]==4);
VAR115 <= VAR50 && (!VAR95) && (addr[9:0]==5);
VAR85 <= VAR50 && (!VAR95) && (addr[9:0]==6);
VAR51 <= VAR50 && (!VAR95) && (addr[9:0]==7);
if (VAR115) begin
VAR97[7:0] <= VAR1[ 7: 0];
VAR54[2:0] <= VAR1[10: 8];
VAR60[3:0] <= VAR1[15:12];
end
if (VAR85) begin
VAR30[11:0] <= VAR1[11:0];
end
if (VAR51) begin
VAR3[4:0] <= VAR1[4:0];
end
end
always @ (negedge clk) begin
if (VAR50) VAR1[15:0] <= VAR116[15:0];
if (VAR50 & VAR95) addr[10:9] <= VAR116[10:9] ;
if (VAR50 & VAR95) addr[8:0] <= VAR116[8:0] ;
end
else if (VAR28 ) addr[8:0] <= addr[8:0]+1 ; end
VAR129 VAR89(.VAR29(clk),.VAR4(VAR72 && VAR1[2]),.VAR12(VAR111),.VAR40(1'b1), .VAR75(VAR128));
VAR129 VAR2 (.VAR29(clk),.VAR4(VAR72 && VAR1[1]),.VAR12(1'b0), .VAR40(VAR1[0]), .VAR75(VAR112));
always @ (posedge VAR67) begin
VAR20[7:0] <= VAR97[7:0];
VAR99[2:0] <= VAR54[2:0];
VAR16[3:0] <= VAR60[3:0];
VAR27[11:0] <= VAR30[11:0];
VAR39[4:0] <= VAR3[4:0];
VAR121 <= VAR128;
if (VAR98) VAR111 <= VAR121; VAR6 <= VAR112;
if (VAR98) VAR66 <= VAR6;
end
assign VAR62[1:0]=sequence[1:0]+1;
assign VAR62[3:2]=(sequence[1:0]==2'b11)?((sequence[3:2]==2'b11)?2'b01:(sequence[3:2]+1)):sequence[3:2];
VAR138 VAR45(.VAR29(VAR67),.VAR40(VAR62[0]), .VAR75(sequence[0]));
VAR138 VAR73(.VAR29(VAR67),.VAR40(VAR62[1]), .VAR75(sequence[1]));
VAR138 VAR17(.VAR29(VAR67),.VAR40(VAR62[2]), .VAR75(sequence[2]));
VAR138 VAR137(.VAR29(VAR67),.VAR40(VAR62[3]), .VAR75(sequence[3]));
always @ (posedge VAR67) begin
VAR98 <=(sequence[3:0]==4'b1110);
end
wire [8:0] VAR105=VAR79[15:7] ;
wire [8:0] VAR18; wire [4:0] VAR88=VAR79[6:2] ;
wire [4:0] VAR59; wire [1:0] VAR87=VAR79[1:0] ;
wire [1:0] VAR11; wire VAR13; wire VAR63, VAR8, VAR81; wire [4:0] VAR122;
wire [VAR23-1:0] VAR139; wire [15:0] VAR96; wire [31:0] do; assign do[31:0]=addr[9]?{16'h0,VAR96[15:0]}:{{(32-VAR23){VAR139[VAR23-1]}},VAR139[VAR23-1:0]};
MODULE2 MODULE3
(.clk(VAR67), .VAR104(!VAR111), .VAR53(sequence[1:0]==2'h0), .VAR98(VAR98), .VAR84(VAR16[3:0]), .VAR88(VAR88[4:0]), .VAR59(VAR59[4:0]), .encoder(encoder[1:0]), .VAR87(VAR87[1:0]), .VAR11(VAR11[1:0]), .VAR13(VAR13), .VAR63(VAR63), .VAR8(VAR8), .VAR81(VAR81) );
MODULE5 MODULE4(
.clk(VAR67), .VAR104(!VAR111), .VAR98(VAR98), .VAR53(sequence[1:0]==2'h0), .VAR81(VAR13), .VAR84(VAR27[11:0]), .VAR42(VAR39[4:0]), .VAR105(VAR105[8:0]), .VAR18(VAR18[8:0]), .VAR122(VAR122[4:0]) );
wire [VAR23-1:0] VAR130; wire [VAR23:0] VAR127;
wire [ 5:0] VAR136;
reg [ 5:0] VAR7; assign VAR130[VAR23-1:0]= VAR111? VAR124[VAR23-1:0]:
(VAR63?(VAR79[VAR23-1:0]+1):
(VAR8?(VAR79[VAR23-1:0]-1):(VAR79[VAR23-1:0]) ) );
assign VAR127={VAR79[VAR23-1],VAR79[VAR23-1:0]}-{VAR124[VAR23-1],VAR124[VAR23-1:0]};
assign VAR136[5:0]= VAR124[5:0]-VAR79[5:0];
always @ (posedge VAR67) if (sequence[1:0]==2'h1) begin
VAR7[5:0] <= (VAR127[VAR23:5]=={(VAR23-4){1'b0}}) ? VAR127[5:0]:
((VAR127[VAR23:5]=={(VAR23-4){1'b1}})? {1'b1,VAR136[4:0]|{5{VAR136[5]}}}:{VAR127[VAR23],5'h1f});
end
wire VAR123= VAR79[5]; wire [4:0] VAR5=VAR79[4:0]; wire VAR70; wire [4:0] VAR113;
MODULE4 MODULE1(
.clk(VAR67), .VAR104(!VAR111), .VAR53(sequence[1:0]==2'h2), .VAR81(VAR81), .VAR63(VAR63), .VAR8(VAR8), .VAR83(VAR122[4:0]), .VAR123(VAR123), .VAR5(VAR5[4:0]), .VAR70(VAR70), .VAR113(VAR113[4:0]) );
wire [2:0] VAR36=VAR79[2:0];
wire [2:0] VAR56; wire [1:0] VAR41;
reg VAR32;
reg [1:0] VAR107; reg [1:0] VAR48; reg [1:0] VAR69;
MODULE1 MODULE2(
.clk(VAR67), .en(VAR66), .VAR98(VAR98), .VAR99(VAR99[2:0]), .VAR20(VAR20[7:0]), .VAR140({sequence[3:2],1'b0}), .VAR36(VAR36[2:0]), .VAR38(VAR38[3:0]), .VAR56(VAR56[2:0]), .VAR68(VAR41[1:0]) );
always @ (posedge VAR67) begin
VAR32 <= (sequence[1:0]==2'h2); if (VAR32 && !sequence[3]) VAR107[1:0] <=VAR41[1:0];
if (VAR32 && sequence[3] && !sequence[2]) VAR48[1:0] <=VAR41[1:0];
if (VAR32 && sequence[3] && sequence[2]) VAR69[1:0] <=VAR41[1:0];
end
always @ (posedge VAR67) begin
VAR135 <= (sequence[1:0]==2'h1); end
assign VAR14[VAR23-1:0]=sequence[1]?
(sequence[0]?{{(VAR23-3){1'b0}},VAR56[2:0]}:
{{(VAR23-6){1'b0}},VAR70,VAR113[4:0]}):
(sequence[0]?VAR130[VAR23-1:0]:
{{(VAR23-16){1'b0}},VAR18[8:0],VAR59[4:0],VAR11[1:0]}); VAR110 VAR31 (
.VAR74(VAR67), .VAR44(VAR135), .VAR126(1'b0), .VAR109(1'b0), .VAR134({VAR7[5:0],VAR70,VAR113[4:0]}), .VAR90(4'b0), .VAR92(VAR38[3:0]),
.VAR114(!clk), .VAR118(1'b1), .VAR15(addr[9] && ((~VAR95 && VAR50) || VAR28)), .VAR86({addr[8:0],(VAR50 | VAR28)?VAR28:addr[10]}), .VAR117(VAR116[15:0]), .VAR131(2'b0), .VAR100(VAR96[15:0]), .VAR82(), .VAR21(1'b0) );
VAR9 #( .VAR23(VAR23),.VAR65(2))
VAR10 (.VAR40((VAR23>16)?{VAR116[VAR23-17:0],VAR1[15:0]}:VAR1[15:0]),
.VAR94(VAR133),
.clk(clk),
.VAR132(addr[1:0]),
.VAR57(sequence[3:2]),
.VAR25(),
.VAR35(VAR124[VAR23-1:0]));
VAR76 #( .VAR23(VAR23),.VAR65(4))
VAR101 (.VAR40(VAR14[VAR23-1:0]),
.VAR94(1'b1),
.clk(VAR67),
.VAR132(sequence[3:0]),
.VAR57(VAR119[3:0]),
.VAR25(VAR79[VAR23-1:0]),
.VAR35(VAR139[VAR23-1:0]));
endmodule
module MODULE4 (clk, VAR104, VAR53, VAR81, VAR63, VAR8, VAR83, VAR123, VAR5, VAR70, VAR113 );
parameter VAR47=1;
input clk; input VAR104; input VAR53; input VAR81; input VAR63; input VAR8; input [4:0] VAR83; input VAR123; input [4:0] VAR5; output VAR70; output [4:0] VAR113;
wire en=(VAR47)?1'b1:VAR104;
wire VAR70;
wire [4:0] VAR113;
wire [5:0] VAR61;
assign VAR70= en & (VAR81?VAR8:VAR123);
assign VAR61[5:0]= {1'b0,VAR83}-{1'b0,VAR5};
assign VAR113[4:0]= en ? ((VAR61[5] && !VAR81)?VAR5[4:0]:VAR83[4:0]):5'b0;
endmodule
module MODULE5 (clk, VAR104, VAR98, VAR53, VAR81, VAR84, VAR42, VAR105, VAR18, VAR122 );
parameter VAR47=1;
input clk; input VAR104; input VAR53; input VAR98; input VAR81; input [11:0] VAR84; input [4:0] VAR42; input [8:0] VAR105; output [8:0] VAR18; output [4:0] VAR122;
wire en=(VAR47)?1'b1:VAR104;
reg [11:0] VAR46;
reg VAR24;
wire [8:0] VAR18;
wire [6:0] VAR108;
reg [4:0] VAR58; reg VAR52; reg [4:0] VAR122;
wire [5:0] VAR19;
reg VAR93;
always @ (posedge clk) if (VAR98) begin VAR46= (!en || (VAR46==0))?VAR84:(VAR46-1);
VAR24= en && (VAR46==0);
end
assign VAR18[8:0]=(VAR81 || !en)?{9{~en}}: ((!VAR24 || (VAR105[8:6]==3'h7))? VAR105[8:0]:(VAR105[8:0]+1));
assign VAR108={VAR105[8],
(VAR105[8:7]==2'h1),
(VAR105[8:6]==3'h1),
(VAR105[8:5]==4'h1),
(VAR105[8:4]==5'h1),
(VAR105[8:3]==6'h1),
(VAR105[8:3]==6'h0)};
always @ (posedge clk) if (VAR53) begin
VAR58[4:0] <= {|VAR108[6:3],
|VAR108[6:5] | |VAR108[2:1],
VAR108[6] | VAR108[4] | VAR108[2] | (VAR108[0] & VAR105[2]),
(VAR108[6] & VAR105[7]) |
(VAR108[5] & VAR105[6]) |
(VAR108[4] & VAR105[5]) |
(VAR108[3] & VAR105[4]) |
(VAR108[2] & VAR105[3]) |
(VAR108[1] & VAR105[2]) |
(VAR108[0] & VAR105[1]),
(VAR108[6] & VAR105[6]) |
(VAR108[5] & VAR105[5]) |
(VAR108[4] & VAR105[4]) |
(VAR108[3] & VAR105[3]) |
(VAR108[2] & VAR105[2]) |
(VAR108[1] & VAR105[1]) |
(VAR108[0] & VAR105[0]) };
VAR52 <= VAR81;
end
assign VAR19[5:0] = {1'b0,VAR58[4:0]}-{1'b0,VAR42};
always @ (posedge clk) VAR93 <= VAR53;
always @ (posedge clk) if (VAR93) begin
VAR122[4:0] <= VAR52? (VAR19[5]? 5'h0:VAR19[4:0]):(VAR58[4:0]);
end
endmodule
module MODULE2 (clk, VAR104, VAR53, VAR98, VAR84, VAR88, VAR59, encoder, VAR87, VAR11, VAR13, VAR63, VAR8, VAR81 );
parameter VAR47=1;
input clk; input VAR104; input VAR53; input VAR98; input [3:0] VAR84; input [4:0] VAR88; output [4:0] VAR59; input [1:0] encoder; input [1:0] VAR87; output [1:0] VAR11; output VAR13; output VAR63; output VAR8; output VAR81;
wire en=(VAR47)?1'b1:VAR104;
wire [4:0] VAR59;
wire [1:0] VAR11;
reg VAR63; reg VAR8; reg VAR81;
reg [3:0] VAR71;
reg VAR64;
wire VAR13;
assign VAR59=(!en || (encoder[1:0]==VAR87[1:0]))?0:((VAR88==5'h1f)?5'h1f:(VAR88+VAR64));
assign VAR11= (!en || (VAR88==5'h1f))?encoder:VAR87;
assign VAR13=en && (VAR11[1:0]!=VAR87[1:0]);
always @ (posedge clk) if (VAR98) begin VAR71= (!en || (VAR71==0))?VAR84:(VAR71-1);
VAR64= en && (VAR71==0);
end
always @ (posedge clk) if (VAR53) begin
VAR81 <= VAR13;
VAR8<=en && ((VAR11==2'b00) && (VAR87==2'b01) ||
(VAR11==2'b01) && (VAR87==2'b11) ||
(VAR11==2'b11) && (VAR87==2'b10) ||
(VAR11==2'b10) && (VAR87==2'b00));
VAR63<=en && ((VAR11==2'b00) && (VAR87==2'b10) ||
(VAR11==2'b10) && (VAR87==2'b11) ||
(VAR11==2'b11) && (VAR87==2'b01) ||
(VAR11==2'b01) && (VAR87==2'b00));
end
endmodule
module MODULE1( clk, en, VAR98, VAR99, VAR20, VAR140, VAR36, VAR38, VAR56, VAR68 );
input clk; input en; input VAR98; input [2:0] VAR99; input [7:0] VAR20; input [2:0] VAR140; input [2:0] VAR36; input [3:0] VAR38; output [2:0] VAR56; output [1:0] VAR68;
reg [9:0] VAR102; reg VAR22;
reg [3:0] VAR55; reg VAR43;
reg [2:0] VAR33;
wire [2:0] VAR125;
wire VAR37; wire VAR77; wire [2:0] VAR56;
wire VAR26;
wire [3:0] VAR120;
wire [1:0] VAR68;
assign VAR125[2:0]= VAR33[2:0]+VAR140[2:0];
assign VAR37= VAR22 && (VAR125 == 0) && (VAR38 != 0);
assign VAR77=VAR22 && (VAR125 == VAR38[2:0]);
assign VAR26= !en || (VAR38==8) ;
assign VAR120[3:0]={1'b0,VAR38[2:0]}-{1'b0,VAR125[2:0]};
assign VAR56[0]=!VAR26 && (VAR22? VAR38[3] : VAR36[0]); assign VAR56[1]=!VAR26 && (VAR22?((VAR38[2:0]!=0) && !VAR120[3]):VAR36[1]); assign VAR56[2]=!en || (VAR22? (VAR56[1:0]!=VAR36[1:0]):(VAR36[2]&& !VAR43));
assign VAR68[1:0]=(VAR26 || VAR56[2])? 2'b0:(VAR56[1]?{VAR56[0],!VAR56[0]}:2'b11);
always @ (posedge clk) if (VAR98) begin
VAR102<= (!en || (VAR102==0)) ? {2'b0,VAR20}:(VAR102-1);
VAR22<= en && (VAR102==0);
VAR55<= (!en || VAR22)?{1'b0,VAR99}:((VAR55==0)? 4'b0: (VAR55-1));
VAR43<= en && (VAR55==1);
VAR33<= (!en)? 0: (VAR33 + VAR22); end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_xres4v2/sky130_fd_io__top_xres4v2.functional.pp.v
| 7,666 |
module MODULE1 ( VAR33, VAR30, VAR35, VAR6,
VAR41, VAR2, VAR3, VAR22, VAR12, VAR38, VAR29, VAR17,
VAR32, VAR24, VAR27
,VAR13, VAR16, VAR28, VAR18,VAR44, VAR31, VAR37, VAR20, VAR36, VAR5
);
output VAR30;
inout VAR41;
inout VAR2;
inout VAR3;
input VAR32;
input VAR12;
input VAR38;
input VAR29;
input VAR17;
inout VAR24;
input VAR27;
input VAR13;
input VAR16;
input VAR28;
input VAR18;
input VAR44;
input VAR31;
input VAR37;
input VAR20;
input VAR36;
input VAR5;
wire VAR23;
wire VAR10 = (VAR18===1) && (VAR44===1) && ((VAR23 && VAR27)===1 ? VAR16===1 : 1'b1) && (VAR20===0) && (VAR37===0);
wire VAR43 = (VAR44===1) && (VAR37===0);
wire VAR8 = (VAR18===1) && (VAR37===0);
inout VAR22;
output VAR35;
output VAR6;
inout VAR33;
wire VAR11;
pullup (pull1) VAR7 (VAR11); tranif1 VAR15 (VAR33, VAR11, VAR8===0 ? 1'VAR42 : 1);
tran VAR21 (VAR3, VAR22);
buf VAR26 (VAR35, VAR18);
buf VAR9 (VAR6, VAR20);
wire VAR34;
pullup (pull1) VAR39 (VAR34); tranif0 VAR1 (VAR24, VAR34, VAR8===0 || ^VAR32===1'VAR42 ? 1'VAR42 : VAR32);
parameter VAR19 = 100;
parameter VAR25 = 0;
parameter VAR4 = 0;
parameter VAR25 = 50;
parameter VAR4 = 600;
integer VAR40, VAR14;
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlybuf4s18kapwr/sky130_fd_sc_lp__dlybuf4s18kapwr.functional.pp.v
| 1,940 |
module MODULE1 (
VAR13 ,
VAR7 ,
VAR8 ,
VAR10 ,
VAR11,
VAR4 ,
VAR6
);
output VAR13 ;
input VAR7 ;
input VAR8 ;
input VAR10 ;
input VAR11;
input VAR4 ;
input VAR6 ;
wire VAR12 ;
wire VAR2;
buf VAR5 (VAR12 , VAR7 );
VAR1 VAR9 (VAR2, VAR12, VAR11, VAR10);
buf VAR3 (VAR13 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/or3/sky130_fd_sc_hs__or3.behavioral.pp.v
| 1,699 |
module MODULE1 (
VAR8,
VAR6,
VAR10 ,
VAR11 ,
VAR2 ,
VAR4
);
input VAR8;
input VAR6;
output VAR10 ;
input VAR11 ;
input VAR2 ;
input VAR4 ;
wire VAR7 ;
wire VAR1;
or VAR5 (VAR7 , VAR2, VAR11, VAR4 );
VAR9 VAR3 (VAR1, VAR7, VAR8, VAR6);
buf VAR12 (VAR10 , VAR1 );
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.