repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v
1,080
module MODULE1 , parameter VAR21(VAR4) , parameter VAR21(VAR1) , parameter VAR13=(VAR15>>3) , parameter VAR16=VAR13 , parameter VAR18=VAR10(VAR4) , parameter VAR3=VAR10(VAR13) , parameter VAR9=0 ) ( input VAR12 , input VAR20 , input VAR2 , input [VAR18-1:0] VAR5 , input VAR8 , input [VAR18-1:0] VAR17 , input [VAR15-1:0] VAR19 , output logic [VAR15-1:0] VAR11 ); VAR7 ,.VAR4(VAR4) ,.VAR1(VAR1) ,.VAR9(VAR9)) VAR6 (.* ,.VAR14('1)); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a311oi/sky130_fd_sc_lp__a311oi_2.v
2,450
module MODULE1 ( VAR2 , VAR7 , VAR11 , VAR8 , VAR3 , VAR4 , VAR1, VAR5, VAR10 , VAR12 ); output VAR2 ; input VAR7 ; input VAR11 ; input VAR8 ; input VAR3 ; input VAR4 ; input VAR1; input VAR5; input VAR10 ; input VAR12 ; VAR6 VAR9 ( .VAR2(VAR2), .VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5), .VAR10(VAR10), .VAR12(VAR12) ); endmodule module MODULE1 ( VAR2 , VAR7, VAR11, VAR8, VAR3, VAR4 ); output VAR2 ; input VAR7; input VAR11; input VAR8; input VAR3; input VAR4; supply1 VAR1; supply0 VAR5; supply1 VAR10 ; supply0 VAR12 ; VAR6 VAR9 ( .VAR2(VAR2), .VAR7(VAR7), .VAR11(VAR11), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_mc_controller/delay.v
3,422
module MODULE1 parameter VAR2 = 128 ) ( input VAR6, input VAR3, input VAR4, output reg VAR5 ); reg [VAR2-1:0] VAR1; always @(posedge VAR6) begin if(VAR3 == 0) begin VAR1 <= 0; VAR5 <= 0; end else begin VAR1 <= {VAR1[VAR2-2:0], VAR4}; VAR5 <= VAR1[VAR2-1]; end end endmodule
gpl-3.0
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/NIOS_SYSTEMV3/synthesis/submodules/NIOS_SYSTEMV3_NIOS_CPU_jtag_debug_module_sysclk.v
7,061
module MODULE1 ( clk, VAR23, VAR4, VAR8, VAR3, VAR21, VAR29, VAR30, VAR20, VAR10, VAR24, VAR12, VAR25, VAR17, VAR1, VAR32, VAR19, VAR16, VAR6 ) ; output [ 37: 0] VAR21; output VAR29; output VAR30; output VAR20; output VAR10; output VAR24; output VAR12; output VAR25; output VAR17; output VAR1; output VAR32; output VAR19; output VAR16; output VAR6; input clk; input [ 1: 0] VAR23; input [ 37: 0] VAR4; input VAR8; input VAR3; reg VAR26 ; reg [ 1: 0] VAR14 ; reg [ 37: 0] VAR21 ; reg VAR2 ; reg VAR22 ; reg VAR11 ; wire VAR15; wire VAR31; wire VAR29; wire VAR30; wire VAR20; wire VAR10; wire VAR24; wire VAR12; wire VAR25; wire VAR17; wire VAR1; wire VAR32; wire VAR19; wire VAR16; wire VAR6; wire VAR27; wire VAR28; reg VAR13 ; assign VAR27 = 1'b1; VAR5 VAR33 ( .clk (clk), .din (VAR8), .dout (VAR15), .VAR7 (VAR27) ); assign VAR28 = 1'b1; VAR5 VAR18 ( .clk (clk), .din (VAR3), .dout (VAR31), .VAR7 (VAR28) ); always @(posedge clk) begin VAR22 <= VAR15; VAR13 <= VAR15 & ~VAR22; VAR26 <= VAR13; VAR11 <= VAR31; VAR2 <= VAR31 & ~VAR11; end assign VAR10 = VAR26 && (VAR14 == 2'b00) && ~VAR21[35] && VAR21[34]; assign VAR16 = VAR26 && (VAR14 == 2'b00) && ~VAR21[35] && ~VAR21[34]; assign VAR24 = VAR26 && (VAR14 == 2'b00) && VAR21[35]; assign VAR25 = VAR26 && (VAR14 == 2'b01) && ~VAR21[37] && VAR21[36]; assign VAR6 = VAR26 && (VAR14 == 2'b01) && ~VAR21[37] && ~VAR21[36]; assign VAR17 = VAR26 && (VAR14 == 2'b01) && VAR21[37]; assign VAR29 = VAR26 && (VAR14 == 2'b10) && ~VAR21[36] && VAR21[37]; assign VAR1 = VAR26 && (VAR14 == 2'b10) && ~VAR21[36] && ~VAR21[37]; assign VAR30 = VAR26 && (VAR14 == 2'b10) && VAR21[36] && ~VAR21[35] && VAR21[37]; assign VAR32 = VAR26 && (VAR14 == 2'b10) && VAR21[36] && ~VAR21[35] && ~VAR21[37]; assign VAR20 = VAR26 && (VAR14 == 2'b10) && VAR21[36] && VAR21[35] && VAR21[37]; assign VAR19 = VAR26 && (VAR14 == 2'b10) && VAR21[36] && VAR21[35] && ~VAR21[37]; assign VAR12 = VAR26 && (VAR14 == 2'b11) && VAR21[15]; always @(posedge clk) begin if (VAR2) VAR14 <= VAR23; if (VAR13) VAR21 <= VAR4; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o211ai/sky130_fd_sc_ls__o211ai_4.v
2,361
module MODULE2 ( VAR1 , VAR11 , VAR8 , VAR6 , VAR2 , VAR7, VAR4, VAR10 , VAR5 ); output VAR1 ; input VAR11 ; input VAR8 ; input VAR6 ; input VAR2 ; input VAR7; input VAR4; input VAR10 ; input VAR5 ; VAR9 VAR3 ( .VAR1(VAR1), .VAR11(VAR11), .VAR8(VAR8), .VAR6(VAR6), .VAR2(VAR2), .VAR7(VAR7), .VAR4(VAR4), .VAR10(VAR10), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR1 , VAR11, VAR8, VAR6, VAR2 ); output VAR1 ; input VAR11; input VAR8; input VAR6; input VAR2; supply1 VAR7; supply0 VAR4; supply1 VAR10 ; supply0 VAR5 ; VAR9 VAR3 ( .VAR1(VAR1), .VAR11(VAR11), .VAR8(VAR8), .VAR6(VAR6), .VAR2(VAR2) ); endmodule
apache-2.0
ShepardSiegel/ocpi
libsrc/hdl/bsv/ResetInverter.v
1,509
module MODULE1(VAR2, VAR1); input VAR2; output VAR1; wire VAR1; assign VAR1 = ! VAR2 ; endmodule
lgpl-3.0
vipinkmenon/scas
hw/fpga/source/pcie_if/gtx_tx_sync_rate_v6.v
14,057
module MODULE1 parameter VAR58 = 1, parameter VAR9 = 0 ) ( output reg VAR53 = 1'b0, output reg VAR59 = 1'b0, output reg VAR33 = 1'b0, output reg VAR51 = 1'b0, output reg VAR43 = 1'b0, output reg VAR42 = 1'b0, output reg VAR44 = 1'b0, output reg VAR15 = 1'b0, input VAR32, input VAR34, input VAR10, input VAR55, input VAR22, input VAR23 ); reg VAR7; reg VAR2; reg VAR6; reg VAR37; reg VAR28; reg VAR21; reg VAR17; reg VAR19; reg [7:0] VAR54; reg [7:0] VAR46; reg [7:0] VAR3; reg [7:0] VAR39; reg [24:0] state; reg [24:0] VAR16; reg VAR12, VAR31; wire VAR25; reg VAR8; localparam VAR45 = 25'b0000000000000000000000001; localparam VAR13 = 25'b0000000000000000000000010; localparam VAR38 = 25'b0000000000000000000000100; localparam VAR49 = 25'b0000000000000000000001000; localparam VAR35 = 25'b0000000000000000000010000; localparam VAR57 = 25'b0000000000000000000100000; localparam VAR27 = 25'b0000000000000000001000000; localparam VAR47 = 25'b0000000000000000010000000; localparam VAR56 = 25'b0000000000000000100000000; localparam VAR40 = 25'b0000000000000001000000000; localparam VAR11 = 25'b0000000000000010000000000; localparam VAR5 = 25'b0000000000000100000000000; localparam VAR14 = 25'b0000000000010000000000000; localparam VAR4 = 25'b0000000000100000000000000; localparam VAR1 = 25'b0000000001000000000000000; localparam VAR41 = 25'b0000000010000000000000000; localparam VAR29 = 25'b0000000100000000000000000; localparam VAR18 = 25'b0000001000000000000000000; localparam VAR20 = 25'b0000010000000000000000000; localparam VAR36 = 25'b0000100000000000000000000; localparam VAR30 = 25'b0001000000000000000000000; localparam VAR24 = 25'b0010000000000000000000000; localparam VAR48 = 25'b0100000000000000000000000; localparam VAR26 = 25'b1000000000000000000000000; localparam VAR52 = VAR9 ? 0 : 2; localparam VAR50 = VAR9 ? 0: 7; always @(posedge VAR32) begin if(VAR34) begin state <= #(VAR58) VAR5; VAR54 <= #(VAR58) 8'b0; VAR3 <= #(VAR58) 8'b0; VAR42 <= #(VAR58) VAR22; VAR33 <= #(VAR58) 1'b0; VAR53 <= #(VAR58) 1'b1; VAR59 <= #(VAR58) 1'b0; VAR51 <= #(VAR58) 1'b0; VAR43 <= #(VAR58) 1'b0; VAR15 <= #(VAR58) 1'b0; VAR44 <= #(VAR58) 1'b1; end else begin state <= #(VAR58) VAR16; VAR54 <= #(VAR58) VAR46; VAR3 <= #(VAR58) VAR39; VAR42 <= #(VAR58) VAR21; VAR33 <= #(VAR58) VAR6; VAR53 <= #(VAR58) VAR7; VAR59 <= #(VAR58) VAR2; VAR51 <= #(VAR58) VAR37; VAR43 <= #(VAR58) VAR28; VAR15 <= #(VAR58) VAR17; VAR44 <= #(VAR58) VAR19; end end always @(*) begin VAR17=0; VAR6=0; VAR7=1; VAR2=0; VAR37=0; VAR28=0; VAR19=0; VAR16=state; VAR21=VAR22; VAR39=VAR3+1'b1; VAR46= (VAR3 ==8'hff)? VAR54 + 1'b1 : VAR54 ; case(state) VAR5 : begin VAR19=1; VAR7=0; VAR16=VAR24; VAR39=0; VAR46=0; end VAR24 : begin VAR37=0; VAR19=1; VAR7=0; if(VAR54[1]) begin VAR16=VAR36; VAR39=0; VAR46=0; end end VAR36: begin VAR37=1; VAR19=1; VAR7=0; if(VAR3[7]) begin VAR16=VAR48; VAR39=0; VAR46=0; end end VAR48: begin VAR37=0; VAR19=1; VAR7=0; if(VAR3[7]) begin VAR16=VAR26; VAR39=0; VAR46=0; end end VAR26: begin VAR37=1; VAR19=1; VAR7=0; if(VAR3[7]) begin VAR16=VAR41; VAR39=0; VAR46=0; end end VAR41 : begin VAR17=1; VAR7=0; VAR19=1; if(VAR3[4]) begin VAR16=VAR29; VAR39=0; VAR46=0; end end VAR29 : begin VAR19=1; if(VAR3[5]) begin VAR16=VAR13; VAR39=0; VAR46=0; end end VAR13 : begin VAR2=1; VAR19=1; if(VAR54[VAR50]) begin VAR16=VAR18; VAR39=0; VAR46=0; end end VAR18 : begin VAR19=1; if(VAR3[6]) begin VAR39=0; VAR16=VAR45; VAR46=0; end end VAR45 : begin VAR6=1; if(VAR25) begin VAR21=0; VAR16=VAR14; VAR39=0; VAR46=0; end end VAR14 : begin VAR21=0; if(VAR8) begin VAR16=VAR47; VAR39=0; VAR46=0; end end VAR47 : begin VAR21=0; if(VAR3[6]) begin VAR16=VAR20; VAR39=0; VAR46=0; end end VAR20 : begin VAR21=0; VAR19=1; if(VAR3[5]) begin VAR16=VAR38; VAR39=0; VAR46=0; end end VAR38 : begin VAR37=1; VAR21=0; VAR19=1; if(VAR3[4]) begin VAR16=VAR49; VAR39=0; VAR46=0; end end VAR49 : begin VAR21=0; VAR19=1; if(VAR3[5]) begin VAR16=VAR27; VAR39=0; VAR46=0; end end VAR27 : begin VAR2=1; VAR21=0; VAR19=1; if(VAR54[VAR50]) begin VAR16=VAR4; VAR39=0; VAR46=0; end end VAR4 : begin VAR21=0; VAR19=1; if(VAR3[5]) begin VAR16=VAR30; VAR39=0; VAR46=0; end end VAR30 : begin VAR21=0; if(VAR3[5]) begin VAR16=VAR56; VAR39=0; VAR46=0; end end VAR56 : begin VAR28=1; VAR21=0; if(VAR3[5]) begin VAR16=VAR40; VAR39=0; VAR46=0; end end VAR40 : begin VAR21=0; if(VAR23) begin VAR16=VAR11; end end VAR11 : begin VAR21=1; VAR16=VAR45; end endcase end always @(posedge VAR32) begin if (VAR34) begin VAR12 <= #(VAR58) 1'b0; VAR31 <= #(VAR58) 1'b0; VAR8 <= #(VAR58) 1'b0; end else begin VAR12 <= #(VAR58) VAR10; VAR31 <= #(VAR58) VAR12; VAR8 <= #(VAR58) VAR22; end end assign VAR25 = (VAR12 != VAR31); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkdlybuf4s50/sky130_fd_sc_hd__clkdlybuf4s50.pp.symbol.v
1,356
module MODULE1 ( input VAR6 , output VAR1 , input VAR5 , input VAR2, input VAR4, input VAR3 ); endmodule
apache-2.0
CatherineH/QubitekkCC
CC1/src/DE0Nano/verilog/coincidence_counter_bb.v
3,864
module MODULE1 ( VAR3, VAR1, VAR2, VAR4); input VAR3; input VAR1; input VAR2; output [21:0] VAR4; endmodule
mit
The-OpenROAD-Project/asap7
asap7sc7p5t_27/Verilog/asap7sc7p5t_OA_LVT_TT_201020.v
197,600
module MODULE1 (VAR6, VAR3, VAR7, VAR9, VAR11); output VAR6; input VAR3, VAR7, VAR9, VAR11; wire VAR2, VAR1, VAR8; wire VAR5, VAR10, VAR4; not (VAR5, VAR11); not (VAR8, VAR9); and (VAR10, VAR8, VAR5); not (VAR1, VAR7); not (VAR2, VAR3); and (VAR4, VAR2, VAR1, VAR5); or (VAR6, VAR4, VAR10);
bsd-3-clause
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/DE2_115_makomk_serial/fpgaminer_top.v
5,302
module MODULE1 (VAR13, VAR18, VAR42, VAR20, VAR37); parameter VAR35 = VAR43; parameter VAR35 = 0; localparam [5:0] VAR2 = (6'd1 << VAR35); localparam [31:0] VAR29 = (32'd1 << (7 - VAR35)) + 32'd1; input VAR13; reg [255:0] state = 0; reg [511:0] VAR10 = 0; reg [31:0] VAR12 = 32'h00000000; wire VAR27; VAR11 VAR38 (VAR13, VAR27); assign VAR27 = VAR13; wire [255:0] VAR28, VAR30; reg [5:0] VAR25 = 6'd0; reg VAR3 = 1'b0; VAR48 #(.VAR2(VAR2)) VAR40 ( .clk(VAR27), .VAR3(VAR3), .VAR25(VAR25), .VAR49(state), .VAR32(VAR10), .VAR44(VAR28) ); VAR48 #(.VAR2(VAR2)) VAR4 ( .clk(VAR27), .VAR3(VAR3), .VAR25(VAR25), .VAR49(256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667), .VAR32({256'h0000010000000000000000000000000000000000000000000000000080000000, VAR28}), .VAR44(VAR30) ); reg [255:0] VAR26 = 0, VAR6 = 0; wire [255:0] VAR36, VAR23; input VAR18; VAR5 VAR1 (.clk(VAR27), .VAR18(VAR18), .VAR7(VAR36), .VAR34(VAR23)); reg [31:0] VAR19 = 0; reg VAR31; wire VAR17; output VAR42; VAR24 VAR47 (.clk(VAR27), .VAR42(VAR42), .VAR33(VAR31), .VAR8(VAR17), .word(VAR19)); reg VAR21 = 1'b0; reg VAR15 = 1'b1; wire [5:0] VAR41; wire [31:0] VAR14; wire VAR45; wire reset; assign reset = 1'b0; reg reset = 1'b0; VAR16 assign VAR41 = reset ? 6'd0 : (VAR2 == 1) ? 6'd0 : (VAR25 + 6'd1) & (VAR2-1); assign VAR45 = (VAR2 == 1) ? 1'b0 : (VAR41 != {(VAR35){1'b0}}); assign VAR14 = reset ? 32'd0 : VAR45 ? VAR12 : (VAR12 + 32'd1); always @ (posedge VAR27) begin VAR26 <= VAR36; VAR6 <= VAR23; VAR25 <= VAR41; VAR3 <= VAR45; VAR15 <= VAR3; state <= VAR26; VAR10 <= {384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR14, VAR6[95:0]}; VAR12 <= VAR14; VAR21 <= (VAR30[255:224] == 32'h00000000) && !VAR15; if(VAR21) begin if (VAR2 == 1) end VAR19 <= VAR12 - 32'd133; else if (VAR2 == 2) VAR19 <= VAR12 - 32'd66; end else VAR19 <= VAR12 - VAR29; if (!VAR17) VAR31 <= 1; end else VAR31 <= 0; if (!VAR15) end output [55:0] VAR20; input VAR37; wire [55:0] VAR39; assign VAR20 = VAR37? VAR39 : {56{1'b1}}; VAR9 VAR22(.VAR50(VAR19), .VAR46(VAR39)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
models/udp_dlatch_pr/sky130_fd_sc_hd__udp_dlatch_pr.blackbox.v
1,291
module MODULE1 ( VAR4 , VAR1 , VAR2 , VAR3 ); output VAR4 ; input VAR1 ; input VAR2 ; input VAR3; endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/sg_list_reader_64.v
5,056
module MODULE1 #( parameter VAR18 = 9'd64 ) ( input VAR1, input VAR6, input [VAR18-1:0] VAR13, input VAR4, output VAR16, output VAR5, output VAR12, input VAR3, output [63:0] VAR22, output [31:0] VAR19 ); reg [1:0] VAR21=VAR14, VAR21=VAR14; reg [1:0] VAR15=VAR2, VAR15=VAR2; reg [VAR18-1:0] VAR9={VAR18{1'd0}}, VAR9={VAR18{1'd0}}; reg [63:0] VAR20=64'd0, VAR20=64'd0; reg [31:0] VAR23=0, VAR23=0; reg VAR24=0, VAR24=0; reg VAR11=0, VAR11=0; assign VAR16 = VAR21[0]; assign VAR5 = VAR15[1]; assign VAR12 = (VAR4 & VAR21[0]); assign VAR22 = VAR20; assign VAR19 = VAR23; always @ (posedge VAR1) begin VAR21 <= (VAR6 ? VAR14 : VAR21); VAR15 <= (VAR6 ? VAR2 : VAR15); VAR9 <= VAR9; VAR24 <= (VAR6 ? 1'd0 : VAR24); VAR11 <= (VAR6 ? 1'd0 : VAR11); VAR20 <= VAR20; VAR23 <= VAR23; end always @ (*) begin VAR21 = VAR21; VAR15 = VAR15; VAR20 = VAR20; VAR23 = VAR23; VAR9 = VAR13; VAR24 = (VAR16 & !VAR4); VAR11 = VAR24; case (VAR15) if (VAR11) begin VAR20 = VAR9; VAR15 = VAR10; end end if (VAR11) begin VAR23 = VAR9[31:0]; VAR15 = VAR7; end end if (VAR3) VAR15 = VAR2; end default: begin VAR15 = VAR2; end endcase case (VAR21) VAR21 = VAR8; end VAR21 = VAR17; end VAR21 = VAR14; end default: begin VAR21 = VAR14; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
models/udp_dlatch_p/sky130_fd_sc_lp__udp_dlatch_p.symbol.v
1,294
module MODULE1 ( input VAR2 , output VAR1 , input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o21bai/sky130_fd_sc_hd__o21bai.behavioral.v
1,654
module MODULE1 ( VAR7 , VAR12 , VAR3 , VAR6 ); output VAR7 ; input VAR12 ; input VAR3 ; input VAR6; supply1 VAR5; supply0 VAR13; supply1 VAR11 ; supply0 VAR10 ; wire VAR4 ; wire VAR1 ; wire VAR9; not VAR8 (VAR4 , VAR6 ); or VAR14 (VAR1 , VAR3, VAR12 ); nand VAR2 (VAR9, VAR4, VAR1 ); buf VAR15 (VAR7 , VAR9 ); endmodule
apache-2.0
MartinMosbeck/NoCMonitor
buildCONNECT4x4/mkInputArbiter.v
8,265
module MODULE1(VAR22, VAR25, VAR27, select, VAR18); input VAR22; input VAR25; input [4 : 0] VAR27; output [4 : 0] select; input VAR18; wire [4 : 0] select; reg [4 : 0] VAR32; wire [4 : 0] VAR13; wire VAR43; wire [1 : 0] VAR12, VAR24, VAR29, VAR5, VAR35, VAR31, VAR21, VAR51, VAR17, VAR49; wire VAR6, VAR28, VAR26, VAR16, VAR48, VAR34, VAR44, VAR40, VAR11, VAR14, VAR9, VAR50, VAR10, VAR41, VAR37, VAR39, VAR45, VAR1; assign select = { VAR12[1] || VAR31[1], !VAR12[1] && !VAR31[1] && (VAR24[1] || VAR21[1]), VAR6, !VAR12[1] && !VAR31[1] && VAR16, VAR28 } ; assign VAR13 = { VAR32[0], VAR32[4:1] } ; assign VAR43 = VAR18 ; VAR33 VAR3(.VAR15(1'd0), .VAR36(VAR27[0]), .VAR4(VAR41), .VAR23(VAR35)); VAR33 VAR19(.VAR15(VAR48), .VAR36(VAR27[1]), .VAR4(VAR37), .VAR23(VAR5)); VAR33 VAR47(.VAR15(VAR34), .VAR36(VAR27[2]), .VAR4(VAR39), .VAR23(VAR29)); VAR33 VAR46(.VAR15(VAR44), .VAR36(VAR27[3]), .VAR4(VAR45), .VAR23(VAR24)); VAR33 VAR42(.VAR15(VAR40), .VAR36(VAR27[4]), .VAR4(VAR1), .VAR23(VAR12)); VAR33 VAR20(.VAR15(VAR11), .VAR36(VAR27[0]), .VAR4(VAR41), .VAR23(VAR49)); VAR33 VAR8(.VAR15(VAR10), .VAR36(VAR27[1]), .VAR4(VAR37), .VAR23(VAR17)); VAR33 VAR30(.VAR15(VAR50), .VAR36(VAR27[2]), .VAR4(VAR39), .VAR23(VAR51)); VAR33 VAR38(.VAR15(VAR9), .VAR36(VAR27[3]), .VAR4(VAR45), .VAR23(VAR21)); VAR33 VAR2(.VAR15(VAR14), .VAR36(VAR27[4]), .VAR4(VAR1), .VAR23(VAR31)); assign VAR6 = !VAR12[1] && !VAR31[1] && !VAR24[1] && !VAR21[1] && (VAR29[1] || VAR51[1]) ; assign VAR28 = !VAR12[1] && !VAR31[1] && !VAR24[1] && !VAR21[1] && VAR26 ; assign VAR26 = !VAR29[1] && !VAR51[1] && !VAR5[1] && !VAR17[1] && (VAR35[1] || VAR49[1]) ; assign VAR16 = !VAR24[1] && !VAR21[1] && !VAR29[1] && !VAR51[1] && (VAR5[1] || VAR17[1]) ; assign VAR48 = VAR35[0] ; assign VAR34 = VAR5[0] ; assign VAR44 = VAR29[0] ; assign VAR40 = VAR24[0] ; assign VAR11 = VAR12[0] ; assign VAR14 = VAR21[0] ; assign VAR9 = VAR51[0] ; assign VAR50 = VAR17[0] ; assign VAR10 = VAR49[0] ; assign VAR41 = VAR32[0] ; assign VAR37 = VAR32[1] ; assign VAR39 = VAR32[2] ; assign VAR45 = VAR32[3] ; assign VAR1 = VAR32[4] ; always@(posedge VAR22) begin if (!VAR25) begin VAR32 <= VAR7 5'd1; end else begin if (VAR43) VAR32 <= VAR7 VAR13; end end begin VAR32 = 5'h0A; end
gpl-2.0
jhennessy/parallella-hw-old
fpga/hdl/common/pulse2pulse.v
1,615
module MODULE1( out, VAR4, VAR7, in, reset ); input VAR4; input VAR7; input in; output out; input reset; wire VAR2; wire VAR1; VAR3 VAR3( .out (VAR2), .clk (VAR4), .in (in), .reset (reset)); VAR6 #(1) VAR6( .out (VAR1), .in (VAR2), .clk (VAR7), .reset (reset)); VAR5 VAR5( .out (out), .clk (VAR7), .in (VAR1), .reset (reset)); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/or4/gf180mcu_fd_sc_mcu9t5v0__or4_2.behavioral.pp.v
1,383
module MODULE1( VAR2, VAR3, VAR1, VAR4, VAR9, VAR8, VAR7 ); input VAR2, VAR3, VAR1, VAR4; inout VAR8, VAR7; output VAR9; VAR10 VAR5(.VAR2(VAR2),.VAR3(VAR3),.VAR1(VAR1),.VAR4(VAR4),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7)); VAR10 VAR6(.VAR2(VAR2),.VAR3(VAR3),.VAR1(VAR1),.VAR4(VAR4),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7));
apache-2.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
Sobel/ip/Sobel/acl_fp_cos_s5.v
1,180
module MODULE1 ( enable, VAR9, VAR5, VAR8); input enable; input VAR9; input [31:0] VAR5; output [31:0] VAR8; wire [31:0] VAR4; wire [31:0] VAR8 = VAR4[31:0]; VAR6 VAR2 ( .en (enable), .VAR3(1'b0), .clk(VAR9), .VAR1(VAR5), .VAR7(VAR4)); endmodule
mit
OpticalMeasurementsSystems/2DImageProcessing
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ip/image_processing_2d_design_auto_pc_1/synth/image_processing_2d_design_auto_pc_1.v
12,869
module MODULE1 ( VAR70, VAR10, VAR17, VAR89, VAR28, VAR76, VAR80, VAR36, VAR61, VAR41, VAR7, VAR110, VAR111, VAR21, VAR107, VAR50, VAR34, VAR65, VAR113, VAR23, VAR39, VAR106, VAR54, VAR73, VAR109, VAR47, VAR46, VAR12, VAR81, VAR9, VAR59, VAR93, VAR25, VAR53, VAR55, VAR18, VAR88, VAR102, VAR68, VAR62, VAR92, VAR31, VAR71, VAR58, VAR22, VAR60, VAR77, VAR20, VAR67, VAR37, VAR13, VAR52, VAR49, VAR91, VAR105, VAR82 ); input wire VAR70; input wire VAR10; input wire [31 : 0] VAR17; input wire [7 : 0] VAR89; input wire [2 : 0] VAR28; input wire [1 : 0] VAR76; input wire [0 : 0] VAR80; input wire [3 : 0] VAR36; input wire [2 : 0] VAR61; input wire [3 : 0] VAR41; input wire [3 : 0] VAR7; input wire VAR110; output wire VAR111; input wire [31 : 0] VAR21; input wire [3 : 0] VAR107; input wire VAR50; input wire VAR34; output wire VAR65; output wire [1 : 0] VAR113; output wire VAR23; input wire VAR39; input wire [31 : 0] VAR106; input wire [7 : 0] VAR54; input wire [2 : 0] VAR73; input wire [1 : 0] VAR109; input wire [0 : 0] VAR47; input wire [3 : 0] VAR46; input wire [2 : 0] VAR12; input wire [3 : 0] VAR81; input wire [3 : 0] VAR9; input wire VAR59; output wire VAR93; output wire [31 : 0] VAR25; output wire [1 : 0] VAR53; output wire VAR55; output wire VAR18; input wire VAR88; output wire [31 : 0] VAR102; output wire [2 : 0] VAR68; output wire VAR62; input wire VAR92; output wire [31 : 0] VAR31; output wire [3 : 0] VAR71; output wire VAR58; input wire VAR22; input wire [1 : 0] VAR60; input wire VAR77; output wire VAR20; output wire [31 : 0] VAR67; output wire [2 : 0] VAR37; output wire VAR13; input wire VAR52; input wire [31 : 0] VAR49; input wire [1 : 0] VAR91; input wire VAR105; output wire VAR82; VAR83 #( .VAR66("VAR32"), .VAR24(2), .VAR27(0), .VAR45(1), .VAR64(1), .VAR95(32), .VAR4(32), .VAR43(1), .VAR108(1), .VAR3(0), .VAR79(1), .VAR104(1), .VAR29(1), .VAR98(1), .VAR99(1), .VAR2(2) ) VAR42 ( .VAR70(VAR70), .VAR10(VAR10), .VAR33(1'VAR11), .VAR17(VAR17), .VAR89(VAR89), .VAR28(VAR28), .VAR76(VAR76), .VAR80(VAR80), .VAR36(VAR36), .VAR61(VAR61), .VAR41(VAR41), .VAR7(VAR7), .VAR44(1'VAR11), .VAR110(VAR110), .VAR111(VAR111), .VAR74(1'VAR11), .VAR21(VAR21), .VAR107(VAR107), .VAR50(VAR50), .VAR16(1'VAR11), .VAR34(VAR34), .VAR65(VAR65), .VAR85(), .VAR113(VAR113), .VAR84(), .VAR23(VAR23), .VAR39(VAR39), .VAR78(1'VAR11), .VAR106(VAR106), .VAR54(VAR54), .VAR73(VAR73), .VAR109(VAR109), .VAR47(VAR47), .VAR46(VAR46), .VAR12(VAR12), .VAR81(VAR81), .VAR9(VAR9), .VAR75(1'VAR11), .VAR59(VAR59), .VAR93(VAR93), .VAR101(), .VAR25(VAR25), .VAR53(VAR53), .VAR55(VAR55), .VAR48(), .VAR18(VAR18), .VAR88(VAR88), .VAR96(), .VAR102(VAR102), .VAR1(), .VAR69(), .VAR15(), .VAR100(), .VAR38(), .VAR68(VAR68), .VAR5(), .VAR30(), .VAR57(), .VAR62(VAR62), .VAR92(VAR92), .VAR51(), .VAR31(VAR31), .VAR71(VAR71), .VAR86(), .VAR112(), .VAR58(VAR58), .VAR22(VAR22), .VAR35(1'VAR11), .VAR60(VAR60), .VAR8(1'VAR11), .VAR77(VAR77), .VAR20(VAR20), .VAR6(), .VAR67(VAR67), .VAR103(), .VAR97(), .VAR90(), .VAR26(), .VAR40(), .VAR37(VAR37), .VAR19(), .VAR94(), .VAR87(), .VAR13(VAR13), .VAR52(VAR52), .VAR14(1'VAR11), .VAR49(VAR49), .VAR91(VAR91), .VAR56(1'VAR63), .VAR72(1'VAR11), .VAR105(VAR105), .VAR82(VAR82) ); endmodule
gpl-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/reset_controller.v
4,517
module MODULE1 ( input VAR8, input VAR6, output VAR13, output VAR22, output VAR12, input VAR21, input VAR15, input VAR7); localparam VAR10 = VAR17(VAR1); localparam VAR3 = 1 << VAR10; reg [2:0] VAR19,VAR19; wire [VAR10:0] VAR11; assign VAR13 = VAR19[0]; assign VAR22 = VAR19[1] & VAR7; assign VAR12 = VAR19[2]; counter .VAR14 (VAR3), .VAR16 (VAR3 - VAR1) ) VAR20 ( .VAR4 (VAR11), .VAR18 (1'b1), .VAR6 (~VAR19[2] | VAR6), .VAR8 (VAR8)); always @(posedge VAR8) begin if(VAR6) begin VAR19 <= VAR2; end else begin VAR19 <= VAR19; end end always @(*) begin VAR19 = VAR19; case(VAR19) if(VAR21 & VAR15) begin VAR19 = VAR5; end else if(VAR21) begin VAR19 = VAR2; end end if(VAR7) begin VAR19 = VAR2; end end if(VAR11[VAR10] & ~VAR21) begin VAR19 = VAR9; end end default: VAR19 = VAR19; endcase end endmodule
gpl-3.0
osresearch/vst
fpga/dac.v
1,302
module MODULE1( output VAR17, output VAR7, output VAR5, output VAR16, output VAR4 ); wire reset = 0; wire VAR27, clk = VAR27; VAR31 VAR30(1,1,VAR27); reg [11:0] VAR23; reg [11:0] VAR24; reg VAR20; wire VAR15; wire VAR8; wire [11:0] VAR6; wire [11:0] VAR2; reg VAR22; wire VAR9; VAR21 #(.VAR14(12)) VAR1( .clk(clk), .reset(reset), .VAR18(VAR20), .VAR11(VAR23), .VAR29(VAR24), .ready(VAR15), .VAR26(VAR6), .VAR12(VAR2), .VAR8(VAR8) ); VAR13 VAR10( .clk(clk), .reset(reset), .VAR28(VAR5), .VAR25(VAR16), .VAR3(VAR4), .VAR19(VAR8 ? VAR6 : VAR2), .VAR8(VAR8), .VAR18(VAR22), .ready(VAR9) ); reg [15:0] counter; always @(posedge clk) begin counter <= counter + 1; VAR17 = !(counter < VAR6); VAR7 = 1; end always @(posedge clk) begin VAR22 <= 0; VAR20 <= 0; if (!VAR9 || VAR22) begin end else begin VAR22 <= 1; VAR23 <= VAR23 + 3; VAR24 <= VAR24 + 2; end if (VAR15) VAR20 <= 1; end endmodule
gpl-2.0
Digilent/vivado-library
ip/Pmods/PmodAD1_v1_0/hdl/PmodAD1_v1_0.v
4,978
module MODULE1 # ( parameter VAR70 = 0, parameter VAR38 = 20, parameter VAR66 = 60, parameter VAR20 = 500, parameter VAR68 = 400, parameter integer VAR50 = 32, parameter integer VAR62 = 4 ) ( input VAR53, output VAR89, output VAR56, input VAR101, output VAR102, output VAR34, input VAR76, output VAR78, output VAR10, input VAR86, output VAR23, output VAR31, input VAR3, output VAR71, output VAR95, input VAR97, output VAR73, output VAR45, input VAR40, output VAR33, output VAR36, input VAR44, output VAR114, output VAR5, output wire [1:0] VAR21, input wire VAR103, input wire VAR109, input wire [VAR62-1 : 0] VAR107, input wire [2 : 0] VAR117, input wire VAR118, output wire VAR9, input wire [VAR50-1 : 0] VAR30, input wire [(VAR50/8)-1 : 0] VAR35, input wire VAR24, output wire VAR85, output wire [1 : 0] VAR112, output wire VAR18, input wire VAR51, input wire [VAR62-1 : 0] VAR79, input wire [2 : 0] VAR39, input wire VAR25, output wire VAR58, output wire [VAR50-1 : 0] VAR46, output wire [1 : 0] VAR105, output wire VAR74, input wire VAR104 ); wire VAR2; wire VAR115; wire VAR99; wire VAR47; VAR90 # ( .VAR70(VAR70), .VAR6(VAR50), .VAR42(VAR62), .VAR38(VAR38), .VAR66(VAR66), .VAR20(VAR20), .VAR68(VAR68) ) VAR22 ( .VAR2 (VAR2 ), .VAR115 (VAR115), .VAR99 (VAR99), .VAR47 (VAR47 ), .VAR21(VAR21), .VAR67(VAR103), .VAR82(VAR109), .VAR84(VAR107), .VAR119(VAR117), .VAR52(VAR118), .VAR37(VAR9), .VAR29(VAR30), .VAR69(VAR35), .VAR19(VAR24), .VAR110(VAR85), .VAR94(VAR112), .VAR41(VAR18), .VAR77(VAR51), .VAR54(VAR79), .VAR1(VAR39), .VAR55(VAR25), .VAR93(VAR58), .VAR100(VAR46), .VAR59(VAR105), .VAR4(VAR74), .VAR49(VAR104) ); VAR120 VAR111 (.VAR88(), .VAR113(VAR2), .VAR15(1'b0), .VAR108(VAR115), .VAR32(), .VAR65(1'b1), .VAR83(VAR99), .VAR61(), .VAR92(1'b1), .VAR14(), .VAR60(VAR47), .VAR91(1'b0), .VAR43(VAR101), .VAR8(VAR102), .VAR98(VAR34), .VAR26(VAR76), .VAR87(VAR78), .VAR11(VAR10), .VAR17(VAR86), .VAR106(VAR23), .VAR72(VAR31), .VAR75(VAR3), .VAR16(VAR71), .VAR27(VAR95), .VAR57(VAR97), .VAR7(VAR73), .VAR48(VAR45), .VAR80(VAR40), .VAR64(VAR33), .VAR13(VAR36), .VAR63(VAR44), .VAR12(VAR114), .VAR96(VAR5), .VAR81(VAR53), .VAR116(VAR89), .VAR28(VAR56) ); endmodule
mit
mistryalok/Zedboard
learning/training/MSD/s07v2/vivado/project_2/project_2.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
2,057
module MODULE1 (VAR7, VAR17, VAR10, VAR6, VAR11, VAR1, VAR8, VAR14, VAR15, VAR3, VAR16, VAR5, VAR2, VAR4, VAR9); input VAR7; input VAR17; input [7:0]VAR10; output [31:0]VAR6; output VAR11; input VAR1; output [3:0]VAR8; output VAR14; input [31:0]VAR15; input VAR3; output VAR16; input [3:0]VAR5; input VAR2; input VAR4; input VAR9; wire VAR7; wire VAR17; wire [7:0]VAR10; wire [31:0]VAR6; wire VAR11; wire VAR1; wire [3:0]VAR8; wire VAR14; wire [31:0]VAR15; wire VAR3; wire VAR16; wire [3:0]VAR5; wire VAR2; wire VAR4; wire VAR9; VAR13 VAR12 (.VAR7(VAR7), .VAR17(VAR17), .VAR10(VAR10), .VAR6(VAR6), .VAR11(VAR11), .VAR1(VAR1), .VAR8(VAR8), .VAR14(VAR14), .VAR15(VAR15), .VAR3(VAR3), .VAR16(VAR16), .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR9(VAR9)); endmodule
gpl-3.0
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6t_AO_SRAM_TT_210930.v
231,432
module MODULE1 (VAR9, VAR3, VAR1, VAR7, VAR4); output VAR9; input VAR3, VAR1, VAR7, VAR4; wire VAR2, VAR5, VAR8; wire VAR11, VAR10, VAR6; not (VAR11, VAR4); not (VAR8, VAR7); not (VAR5, VAR1); and (VAR10, VAR5, VAR8); not (VAR2, VAR3); and (VAR6, VAR2, VAR8); or (VAR9, VAR6, VAR10, VAR11);
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fahcin/sky130_fd_sc_ls__fahcin.pp.symbol.v
1,325
module MODULE1 ( input VAR2 , input VAR5 , input VAR4 , output VAR8, output VAR7 , input VAR1 , input VAR9, input VAR3, input VAR6 ); endmodule
apache-2.0
jeichenhofer/chuck-light
SoC/soc_system/synthesis/submodules/altera_avalon_st_bytes_to_packets.v
8,099
module MODULE1 parameter VAR12 = 0 ) ( input clk, input VAR4, input VAR9, output reg VAR7, output reg [7: 0] VAR21, output reg [VAR19-1: 0] VAR18, output reg VAR20, output reg VAR5, output reg VAR16, input VAR3, input [7: 0] VAR6 ); reg VAR17, VAR2, VAR13; wire VAR11, VAR8, VAR10, VAR14, VAR1; wire [7:0] VAR15; assign VAR8 = (VAR6 == 8'h7a); assign VAR10 = (VAR6 == 8'h7b); assign VAR14 = (VAR6 == 8'h7c); assign VAR11 = (VAR6 == 8'h7d); assign VAR15 = VAR17 ? (VAR6 ^ 8'h20) : VAR6; generate if (VAR19 == 0) begin always @(posedge clk or negedge VAR4) begin if (!VAR4) begin VAR17 <= 0; VAR20 <= 0; VAR5 <= 0; end else begin if (VAR3 & VAR16) begin if (VAR17) begin if (VAR9) VAR17 <= 0; end else begin if (VAR11) VAR17 <= 1; if (VAR8) VAR20 <= 1; if (VAR10) VAR5 <= 1; end if (VAR9 & VAR7) begin VAR20 <= 0; VAR5 <= 0; end end end end always @* begin VAR16 = VAR9; VAR7 = 0; if ((VAR9 | ~VAR7) && VAR3) begin VAR7 = 1; if (VAR8 | VAR10 | VAR11 | VAR14) VAR7 = 0; end VAR21 = VAR15; end end else begin assign VAR1 = VAR6[7]; always @(posedge clk or negedge VAR4) begin if (!VAR4) begin VAR17 <= 0; VAR2 <= 0; VAR13 <= 0; VAR20 <= 0; VAR5 <= 0; end else begin if (VAR3 & VAR16) begin if (VAR17) begin if (VAR9 | VAR2 | VAR13) VAR17 <= 0; end else begin if (VAR11) VAR17 <= 1; if (VAR8) VAR20 <= 1; if (VAR10) VAR5 <= 1; if (VAR14 & VAR12 ) VAR13 <= 1; if (VAR14 & ~VAR12) VAR2 <= 1; end if (VAR2 & (VAR17 | (~VAR8 & ~VAR10 & ~VAR11 & ~VAR14 ))) begin VAR2 <= 0; end if (VAR13 & ~VAR1 & (VAR17 | (~VAR8 & ~VAR10 & ~VAR11 & ~VAR14))) begin VAR13 <= 0; end if (VAR9 & VAR7) begin VAR20 <= 0; VAR5 <= 0; end end end end always @* begin VAR16 = VAR9; VAR7 = 0; if ((VAR9 | ~VAR7) && VAR3) begin VAR7 = 1; if (VAR17) begin if (VAR2 | VAR13) VAR7 = 0; end else begin if (VAR8 | VAR10 | VAR11 | VAR14 | VAR2 | VAR13) VAR7 = 0; end end VAR21 = VAR15; end end endgenerate generate if (VAR19 == 0) begin always @(posedge clk) begin VAR18 <= 'h0; end end else if (VAR19 < 8) begin always @(posedge clk or negedge VAR4) begin if (!VAR4) begin VAR18 <= 'h0; end else begin if (VAR16 & VAR3) begin if ((VAR14 & VAR12) & (~VAR17 & ~VAR8 & ~VAR10 & ~VAR11 )) begin VAR18 <= 'h0; end else if (VAR13 & (VAR17 | (~VAR8 & ~VAR10 & ~VAR11 & ~VAR14 & ~VAR2))) begin VAR18[VAR19-1:0] <= VAR15[VAR19-1:0]; end end end end end else begin always @(posedge clk or negedge VAR4) begin if (!VAR4) begin VAR18 <= 'h0; end else begin if (VAR16 & VAR3) begin if (VAR2 & (VAR17 | (~VAR8 & ~VAR10 & ~VAR11 & ~VAR14))) begin VAR18 <= VAR15; end else if ((VAR14 & VAR12) & (~VAR17 & ~VAR8 & ~VAR10 & ~VAR11 )) begin VAR18 <= 'h0; end else if (VAR13 & (VAR17 | (~VAR8 & ~VAR10 & ~VAR11 & ~VAR14 & ~VAR2))) begin VAR18 <= VAR18 <<7; VAR18[6:0] <= VAR15[6:0]; end end end end end endgenerate endmodule
gpl-3.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_pipe_misc.v
10,197
module MODULE1 # ( parameter VAR1 = 100, parameter VAR31 = 0 ) ( input wire VAR5 , input wire VAR24 , input wire [1:0] VAR4 , input wire VAR11 , input wire [2:0] VAR9 , input wire VAR36 , input wire [5:0] VAR25 , input wire [5:0] VAR21 , output wire VAR23 , output wire VAR10 , output wire [1:0] VAR17 , output wire VAR37 , output wire [2:0] VAR19 , output wire VAR28 , output wire [5:0] VAR27 , output wire [5:0] VAR22 , input wire VAR8 , input wire VAR2 ); reg VAR35 ; reg VAR32 ; reg [1:0] VAR38 ; reg VAR12 ; reg [2:0] VAR30 ; reg VAR26 ; reg VAR14 ; reg VAR39 ; reg VAR33 ; reg VAR15 ; reg [1:0] VAR3 ; reg VAR34 ; reg [2:0] VAR29 ; reg VAR16 ; reg VAR18 ; reg VAR6 ; generate if (VAR31 == 0) begin : VAR7 assign VAR23 = VAR5; assign VAR10 = VAR24; assign VAR17 = VAR4; assign VAR37 = VAR11; assign VAR19 = VAR9; assign VAR28 = VAR36; assign VAR27 = VAR25; assign VAR22 = VAR21; end else if (VAR31 == 1) begin : VAR13 always @(posedge VAR8) begin if (!VAR2) begin end else begin end end assign VAR23 = VAR35; assign VAR10 = VAR32; assign VAR17 = VAR38; assign VAR37 = VAR12; assign VAR19 = VAR30; assign VAR28 = VAR26; assign VAR27 = VAR14; assign VAR22 = VAR39; end else if (VAR31 == 2) begin : VAR20 always @(posedge VAR8) begin if (!VAR2) begin end else begin end end assign VAR23 = VAR33; assign VAR10 = VAR15; assign VAR17 = VAR3; assign VAR37 = VAR34; assign VAR19 = VAR29; assign VAR28 = VAR16; assign VAR27 = VAR18; assign VAR22 = VAR6; end else begin assign VAR23 = VAR5; assign VAR10 = VAR24; assign VAR17 = VAR4; assign VAR37 = VAR11; assign VAR19 = VAR9; assign VAR28 = VAR36; assign VAR27 = VAR25; assign VAR22 = VAR21; end endgenerate endmodule
gpl-3.0
eda-globetrotter/MarcheProcessor
processor/alu_my.v
331,119
module MODULE1 (VAR7,VAR6,VAR3,VAR4,VAR2,VAR5,VAR8); output [0:127] VAR5; input [0:127] VAR7; input [0:127] VAR6; input [0:2] VAR3; input [0:1] VAR4; input [0:4] VAR2; input [15:0] VAR8; parameter VAR1 = 128'hffffffffffffffffffffffffffffffff; reg [0:127] VAR5; always @(VAR7 or VAR6 or VAR3 or VAR4 or VAR2 or VAR8) begin case(VAR2) begin case(VAR4) case(VAR6[5:7]) 0: begin VAR5[0:7]<=VAR7[0:7]>>0; VAR5[8:15]<=VAR7[8:15]>>0; VAR5[16:23]<=VAR7[16:23]>>0; VAR5[24:31]<=VAR7[24:31]>>0; VAR5[32:39]<=VAR7[32:39]>>0; VAR5[40:47]<=VAR7[40:47]>>0; VAR5[48:55]<=VAR7[48:55]>>0; VAR5[56:63]<=VAR7[56:63]>>0; VAR5[64:71]<=VAR7[64:71]>>0; VAR5[72:79]<=VAR7[72:79]>>0; VAR5[80:87]<=VAR7[80:87]>>0; VAR5[88:95]<=VAR7[88:95]>>0; VAR5[96:103]<=VAR7[96:103]>>0; VAR5[104:111]<=VAR7[104:111]>>0; VAR5[112:119]<=VAR7[112:119]>>0; VAR5[120:127]<=VAR7[120:127]>>0; end 1: begin VAR5[0:7]<=VAR7[0:7]>>1; VAR5[0]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>1; VAR5[8]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>1; VAR5[16]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>1; VAR5[24]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>1; VAR5[32]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>1; VAR5[40]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>1; VAR5[48]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>1; VAR5[56]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>1; VAR5[64]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>1; VAR5[72]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>1; VAR5[80]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>1; VAR5[88]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>1; VAR5[96]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>1; VAR5[104]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>1; VAR5[112]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>1; VAR5[120]<=VAR5[120]; end 2: begin VAR5[0:7]<=VAR7[0:7]>>2; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>2; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>2; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>2; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>2; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>2; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>2; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>2; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>2; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>2; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>2; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>2; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>2; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>2; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>2; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>2; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; end 3: begin VAR5[0:7]<=VAR7[0:7]>>3; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>3; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[10]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>3; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>3; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[26]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>3; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>3; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[42]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>3; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>3; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[58]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>3; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>3; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[74]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>3; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>3; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[90]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>3; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>3; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[106]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>3; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>3; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; VAR5[122]<=VAR5[120]; end 4: begin VAR5[0:7]<=VAR7[0:7]>>4; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>4; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[10]<=VAR5[8]; VAR5[11]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>4; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>4; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[26]<=VAR5[24]; VAR5[27]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>4; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>4; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[42]<=VAR5[40]; VAR5[43]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>4; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>4; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[58]<=VAR5[56]; VAR5[59]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>4; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>4; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[74]<=VAR5[72]; VAR5[75]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>4; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>4; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[90]<=VAR5[88]; VAR5[91]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>4; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>4; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[106]<=VAR5[104]; VAR5[107]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>4; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>4; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; VAR5[122]<=VAR5[120]; VAR5[123]<=VAR5[120]; end 5: begin VAR5[0:7]<=VAR7[0:7]>>5; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>5; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[10]<=VAR5[8]; VAR5[11]<=VAR5[8]; VAR5[12]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>5; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>5; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[26]<=VAR5[24]; VAR5[27]<=VAR5[24]; VAR5[28]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>5; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>5; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[42]<=VAR5[40]; VAR5[43]<=VAR5[40]; VAR5[44]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>5; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>5; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[58]<=VAR5[56]; VAR5[59]<=VAR5[56]; VAR5[60]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>5; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>5; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[74]<=VAR5[72]; VAR5[75]<=VAR5[72]; VAR5[76]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>5; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>5; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[90]<=VAR5[88]; VAR5[91]<=VAR5[88]; VAR5[92]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>5; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>5; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[106]<=VAR5[104]; VAR5[107]<=VAR5[104]; VAR5[108]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>5; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>5; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; VAR5[122]<=VAR5[120]; VAR5[123]<=VAR5[120]; VAR5[124]<=VAR5[120]; end 6: begin VAR5[0:7]<=VAR7[0:7]>>6; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>6; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[10]<=VAR5[8]; VAR5[11]<=VAR5[8]; VAR5[12]<=VAR5[8]; VAR5[13]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>6; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>6; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[26]<=VAR5[24]; VAR5[27]<=VAR5[24]; VAR5[28]<=VAR5[24]; VAR5[29]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>6; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>6; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[42]<=VAR5[40]; VAR5[43]<=VAR5[40]; VAR5[44]<=VAR5[40]; VAR5[45]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>6; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>6; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[58]<=VAR5[56]; VAR5[59]<=VAR5[56]; VAR5[60]<=VAR5[56]; VAR5[61]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>6; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>6; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[74]<=VAR5[72]; VAR5[75]<=VAR5[72]; VAR5[76]<=VAR5[72]; VAR5[77]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>6; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>6; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[90]<=VAR5[88]; VAR5[91]<=VAR5[88]; VAR5[92]<=VAR5[88]; VAR5[93]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>6; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>6; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[106]<=VAR5[104]; VAR5[107]<=VAR5[104]; VAR5[108]<=VAR5[104]; VAR5[109]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>6; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>6; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; VAR5[122]<=VAR5[120]; VAR5[123]<=VAR5[120]; VAR5[124]<=VAR5[120]; VAR5[125]<=VAR5[120]; end default: begin VAR5[0:7]<=VAR7[0:7]>>7; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[8:15]<=VAR7[8:15]>>7; VAR5[8]<=VAR5[8]; VAR5[9]<=VAR5[8]; VAR5[10]<=VAR5[8]; VAR5[11]<=VAR5[8]; VAR5[12]<=VAR5[8]; VAR5[13]<=VAR5[8]; VAR5[14]<=VAR5[8]; VAR5[16:23]<=VAR7[16:23]>>7; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[24:31]<=VAR7[24:31]>>7; VAR5[24]<=VAR5[24]; VAR5[25]<=VAR5[24]; VAR5[26]<=VAR5[24]; VAR5[27]<=VAR5[24]; VAR5[28]<=VAR5[24]; VAR5[29]<=VAR5[24]; VAR5[30]<=VAR5[24]; VAR5[32:39]<=VAR7[32:39]>>7; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[40:47]<=VAR7[40:47]>>7; VAR5[40]<=VAR5[40]; VAR5[41]<=VAR5[40]; VAR5[42]<=VAR5[40]; VAR5[43]<=VAR5[40]; VAR5[44]<=VAR5[40]; VAR5[45]<=VAR5[40]; VAR5[46]<=VAR5[40]; VAR5[48:55]<=VAR7[48:55]>>7; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[56:63]<=VAR7[56:63]>>7; VAR5[56]<=VAR5[56]; VAR5[57]<=VAR5[56]; VAR5[58]<=VAR5[56]; VAR5[59]<=VAR5[56]; VAR5[60]<=VAR5[56]; VAR5[61]<=VAR5[56]; VAR5[62]<=VAR5[56]; VAR5[64:71]<=VAR7[64:71]>>7; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[72:79]<=VAR7[72:79]>>7; VAR5[72]<=VAR5[72]; VAR5[73]<=VAR5[72]; VAR5[74]<=VAR5[72]; VAR5[75]<=VAR5[72]; VAR5[76]<=VAR5[72]; VAR5[77]<=VAR5[72]; VAR5[78]<=VAR5[72]; VAR5[80:87]<=VAR7[80:87]>>7; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[88:95]<=VAR7[88:95]>>7; VAR5[88]<=VAR5[88]; VAR5[89]<=VAR5[88]; VAR5[90]<=VAR5[88]; VAR5[91]<=VAR5[88]; VAR5[92]<=VAR5[88]; VAR5[93]<=VAR5[88]; VAR5[94]<=VAR5[88]; VAR5[96:103]<=VAR7[96:103]>>7; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[104:111]<=VAR7[104:111]>>7; VAR5[104]<=VAR5[104]; VAR5[105]<=VAR5[104]; VAR5[106]<=VAR5[104]; VAR5[107]<=VAR5[104]; VAR5[108]<=VAR5[104]; VAR5[109]<=VAR5[104]; VAR5[110]<=VAR5[104]; VAR5[112:119]<=VAR7[112:119]>>7; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[120:127]<=VAR7[120:127]>>7; VAR5[120]<=VAR5[120]; VAR5[121]<=VAR5[120]; VAR5[122]<=VAR5[120]; VAR5[123]<=VAR5[120]; VAR5[124]<=VAR5[120]; VAR5[125]<=VAR5[120]; VAR5[126]<=VAR5[120]; end endcase end case(VAR6[4:7]) 0: begin VAR5[0:15]<=VAR7[0:15]>>0; VAR5[16:31]<=VAR7[16:31]>>0; VAR5[32:47]<=VAR7[32:47]>>0; VAR5[48:63]<=VAR7[48:63]>>0; VAR5[64:79]<=VAR7[64:79]>>0; VAR5[80:95]<=VAR7[80:95]>>0; VAR5[96:111]<=VAR7[96:111]>>0; VAR5[112:127]<=VAR7[112:127]>>0; end 1: begin VAR5[0:15]<=VAR7[0:15]>>1; VAR5[0]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>1; VAR5[16]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>1; VAR5[32]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>1; VAR5[48]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>1; VAR5[64]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>1; VAR5[80]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>1; VAR5[96]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>1; VAR5[112]<=VAR5[112]; end 2: begin VAR5[0:15]<=VAR7[0:15]>>2; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>2; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>2; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>2; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>2; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>2; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>2; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>2; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; end 3: begin VAR5[0:15]<=VAR7[0:15]>>3; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>3; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>3; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>3; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>3; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>3; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>3; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>3; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; end 4: begin VAR5[0:15]<=VAR7[0:15]>>4; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>4; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>4; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>4; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>4; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>4; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>4; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>4; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; end 5: begin VAR5[0:15]<=VAR7[0:15]>>5; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>5; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>5; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>5; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>5; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>5; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>5; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>5; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; end 6: begin VAR5[0:15]<=VAR7[0:15]>>6; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>6; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>6; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>6; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>6; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>6; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>6; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>6; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; end 7: begin VAR5[0:15]<=VAR7[0:15]>>7; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>7; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>7; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>7; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>7; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>7; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>7; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>7; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; end 8: begin VAR5[0:15]<=VAR7[0:15]>>8; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>8; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>8; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>8; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>8; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>8; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>8; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>8; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; end 9: begin VAR5[0:15]<=VAR7[0:15]>>9; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>9; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>9; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>9; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>9; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>9; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>9; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>9; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; end 10: begin VAR5[0:15]<=VAR7[0:15]>>10; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>10; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>10; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>10; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>10; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>10; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>10; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>10; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; end 11: begin VAR5[0:15]<=VAR7[0:15]>>11; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>11; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[26]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>11; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>11; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[58]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>11; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>11; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[90]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>11; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>11; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; VAR5[122]<=VAR5[112]; end 12: begin VAR5[0:15]<=VAR7[0:15]>>12; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>12; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[26]<=VAR5[16]; VAR5[27]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>12; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>12; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[58]<=VAR5[48]; VAR5[59]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>12; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>12; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[90]<=VAR5[80]; VAR5[91]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>12; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>12; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; VAR5[122]<=VAR5[112]; VAR5[123]<=VAR5[112]; end 13: begin VAR5[0:15]<=VAR7[0:15]>>13; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>13; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[26]<=VAR5[16]; VAR5[27]<=VAR5[16]; VAR5[28]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>13; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>13; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[58]<=VAR5[48]; VAR5[59]<=VAR5[48]; VAR5[60]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>13; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>13; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[90]<=VAR5[80]; VAR5[91]<=VAR5[80]; VAR5[92]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>13; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>13; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; VAR5[122]<=VAR5[112]; VAR5[123]<=VAR5[112]; VAR5[124]<=VAR5[112]; end 14: begin VAR5[0:15]<=VAR7[0:15]>>14; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>14; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[26]<=VAR5[16]; VAR5[27]<=VAR5[16]; VAR5[28]<=VAR5[16]; VAR5[29]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>14; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>14; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[58]<=VAR5[48]; VAR5[59]<=VAR5[48]; VAR5[60]<=VAR5[48]; VAR5[61]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>14; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>14; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[90]<=VAR5[80]; VAR5[91]<=VAR5[80]; VAR5[92]<=VAR5[80]; VAR5[93]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>14; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>14; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; VAR5[122]<=VAR5[112]; VAR5[123]<=VAR5[112]; VAR5[124]<=VAR5[112]; VAR5[125]<=VAR5[112]; end default: begin VAR5[0:15]<=VAR7[0:15]>>15; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[16:31]<=VAR7[16:31]>>15; VAR5[16]<=VAR5[16]; VAR5[17]<=VAR5[16]; VAR5[18]<=VAR5[16]; VAR5[19]<=VAR5[16]; VAR5[20]<=VAR5[16]; VAR5[21]<=VAR5[16]; VAR5[22]<=VAR5[16]; VAR5[23]<=VAR5[16]; VAR5[24]<=VAR5[16]; VAR5[25]<=VAR5[16]; VAR5[26]<=VAR5[16]; VAR5[27]<=VAR5[16]; VAR5[28]<=VAR5[16]; VAR5[29]<=VAR5[16]; VAR5[30]<=VAR5[16]; VAR5[32:47]<=VAR7[32:47]>>15; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[48:63]<=VAR7[48:63]>>15; VAR5[48]<=VAR5[48]; VAR5[49]<=VAR5[48]; VAR5[50]<=VAR5[48]; VAR5[51]<=VAR5[48]; VAR5[52]<=VAR5[48]; VAR5[53]<=VAR5[48]; VAR5[54]<=VAR5[48]; VAR5[55]<=VAR5[48]; VAR5[56]<=VAR5[48]; VAR5[57]<=VAR5[48]; VAR5[58]<=VAR5[48]; VAR5[59]<=VAR5[48]; VAR5[60]<=VAR5[48]; VAR5[61]<=VAR5[48]; VAR5[62]<=VAR5[48]; VAR5[64:79]<=VAR7[64:79]>>15; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[80:95]<=VAR7[80:95]>>15; VAR5[80]<=VAR5[80]; VAR5[81]<=VAR5[80]; VAR5[82]<=VAR5[80]; VAR5[83]<=VAR5[80]; VAR5[84]<=VAR5[80]; VAR5[85]<=VAR5[80]; VAR5[86]<=VAR5[80]; VAR5[87]<=VAR5[80]; VAR5[88]<=VAR5[80]; VAR5[89]<=VAR5[80]; VAR5[90]<=VAR5[80]; VAR5[91]<=VAR5[80]; VAR5[92]<=VAR5[80]; VAR5[93]<=VAR5[80]; VAR5[94]<=VAR5[80]; VAR5[96:111]<=VAR7[96:111]>>15; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[112:127]<=VAR7[112:127]>>15; VAR5[112]<=VAR5[112]; VAR5[113]<=VAR5[112]; VAR5[114]<=VAR5[112]; VAR5[115]<=VAR5[112]; VAR5[116]<=VAR5[112]; VAR5[117]<=VAR5[112]; VAR5[118]<=VAR5[112]; VAR5[119]<=VAR5[112]; VAR5[120]<=VAR5[112]; VAR5[121]<=VAR5[112]; VAR5[122]<=VAR5[112]; VAR5[123]<=VAR5[112]; VAR5[124]<=VAR5[112]; VAR5[125]<=VAR5[112]; VAR5[126]<=VAR5[112]; end endcase end default: begin case(VAR6[5:7]) 0: begin VAR5[0:31]<=VAR7[0:31]>>0; VAR5[32:63]<=VAR7[32:63]>>0; VAR5[64:95]<=VAR7[64:95]>>0; VAR5[96:127]<=VAR7[96:127]>>0; end 1: begin VAR5[0:31]<=VAR7[0:31]>>1; VAR5[0]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>1; VAR5[32]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>1; VAR5[64]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>1; VAR5[96]<=VAR5[96]; end 2: begin VAR5[0:31]<=VAR7[0:31]>>2; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>2; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>2; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>2; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; end 3: begin VAR5[0:31]<=VAR7[0:31]>>3; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>3; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>3; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>3; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; end 4: begin VAR5[0:31]<=VAR7[0:31]>>4; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>4; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>4; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>4; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; end 5: begin VAR5[0:31]<=VAR7[0:31]>>5; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>5; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>5; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>5; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; end 6: begin VAR5[0:31]<=VAR7[0:31]>>6; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>6; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>6; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>6; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; end 7: begin VAR5[0:31]<=VAR7[0:31]>>7; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>7; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>7; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>7; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; end 8: begin VAR5[0:31]<=VAR7[0:31]>>8; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>8; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>8; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>8; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; end 9: begin VAR5[0:31]<=VAR7[0:31]>>9; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>9; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>9; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>9; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; end 10: begin VAR5[0:31]<=VAR7[0:31]>>10; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>10; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>10; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>10; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; end 11: begin VAR5[0:31]<=VAR7[0:31]>>11; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>11; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>11; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>11; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; end 12: begin VAR5[0:31]<=VAR7[0:31]>>12; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>12; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>12; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>12; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; end 13: begin VAR5[0:31]<=VAR7[0:31]>>13; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>13; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>13; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>13; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; end 14: begin VAR5[0:31]<=VAR7[0:31]>>14; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>14; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>14; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>14; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; end 15: begin VAR5[0:31]<=VAR7[0:31]>>15; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>15; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>15; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>15; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; end 16: begin VAR5[0:31]<=VAR7[0:31]>>16; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>16; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>16; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>16; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; end 17: begin VAR5[0:31]<=VAR7[0:31]>>17; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>17; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>17; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>17; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; end 18: begin VAR5[0:31]<=VAR7[0:31]>>18; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>18; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>18; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>18; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; end 19: begin VAR5[0:31]<=VAR7[0:31]>>19; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>19; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>19; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>19; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; end 20: begin VAR5[0:31]<=VAR7[0:31]>>20; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>20; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>20; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>20; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; end 21: begin VAR5[0:31]<=VAR7[0:31]>>21; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>21; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>21; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>21; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; end 22: begin VAR5[0:31]<=VAR7[0:31]>>22; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>22; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>22; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>22; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; end 23: begin VAR5[0:31]<=VAR7[0:31]>>23; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>23; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>23; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>23; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; end 24: begin VAR5[0:31]<=VAR7[0:31]>>24; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>24; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>24; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>24; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; end 25: begin VAR5[0:31]<=VAR7[0:31]>>25; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>25; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>25; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>25; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; end 26: begin VAR5[0:31]<=VAR7[0:31]>>26; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>26; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>26; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>26; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; end 27: begin VAR5[0:31]<=VAR7[0:31]>>27; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[26]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>27; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[58]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>27; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[90]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>27; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; VAR5[122]<=VAR5[96]; end 28: begin VAR5[0:31]<=VAR7[0:31]>>28; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[26]<=VAR5[0]; VAR5[27]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>28; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[58]<=VAR5[32]; VAR5[59]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>28; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[90]<=VAR5[64]; VAR5[91]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>28; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; VAR5[122]<=VAR5[96]; VAR5[123]<=VAR5[96]; end 29: begin VAR5[0:31]<=VAR7[0:31]>>29; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[26]<=VAR5[0]; VAR5[27]<=VAR5[0]; VAR5[28]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>29; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[58]<=VAR5[32]; VAR5[59]<=VAR5[32]; VAR5[60]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>29; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[90]<=VAR5[64]; VAR5[91]<=VAR5[64]; VAR5[92]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>29; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; VAR5[122]<=VAR5[96]; VAR5[123]<=VAR5[96]; VAR5[124]<=VAR5[96]; end 30: begin VAR5[0:31]<=VAR7[0:31]>>30; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[26]<=VAR5[0]; VAR5[27]<=VAR5[0]; VAR5[28]<=VAR5[0]; VAR5[29]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>30; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[58]<=VAR5[32]; VAR5[59]<=VAR5[32]; VAR5[60]<=VAR5[32]; VAR5[61]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>30; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[90]<=VAR5[64]; VAR5[91]<=VAR5[64]; VAR5[92]<=VAR5[64]; VAR5[93]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>30; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; VAR5[122]<=VAR5[96]; VAR5[123]<=VAR5[96]; VAR5[124]<=VAR5[96]; VAR5[125]<=VAR5[96]; end default: begin VAR5[0:31]<=VAR7[0:31]>>31; VAR5[0]<=VAR5[0]; VAR5[1]<=VAR5[0]; VAR5[2]<=VAR5[0]; VAR5[3]<=VAR5[0]; VAR5[4]<=VAR5[0]; VAR5[5]<=VAR5[0]; VAR5[6]<=VAR5[0]; VAR5[7]<=VAR5[0]; VAR5[8]<=VAR5[0]; VAR5[9]<=VAR5[0]; VAR5[10]<=VAR5[0]; VAR5[11]<=VAR5[0]; VAR5[12]<=VAR5[0]; VAR5[13]<=VAR5[0]; VAR5[14]<=VAR5[0]; VAR5[15]<=VAR5[0]; VAR5[16]<=VAR5[0]; VAR5[17]<=VAR5[0]; VAR5[18]<=VAR5[0]; VAR5[19]<=VAR5[0]; VAR5[20]<=VAR5[0]; VAR5[21]<=VAR5[0]; VAR5[22]<=VAR5[0]; VAR5[23]<=VAR5[0]; VAR5[24]<=VAR5[0]; VAR5[25]<=VAR5[0]; VAR5[26]<=VAR5[0]; VAR5[27]<=VAR5[0]; VAR5[28]<=VAR5[0]; VAR5[29]<=VAR5[0]; VAR5[30]<=VAR5[0]; VAR5[32:63]<=VAR7[32:63]>>31; VAR5[32]<=VAR5[32]; VAR5[33]<=VAR5[32]; VAR5[34]<=VAR5[32]; VAR5[35]<=VAR5[32]; VAR5[36]<=VAR5[32]; VAR5[37]<=VAR5[32]; VAR5[38]<=VAR5[32]; VAR5[39]<=VAR5[32]; VAR5[40]<=VAR5[32]; VAR5[41]<=VAR5[32]; VAR5[42]<=VAR5[32]; VAR5[43]<=VAR5[32]; VAR5[44]<=VAR5[32]; VAR5[45]<=VAR5[32]; VAR5[46]<=VAR5[32]; VAR5[47]<=VAR5[32]; VAR5[48]<=VAR5[32]; VAR5[49]<=VAR5[32]; VAR5[50]<=VAR5[32]; VAR5[51]<=VAR5[32]; VAR5[52]<=VAR5[32]; VAR5[53]<=VAR5[32]; VAR5[54]<=VAR5[32]; VAR5[55]<=VAR5[32]; VAR5[56]<=VAR5[32]; VAR5[57]<=VAR5[32]; VAR5[58]<=VAR5[32]; VAR5[59]<=VAR5[32]; VAR5[60]<=VAR5[32]; VAR5[61]<=VAR5[32]; VAR5[62]<=VAR5[32]; VAR5[64:95]<=VAR7[64:95]>>31; VAR5[64]<=VAR5[64]; VAR5[65]<=VAR5[64]; VAR5[66]<=VAR5[64]; VAR5[67]<=VAR5[64]; VAR5[68]<=VAR5[64]; VAR5[69]<=VAR5[64]; VAR5[70]<=VAR5[64]; VAR5[71]<=VAR5[64]; VAR5[72]<=VAR5[64]; VAR5[73]<=VAR5[64]; VAR5[74]<=VAR5[64]; VAR5[75]<=VAR5[64]; VAR5[76]<=VAR5[64]; VAR5[77]<=VAR5[64]; VAR5[78]<=VAR5[64]; VAR5[79]<=VAR5[64]; VAR5[80]<=VAR5[64]; VAR5[81]<=VAR5[64]; VAR5[82]<=VAR5[64]; VAR5[83]<=VAR5[64]; VAR5[84]<=VAR5[64]; VAR5[85]<=VAR5[64]; VAR5[86]<=VAR5[64]; VAR5[87]<=VAR5[64]; VAR5[88]<=VAR5[64]; VAR5[89]<=VAR5[64]; VAR5[90]<=VAR5[64]; VAR5[91]<=VAR5[64]; VAR5[92]<=VAR5[64]; VAR5[93]<=VAR5[64]; VAR5[94]<=VAR5[64]; VAR5[96:127]<=VAR7[96:127]>>31; VAR5[96]<=VAR5[96]; VAR5[97]<=VAR5[96]; VAR5[98]<=VAR5[96]; VAR5[99]<=VAR5[96]; VAR5[100]<=VAR5[96]; VAR5[101]<=VAR5[96]; VAR5[102]<=VAR5[96]; VAR5[103]<=VAR5[96]; VAR5[104]<=VAR5[96]; VAR5[105]<=VAR5[96]; VAR5[106]<=VAR5[96]; VAR5[107]<=VAR5[96]; VAR5[108]<=VAR5[96]; VAR5[109]<=VAR5[96]; VAR5[110]<=VAR5[96]; VAR5[111]<=VAR5[96]; VAR5[112]<=VAR5[96]; VAR5[113]<=VAR5[96]; VAR5[114]<=VAR5[96]; VAR5[115]<=VAR5[96]; VAR5[116]<=VAR5[96]; VAR5[117]<=VAR5[96]; VAR5[118]<=VAR5[96]; VAR5[119]<=VAR5[96]; VAR5[120]<=VAR5[96]; VAR5[121]<=VAR5[96]; VAR5[122]<=VAR5[96]; VAR5[123]<=VAR5[96]; VAR5[124]<=VAR5[96]; VAR5[125]<=VAR5[96]; VAR5[126]<=VAR5[96]; end endcase end endcase end begin case(VAR4) VAR5[0:7]<=VAR7[0:7]<<VAR6[5:7]; VAR5[8:15]<=VAR7[8:15]<<VAR6[13:15]; VAR5[16:23]<=VAR7[16:23]<<VAR6[21:23]; VAR5[24:31]<=VAR7[24:31]<<VAR6[29:31]; VAR5[32:39]<=VAR7[32:39]<<VAR6[37:39]; VAR5[40:47]<=VAR7[40:47]<<VAR6[45:47]; VAR5[48:55]<=VAR7[48:55]<<VAR6[53:55]; VAR5[56:63]<=VAR7[56:63]<<VAR6[61:63]; VAR5[64:71]<=VAR7[64:71]<<VAR6[69:71]; VAR5[72:79]<=VAR7[72:79]<<VAR6[77:79]; VAR5[80:87]<=VAR7[80:87]<<VAR6[85:87]; VAR5[88:95]<=VAR7[88:95]<<VAR6[93:95]; VAR5[96:103]<=VAR7[96:103]<<VAR6[101:103]; VAR5[104:111]<=VAR7[104:111]<<VAR6[109:111]; VAR5[112:119]<=VAR7[112:119]<<VAR6[117:119]; VAR5[120:127]<=VAR7[120:127]<<VAR6[125:127]; end VAR5[0:15]<=VAR7[0:15]<<VAR6[12:15]; VAR5[16:31]<=VAR7[16:31]<<VAR6[28:31]; VAR5[32:47]<=VAR7[32:47]<<VAR6[44:47]; VAR5[48:63]<=VAR7[48:63]<<VAR6[60:63]; VAR5[64:79]<=VAR7[64:79]<<VAR6[76:79]; VAR5[80:95]<=VAR7[80:95]<<VAR6[92:95]; VAR5[96:111]<=VAR7[96:111]<<VAR6[108:111]; VAR5[112:127]<=VAR7[112:127]<<VAR6[124:127]; end VAR5[0:31]<=VAR7[0:31]<<VAR6[27:31]; VAR5[32:63]<=VAR7[32:63]<<VAR6[59:63]; VAR5[64:95]<=VAR7[64:95]<<VAR6[91:95]; VAR5[96:127]<=VAR7[96:127]<<VAR6[123:127]; end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]>>VAR6[5:7]; VAR5[8:15]<=VAR7[8:15]>>VAR6[13:15]; VAR5[16:23]<=VAR7[16:23]>>VAR6[21:23]; VAR5[24:31]<=VAR7[24:31]>>VAR6[29:31]; VAR5[32:39]<=VAR7[32:39]>>VAR6[37:39]; VAR5[40:47]<=VAR7[40:47]>>VAR6[45:47]; VAR5[48:55]<=VAR7[48:55]>>VAR6[53:55]; VAR5[56:63]<=VAR7[56:63]>>VAR6[61:63]; VAR5[64:71]<=VAR7[64:71]>>VAR6[69:71]; VAR5[72:79]<=VAR7[72:79]>>VAR6[77:79]; VAR5[80:87]<=VAR7[80:87]>>VAR6[85:87]; VAR5[88:95]<=VAR7[88:95]>>VAR6[93:95]; VAR5[96:103]<=VAR7[96:103]>>VAR6[101:103]; VAR5[104:111]<=VAR7[104:111]>>VAR6[109:111]; VAR5[112:119]<=VAR7[112:119]>>VAR6[117:119]; VAR5[120:127]<=VAR7[120:127]>>VAR6[125:127]; end VAR5[0:15]<=VAR7[0:15]>>VAR6[12:15]; VAR5[16:31]<=VAR7[16:31]>>VAR6[28:31]; VAR5[32:47]<=VAR7[32:47]>>VAR6[44:47]; VAR5[48:63]<=VAR7[48:63]>>VAR6[60:63]; VAR5[64:79]<=VAR7[64:79]>>VAR6[76:79]; VAR5[80:95]<=VAR7[80:95]>>VAR6[92:95]; VAR5[96:111]<=VAR7[96:111]>>VAR6[108:111]; VAR5[112:127]<=VAR7[112:127]>>VAR6[124:127]; end VAR5[0:31]<=VAR7[0:31]>>VAR6[27:31]; VAR5[32:63]<=VAR7[32:63]>>VAR6[59:63]; VAR5[64:95]<=VAR7[64:95]>>VAR6[91:95]; VAR5[96:127]<=VAR7[96:127]>>VAR6[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]>>VAR6[5:7]; VAR5[8:15]<=VAR7[8:15]>>VAR6[13:15]; VAR5[16:23]<=VAR7[16:23]>>VAR6[21:23]; VAR5[24:31]<=VAR7[24:31]>>VAR6[29:31]; VAR5[32:39]<=VAR7[32:39]>>VAR6[37:39]; VAR5[40:47]<=VAR7[40:47]>>VAR6[45:47]; VAR5[48:55]<=VAR7[48:55]>>VAR6[53:55]; VAR5[56:63]<=VAR7[56:63]>>VAR6[61:63]; end VAR5[0:15]<=VAR7[0:15]>>VAR6[12:15]; VAR5[16:31]<=VAR7[16:31]>>VAR6[28:31]; VAR5[32:47]<=VAR7[32:47]>>VAR6[44:47]; VAR5[48:63]<=VAR7[48:63]>>VAR6[60:63]; end VAR5[0:31]<=VAR7[0:31]>>VAR6[27:31]; VAR5[32:63]<=VAR7[32:63]>>VAR6[59:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]>>VAR6[69:71]; VAR5[72:79]<=VAR7[72:79]>>VAR6[77:79]; VAR5[80:87]<=VAR7[80:87]>>VAR6[85:87]; VAR5[88:95]<=VAR7[88:95]>>VAR6[93:95]; VAR5[96:103]<=VAR7[96:103]>>VAR6[101:103]; VAR5[104:111]<=VAR7[104:111]>>VAR6[109:111]; VAR5[112:119]<=VAR7[112:119]>>VAR6[117:119]; VAR5[120:127]<=VAR7[120:127]>>VAR6[125:127]; end VAR5[64:79]<=VAR7[64:79]>>VAR6[76:79]; VAR5[80:95]<=VAR7[80:95]>>VAR6[92:95]; VAR5[96:111]<=VAR7[96:111]>>VAR6[108:111]; VAR5[112:127]<=VAR7[112:127]>>VAR6[124:127]; end VAR5[64:95]<=VAR7[64:95]>>VAR6[91:95]; VAR5[96:127]<=VAR7[96:127]>>VAR6[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]>>VAR6[5:7]; VAR5[16:23]<=VAR7[16:23]>>VAR6[21:23]; VAR5[32:39]<=VAR7[32:39]>>VAR6[37:39]; VAR5[48:55]<=VAR7[48:55]>>VAR6[53:55]; VAR5[64:71]<=VAR7[64:71]>>VAR6[69:71]; VAR5[80:87]<=VAR7[80:87]>>VAR6[85:87]; VAR5[96:103]<=VAR7[96:103]>>VAR6[101:103]; VAR5[112:119]<=VAR7[112:119]>>VAR6[117:119]; end VAR5[0:15]<=VAR7[0:15]>>VAR6[12:15]; VAR5[32:47]<=VAR7[32:47]>>VAR6[44:47]; VAR5[64:79]<=VAR7[64:79]>>VAR6[76:79]; VAR5[96:111]<=VAR7[96:111]>>VAR6[108:111]; end VAR5[0:31]<=VAR7[0:31]>>VAR6[27:31]; VAR5[64:95]<=VAR7[64:95]>>VAR6[91:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]>>VAR6[13:15]; VAR5[24:31]<=VAR7[24:31]>>VAR6[29:31]; VAR5[40:47]<=VAR7[40:47]>>VAR6[45:47]; VAR5[56:63]<=VAR7[56:63]>>VAR6[61:63]; VAR5[72:79]<=VAR7[72:79]>>VAR6[77:79]; VAR5[88:95]<=VAR7[88:95]>>VAR6[93:95]; VAR5[104:111]<=VAR7[104:111]>>VAR6[109:111]; VAR5[120:127]<=VAR7[120:127]>>VAR6[125:127]; end VAR5[16:31]<=VAR7[16:31]>>VAR6[28:31]; VAR5[48:63]<=VAR7[48:63]>>VAR6[60:63]; VAR5[80:95]<=VAR7[80:95]>>VAR6[92:95]; VAR5[112:127]<=VAR7[112:127]>>VAR6[124:127]; end VAR5[32:63]<=VAR7[32:63]>>VAR6[59:63]; VAR5[96:127]<=VAR7[96:127]>>VAR6[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]>>VAR6[5:7]; end VAR5[0:15]<=VAR7[0:15]>>VAR6[12:15]; end VAR5[0:31]<=VAR7[0:31]>>VAR6[27:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]>>VAR6[125:127]; end VAR5[112:127]<=VAR7[112:127]>>VAR6[124:127]; end VAR5[96:127]<=VAR7[96:127]>>VAR6[123:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]+VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]+VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]+VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]+VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]+VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]+VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]+VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]+VAR6[56:63]; VAR5[64:71]<=VAR7[64:71]+VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]+VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]+VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]+VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]+VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]+VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]+VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]+VAR6[120:127]; end VAR5[0:15]<=VAR7[0:15]+VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]+VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]+VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]+VAR6[48:63]; VAR5[64:79]<=VAR7[64:79]+VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]+VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]+VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]+VAR6[112:127]; end VAR5[0:31]<=VAR7[0:31]+VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]+VAR6[32:63]; VAR5[64:95]<=VAR7[64:95]+VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]+VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]+VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]+VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]+VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]+VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]+VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]+VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]+VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]+VAR6[56:63]; end VAR5[0:15]<=VAR7[0:15]+VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]+VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]+VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]+VAR6[48:63]; end VAR5[0:31]<=VAR7[0:31]+VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]+VAR6[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]+VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]+VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]+VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]+VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]+VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]+VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]+VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]+VAR6[120:127]; end VAR5[64:79]<=VAR7[64:79]+VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]+VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]+VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]+VAR6[112:127]; end VAR5[64:95]<=VAR7[64:95]+VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]+VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]+VAR6[0:7]; VAR5[16:23]<=VAR7[16:23]+VAR6[16:23]; VAR5[32:39]<=VAR7[32:39]+VAR6[32:39]; VAR5[48:55]<=VAR7[48:55]+VAR6[48:55]; VAR5[64:71]<=VAR7[64:71]+VAR6[64:71]; VAR5[80:87]<=VAR7[80:87]+VAR6[80:87]; VAR5[96:103]<=VAR7[96:103]+VAR6[96:103]; VAR5[112:119]<=VAR7[112:119]+VAR6[112:119]; end VAR5[0:15]<=VAR7[0:15]+VAR6[0:15]; VAR5[32:47]<=VAR7[32:47]+VAR6[32:47]; VAR5[64:79]<=VAR7[64:79]+VAR6[64:79]; VAR5[96:111]<=VAR7[96:111]+VAR6[96:111]; end VAR5[0:31]<=VAR7[0:31]+VAR6[0:31]; VAR5[64:95]<=VAR7[64:95]+VAR6[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]+VAR6[8:15]; VAR5[24:31]<=VAR7[24:31]+VAR6[24:31]; VAR5[40:47]<=VAR7[40:47]+VAR6[40:47]; VAR5[56:63]<=VAR7[56:63]+VAR6[56:63]; VAR5[72:79]<=VAR7[72:79]+VAR6[72:79]; VAR5[88:95]<=VAR7[88:95]+VAR6[88:95]; VAR5[104:111]<=VAR7[104:111]+VAR6[104:111]; VAR5[120:127]<=VAR7[120:127]+VAR6[120:127]; end VAR5[16:31]<=VAR7[16:31]+VAR6[16:31]; VAR5[48:63]<=VAR7[48:63]+VAR6[48:63]; VAR5[80:95]<=VAR7[80:95]+VAR6[80:95]; VAR5[112:127]<=VAR7[112:127]+VAR6[112:127]; end VAR5[32:63]<=VAR7[32:63]+VAR6[32:63]; VAR5[96:127]<=VAR7[96:127]+VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]+VAR6[0:7]; end VAR5[0:15]<=VAR7[0:15]+VAR6[0:15]; end VAR5[0:31]<=VAR7[0:31]+VAR6[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]+VAR6[120:127]; end VAR5[112:127]<=VAR7[112:127]+VAR6[112:127]; end VAR5[96:127]<=VAR7[96:127]+VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]&VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]&VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]&VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]&VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]&VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]&VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]&VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]&VAR6[56:63]; VAR5[64:71]<=VAR7[64:71]&VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]&VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]&VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]&VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]&VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]&VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]&VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]&VAR6[120:127]; end VAR5[0:15]<=VAR7[0:15]&VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]&VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]&VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]&VAR6[48:63]; VAR5[64:79]<=VAR7[64:79]&VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]&VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]&VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]&VAR6[112:127]; end VAR5[0:31]<=VAR7[0:31]&VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]&VAR6[32:63]; VAR5[64:95]<=VAR7[64:95]&VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]&VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]&VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]&VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]&VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]&VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]&VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]&VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]&VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]&VAR6[56:63]; end VAR5[0:15]<=VAR7[0:15]&VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]&VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]&VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]&VAR6[48:63]; end VAR5[0:31]<=VAR7[0:31]&VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]&VAR6[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]&VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]&VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]&VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]&VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]&VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]&VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]&VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]&VAR6[120:127]; end VAR5[64:79]<=VAR7[64:79]&VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]&VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]&VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]&VAR6[112:127]; end VAR5[64:95]<=VAR7[64:95]&VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]&VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]&VAR6[0:7]; VAR5[16:23]<=VAR7[16:23]&VAR6[16:23]; VAR5[32:39]<=VAR7[32:39]&VAR6[32:39]; VAR5[48:55]<=VAR7[48:55]&VAR6[48:55]; VAR5[64:71]<=VAR7[64:71]&VAR6[64:71]; VAR5[80:87]<=VAR7[80:87]&VAR6[80:87]; VAR5[96:103]<=VAR7[96:103]&VAR6[96:103]; VAR5[112:119]<=VAR7[112:119]&VAR6[112:119]; end VAR5[0:15]<=VAR7[0:15]&VAR6[0:15]; VAR5[32:47]<=VAR7[32:47]&VAR6[32:47]; VAR5[64:79]<=VAR7[64:79]&VAR6[64:79]; VAR5[96:111]<=VAR7[96:111]&VAR6[96:111]; end VAR5[0:31]<=VAR7[0:31]&VAR6[0:31]; VAR5[64:95]<=VAR7[64:95]&VAR6[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]&VAR6[8:15]; VAR5[24:31]<=VAR7[24:31]&VAR6[24:31]; VAR5[40:47]<=VAR7[40:47]&VAR6[40:47]; VAR5[56:63]<=VAR7[56:63]&VAR6[56:63]; VAR5[72:79]<=VAR7[72:79]&VAR6[72:79]; VAR5[88:95]<=VAR7[88:95]&VAR6[88:95]; VAR5[104:111]<=VAR7[104:111]&VAR6[104:111]; VAR5[120:127]<=VAR7[120:127]&VAR6[120:127]; end VAR5[16:31]<=VAR7[16:31]&VAR6[16:31]; VAR5[48:63]<=VAR7[48:63]&VAR6[48:63]; VAR5[80:95]<=VAR7[80:95]&VAR6[80:95]; VAR5[112:127]<=VAR7[112:127]&VAR6[112:127]; end VAR5[32:63]<=VAR7[32:63]&VAR6[32:63]; VAR5[96:127]<=VAR7[96:127]&VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]&VAR6[0:7]; end VAR5[0:15]<=VAR7[0:15]&VAR6[0:15]; end VAR5[0:31]<=VAR7[0:31]&VAR6[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]&VAR6[120:127]; end VAR5[112:127]<=VAR7[112:127]&VAR6[112:127]; end VAR5[96:127]<=VAR7[96:127]&VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=~VAR7[0:7]; VAR5[8:15]<=~VAR7[8:15]; VAR5[16:23]<=~VAR7[16:23]; VAR5[24:31]<=~VAR7[24:31]; VAR5[32:39]<=~VAR7[32:39]; VAR5[40:47]<=~VAR7[40:47]; VAR5[48:55]<=~VAR7[48:55]; VAR5[56:63]<=~VAR7[56:63]; VAR5[64:71]<=~VAR7[64:71]; VAR5[72:79]<=~VAR7[72:79]; VAR5[80:87]<=~VAR7[80:87]; VAR5[88:95]<=~VAR7[88:95]; VAR5[96:103]<=~VAR7[96:103]; VAR5[104:111]<=~VAR7[104:111]; VAR5[112:119]<=~VAR7[112:119]; VAR5[120:127]<=~VAR7[120:127]; end VAR5[0:15]<=~VAR7[0:15]; VAR5[16:31]<=~VAR7[16:31]; VAR5[32:47]<=~VAR7[32:47]; VAR5[48:63]<=~VAR7[48:63]; VAR5[64:79]<=~VAR7[64:79]; VAR5[80:95]<=~VAR7[80:95]; VAR5[96:111]<=~VAR7[96:111]; VAR5[112:127]<=~VAR7[112:127]; end VAR5[0:31]<=~VAR7[0:31]; VAR5[32:63]<=~VAR7[32:63]; VAR5[64:95]<=~VAR7[64:95]; VAR5[96:127]<=~VAR7[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=~VAR7[0:7]; VAR5[8:15]<=~VAR7[8:15]; VAR5[16:23]<=~VAR7[16:23]; VAR5[24:31]<=~VAR7[24:31]; VAR5[32:39]<=~VAR7[32:39]; VAR5[40:47]<=~VAR7[40:47]; VAR5[48:55]<=~VAR7[48:55]; VAR5[56:63]<=~VAR7[56:63]; end VAR5[0:15]<=~VAR7[0:15]; VAR5[16:31]<=~VAR7[16:31]; VAR5[32:47]<=~VAR7[32:47]; VAR5[48:63]<=~VAR7[48:63]; end VAR5[0:31]<=~VAR7[0:31]; VAR5[32:63]<=~VAR7[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=~VAR7[64:71]; VAR5[72:79]<=~VAR7[72:79]; VAR5[80:87]<=~VAR7[80:87]; VAR5[88:95]<=~VAR7[88:95]; VAR5[96:103]<=~VAR7[96:103]; VAR5[104:111]<=~VAR7[104:111]; VAR5[112:119]<=~VAR7[112:119]; VAR5[120:127]<=~VAR7[120:127]; end VAR5[64:79]<=~VAR7[64:79]; VAR5[80:95]<=~VAR7[80:95]; VAR5[96:111]<=~VAR7[96:111]; VAR5[112:127]<=~VAR7[112:127]; end VAR5[64:95]<=~VAR7[64:95]; VAR5[96:127]<=~VAR7[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=~VAR7[0:7]; VAR5[16:23]<=~VAR7[16:23]; VAR5[32:39]<=~VAR7[32:39]; VAR5[48:55]<=~VAR7[48:55]; VAR5[64:71]<=~VAR7[64:71]; VAR5[80:87]<=~VAR7[80:87]; VAR5[96:103]<=~VAR7[96:103]; VAR5[112:119]<=~VAR7[112:119]; end VAR5[0:15]<=~VAR7[0:15]; VAR5[32:47]<=~VAR7[32:47]; VAR5[64:79]<=~VAR7[64:79]; VAR5[96:111]<=~VAR7[96:111]; end VAR5[0:31]<=~VAR7[0:31]; VAR5[64:95]<=~VAR7[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=~VAR7[8:15]; VAR5[24:31]<=~VAR7[24:31]; VAR5[40:47]<=~VAR7[40:47]; VAR5[56:63]<=~VAR7[56:63]; VAR5[72:79]<=~VAR7[72:79]; VAR5[88:95]<=~VAR7[88:95]; VAR5[104:111]<=~VAR7[104:111]; VAR5[120:127]<=~VAR7[120:127]; end VAR5[16:31]<=~VAR7[16:31]; VAR5[48:63]<=~VAR7[48:63]; VAR5[80:95]<=~VAR7[80:95]; VAR5[112:127]<=~VAR7[112:127]; end VAR5[32:63]<=~VAR7[32:63]; VAR5[96:127]<=~VAR7[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=~VAR7[0:7]; end VAR5[0:15]<=~VAR7[0:15]; end VAR5[0:31]<=~VAR7[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=~VAR7[120:127]; end VAR5[112:127]<=~VAR7[112:127]; end VAR5[96:127]<=~VAR7[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]|VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]|VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]|VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]|VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]|VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]|VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]|VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]|VAR6[56:63]; VAR5[64:71]<=VAR7[64:71]|VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]|VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]|VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]|VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]|VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]|VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]|VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]|VAR6[120:127]; end VAR5[0:15]<=VAR7[0:15]|VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]|VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]|VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]|VAR6[48:63]; VAR5[64:79]<=VAR7[64:79]|VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]|VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]|VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]|VAR6[112:127]; end VAR5[0:31]<=VAR7[0:31]|VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]|VAR6[32:63]; VAR5[64:95]<=VAR7[64:95]|VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]|VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]|VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]|VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]|VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]|VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]|VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]|VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]|VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]|VAR6[56:63]; end VAR5[0:15]<=VAR7[0:15]|VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]|VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]|VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]|VAR6[48:63]; end VAR5[0:31]<=VAR7[0:31]|VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]|VAR6[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]|VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]|VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]|VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]|VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]|VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]|VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]|VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]|VAR6[120:127]; end VAR5[64:79]<=VAR7[64:79]|VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]|VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]|VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]|VAR6[112:127]; end VAR5[64:95]<=VAR7[64:95]|VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]|VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]|VAR6[0:7]; VAR5[16:23]<=VAR7[16:23]|VAR6[16:23]; VAR5[32:39]<=VAR7[32:39]|VAR6[32:39]; VAR5[48:55]<=VAR7[48:55]|VAR6[48:55]; VAR5[64:71]<=VAR7[64:71]|VAR6[64:71]; VAR5[80:87]<=VAR7[80:87]|VAR6[80:87]; VAR5[96:103]<=VAR7[96:103]|VAR6[96:103]; VAR5[112:119]<=VAR7[112:119]|VAR6[112:119]; end VAR5[0:15]<=VAR7[0:15]|VAR6[0:15]; VAR5[32:47]<=VAR7[32:47]|VAR6[32:47]; VAR5[64:79]<=VAR7[64:79]|VAR6[64:79]; VAR5[96:111]<=VAR7[96:111]|VAR6[96:111]; end VAR5[0:31]<=VAR7[0:31]|VAR6[0:31]; VAR5[64:95]<=VAR7[64:95]|VAR6[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]|VAR6[8:15]; VAR5[24:31]<=VAR7[24:31]|VAR6[24:31]; VAR5[40:47]<=VAR7[40:47]|VAR6[40:47]; VAR5[56:63]<=VAR7[56:63]|VAR6[56:63]; VAR5[72:79]<=VAR7[72:79]|VAR6[72:79]; VAR5[88:95]<=VAR7[88:95]|VAR6[88:95]; VAR5[104:111]<=VAR7[104:111]|VAR6[104:111]; VAR5[120:127]<=VAR7[120:127]|VAR6[120:127]; end VAR5[16:31]<=VAR7[16:31]|VAR6[16:31]; VAR5[48:63]<=VAR7[48:63]|VAR6[48:63]; VAR5[80:95]<=VAR7[80:95]|VAR6[80:95]; VAR5[112:127]<=VAR7[112:127]|VAR6[112:127]; end VAR5[32:63]<=VAR7[32:63]|VAR6[32:63]; VAR5[96:127]<=VAR7[96:127]|VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]|VAR6[0:7]; end VAR5[0:15]<=VAR7[0:15]|VAR6[0:15]; end VAR5[0:31]<=VAR7[0:31]|VAR6[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]|VAR6[120:127]; end VAR5[112:127]<=VAR7[112:127]|VAR6[112:127]; end VAR5[96:127]<=VAR7[96:127]|VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]^VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]^VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]^VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]^VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]^VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]^VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]^VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]^VAR6[56:63]; VAR5[64:71]<=VAR7[64:71]^VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]^VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]^VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]^VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]^VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]^VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]^VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]^VAR6[120:127]; end VAR5[0:15]<=VAR7[0:15]^VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]^VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]^VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]^VAR6[48:63]; VAR5[64:79]<=VAR7[64:79]^VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]^VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]^VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]^VAR6[112:127]; end VAR5[0:31]<=VAR7[0:31]^VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]^VAR6[32:63]; VAR5[64:95]<=VAR7[64:95]^VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]^VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]^VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]^VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]^VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]^VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]^VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]^VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]^VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]^VAR6[56:63]; end VAR5[0:15]<=VAR7[0:15]^VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]^VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]^VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]^VAR6[48:63]; end VAR5[0:31]<=VAR7[0:31]^VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]^VAR6[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]^VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]^VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]^VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]^VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]^VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]^VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]^VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]^VAR6[120:127]; end VAR5[64:79]<=VAR7[64:79]^VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]^VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]^VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]^VAR6[112:127]; end VAR5[64:95]<=VAR7[64:95]^VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]^VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]^VAR6[0:7]; VAR5[16:23]<=VAR7[16:23]^VAR6[16:23]; VAR5[32:39]<=VAR7[32:39]^VAR6[32:39]; VAR5[48:55]<=VAR7[48:55]^VAR6[48:55]; VAR5[64:71]<=VAR7[64:71]^VAR6[64:71]; VAR5[80:87]<=VAR7[80:87]^VAR6[80:87]; VAR5[96:103]<=VAR7[96:103]^VAR6[96:103]; VAR5[112:119]<=VAR7[112:119]^VAR6[112:119]; end VAR5[0:15]<=VAR7[0:15]^VAR6[0:15]; VAR5[32:47]<=VAR7[32:47]^VAR6[32:47]; VAR5[64:79]<=VAR7[64:79]^VAR6[64:79]; VAR5[96:111]<=VAR7[96:111]^VAR6[96:111]; end VAR5[0:31]<=VAR7[0:31]^VAR6[0:31]; VAR5[64:95]<=VAR7[64:95]^VAR6[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]^VAR6[8:15]; VAR5[24:31]<=VAR7[24:31]^VAR6[24:31]; VAR5[40:47]<=VAR7[40:47]^VAR6[40:47]; VAR5[56:63]<=VAR7[56:63]^VAR6[56:63]; VAR5[72:79]<=VAR7[72:79]^VAR6[72:79]; VAR5[88:95]<=VAR7[88:95]^VAR6[88:95]; VAR5[104:111]<=VAR7[104:111]^VAR6[104:111]; VAR5[120:127]<=VAR7[120:127]^VAR6[120:127]; end VAR5[16:31]<=VAR7[16:31]^VAR6[16:31]; VAR5[48:63]<=VAR7[48:63]^VAR6[48:63]; VAR5[80:95]<=VAR7[80:95]^VAR6[80:95]; VAR5[112:127]<=VAR7[112:127]^VAR6[112:127]; end VAR5[32:63]<=VAR7[32:63]^VAR6[32:63]; VAR5[96:127]<=VAR7[96:127]^VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]^VAR6[0:7]; end VAR5[0:15]<=VAR7[0:15]^VAR6[0:15]; end VAR5[0:31]<=VAR7[0:31]^VAR6[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]^VAR6[120:127]; end VAR5[112:127]<=VAR7[112:127]^VAR6[112:127]; end VAR5[96:127]<=VAR7[96:127]^VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) VAR5[0:7]<=VAR7[0:7]-VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]-VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]-VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]-VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]-VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]-VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]-VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]-VAR6[56:63]; VAR5[64:71]<=VAR7[64:71]-VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]-VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]-VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]-VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]-VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]-VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]-VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]-VAR6[120:127]; end VAR5[0:15]<=VAR7[0:15]-VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]-VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]-VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]-VAR6[48:63]; VAR5[64:79]<=VAR7[64:79]-VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]-VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]-VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]-VAR6[112:127]; end VAR5[0:31]<=VAR7[0:31]-VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]-VAR6[32:63]; VAR5[64:95]<=VAR7[64:95]-VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]-VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]-VAR6[0:7]; VAR5[8:15]<=VAR7[8:15]-VAR6[8:15]; VAR5[16:23]<=VAR7[16:23]-VAR6[16:23]; VAR5[24:31]<=VAR7[24:31]-VAR6[24:31]; VAR5[32:39]<=VAR7[32:39]-VAR6[32:39]; VAR5[40:47]<=VAR7[40:47]-VAR6[40:47]; VAR5[48:55]<=VAR7[48:55]-VAR6[48:55]; VAR5[56:63]<=VAR7[56:63]-VAR6[56:63]; end VAR5[0:15]<=VAR7[0:15]-VAR6[0:15]; VAR5[16:31]<=VAR7[16:31]-VAR6[16:31]; VAR5[32:47]<=VAR7[32:47]-VAR6[32:47]; VAR5[48:63]<=VAR7[48:63]-VAR6[48:63]; end VAR5[0:31]<=VAR7[0:31]-VAR6[0:31]; VAR5[32:63]<=VAR7[32:63]-VAR6[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[64:71]<=VAR7[64:71]-VAR6[64:71]; VAR5[72:79]<=VAR7[72:79]-VAR6[72:79]; VAR5[80:87]<=VAR7[80:87]-VAR6[80:87]; VAR5[88:95]<=VAR7[88:95]-VAR6[88:95]; VAR5[96:103]<=VAR7[96:103]-VAR6[96:103]; VAR5[104:111]<=VAR7[104:111]-VAR6[104:111]; VAR5[112:119]<=VAR7[112:119]-VAR6[112:119]; VAR5[120:127]<=VAR7[120:127]-VAR6[120:127]; end VAR5[64:79]<=VAR7[64:79]-VAR6[64:79]; VAR5[80:95]<=VAR7[80:95]-VAR6[80:95]; VAR5[96:111]<=VAR7[96:111]-VAR6[96:111]; VAR5[112:127]<=VAR7[112:127]-VAR6[112:127]; end VAR5[64:95]<=VAR7[64:95]-VAR6[64:95]; VAR5[96:127]<=VAR7[96:127]-VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]-VAR6[0:7]; VAR5[16:23]<=VAR7[16:23]-VAR6[16:23]; VAR5[32:39]<=VAR7[32:39]-VAR6[32:39]; VAR5[48:55]<=VAR7[48:55]-VAR6[48:55]; VAR5[64:71]<=VAR7[64:71]-VAR6[64:71]; VAR5[80:87]<=VAR7[80:87]-VAR6[80:87]; VAR5[96:103]<=VAR7[96:103]-VAR6[96:103]; VAR5[112:119]<=VAR7[112:119]-VAR6[112:119]; end VAR5[0:15]<=VAR7[0:15]-VAR6[0:15]; VAR5[32:47]<=VAR7[32:47]-VAR6[32:47]; VAR5[64:79]<=VAR7[64:79]-VAR6[64:79]; VAR5[96:111]<=VAR7[96:111]-VAR6[96:111]; end VAR5[0:31]<=VAR7[0:31]-VAR6[0:31]; VAR5[64:95]<=VAR7[64:95]-VAR6[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[8:15]<=VAR7[8:15]-VAR6[8:15]; VAR5[24:31]<=VAR7[24:31]-VAR6[24:31]; VAR5[40:47]<=VAR7[40:47]-VAR6[40:47]; VAR5[56:63]<=VAR7[56:63]-VAR6[56:63]; VAR5[72:79]<=VAR7[72:79]-VAR6[72:79]; VAR5[88:95]<=VAR7[88:95]-VAR6[88:95]; VAR5[104:111]<=VAR7[104:111]-VAR6[104:111]; VAR5[120:127]<=VAR7[120:127]-VAR6[120:127]; end VAR5[16:31]<=VAR7[16:31]-VAR6[16:31]; VAR5[48:63]<=VAR7[48:63]-VAR6[48:63]; VAR5[80:95]<=VAR7[80:95]-VAR6[80:95]; VAR5[112:127]<=VAR7[112:127]-VAR6[112:127]; end VAR5[32:63]<=VAR7[32:63]-VAR6[32:63]; VAR5[96:127]<=VAR7[96:127]-VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[0:7]<=VAR7[0:7]-VAR6[0:7]; end VAR5[0:15]<=VAR7[0:15]-VAR6[0:15]; end VAR5[0:31]<=VAR7[0:31]-VAR6[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR4) VAR5[120:127]<=VAR7[120:127]-VAR6[120:127]; end VAR5[112:127]<=VAR7[112:127]-VAR6[112:127]; end VAR5[96:127]<=VAR7[96:127]-VAR6[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR6[4:7]) 4'd0: VAR5[0:7]<=VAR7[0:7]; 4'd1: VAR5[0:7]<=VAR7[8:15]; 4'd2: VAR5[0:7]<=VAR7[16:23]; 4'd3: VAR5[0:7]<=VAR7[24:31]; 4'd4: VAR5[0:7]<=VAR7[32:39]; 4'd5: VAR5[0:7]<=VAR7[40:47]; 4'd6: VAR5[0:7]<=VAR7[48:55]; 4'd7: VAR5[0:7]<=VAR7[56:63]; 4'd8: VAR5[0:7]<=VAR7[64:71]; 4'd9: VAR5[0:7]<=VAR7[72:79]; 4'd10: VAR5[0:7]<=VAR7[80:87]; 4'd11: VAR5[0:7]<=VAR7[88:95]; 4'd12: VAR5[0:7]<=VAR7[96:103]; 4'd13: VAR5[0:7]<=VAR7[104:111]; 4'd14: VAR5[0:7]<=VAR7[112:119]; 4'd15: VAR5[0:7]<=VAR7[120:127]; endcase case(VAR6[12:15]) 4'd0: VAR5[8:15]<=VAR7[0:7]; 4'd1: VAR5[8:15]<=VAR7[8:15]; 4'd2: VAR5[8:15]<=VAR7[16:23]; 4'd3: VAR5[8:15]<=VAR7[24:31]; 4'd4: VAR5[8:15]<=VAR7[32:39]; 4'd5: VAR5[8:15]<=VAR7[40:47]; 4'd6: VAR5[8:15]<=VAR7[48:55]; 4'd7: VAR5[8:15]<=VAR7[56:63]; 4'd8: VAR5[8:15]<=VAR7[64:71]; 4'd9: VAR5[8:15]<=VAR7[72:79]; 4'd10: VAR5[8:15]<=VAR7[80:87]; 4'd11: VAR5[8:15]<=VAR7[88:95]; 4'd12: VAR5[8:15]<=VAR7[96:103]; 4'd13: VAR5[8:15]<=VAR7[104:111]; 4'd14: VAR5[8:15]<=VAR7[112:119]; 4'd15: VAR5[8:15]<=VAR7[120:127]; endcase case(VAR6[20:23]) 4'd0: VAR5[16:23]<=VAR7[0:7]; 4'd1: VAR5[16:23]<=VAR7[8:15]; 4'd2: VAR5[16:23]<=VAR7[16:23]; 4'd3: VAR5[16:23]<=VAR7[24:31]; 4'd4: VAR5[16:23]<=VAR7[32:39]; 4'd5: VAR5[16:23]<=VAR7[40:47]; 4'd6: VAR5[16:23]<=VAR7[48:55]; 4'd7: VAR5[16:23]<=VAR7[56:63]; 4'd8: VAR5[16:23]<=VAR7[64:71]; 4'd9: VAR5[16:23]<=VAR7[72:79]; 4'd10: VAR5[16:23]<=VAR7[80:87]; 4'd11: VAR5[16:23]<=VAR7[88:95]; 4'd12: VAR5[16:23]<=VAR7[96:103]; 4'd13: VAR5[16:23]<=VAR7[104:111]; 4'd14: VAR5[16:23]<=VAR7[112:119]; 4'd15: VAR5[16:23]<=VAR7[120:127]; endcase case(VAR6[28:31]) 4'd0: VAR5[24:31]<=VAR7[0:7]; 4'd1: VAR5[24:31]<=VAR7[8:15]; 4'd2: VAR5[24:31]<=VAR7[16:23]; 4'd3: VAR5[24:31]<=VAR7[24:31]; 4'd4: VAR5[24:31]<=VAR7[32:39]; 4'd5: VAR5[24:31]<=VAR7[40:47]; 4'd6: VAR5[24:31]<=VAR7[48:55]; 4'd7: VAR5[24:31]<=VAR7[56:63]; 4'd8: VAR5[24:31]<=VAR7[64:71]; 4'd9: VAR5[24:31]<=VAR7[72:79]; 4'd10: VAR5[24:31]<=VAR7[80:87]; 4'd11: VAR5[24:31]<=VAR7[88:95]; 4'd12: VAR5[24:31]<=VAR7[96:103]; 4'd13: VAR5[24:31]<=VAR7[104:111]; 4'd14: VAR5[24:31]<=VAR7[112:119]; 4'd15: VAR5[24:31]<=VAR7[120:127]; endcase case(VAR6[36:39]) 4'd0: VAR5[32:39]<=VAR7[0:7]; 4'd1: VAR5[32:39]<=VAR7[8:15]; 4'd2: VAR5[32:39]<=VAR7[16:23]; 4'd3: VAR5[32:39]<=VAR7[24:31]; 4'd4: VAR5[32:39]<=VAR7[32:39]; 4'd5: VAR5[32:39]<=VAR7[40:47]; 4'd6: VAR5[32:39]<=VAR7[48:55]; 4'd7: VAR5[32:39]<=VAR7[56:63]; 4'd8: VAR5[32:39]<=VAR7[64:71]; 4'd9: VAR5[32:39]<=VAR7[72:79]; 4'd10: VAR5[32:39]<=VAR7[80:87]; 4'd11: VAR5[32:39]<=VAR7[88:95]; 4'd12: VAR5[32:39]<=VAR7[96:103]; 4'd13: VAR5[32:39]<=VAR7[104:111]; 4'd14: VAR5[32:39]<=VAR7[112:119]; 4'd15: VAR5[32:39]<=VAR7[120:127]; endcase case(VAR6[44:47]) 4'd0: VAR5[40:47]<=VAR7[0:7]; 4'd1: VAR5[40:47]<=VAR7[8:15]; 4'd2: VAR5[40:47]<=VAR7[16:23]; 4'd3: VAR5[40:47]<=VAR7[24:31]; 4'd4: VAR5[40:47]<=VAR7[32:39]; 4'd5: VAR5[40:47]<=VAR7[40:47]; 4'd6: VAR5[40:47]<=VAR7[48:55]; 4'd7: VAR5[40:47]<=VAR7[56:63]; 4'd8: VAR5[40:47]<=VAR7[64:71]; 4'd9: VAR5[40:47]<=VAR7[72:79]; 4'd10: VAR5[40:47]<=VAR7[80:87]; 4'd11: VAR5[40:47]<=VAR7[88:95]; 4'd12: VAR5[40:47]<=VAR7[96:103]; 4'd13: VAR5[40:47]<=VAR7[104:111]; 4'd14: VAR5[40:47]<=VAR7[112:119]; 4'd15: VAR5[40:47]<=VAR7[120:127]; endcase case(VAR6[52:55]) 4'd0: VAR5[48:55]<=VAR7[0:7]; 4'd1: VAR5[48:55]<=VAR7[8:15]; 4'd2: VAR5[48:55]<=VAR7[16:23]; 4'd3: VAR5[48:55]<=VAR7[24:31]; 4'd4: VAR5[48:55]<=VAR7[32:39]; 4'd5: VAR5[48:55]<=VAR7[40:47]; 4'd6: VAR5[48:55]<=VAR7[48:55]; 4'd7: VAR5[48:55]<=VAR7[56:63]; 4'd8: VAR5[48:55]<=VAR7[64:71]; 4'd9: VAR5[48:55]<=VAR7[72:79]; 4'd10: VAR5[48:55]<=VAR7[80:87]; 4'd11: VAR5[48:55]<=VAR7[88:95]; 4'd12: VAR5[48:55]<=VAR7[96:103]; 4'd13: VAR5[48:55]<=VAR7[104:111]; 4'd14: VAR5[48:55]<=VAR7[112:119]; 4'd15: VAR5[48:55]<=VAR7[120:127]; endcase case(VAR6[60:63]) 4'd0: VAR5[56:63]<=VAR7[0:7]; 4'd1: VAR5[56:63]<=VAR7[8:15]; 4'd2: VAR5[56:63]<=VAR7[16:23]; 4'd3: VAR5[56:63]<=VAR7[24:31]; 4'd4: VAR5[56:63]<=VAR7[32:39]; 4'd5: VAR5[56:63]<=VAR7[40:47]; 4'd6: VAR5[56:63]<=VAR7[48:55]; 4'd7: VAR5[56:63]<=VAR7[56:63]; 4'd8: VAR5[56:63]<=VAR7[64:71]; 4'd9: VAR5[56:63]<=VAR7[72:79]; 4'd10: VAR5[56:63]<=VAR7[80:87]; 4'd11: VAR5[56:63]<=VAR7[88:95]; 4'd12: VAR5[56:63]<=VAR7[96:103]; 4'd13: VAR5[56:63]<=VAR7[104:111]; 4'd14: VAR5[56:63]<=VAR7[112:119]; 4'd15: VAR5[56:63]<=VAR7[120:127]; endcase case(VAR6[68:71]) 4'd0: VAR5[64:71]<=VAR7[0:7]; 4'd1: VAR5[64:71]<=VAR7[8:15]; 4'd2: VAR5[64:71]<=VAR7[16:23]; 4'd3: VAR5[64:71]<=VAR7[24:31]; 4'd4: VAR5[64:71]<=VAR7[32:39]; 4'd5: VAR5[64:71]<=VAR7[40:47]; 4'd6: VAR5[64:71]<=VAR7[48:55]; 4'd7: VAR5[64:71]<=VAR7[56:63]; 4'd8: VAR5[64:71]<=VAR7[64:71]; 4'd9: VAR5[64:71]<=VAR7[72:79]; 4'd10: VAR5[64:71]<=VAR7[80:87]; 4'd11: VAR5[64:71]<=VAR7[88:95]; 4'd12: VAR5[64:71]<=VAR7[96:103]; 4'd13: VAR5[64:71]<=VAR7[104:111]; 4'd14: VAR5[64:71]<=VAR7[112:119]; 4'd15: VAR5[64:71]<=VAR7[120:127]; endcase case(VAR6[76:79]) 4'd0: VAR5[72:79]<=VAR7[0:7]; 4'd1: VAR5[72:79]<=VAR7[8:15]; 4'd2: VAR5[72:79]<=VAR7[16:23]; 4'd3: VAR5[72:79]<=VAR7[24:31]; 4'd4: VAR5[72:79]<=VAR7[32:39]; 4'd5: VAR5[72:79]<=VAR7[40:47]; 4'd6: VAR5[72:79]<=VAR7[48:55]; 4'd7: VAR5[72:79]<=VAR7[56:63]; 4'd8: VAR5[72:79]<=VAR7[64:71]; 4'd9: VAR5[72:79]<=VAR7[72:79]; 4'd10: VAR5[72:79]<=VAR7[80:87]; 4'd11: VAR5[72:79]<=VAR7[88:95]; 4'd12: VAR5[72:79]<=VAR7[96:103]; 4'd13: VAR5[72:79]<=VAR7[104:111]; 4'd14: VAR5[72:79]<=VAR7[112:119]; 4'd15: VAR5[72:79]<=VAR7[120:127]; endcase case(VAR6[84:87]) 4'd0: VAR5[80:87]<=VAR7[0:7]; 4'd1: VAR5[80:87]<=VAR7[8:15]; 4'd2: VAR5[80:87]<=VAR7[16:23]; 4'd3: VAR5[80:87]<=VAR7[24:31]; 4'd4: VAR5[80:87]<=VAR7[32:39]; 4'd5: VAR5[80:87]<=VAR7[40:47]; 4'd6: VAR5[80:87]<=VAR7[48:55]; 4'd7: VAR5[80:87]<=VAR7[56:63]; 4'd8: VAR5[80:87]<=VAR7[64:71]; 4'd9: VAR5[80:87]<=VAR7[72:79]; 4'd10: VAR5[80:87]<=VAR7[80:87]; 4'd11: VAR5[80:87]<=VAR7[88:95]; 4'd12: VAR5[80:87]<=VAR7[96:103]; 4'd13: VAR5[80:87]<=VAR7[104:111]; 4'd14: VAR5[80:87]<=VAR7[112:119]; 4'd15: VAR5[80:87]<=VAR7[120:127]; endcase case(VAR6[92:95]) 4'd0: VAR5[88:95]<=VAR7[0:7]; 4'd1: VAR5[88:95]<=VAR7[8:15]; 4'd2: VAR5[88:95]<=VAR7[16:23]; 4'd3: VAR5[88:95]<=VAR7[24:31]; 4'd4: VAR5[88:95]<=VAR7[32:39]; 4'd5: VAR5[88:95]<=VAR7[40:47]; 4'd6: VAR5[88:95]<=VAR7[48:55]; 4'd7: VAR5[88:95]<=VAR7[56:63]; 4'd8: VAR5[88:95]<=VAR7[64:71]; 4'd9: VAR5[88:95]<=VAR7[72:79]; 4'd10: VAR5[88:95]<=VAR7[80:87]; 4'd11: VAR5[88:95]<=VAR7[88:95]; 4'd12: VAR5[88:95]<=VAR7[96:103]; 4'd13: VAR5[88:95]<=VAR7[104:111]; 4'd14: VAR5[88:95]<=VAR7[112:119]; 4'd15: VAR5[88:95]<=VAR7[120:127]; endcase case(VAR6[100:103]) 4'd0: VAR5[96:103]<=VAR7[0:7]; 4'd1: VAR5[96:103]<=VAR7[8:15]; 4'd2: VAR5[96:103]<=VAR7[16:23]; 4'd3: VAR5[96:103]<=VAR7[24:31]; 4'd4: VAR5[96:103]<=VAR7[32:39]; 4'd5: VAR5[96:103]<=VAR7[40:47]; 4'd6: VAR5[96:103]<=VAR7[48:55]; 4'd7: VAR5[96:103]<=VAR7[56:63]; 4'd8: VAR5[96:103]<=VAR7[64:71]; 4'd9: VAR5[96:103]<=VAR7[72:79]; 4'd10: VAR5[96:103]<=VAR7[80:87]; 4'd11: VAR5[96:103]<=VAR7[88:95]; 4'd12: VAR5[96:103]<=VAR7[96:103]; 4'd13: VAR5[96:103]<=VAR7[104:111]; 4'd14: VAR5[96:103]<=VAR7[112:119]; 4'd15: VAR5[96:103]<=VAR7[120:127]; endcase case(VAR6[108:111]) 4'd0: VAR5[104:111]<=VAR7[0:7]; 4'd1: VAR5[104:111]<=VAR7[8:15]; 4'd2: VAR5[104:111]<=VAR7[16:23]; 4'd3: VAR5[104:111]<=VAR7[24:31]; 4'd4: VAR5[104:111]<=VAR7[32:39]; 4'd5: VAR5[104:111]<=VAR7[40:47]; 4'd6: VAR5[104:111]<=VAR7[48:55]; 4'd7: VAR5[104:111]<=VAR7[56:63]; 4'd8: VAR5[104:111]<=VAR7[64:71]; 4'd9: VAR5[104:111]<=VAR7[72:79]; 4'd10: VAR5[104:111]<=VAR7[80:87]; 4'd11: VAR5[104:111]<=VAR7[88:95]; 4'd12: VAR5[104:111]<=VAR7[96:103]; 4'd13: VAR5[104:111]<=VAR7[104:111]; 4'd14: VAR5[104:111]<=VAR7[112:119]; 4'd15: VAR5[104:111]<=VAR7[120:127]; endcase case(VAR6[116:119]) 4'd0: VAR5[112:119]<=VAR7[112:119]; 4'd1: VAR5[112:119]<=VAR7[8:15]; 4'd2: VAR5[112:119]<=VAR7[16:23]; 4'd3: VAR5[112:119]<=VAR7[24:31]; 4'd4: VAR5[112:119]<=VAR7[32:39]; 4'd5: VAR5[112:119]<=VAR7[40:47]; 4'd6: VAR5[112:119]<=VAR7[48:55]; 4'd7: VAR5[112:119]<=VAR7[56:63]; 4'd8: VAR5[112:119]<=VAR7[64:71]; 4'd9: VAR5[112:119]<=VAR7[72:79]; 4'd10: VAR5[112:119]<=VAR7[80:87]; 4'd11: VAR5[112:119]<=VAR7[88:95]; 4'd12: VAR5[112:119]<=VAR7[96:103]; 4'd13: VAR5[112:119]<=VAR7[104:111]; 4'd14: VAR5[112:119]<=VAR7[112:119]; 4'd15: VAR5[112:119]<=VAR7[120:127]; endcase case(VAR6[124:127]) 4'd0: VAR5[120:127]<=VAR7[0:7]; 4'd1: VAR5[120:127]<=VAR7[8:15]; 4'd2: VAR5[120:127]<=VAR7[16:23]; 4'd3: VAR5[120:127]<=VAR7[24:31]; 4'd4: VAR5[120:127]<=VAR7[32:39]; 4'd5: VAR5[120:127]<=VAR7[40:47]; 4'd6: VAR5[120:127]<=VAR7[48:55]; 4'd7: VAR5[120:127]<=VAR7[56:63]; 4'd8: VAR5[120:127]<=VAR7[64:71]; 4'd9: VAR5[120:127]<=VAR7[72:79]; 4'd10: VAR5[120:127]<=VAR7[80:87]; 4'd11: VAR5[120:127]<=VAR7[88:95]; 4'd12: VAR5[120:127]<=VAR7[96:103]; 4'd13: VAR5[120:127]<=VAR7[104:111]; 4'd14: VAR5[120:127]<=VAR7[112:119]; 4'd15: VAR5[120:127]<=VAR7[120:127]; endcase end case(VAR6[4:7]) 4'd0: VAR5[0:7]<=VAR7[0:7]; 4'd1: VAR5[0:7]<=VAR7[8:15]; 4'd2: VAR5[0:7]<=VAR7[16:23]; 4'd3: VAR5[0:7]<=VAR7[24:31]; 4'd4: VAR5[0:7]<=VAR7[32:39]; 4'd5: VAR5[0:7]<=VAR7[40:47]; 4'd6: VAR5[0:7]<=VAR7[48:55]; 4'd7: VAR5[0:7]<=VAR7[56:63]; 4'd8: VAR5[0:7]<=VAR7[64:71]; 4'd9: VAR5[0:7]<=VAR7[72:79]; 4'd10: VAR5[0:7]<=VAR7[80:87]; 4'd11: VAR5[0:7]<=VAR7[88:95]; 4'd12: VAR5[0:7]<=VAR7[96:103]; 4'd13: VAR5[0:7]<=VAR7[104:111]; 4'd14: VAR5[0:7]<=VAR7[112:119]; 4'd15: VAR5[0:7]<=VAR7[120:127]; endcase case(VAR6[12:15]) 4'd0: VAR5[8:15]<=VAR7[0:7]; 4'd1: VAR5[8:15]<=VAR7[8:15]; 4'd2: VAR5[8:15]<=VAR7[16:23]; 4'd3: VAR5[8:15]<=VAR7[24:31]; 4'd4: VAR5[8:15]<=VAR7[32:39]; 4'd5: VAR5[8:15]<=VAR7[40:47]; 4'd6: VAR5[8:15]<=VAR7[48:55]; 4'd7: VAR5[8:15]<=VAR7[56:63]; 4'd8: VAR5[8:15]<=VAR7[64:71]; 4'd9: VAR5[8:15]<=VAR7[72:79]; 4'd10: VAR5[8:15]<=VAR7[80:87]; 4'd11: VAR5[8:15]<=VAR7[88:95]; 4'd12: VAR5[8:15]<=VAR7[96:103]; 4'd13: VAR5[8:15]<=VAR7[104:111]; 4'd14: VAR5[8:15]<=VAR7[112:119]; 4'd15: VAR5[8:15]<=VAR7[120:127]; endcase case(VAR6[20:23]) 4'd0: VAR5[16:23]<=VAR7[0:7]; 4'd1: VAR5[16:23]<=VAR7[8:15]; 4'd2: VAR5[16:23]<=VAR7[16:23]; 4'd3: VAR5[16:23]<=VAR7[24:31]; 4'd4: VAR5[16:23]<=VAR7[32:39]; 4'd5: VAR5[16:23]<=VAR7[40:47]; 4'd6: VAR5[16:23]<=VAR7[48:55]; 4'd7: VAR5[16:23]<=VAR7[56:63]; 4'd8: VAR5[16:23]<=VAR7[64:71]; 4'd9: VAR5[16:23]<=VAR7[72:79]; 4'd10: VAR5[16:23]<=VAR7[80:87]; 4'd11: VAR5[16:23]<=VAR7[88:95]; 4'd12: VAR5[16:23]<=VAR7[96:103]; 4'd13: VAR5[16:23]<=VAR7[104:111]; 4'd14: VAR5[16:23]<=VAR7[112:119]; 4'd15: VAR5[16:23]<=VAR7[120:127]; endcase case(VAR6[28:31]) 4'd0: VAR5[24:31]<=VAR7[0:7]; 4'd1: VAR5[24:31]<=VAR7[8:15]; 4'd2: VAR5[24:31]<=VAR7[16:23]; 4'd3: VAR5[24:31]<=VAR7[24:31]; 4'd4: VAR5[24:31]<=VAR7[32:39]; 4'd5: VAR5[24:31]<=VAR7[40:47]; 4'd6: VAR5[24:31]<=VAR7[48:55]; 4'd7: VAR5[24:31]<=VAR7[56:63]; 4'd8: VAR5[24:31]<=VAR7[64:71]; 4'd9: VAR5[24:31]<=VAR7[72:79]; 4'd10: VAR5[24:31]<=VAR7[80:87]; 4'd11: VAR5[24:31]<=VAR7[88:95]; 4'd12: VAR5[24:31]<=VAR7[96:103]; 4'd13: VAR5[24:31]<=VAR7[104:111]; 4'd14: VAR5[24:31]<=VAR7[112:119]; 4'd15: VAR5[24:31]<=VAR7[120:127]; endcase case(VAR6[36:39]) 4'd0: VAR5[32:39]<=VAR7[0:7]; 4'd1: VAR5[32:39]<=VAR7[8:15]; 4'd2: VAR5[32:39]<=VAR7[16:23]; 4'd3: VAR5[32:39]<=VAR7[24:31]; 4'd4: VAR5[32:39]<=VAR7[32:39]; 4'd5: VAR5[32:39]<=VAR7[40:47]; 4'd6: VAR5[32:39]<=VAR7[48:55]; 4'd7: VAR5[32:39]<=VAR7[56:63]; 4'd8: VAR5[32:39]<=VAR7[64:71]; 4'd9: VAR5[32:39]<=VAR7[72:79]; 4'd10: VAR5[32:39]<=VAR7[80:87]; 4'd11: VAR5[32:39]<=VAR7[88:95]; 4'd12: VAR5[32:39]<=VAR7[96:103]; 4'd13: VAR5[32:39]<=VAR7[104:111]; 4'd14: VAR5[32:39]<=VAR7[112:119]; 4'd15: VAR5[32:39]<=VAR7[120:127]; endcase case(VAR6[44:47]) 4'd0: VAR5[40:47]<=VAR7[0:7]; 4'd1: VAR5[40:47]<=VAR7[8:15]; 4'd2: VAR5[40:47]<=VAR7[16:23]; 4'd3: VAR5[40:47]<=VAR7[24:31]; 4'd4: VAR5[40:47]<=VAR7[32:39]; 4'd5: VAR5[40:47]<=VAR7[40:47]; 4'd6: VAR5[40:47]<=VAR7[48:55]; 4'd7: VAR5[40:47]<=VAR7[56:63]; 4'd8: VAR5[40:47]<=VAR7[64:71]; 4'd9: VAR5[40:47]<=VAR7[72:79]; 4'd10: VAR5[40:47]<=VAR7[80:87]; 4'd11: VAR5[40:47]<=VAR7[88:95]; 4'd12: VAR5[40:47]<=VAR7[96:103]; 4'd13: VAR5[40:47]<=VAR7[104:111]; 4'd14: VAR5[40:47]<=VAR7[112:119]; 4'd15: VAR5[40:47]<=VAR7[120:127]; endcase case(VAR6[52:55]) 4'd0: VAR5[48:55]<=VAR7[0:7]; 4'd1: VAR5[48:55]<=VAR7[8:15]; 4'd2: VAR5[48:55]<=VAR7[16:23]; 4'd3: VAR5[48:55]<=VAR7[24:31]; 4'd4: VAR5[48:55]<=VAR7[32:39]; 4'd5: VAR5[48:55]<=VAR7[40:47]; 4'd6: VAR5[48:55]<=VAR7[48:55]; 4'd7: VAR5[48:55]<=VAR7[56:63]; 4'd8: VAR5[48:55]<=VAR7[64:71]; 4'd9: VAR5[48:55]<=VAR7[72:79]; 4'd10: VAR5[48:55]<=VAR7[80:87]; 4'd11: VAR5[48:55]<=VAR7[88:95]; 4'd12: VAR5[48:55]<=VAR7[96:103]; 4'd13: VAR5[48:55]<=VAR7[104:111]; 4'd14: VAR5[48:55]<=VAR7[112:119]; 4'd15: VAR5[48:55]<=VAR7[120:127]; endcase case(VAR6[60:63]) 4'd0: VAR5[56:63]<=VAR7[0:7]; 4'd1: VAR5[56:63]<=VAR7[8:15]; 4'd2: VAR5[56:63]<=VAR7[16:23]; 4'd3: VAR5[56:63]<=VAR7[24:31]; 4'd4: VAR5[56:63]<=VAR7[32:39]; 4'd5: VAR5[56:63]<=VAR7[40:47]; 4'd6: VAR5[56:63]<=VAR7[48:55]; 4'd7: VAR5[56:63]<=VAR7[56:63]; 4'd8: VAR5[56:63]<=VAR7[64:71]; 4'd9: VAR5[56:63]<=VAR7[72:79]; 4'd10: VAR5[56:63]<=VAR7[80:87]; 4'd11: VAR5[56:63]<=VAR7[88:95]; 4'd12: VAR5[56:63]<=VAR7[96:103]; 4'd13: VAR5[56:63]<=VAR7[104:111]; 4'd14: VAR5[56:63]<=VAR7[112:119]; 4'd15: VAR5[56:63]<=VAR7[120:127]; endcase VAR5[64:127]<=64'd0; end VAR5[0:63]<=64'd0; case(VAR6[68:71]) 4'd0: VAR5[64:71]<=VAR7[0:7]; 4'd1: VAR5[64:71]<=VAR7[8:15]; 4'd2: VAR5[64:71]<=VAR7[16:23]; 4'd3: VAR5[64:71]<=VAR7[24:31]; 4'd4: VAR5[64:71]<=VAR7[32:39]; 4'd5: VAR5[64:71]<=VAR7[40:47]; 4'd6: VAR5[64:71]<=VAR7[48:55]; 4'd7: VAR5[64:71]<=VAR7[56:63]; 4'd8: VAR5[64:71]<=VAR7[64:71]; 4'd9: VAR5[64:71]<=VAR7[72:79]; 4'd10: VAR5[64:71]<=VAR7[80:87]; 4'd11: VAR5[64:71]<=VAR7[88:95]; 4'd12: VAR5[64:71]<=VAR7[96:103]; 4'd13: VAR5[64:71]<=VAR7[104:111]; 4'd14: VAR5[64:71]<=VAR7[112:119]; 4'd15: VAR5[64:71]<=VAR7[120:127]; endcase case(VAR6[76:79]) 4'd0: VAR5[72:79]<=VAR7[0:7]; 4'd1: VAR5[72:79]<=VAR7[8:15]; 4'd2: VAR5[72:79]<=VAR7[16:23]; 4'd3: VAR5[72:79]<=VAR7[24:31]; 4'd4: VAR5[72:79]<=VAR7[32:39]; 4'd5: VAR5[72:79]<=VAR7[40:47]; 4'd6: VAR5[72:79]<=VAR7[48:55]; 4'd7: VAR5[72:79]<=VAR7[56:63]; 4'd8: VAR5[72:79]<=VAR7[64:71]; 4'd9: VAR5[72:79]<=VAR7[72:79]; 4'd10: VAR5[72:79]<=VAR7[80:87]; 4'd11: VAR5[72:79]<=VAR7[88:95]; 4'd12: VAR5[72:79]<=VAR7[96:103]; 4'd13: VAR5[72:79]<=VAR7[104:111]; 4'd14: VAR5[72:79]<=VAR7[112:119]; 4'd15: VAR5[72:79]<=VAR7[120:127]; endcase case(VAR6[84:87]) 4'd0: VAR5[80:87]<=VAR7[0:7]; 4'd1: VAR5[80:87]<=VAR7[8:15]; 4'd2: VAR5[80:87]<=VAR7[16:23]; 4'd3: VAR5[80:87]<=VAR7[24:31]; 4'd4: VAR5[80:87]<=VAR7[32:39]; 4'd5: VAR5[80:87]<=VAR7[40:47]; 4'd6: VAR5[80:87]<=VAR7[48:55]; 4'd7: VAR5[80:87]<=VAR7[56:63]; 4'd8: VAR5[80:87]<=VAR7[64:71]; 4'd9: VAR5[80:87]<=VAR7[72:79]; 4'd10: VAR5[80:87]<=VAR7[80:87]; 4'd11: VAR5[80:87]<=VAR7[88:95]; 4'd12: VAR5[80:87]<=VAR7[96:103]; 4'd13: VAR5[80:87]<=VAR7[104:111]; 4'd14: VAR5[80:87]<=VAR7[112:119]; 4'd15: VAR5[80:87]<=VAR7[120:127]; endcase case(VAR6[92:95]) 4'd0: VAR5[88:95]<=VAR7[0:7]; 4'd1: VAR5[88:95]<=VAR7[8:15]; 4'd2: VAR5[88:95]<=VAR7[16:23]; 4'd3: VAR5[88:95]<=VAR7[24:31]; 4'd4: VAR5[88:95]<=VAR7[32:39]; 4'd5: VAR5[88:95]<=VAR7[40:47]; 4'd6: VAR5[88:95]<=VAR7[48:55]; 4'd7: VAR5[88:95]<=VAR7[56:63]; 4'd8: VAR5[88:95]<=VAR7[64:71]; 4'd9: VAR5[88:95]<=VAR7[72:79]; 4'd10: VAR5[88:95]<=VAR7[80:87]; 4'd11: VAR5[88:95]<=VAR7[88:95]; 4'd12: VAR5[88:95]<=VAR7[96:103]; 4'd13: VAR5[88:95]<=VAR7[104:111]; 4'd14: VAR5[88:95]<=VAR7[112:119]; 4'd15: VAR5[88:95]<=VAR7[120:127]; endcase case(VAR6[100:103]) 4'd0: VAR5[96:103]<=VAR7[0:7]; 4'd1: VAR5[96:103]<=VAR7[8:15]; 4'd2: VAR5[96:103]<=VAR7[16:23]; 4'd3: VAR5[96:103]<=VAR7[24:31]; 4'd4: VAR5[96:103]<=VAR7[32:39]; 4'd5: VAR5[96:103]<=VAR7[40:47]; 4'd6: VAR5[96:103]<=VAR7[48:55]; 4'd7: VAR5[96:103]<=VAR7[56:63]; 4'd8: VAR5[96:103]<=VAR7[64:71]; 4'd9: VAR5[96:103]<=VAR7[72:79]; 4'd10: VAR5[96:103]<=VAR7[80:87]; 4'd11: VAR5[96:103]<=VAR7[88:95]; 4'd12: VAR5[96:103]<=VAR7[96:103]; 4'd13: VAR5[96:103]<=VAR7[104:111]; 4'd14: VAR5[96:103]<=VAR7[112:119]; 4'd15: VAR5[96:103]<=VAR7[120:127]; endcase case(VAR6[108:111]) 4'd0: VAR5[104:111]<=VAR7[0:7]; 4'd1: VAR5[104:111]<=VAR7[8:15]; 4'd2: VAR5[104:111]<=VAR7[16:23]; 4'd3: VAR5[104:111]<=VAR7[24:31]; 4'd4: VAR5[104:111]<=VAR7[32:39]; 4'd5: VAR5[104:111]<=VAR7[40:47]; 4'd6: VAR5[104:111]<=VAR7[48:55]; 4'd7: VAR5[104:111]<=VAR7[56:63]; 4'd8: VAR5[104:111]<=VAR7[64:71]; 4'd9: VAR5[104:111]<=VAR7[72:79]; 4'd10: VAR5[104:111]<=VAR7[80:87]; 4'd11: VAR5[104:111]<=VAR7[88:95]; 4'd12: VAR5[104:111]<=VAR7[96:103]; 4'd13: VAR5[104:111]<=VAR7[104:111]; 4'd14: VAR5[104:111]<=VAR7[112:119]; 4'd15: VAR5[104:111]<=VAR7[120:127]; endcase case(VAR6[116:119]) 4'd0: VAR5[112:119]<=VAR7[0:7]; 4'd1: VAR5[112:119]<=VAR7[8:15]; 4'd2: VAR5[112:119]<=VAR7[16:23]; 4'd3: VAR5[112:119]<=VAR7[24:31]; 4'd4: VAR5[112:119]<=VAR7[32:39]; 4'd5: VAR5[112:119]<=VAR7[40:47]; 4'd6: VAR5[112:119]<=VAR7[48:55]; 4'd7: VAR5[112:119]<=VAR7[56:63]; 4'd8: VAR5[112:119]<=VAR7[64:71]; 4'd9: VAR5[112:119]<=VAR7[72:79]; 4'd10: VAR5[112:119]<=VAR7[80:87]; 4'd11: VAR5[112:119]<=VAR7[88:95]; 4'd12: VAR5[112:119]<=VAR7[96:103]; 4'd13: VAR5[112:119]<=VAR7[104:111]; 4'd14: VAR5[112:119]<=VAR7[112:119]; 4'd15: VAR5[112:119]<=VAR7[120:127]; endcase case(VAR6[124:127]) 4'd0: VAR5[120:127]<=VAR7[0:7]; 4'd1: VAR5[120:127]<=VAR7[8:15]; 4'd2: VAR5[120:127]<=VAR7[16:23]; 4'd3: VAR5[120:127]<=VAR7[24:31]; 4'd4: VAR5[120:127]<=VAR7[32:39]; 4'd5: VAR5[120:127]<=VAR7[40:47]; 4'd6: VAR5[120:127]<=VAR7[48:55]; 4'd7: VAR5[120:127]<=VAR7[56:63]; 4'd8: VAR5[120:127]<=VAR7[64:71]; 4'd9: VAR5[120:127]<=VAR7[72:79]; 4'd10: VAR5[120:127]<=VAR7[80:87]; 4'd11: VAR5[120:127]<=VAR7[88:95]; 4'd12: VAR5[120:127]<=VAR7[96:103]; 4'd13: VAR5[120:127]<=VAR7[104:111]; 4'd14: VAR5[120:127]<=VAR7[112:119]; 4'd15: VAR5[120:127]<=VAR7[120:127]; endcase end case(VAR6[4:7]) 4'd0: VAR5[0:7]<=VAR7[0:7]; 4'd1: VAR5[0:7]<=VAR7[8:15]; 4'd2: VAR5[0:7]<=VAR7[16:23]; 4'd3: VAR5[0:7]<=VAR7[24:31]; 4'd4: VAR5[0:7]<=VAR7[32:39]; 4'd5: VAR5[0:7]<=VAR7[40:47]; 4'd6: VAR5[0:7]<=VAR7[48:55]; 4'd7: VAR5[0:7]<=VAR7[56:63]; 4'd8: VAR5[0:7]<=VAR7[64:71]; 4'd9: VAR5[0:7]<=VAR7[72:79]; 4'd10: VAR5[0:7]<=VAR7[80:87]; 4'd11: VAR5[0:7]<=VAR7[88:95]; 4'd12: VAR5[0:7]<=VAR7[96:103]; 4'd13: VAR5[0:7]<=VAR7[104:111]; 4'd14: VAR5[0:7]<=VAR7[112:119]; 4'd15: VAR5[0:7]<=VAR7[120:127]; endcase VAR5[8:15]<=8'd0; case(VAR6[20:23]) 4'd0: VAR5[16:23]<=VAR7[0:7]; 4'd1: VAR5[16:23]<=VAR7[8:15]; 4'd2: VAR5[16:23]<=VAR7[16:23]; 4'd3: VAR5[16:23]<=VAR7[24:31]; 4'd4: VAR5[16:23]<=VAR7[32:39]; 4'd5: VAR5[16:23]<=VAR7[40:47]; 4'd6: VAR5[16:23]<=VAR7[48:55]; 4'd7: VAR5[16:23]<=VAR7[56:63]; 4'd8: VAR5[16:23]<=VAR7[64:71]; 4'd9: VAR5[16:23]<=VAR7[72:79]; 4'd10: VAR5[16:23]<=VAR7[80:87]; 4'd11: VAR5[16:23]<=VAR7[88:95]; 4'd12: VAR5[16:23]<=VAR7[96:103]; 4'd13: VAR5[16:23]<=VAR7[104:111]; 4'd14: VAR5[16:23]<=VAR7[112:119]; 4'd15: VAR5[16:23]<=VAR7[120:127]; endcase VAR5[24:31]<=8'd0; case(VAR6[36:39]) 4'd0: VAR5[32:39]<=VAR7[0:7]; 4'd1: VAR5[32:39]<=VAR7[8:15]; 4'd2: VAR5[32:39]<=VAR7[16:23]; 4'd3: VAR5[32:39]<=VAR7[24:31]; 4'd4: VAR5[32:39]<=VAR7[32:39]; 4'd5: VAR5[32:39]<=VAR7[40:47]; 4'd6: VAR5[32:39]<=VAR7[48:55]; 4'd7: VAR5[32:39]<=VAR7[56:63]; 4'd8: VAR5[32:39]<=VAR7[64:71]; 4'd9: VAR5[32:39]<=VAR7[72:79]; 4'd10: VAR5[32:39]<=VAR7[80:87]; 4'd11: VAR5[32:39]<=VAR7[88:95]; 4'd12: VAR5[32:39]<=VAR7[96:103]; 4'd13: VAR5[32:39]<=VAR7[104:111]; 4'd14: VAR5[32:39]<=VAR7[112:119]; 4'd15: VAR5[32:39]<=VAR7[120:127]; endcase VAR5[40:47]<=8'd0; case(VAR6[52:55]) 4'd0: VAR5[48:55]<=VAR7[0:7]; 4'd1: VAR5[48:55]<=VAR7[8:15]; 4'd2: VAR5[48:55]<=VAR7[16:23]; 4'd3: VAR5[48:55]<=VAR7[24:31]; 4'd4: VAR5[48:55]<=VAR7[32:39]; 4'd5: VAR5[48:55]<=VAR7[40:47]; 4'd6: VAR5[48:55]<=VAR7[48:55]; 4'd7: VAR5[48:55]<=VAR7[56:63]; 4'd8: VAR5[48:55]<=VAR7[64:71]; 4'd9: VAR5[48:55]<=VAR7[72:79]; 4'd10: VAR5[48:55]<=VAR7[80:87]; 4'd11: VAR5[48:55]<=VAR7[88:95]; 4'd12: VAR5[48:55]<=VAR7[96:103]; 4'd13: VAR5[48:55]<=VAR7[104:111]; 4'd14: VAR5[48:55]<=VAR7[112:119]; 4'd15: VAR5[48:55]<=VAR7[120:127]; endcase VAR5[56:63]<=8'd0; case(VAR6[68:71]) 4'd0: VAR5[64:71]<=VAR7[0:7]; 4'd1: VAR5[64:71]<=VAR7[8:15]; 4'd2: VAR5[64:71]<=VAR7[16:23]; 4'd3: VAR5[64:71]<=VAR7[24:31]; 4'd4: VAR5[64:71]<=VAR7[32:39]; 4'd5: VAR5[64:71]<=VAR7[40:47]; 4'd6: VAR5[64:71]<=VAR7[48:55]; 4'd7: VAR5[64:71]<=VAR7[56:63]; 4'd8: VAR5[64:71]<=VAR7[64:71]; 4'd9: VAR5[64:71]<=VAR7[72:79]; 4'd10: VAR5[64:71]<=VAR7[80:87]; 4'd11: VAR5[64:71]<=VAR7[88:95]; 4'd12: VAR5[64:71]<=VAR7[96:103]; 4'd13: VAR5[64:71]<=VAR7[104:111]; 4'd14: VAR5[64:71]<=VAR7[112:119]; 4'd15: VAR5[64:71]<=VAR7[120:127]; endcase VAR5[72:79]<=8'd0; case(VAR6[84:87]) 4'd0: VAR5[80:87]<=VAR7[0:7]; 4'd1: VAR5[80:87]<=VAR7[8:15]; 4'd2: VAR5[80:87]<=VAR7[16:23]; 4'd3: VAR5[80:87]<=VAR7[24:31]; 4'd4: VAR5[80:87]<=VAR7[32:39]; 4'd5: VAR5[80:87]<=VAR7[40:47]; 4'd6: VAR5[80:87]<=VAR7[48:55]; 4'd7: VAR5[80:87]<=VAR7[56:63]; 4'd8: VAR5[80:87]<=VAR7[64:71]; 4'd9: VAR5[80:87]<=VAR7[72:79]; 4'd10: VAR5[80:87]<=VAR7[80:87]; 4'd11: VAR5[80:87]<=VAR7[88:95]; 4'd12: VAR5[80:87]<=VAR7[96:103]; 4'd13: VAR5[80:87]<=VAR7[104:111]; 4'd14: VAR5[80:87]<=VAR7[112:119]; 4'd15: VAR5[80:87]<=VAR7[120:127]; endcase VAR5[88:95]<=8'd0; case(VAR6[100:103]) 4'd0: VAR5[96:103]<=VAR7[0:7]; 4'd1: VAR5[96:103]<=VAR7[8:15]; 4'd2: VAR5[96:103]<=VAR7[16:23]; 4'd3: VAR5[96:103]<=VAR7[24:31]; 4'd4: VAR5[96:103]<=VAR7[32:39]; 4'd5: VAR5[96:103]<=VAR7[40:47]; 4'd6: VAR5[96:103]<=VAR7[48:55]; 4'd7: VAR5[96:103]<=VAR7[56:63]; 4'd8: VAR5[96:103]<=VAR7[64:71]; 4'd9: VAR5[96:103]<=VAR7[72:79]; 4'd10: VAR5[96:103]<=VAR7[80:87]; 4'd11: VAR5[96:103]<=VAR7[88:95]; 4'd12: VAR5[96:103]<=VAR7[96:103]; 4'd13: VAR5[96:103]<=VAR7[104:111]; 4'd14: VAR5[96:103]<=VAR7[112:119]; 4'd15: VAR5[96:103]<=VAR7[120:127]; endcase VAR5[104:111]<=8'd0; case(VAR6[116:119]) 4'd0: VAR5[112:119]<=VAR7[112:119]; 4'd1: VAR5[112:119]<=VAR7[8:15]; 4'd2: VAR5[112:119]<=VAR7[16:23]; 4'd3: VAR5[112:119]<=VAR7[24:31]; 4'd4: VAR5[112:119]<=VAR7[32:39]; 4'd5: VAR5[112:119]<=VAR7[40:47]; 4'd6: VAR5[112:119]<=VAR7[48:55]; 4'd7: VAR5[112:119]<=VAR7[56:63]; 4'd8: VAR5[112:119]<=VAR7[64:71]; 4'd9: VAR5[112:119]<=VAR7[72:79]; 4'd10: VAR5[112:119]<=VAR7[80:87]; 4'd11: VAR5[112:119]<=VAR7[88:95]; 4'd12: VAR5[112:119]<=VAR7[96:103]; 4'd13: VAR5[112:119]<=VAR7[104:111]; 4'd14: VAR5[112:119]<=VAR7[112:119]; 4'd15: VAR5[112:119]<=VAR7[120:127]; endcase VAR5[120:127]<=8'd0; end VAR5[0:7]<=8'd0; case(VAR6[12:15]) 4'd0: VAR5[8:15]<=VAR7[0:7]; 4'd1: VAR5[8:15]<=VAR7[8:15]; 4'd2: VAR5[8:15]<=VAR7[16:23]; 4'd3: VAR5[8:15]<=VAR7[24:31]; 4'd4: VAR5[8:15]<=VAR7[32:39]; 4'd5: VAR5[8:15]<=VAR7[40:47]; 4'd6: VAR5[8:15]<=VAR7[48:55]; 4'd7: VAR5[8:15]<=VAR7[56:63]; 4'd8: VAR5[8:15]<=VAR7[64:71]; 4'd9: VAR5[8:15]<=VAR7[72:79]; 4'd10: VAR5[8:15]<=VAR7[80:87]; 4'd11: VAR5[8:15]<=VAR7[88:95]; 4'd12: VAR5[8:15]<=VAR7[96:103]; 4'd13: VAR5[8:15]<=VAR7[104:111]; 4'd14: VAR5[8:15]<=VAR7[112:119]; 4'd15: VAR5[8:15]<=VAR7[120:127]; endcase VAR5[16:23]<=8'd0; case(VAR6[28:31]) 4'd0: VAR5[24:31]<=VAR7[0:7]; 4'd1: VAR5[24:31]<=VAR7[8:15]; 4'd2: VAR5[24:31]<=VAR7[16:23]; 4'd3: VAR5[24:31]<=VAR7[24:31]; 4'd4: VAR5[24:31]<=VAR7[32:39]; 4'd5: VAR5[24:31]<=VAR7[40:47]; 4'd6: VAR5[24:31]<=VAR7[48:55]; 4'd7: VAR5[24:31]<=VAR7[56:63]; 4'd8: VAR5[24:31]<=VAR7[64:71]; 4'd9: VAR5[24:31]<=VAR7[72:79]; 4'd10: VAR5[24:31]<=VAR7[80:87]; 4'd11: VAR5[24:31]<=VAR7[88:95]; 4'd12: VAR5[24:31]<=VAR7[96:103]; 4'd13: VAR5[24:31]<=VAR7[104:111]; 4'd14: VAR5[24:31]<=VAR7[112:119]; 4'd15: VAR5[24:31]<=VAR7[120:127]; endcase VAR5[32:39]<=8'd0; case(VAR6[44:47]) 4'd0: VAR5[40:47]<=VAR7[0:7]; 4'd1: VAR5[40:47]<=VAR7[8:15]; 4'd2: VAR5[40:47]<=VAR7[16:23]; 4'd3: VAR5[40:47]<=VAR7[24:31]; 4'd4: VAR5[40:47]<=VAR7[32:39]; 4'd5: VAR5[40:47]<=VAR7[40:47]; 4'd6: VAR5[40:47]<=VAR7[48:55]; 4'd7: VAR5[40:47]<=VAR7[56:63]; 4'd8: VAR5[40:47]<=VAR7[64:71]; 4'd9: VAR5[40:47]<=VAR7[72:79]; 4'd10: VAR5[40:47]<=VAR7[80:87]; 4'd11: VAR5[40:47]<=VAR7[88:95]; 4'd12: VAR5[40:47]<=VAR7[96:103]; 4'd13: VAR5[40:47]<=VAR7[104:111]; 4'd14: VAR5[40:47]<=VAR7[112:119]; 4'd15: VAR5[40:47]<=VAR7[120:127]; endcase VAR5[48:55]<=8'd0; case(VAR6[60:63]) 4'd0: VAR5[56:63]<=VAR7[0:7]; 4'd1: VAR5[56:63]<=VAR7[8:15]; 4'd2: VAR5[56:63]<=VAR7[16:23]; 4'd3: VAR5[56:63]<=VAR7[24:31]; 4'd4: VAR5[56:63]<=VAR7[32:39]; 4'd5: VAR5[56:63]<=VAR7[40:47]; 4'd6: VAR5[56:63]<=VAR7[48:55]; 4'd7: VAR5[56:63]<=VAR7[56:63]; 4'd8: VAR5[56:63]<=VAR7[64:71]; 4'd9: VAR5[56:63]<=VAR7[72:79]; 4'd10: VAR5[56:63]<=VAR7[80:87]; 4'd11: VAR5[56:63]<=VAR7[88:95]; 4'd12: VAR5[56:63]<=VAR7[96:103]; 4'd13: VAR5[56:63]<=VAR7[104:111]; 4'd14: VAR5[56:63]<=VAR7[112:119]; 4'd15: VAR5[56:63]<=VAR7[120:127]; endcase VAR5[64:71]<=8'd0; case(VAR6[76:79]) 4'd0: VAR5[72:79]<=VAR7[0:7]; 4'd1: VAR5[72:79]<=VAR7[8:15]; 4'd2: VAR5[72:79]<=VAR7[16:23]; 4'd3: VAR5[72:79]<=VAR7[24:31]; 4'd4: VAR5[72:79]<=VAR7[32:39]; 4'd5: VAR5[72:79]<=VAR7[40:47]; 4'd6: VAR5[72:79]<=VAR7[48:55]; 4'd7: VAR5[72:79]<=VAR7[56:63]; 4'd8: VAR5[72:79]<=VAR7[64:71]; 4'd9: VAR5[72:79]<=VAR7[72:79]; 4'd10: VAR5[72:79]<=VAR7[80:87]; 4'd11: VAR5[72:79]<=VAR7[88:95]; 4'd12: VAR5[72:79]<=VAR7[96:103]; 4'd13: VAR5[72:79]<=VAR7[104:111]; 4'd14: VAR5[72:79]<=VAR7[112:119]; 4'd15: VAR5[72:79]<=VAR7[120:127]; endcase VAR5[80:87]<=8'd0; case(VAR6[92:95]) 4'd0: VAR5[88:95]<=VAR7[0:7]; 4'd1: VAR5[88:95]<=VAR7[8:15]; 4'd2: VAR5[88:95]<=VAR7[16:23]; 4'd3: VAR5[88:95]<=VAR7[24:31]; 4'd4: VAR5[88:95]<=VAR7[32:39]; 4'd5: VAR5[88:95]<=VAR7[40:47]; 4'd6: VAR5[88:95]<=VAR7[48:55]; 4'd7: VAR5[88:95]<=VAR7[56:63]; 4'd8: VAR5[88:95]<=VAR7[64:71]; 4'd9: VAR5[88:95]<=VAR7[72:79]; 4'd10: VAR5[88:95]<=VAR7[80:87]; 4'd11: VAR5[88:95]<=VAR7[88:95]; 4'd12: VAR5[88:95]<=VAR7[96:103]; 4'd13: VAR5[88:95]<=VAR7[104:111]; 4'd14: VAR5[88:95]<=VAR7[112:119]; 4'd15: VAR5[88:95]<=VAR7[120:127]; endcase VAR5[96:103]<=8'd0; case(VAR6[108:111]) 4'd0: VAR5[104:111]<=VAR7[0:7]; 4'd1: VAR5[104:111]<=VAR7[8:15]; 4'd2: VAR5[104:111]<=VAR7[16:23]; 4'd3: VAR5[104:111]<=VAR7[24:31]; 4'd4: VAR5[104:111]<=VAR7[32:39]; 4'd5: VAR5[104:111]<=VAR7[40:47]; 4'd6: VAR5[104:111]<=VAR7[48:55]; 4'd7: VAR5[104:111]<=VAR7[56:63]; 4'd8: VAR5[104:111]<=VAR7[64:71]; 4'd9: VAR5[104:111]<=VAR7[72:79]; 4'd10: VAR5[104:111]<=VAR7[80:87]; 4'd11: VAR5[104:111]<=VAR7[88:95]; 4'd12: VAR5[104:111]<=VAR7[96:103]; 4'd13: VAR5[104:111]<=VAR7[104:111]; 4'd14: VAR5[104:111]<=VAR7[112:119]; 4'd15: VAR5[104:111]<=VAR7[120:127]; endcase VAR5[112:119]<=8'd0; case(VAR6[124:127]) 4'd0: VAR5[120:127]<=VAR7[0:7]; 4'd1: VAR5[120:127]<=VAR7[8:15]; 4'd2: VAR5[120:127]<=VAR7[16:23]; 4'd3: VAR5[120:127]<=VAR7[24:31]; 4'd4: VAR5[120:127]<=VAR7[32:39]; 4'd5: VAR5[120:127]<=VAR7[40:47]; 4'd6: VAR5[120:127]<=VAR7[48:55]; 4'd7: VAR5[120:127]<=VAR7[56:63]; 4'd8: VAR5[120:127]<=VAR7[64:71]; 4'd9: VAR5[120:127]<=VAR7[72:79]; 4'd10: VAR5[120:127]<=VAR7[80:87]; 4'd11: VAR5[120:127]<=VAR7[88:95]; 4'd12: VAR5[120:127]<=VAR7[96:103]; 4'd13: VAR5[120:127]<=VAR7[104:111]; 4'd14: VAR5[120:127]<=VAR7[112:119]; 4'd15: VAR5[120:127]<=VAR7[120:127]; endcase end case(VAR6[4:7]) 4'd0: VAR5[0:7]<=VAR7[0:7]; 4'd1: VAR5[0:7]<=VAR7[8:15]; 4'd2: VAR5[0:7]<=VAR7[16:23]; 4'd3: VAR5[0:7]<=VAR7[24:31]; 4'd4: VAR5[0:7]<=VAR7[32:39]; 4'd5: VAR5[0:7]<=VAR7[40:47]; 4'd6: VAR5[0:7]<=VAR7[48:55]; 4'd7: VAR5[0:7]<=VAR7[56:63]; 4'd8: VAR5[0:7]<=VAR7[64:71]; 4'd9: VAR5[0:7]<=VAR7[72:79]; 4'd10: VAR5[0:7]<=VAR7[80:87]; 4'd11: VAR5[0:7]<=VAR7[88:95]; 4'd12: VAR5[0:7]<=VAR7[96:103]; 4'd13: VAR5[0:7]<=VAR7[104:111]; 4'd14: VAR5[0:7]<=VAR7[112:119]; 4'd15: VAR5[0:7]<=VAR7[120:127]; endcase VAR5[8:127]<=120'd0; end VAR5[0:119]<=120'd0; case(VAR6[124:127]) 4'd0: VAR5[120:127]<=VAR7[0:7]; 4'd1: VAR5[120:127]<=VAR7[8:15]; 4'd2: VAR5[120:127]<=VAR7[16:23]; 4'd3: VAR5[120:127]<=VAR7[24:31]; 4'd4: VAR5[120:127]<=VAR7[32:39]; 4'd5: VAR5[120:127]<=VAR7[40:47]; 4'd6: VAR5[120:127]<=VAR7[48:55]; 4'd7: VAR5[120:127]<=VAR7[56:63]; 4'd8: VAR5[120:127]<=VAR7[64:71]; 4'd9: VAR5[120:127]<=VAR7[72:79]; 4'd10: VAR5[120:127]<=VAR7[80:87]; 4'd11: VAR5[120:127]<=VAR7[88:95]; 4'd12: VAR5[120:127]<=VAR7[96:103]; 4'd13: VAR5[120:127]<=VAR7[104:111]; 4'd14: VAR5[120:127]<=VAR7[112:119]; 4'd15: VAR5[120:127]<=VAR7[120:127]; endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3) case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:127]<=VAR7[0:127]; end 3'd1: begin VAR5[0:7]<={VAR7[1:7],{1'b0}}; VAR5[8:15]<={VAR7[9:15],{1'b0}}; VAR5[16:23]<={VAR7[17:23],{1'b0}}; VAR5[24:31]<={VAR7[25:31],{1'b0}}; VAR5[32:39]<={VAR7[33:39],{1'b0}}; VAR5[40:47]<={VAR7[41:47],{1'b0}}; VAR5[48:55]<={VAR7[49:55],{1'b0}}; VAR5[56:63]<={VAR7[57:63],{1'b0}}; VAR5[64:71]<={VAR7[65:71],{1'b0}}; VAR5[72:79]<={VAR7[73:79],{1'b0}}; VAR5[80:87]<={VAR7[81:87],{1'b0}}; VAR5[88:95]<={VAR7[89:95],{1'b0}}; VAR5[96:103]<={VAR7[97:103],{1'b0}}; VAR5[104:111]<={VAR7[105:111],{1'b0}}; VAR5[112:119]<={VAR7[113:119],{1'b0}}; VAR5[120:127]<={VAR7[121:127],{1'b0}}; end 3'd2: begin VAR5[0:7]<={VAR7[2:7],{2{1'b0}}}; VAR5[8:15]<={VAR7[10:15],{2{1'b0}}}; VAR5[16:23]<={VAR7[18:23],{2{1'b0}}}; VAR5[24:31]<={VAR7[26:31],{2{1'b0}}}; VAR5[32:39]<={VAR7[34:39],{2{1'b0}}}; VAR5[40:47]<={VAR7[42:47],{2{1'b0}}}; VAR5[48:55]<={VAR7[50:55],{2{1'b0}}}; VAR5[56:63]<={VAR7[58:63],{2{1'b0}}}; VAR5[64:71]<={VAR7[66:71],{2{1'b0}}}; VAR5[72:79]<={VAR7[74:79],{2{1'b0}}}; VAR5[80:87]<={VAR7[82:87],{2{1'b0}}}; VAR5[88:95]<={VAR7[90:95],{2{1'b0}}}; VAR5[96:103]<={VAR7[98:103],{2{1'b0}}}; VAR5[104:111]<={VAR7[106:111],{2{1'b0}}}; VAR5[112:119]<={VAR7[114:119],{2{1'b0}}}; VAR5[120:127]<={VAR7[122:127],{2{1'b0}}}; end 3'd3: begin VAR5[0:7]<={VAR7[3:7],{3{1'b0}}}; VAR5[8:15]<={VAR7[11:15],{3{1'b0}}}; VAR5[16:23]<={VAR7[19:23],{3{1'b0}}}; VAR5[24:31]<={VAR7[27:31],{3{1'b0}}}; VAR5[32:39]<={VAR7[35:39],{3{1'b0}}}; VAR5[40:47]<={VAR7[43:47],{3{1'b0}}}; VAR5[48:55]<={VAR7[51:55],{3{1'b0}}}; VAR5[56:63]<={VAR7[59:63],{3{1'b0}}}; VAR5[64:71]<={VAR7[67:71],{3{1'b0}}}; VAR5[72:79]<={VAR7[75:79],{3{1'b0}}}; VAR5[80:87]<={VAR7[83:87],{3{1'b0}}}; VAR5[88:95]<={VAR7[91:95],{3{1'b0}}}; VAR5[96:103]<={VAR7[99:103],{3{1'b0}}}; VAR5[104:111]<={VAR7[107:111],{3{1'b0}}}; VAR5[112:119]<={VAR7[115:119],{3{1'b0}}}; VAR5[120:127]<={VAR7[123:127],{3{1'b0}}}; end 3'd4: begin VAR5[0:7]<={VAR7[4:7],{4{1'b0}}}; VAR5[8:15]<={VAR7[12:15],{4{1'b0}}}; VAR5[16:23]<={VAR7[20:23],{4{1'b0}}}; VAR5[24:31]<={VAR7[28:31],{4{1'b0}}}; VAR5[32:39]<={VAR7[36:39],{4{1'b0}}}; VAR5[40:47]<={VAR7[44:47],{4{1'b0}}}; VAR5[48:55]<={VAR7[52:55],{4{1'b0}}}; VAR5[56:63]<={VAR7[60:63],{4{1'b0}}}; VAR5[64:71]<={VAR7[68:71],{4{1'b0}}}; VAR5[72:79]<={VAR7[76:79],{4{1'b0}}}; VAR5[80:87]<={VAR7[84:87],{4{1'b0}}}; VAR5[88:95]<={VAR7[92:95],{4{1'b0}}}; VAR5[96:103]<={VAR7[100:103],{4{1'b0}}}; VAR5[104:111]<={VAR7[108:111],{4{1'b0}}}; VAR5[112:119]<={VAR7[116:119],{4{1'b0}}}; VAR5[120:127]<={VAR7[124:127],{4{1'b0}}}; end 3'd5: begin VAR5[0:7]<={VAR7[5:7],{5{1'b0}}}; VAR5[8:15]<={VAR7[13:15],{5{1'b0}}}; VAR5[16:23]<={VAR7[21:23],{5{1'b0}}}; VAR5[24:31]<={VAR7[29:31],{5{1'b0}}}; VAR5[32:39]<={VAR7[37:39],{5{1'b0}}}; VAR5[40:47]<={VAR7[45:47],{5{1'b0}}}; VAR5[48:55]<={VAR7[53:55],{5{1'b0}}}; VAR5[56:63]<={VAR7[61:63],{5{1'b0}}}; VAR5[64:71]<={VAR7[69:71],{5{1'b0}}}; VAR5[72:79]<={VAR7[77:79],{5{1'b0}}}; VAR5[80:87]<={VAR7[85:87],{5{1'b0}}}; VAR5[88:95]<={VAR7[93:95],{5{1'b0}}}; VAR5[96:103]<={VAR7[101:103],{5{1'b0}}}; VAR5[104:111]<={VAR7[109:111],{5{1'b0}}}; VAR5[112:119]<={VAR7[117:119],{5{1'b0}}}; VAR5[120:127]<={VAR7[125:127],{5{1'b0}}}; end 3'd6: begin VAR5[0:7]<={VAR7[6:7],{6{1'b0}}}; VAR5[8:15]<={VAR7[14:15],{6{1'b0}}}; VAR5[16:23]<={VAR7[22:23],{6{1'b0}}}; VAR5[24:31]<={VAR7[30:31],{6{1'b0}}}; VAR5[32:39]<={VAR7[38:39],{6{1'b0}}}; VAR5[40:47]<={VAR7[46:47],{6{1'b0}}}; VAR5[48:55]<={VAR7[54:55],{6{1'b0}}}; VAR5[56:63]<={VAR7[62:63],{6{1'b0}}}; VAR5[64:71]<={VAR7[70:71],{6{1'b0}}}; VAR5[72:79]<={VAR7[78:79],{6{1'b0}}}; VAR5[80:87]<={VAR7[86:87],{6{1'b0}}}; VAR5[88:95]<={VAR7[94:95],{6{1'b0}}}; VAR5[96:103]<={VAR7[102:103],{6{1'b0}}}; VAR5[104:111]<={VAR7[110:111],{6{1'b0}}}; VAR5[112:119]<={VAR7[118:119],{6{1'b0}}}; VAR5[120:127]<={VAR7[126:127],{6{1'b0}}}; end 3'd7: begin VAR5[0:7]<={VAR7[7],{7{1'b0}}}; VAR5[8:15]<={VAR7[15],{7{1'b0}}}; VAR5[16:23]<={VAR7[23],{7{1'b0}}}; VAR5[24:31]<={VAR7[31],{7{1'b0}}}; VAR5[32:39]<={VAR7[39],{7{1'b0}}}; VAR5[40:47]<={VAR7[47],{7{1'b0}}}; VAR5[48:55]<={VAR7[55],{7{1'b0}}}; VAR5[56:63]<={VAR7[63],{7{1'b0}}}; VAR5[64:71]<={VAR7[71],{7{1'b0}}}; VAR5[72:79]<={VAR7[79],{7{1'b0}}}; VAR5[80:87]<={VAR7[87],{7{1'b0}}}; VAR5[88:95]<={VAR7[95],{7{1'b0}}}; VAR5[96:103]<={VAR7[103],{7{1'b0}}}; VAR5[104:111]<={VAR7[111],{7{1'b0}}}; VAR5[112:119]<={VAR7[119],{7{1'b0}}}; VAR5[120:127]<={VAR7[127],{7{1'b0}}}; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:127]<=VAR7[0:127]; end 4'd1: begin VAR5[0:15]<={VAR7[1:15],{1'b0}}; VAR5[16:31]<={VAR7[17:31],{1'b0}}; VAR5[32:47]<={VAR7[33:47],{1'b0}}; VAR5[48:63]<={VAR7[49:63],{1'b0}}; VAR5[64:79]<={VAR7[65:79],{1'b0}}; VAR5[80:95]<={VAR7[81:95],{1'b0}}; VAR5[96:111]<={VAR7[97:111],{1'b0}}; VAR5[112:127]<={VAR7[113:127],{1'b0}}; end 4'd2: begin VAR5[0:15]<={VAR7[2:15],{2{1'b0}}}; VAR5[16:31]<={VAR7[18:31],{2{1'b0}}}; VAR5[32:47]<={VAR7[34:47],{2{1'b0}}}; VAR5[48:63]<={VAR7[50:63],{2{1'b0}}}; VAR5[64:79]<={VAR7[66:79],{2{1'b0}}}; VAR5[80:95]<={VAR7[82:95],{2{1'b0}}}; VAR5[96:111]<={VAR7[98:111],{2{1'b0}}}; VAR5[112:127]<={VAR7[114:127],{2{1'b0}}}; end 4'd3: begin VAR5[0:15]<={VAR7[3:15],{3{1'b0}}}; VAR5[16:31]<={VAR7[19:31],{3{1'b0}}}; VAR5[32:47]<={VAR7[35:47],{3{1'b0}}}; VAR5[48:63]<={VAR7[51:63],{3{1'b0}}}; VAR5[64:79]<={VAR7[67:79],{3{1'b0}}}; VAR5[80:95]<={VAR7[83:95],{3{1'b0}}}; VAR5[96:111]<={VAR7[99:111],{3{1'b0}}}; VAR5[112:127]<={VAR7[115:127],{3{1'b0}}}; end 4'd4: begin VAR5[0:15]<={VAR7[4:15],{4{1'b0}}}; VAR5[16:31]<={VAR7[20:31],{4{1'b0}}}; VAR5[32:47]<={VAR7[36:47],{4{1'b0}}}; VAR5[48:63]<={VAR7[52:63],{4{1'b0}}}; VAR5[64:79]<={VAR7[68:79],{4{1'b0}}}; VAR5[80:95]<={VAR7[84:95],{4{1'b0}}}; VAR5[96:111]<={VAR7[100:111],{4{1'b0}}}; VAR5[112:127]<={VAR7[116:127],{4{1'b0}}}; end 4'd5: begin VAR5[0:15]<={VAR7[5:15],{5{1'b0}}}; VAR5[16:31]<={VAR7[21:31],{5{1'b0}}}; VAR5[32:47]<={VAR7[37:47],{5{1'b0}}}; VAR5[48:63]<={VAR7[52:63],{5{1'b0}}}; VAR5[64:79]<={VAR7[69:79],{5{1'b0}}}; VAR5[80:95]<={VAR7[85:95],{5{1'b0}}}; VAR5[96:111]<={VAR7[101:111],{5{1'b0}}}; VAR5[112:127]<={VAR7[117:127],{5{1'b0}}}; end 4'd6: begin VAR5[0:15]<={VAR7[6:15],{6{1'b0}}}; VAR5[16:31]<={VAR7[22:31],{6{1'b0}}}; VAR5[32:47]<={VAR7[38:47],{6{1'b0}}}; VAR5[48:63]<={VAR7[53:63],{6{1'b0}}}; VAR5[64:79]<={VAR7[70:79],{6{1'b0}}}; VAR5[80:95]<={VAR7[86:95],{6{1'b0}}}; VAR5[96:111]<={VAR7[102:111],{6{1'b0}}}; VAR5[112:127]<={VAR7[118:127],{6{1'b0}}}; end 4'd7: begin VAR5[0:15]<={VAR7[7:15],{7{1'b0}}}; VAR5[16:31]<={VAR7[23:31],{7{1'b0}}}; VAR5[32:47]<={VAR7[39:47],{7{1'b0}}}; VAR5[48:63]<={VAR7[54:63],{7{1'b0}}}; VAR5[64:79]<={VAR7[71:79],{7{1'b0}}}; VAR5[80:95]<={VAR7[87:95],{7{1'b0}}}; VAR5[96:111]<={VAR7[103:111],{7{1'b0}}}; VAR5[112:127]<={VAR7[119:127],{7{1'b0}}}; end 4'd8: begin VAR5[0:15]<={VAR7[8:15],{8{1'b0}}}; VAR5[16:31]<={VAR7[24:31],{8{1'b0}}}; VAR5[32:47]<={VAR7[40:47],{8{1'b0}}}; VAR5[48:63]<={VAR7[55:63],{8{1'b0}}}; VAR5[64:79]<={VAR7[72:79],{8{1'b0}}}; VAR5[80:95]<={VAR7[88:95],{8{1'b0}}}; VAR5[96:111]<={VAR7[104:111],{8{1'b0}}}; VAR5[112:127]<={VAR7[120:127],{8{1'b0}}}; end 4'd9: begin VAR5[0:15]<={VAR7[9:15],{9{1'b0}}}; VAR5[16:31]<={VAR7[25:31],{9{1'b0}}}; VAR5[32:47]<={VAR7[41:47],{9{1'b0}}}; VAR5[48:63]<={VAR7[56:63],{9{1'b0}}}; VAR5[64:79]<={VAR7[73:79],{9{1'b0}}}; VAR5[80:95]<={VAR7[89:95],{9{1'b0}}}; VAR5[96:111]<={VAR7[105:111],{9{1'b0}}}; VAR5[112:127]<={VAR7[121:127],{9{1'b0}}}; end 4'd10: begin VAR5[0:15]<={VAR7[10:15],{10{1'b0}}}; VAR5[16:31]<={VAR7[26:31],{10{1'b0}}}; VAR5[32:47]<={VAR7[42:47],{10{1'b0}}}; VAR5[48:63]<={VAR7[58:63],{10{1'b0}}}; VAR5[64:79]<={VAR7[74:79],{10{1'b0}}}; VAR5[80:95]<={VAR7[90:95],{10{1'b0}}}; VAR5[96:111]<={VAR7[106:111],{10{1'b0}}}; VAR5[112:127]<={VAR7[122:127],{10{1'b0}}}; end 4'd11: begin VAR5[0:15]<={VAR7[11:15],{11{1'b0}}}; VAR5[16:31]<={VAR7[27:31],{11{1'b0}}}; VAR5[32:47]<={VAR7[43:47],{11{1'b0}}}; VAR5[48:63]<={VAR7[59:63],{11{1'b0}}}; VAR5[64:79]<={VAR7[75:79],{11{1'b0}}}; VAR5[80:95]<={VAR7[91:95],{11{1'b0}}}; VAR5[96:111]<={VAR7[107:111],{11{1'b0}}}; VAR5[112:127]<={VAR7[123:127],{11{1'b0}}}; end 4'd12: begin VAR5[0:15]<={VAR7[12:15],{12{1'b0}}}; VAR5[16:31]<={VAR7[28:31],{12{1'b0}}}; VAR5[32:47]<={VAR7[44:47],{12{1'b0}}}; VAR5[48:63]<={VAR7[60:63],{12{1'b0}}}; VAR5[64:79]<={VAR7[76:79],{12{1'b0}}}; VAR5[80:95]<={VAR7[92:95],{12{1'b0}}}; VAR5[96:111]<={VAR7[108:111],{12{1'b0}}}; VAR5[112:127]<={VAR7[124:127],{12{1'b0}}}; end 4'd13: begin VAR5[0:15]<={VAR7[13:15],{13{1'b0}}}; VAR5[16:31]<={VAR7[29:31],{13{1'b0}}}; VAR5[32:47]<={VAR7[45:47],{13{1'b0}}}; VAR5[48:63]<={VAR7[61:63],{13{1'b0}}}; VAR5[64:79]<={VAR7[77:79],{13{1'b0}}}; VAR5[80:95]<={VAR7[93:95],{13{1'b0}}}; VAR5[96:111]<={VAR7[109:111],{13{1'b0}}}; VAR5[112:127]<={VAR7[125:127],{13{1'b0}}}; end 4'd14: begin VAR5[0:15]<={VAR7[14:15],{14{1'b0}}}; VAR5[16:31]<={VAR7[30:31],{14{1'b0}}}; VAR5[32:47]<={VAR7[46:47],{14{1'b0}}}; VAR5[48:63]<={VAR7[62:63],{14{1'b0}}}; VAR5[64:79]<={VAR7[78:79],{14{1'b0}}}; VAR5[80:95]<={VAR7[94:95],{14{1'b0}}}; VAR5[96:111]<={VAR7[110:111],{14{1'b0}}}; VAR5[112:127]<={VAR7[126:127],{14{1'b0}}}; end 4'd15: begin VAR5[0:15]<={VAR7[15],{15{1'b0}}}; VAR5[16:31]<={VAR7[31],{15{1'b0}}}; VAR5[32:47]<={VAR7[47],{15{1'b0}}}; VAR5[48:63]<={VAR7[63],{15{1'b0}}}; VAR5[64:79]<={VAR7[79],{15{1'b0}}}; VAR5[80:95]<={VAR7[95],{15{1'b0}}}; VAR5[96:111]<={VAR7[111],{15{1'b0}}}; VAR5[112:127]<={VAR7[127],{15{1'b0}}}; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:127]<=VAR7[0:127]; end 5'd1: begin VAR5[0:31]<={VAR7[1:31],{1'b0}}; VAR5[32:63]<={VAR7[33:63],{1'b0}}; VAR5[64:95]<={VAR7[65:95],{1'b0}}; VAR5[96:127]<={VAR7[97:127],{1'b0}}; end 5'd2: begin VAR5[0:31]<={VAR7[2:31],{2{1'b0}}}; VAR5[32:63]<={VAR7[34:63],{2{1'b0}}}; VAR5[64:95]<={VAR7[66:95],{2{1'b0}}}; VAR5[96:127]<={VAR7[98:127],{2{1'b0}}}; end 5'd3: begin VAR5[0:31]<={VAR7[3:31],{3{1'b0}}}; VAR5[32:63]<={VAR7[35:63],{3{1'b0}}}; VAR5[64:95]<={VAR7[67:95],{3{1'b0}}}; VAR5[96:127]<={VAR7[99:127],{3{1'b0}}}; end 5'd4: begin VAR5[0:31]<={VAR7[4:31],{4{1'b0}}}; VAR5[32:63]<={VAR7[36:63],{4{1'b0}}}; VAR5[64:95]<={VAR7[68:95],{4{1'b0}}}; VAR5[96:127]<={VAR7[100:127],{4{1'b0}}}; end 5'd5: begin VAR5[0:31]<={VAR7[5:31],{5{1'b0}}}; VAR5[32:63]<={VAR7[37:63],{5{1'b0}}}; VAR5[64:95]<={VAR7[69:95],{5{1'b0}}}; VAR5[96:127]<={VAR7[101:127],{5{1'b0}}}; end 5'd6: begin VAR5[0:31]<={VAR7[6:31],{6{1'b0}}}; VAR5[32:63]<={VAR7[38:63],{6{1'b0}}}; VAR5[64:95]<={VAR7[70:95],{6{1'b0}}}; VAR5[96:127]<={VAR7[102:127],{6{1'b0}}}; end 5'd7: begin VAR5[0:31]<={VAR7[7:31],{7{1'b0}}}; VAR5[32:63]<={VAR7[39:63],{7{1'b0}}}; VAR5[64:95]<={VAR7[71:95],{7{1'b0}}}; VAR5[96:127]<={VAR7[103:127],{7{1'b0}}}; end 5'd8: begin VAR5[0:31]<={VAR7[8:31],{8{1'b0}}}; VAR5[32:63]<={VAR7[40:63],{8{1'b0}}}; VAR5[64:95]<={VAR7[72:95],{8{1'b0}}}; VAR5[96:127]<={VAR7[104:127],{8{1'b0}}}; end 5'd9: begin VAR5[0:31]<={VAR7[9:31],{9{1'b0}}}; VAR5[32:63]<={VAR7[41:63],{9{1'b0}}}; VAR5[64:95]<={VAR7[73:95],{9{1'b0}}}; VAR5[96:127]<={VAR7[105:127],{9{1'b0}}}; end 5'd10: begin VAR5[0:31]<={VAR7[10:31],{10{1'b0}}}; VAR5[32:63]<={VAR7[42:63],{10{1'b0}}}; VAR5[64:95]<={VAR7[74:95],{10{1'b0}}}; VAR5[96:127]<={VAR7[106:127],{10{1'b0}}}; end 5'd11: begin VAR5[0:31]<={VAR7[11:31],{11{1'b0}}}; VAR5[32:63]<={VAR7[43:63],{11{1'b0}}}; VAR5[64:95]<={VAR7[75:95],{11{1'b0}}}; VAR5[96:127]<={VAR7[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:31]<={VAR7[12:31],{12{1'b0}}}; VAR5[32:63]<={VAR7[44:63],{12{1'b0}}}; VAR5[64:95]<={VAR7[76:95],{12{1'b0}}}; VAR5[96:127]<={VAR7[108:127],{12{1'b0}}}; end 5'd13: begin VAR5[0:31]<={VAR7[13:31],{13{1'b0}}}; VAR5[32:63]<={VAR7[45:63],{13{1'b0}}}; VAR5[64:95]<={VAR7[77:95],{13{1'b0}}}; VAR5[96:127]<={VAR7[109:127],{13{1'b0}}}; end 5'd14: begin VAR5[0:31]<={VAR7[14:31],{14{1'b0}}}; VAR5[32:63]<={VAR7[46:63],{14{1'b0}}}; VAR5[64:95]<={VAR7[78:95],{14{1'b0}}}; VAR5[96:127]<={VAR7[110:127],{14{1'b0}}}; end 5'd15: begin VAR5[0:31]<={VAR7[15:31],{15{1'b0}}}; VAR5[32:63]<={VAR7[47:63],{15{1'b0}}}; VAR5[64:95]<={VAR7[79:95],{15{1'b0}}}; VAR5[96:127]<={VAR7[111:127],{15{1'b0}}}; end 5'd16: begin VAR5[0:31]<={VAR7[16:31],{16{1'b0}}}; VAR5[32:63]<={VAR7[48:63],{16{1'b0}}}; VAR5[64:95]<={VAR7[80:95],{16{1'b0}}}; VAR5[96:127]<={VAR7[112:127],{16{1'b0}}}; end 5'd17: begin VAR5[0:31]<={VAR7[17:31],{17{1'b0}}}; VAR5[32:63]<={VAR7[49:63],{17{1'b0}}}; VAR5[64:95]<={VAR7[81:95],{17{1'b0}}}; VAR5[96:127]<={VAR7[113:127],{17{1'b0}}}; end 5'd18: begin VAR5[0:31]<={VAR7[18:31],{18{1'b0}}}; VAR5[32:63]<={VAR7[50:63],{18{1'b0}}}; VAR5[64:95]<={VAR7[82:95],{18{1'b0}}}; VAR5[96:127]<={VAR7[114:127],{18{1'b0}}}; end 5'd19: begin VAR5[0:31]<={VAR7[19:31],{19{1'b0}}}; VAR5[32:63]<={VAR7[51:63],{19{1'b0}}}; VAR5[64:95]<={VAR7[83:95],{19{1'b0}}}; VAR5[96:127]<={VAR7[115:127],{19{1'b0}}}; end 5'd20: begin VAR5[0:31]<={VAR7[20:31],{20{1'b0}}}; VAR5[32:63]<={VAR7[52:63],{20{1'b0}}}; VAR5[64:95]<={VAR7[84:95],{20{1'b0}}}; VAR5[96:127]<={VAR7[116:127],{20{1'b0}}}; end 5'd21: begin VAR5[0:31]<={VAR7[21:31],{21{1'b0}}}; VAR5[32:63]<={VAR7[53:63],{21{1'b0}}}; VAR5[64:95]<={VAR7[85:95],{21{1'b0}}}; VAR5[96:127]<={VAR7[117:127],{21{1'b0}}}; end 5'd22: begin VAR5[0:31]<={VAR7[22:31],{22{1'b0}}}; VAR5[32:63]<={VAR7[54:63],{22{1'b0}}}; VAR5[64:95]<={VAR7[86:95],{22{1'b0}}}; VAR5[96:127]<={VAR7[118:127],{22{1'b0}}}; end 5'd23: begin VAR5[0:31]<={VAR7[23:31],{23{1'b0}}}; VAR5[32:63]<={VAR7[55:63],{23{1'b0}}}; VAR5[64:95]<={VAR7[87:95],{23{1'b0}}}; VAR5[96:127]<={VAR7[119:127],{23{1'b0}}}; end 5'd24: begin VAR5[0:31]<={VAR7[24:31],{24{1'b0}}}; VAR5[32:63]<={VAR7[56:63],{24{1'b0}}}; VAR5[64:95]<={VAR7[88:95],{24{1'b0}}}; VAR5[96:127]<={VAR7[120:127],{24{1'b0}}}; end 5'd25: begin VAR5[0:31]<={VAR7[25:31],{25{1'b0}}}; VAR5[32:63]<={VAR7[57:63],{25{1'b0}}}; VAR5[64:95]<={VAR7[89:95],{25{1'b0}}}; VAR5[96:127]<={VAR7[121:127],{25{1'b0}}}; end 5'd26: begin VAR5[0:31]<={VAR7[26:31],{26{1'b0}}}; VAR5[32:63]<={VAR7[58:63],{26{1'b0}}}; VAR5[64:95]<={VAR7[90:95],{26{1'b0}}}; VAR5[96:127]<={VAR7[122:127],{26{1'b0}}}; end 5'd27: begin VAR5[0:31]<={VAR7[27:31],{27{1'b0}}}; VAR5[32:63]<={VAR7[59:63],{27{1'b0}}}; VAR5[64:95]<={VAR7[91:95],{27{1'b0}}}; VAR5[96:127]<={VAR7[123:127],{27{1'b0}}}; end 5'd28: begin VAR5[0:31]<={VAR7[28:31],{28{1'b0}}}; VAR5[32:63]<={VAR7[60:63],{28{1'b0}}}; VAR5[64:95]<={VAR7[92:95],{28{1'b0}}}; VAR5[96:127]<={VAR7[124:127],{28{1'b0}}}; end 5'd29: begin VAR5[0:31]<={VAR7[29:31],{29{1'b0}}}; VAR5[32:63]<={VAR7[61:63],{29{1'b0}}}; VAR5[64:95]<={VAR7[93:95],{29{1'b0}}}; VAR5[96:127]<={VAR7[125:127],{29{1'b0}}}; end 5'd30: begin VAR5[0:31]<={VAR7[30:31],{30{1'b0}}}; VAR5[32:63]<={VAR7[62:63],{30{1'b0}}}; VAR5[64:95]<={VAR7[94:95],{30{1'b0}}}; VAR5[96:127]<={VAR7[126:127],{30{1'b0}}}; end 5'd31: begin VAR5[0:31]<={VAR7[31],{31{1'b0}}}; VAR5[32:63]<={VAR7[63],{31{1'b0}}}; VAR5[64:95]<={VAR7[95],{31{1'b0}}}; VAR5[96:127]<={VAR7[127],{31{1'b0}}}; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:63]<=VAR7[0:63]; VAR5[64:127]<=64'd0; end 3'd1: begin VAR5[0:7]<={VAR7[1:7],{1'b0}}; VAR5[8:15]<={VAR7[9:15],{1'b0}}; VAR5[16:23]<={VAR7[17:23],{1'b0}}; VAR5[24:31]<={VAR7[25:31],{1'b0}}; VAR5[32:39]<={VAR7[33:39],{1'b0}}; VAR5[40:47]<={VAR7[41:47],{1'b0}}; VAR5[48:55]<={VAR7[49:55],{1'b0}}; VAR5[56:63]<={VAR7[57:63],{1'b0}}; VAR5[64:127]<=64'd0; end 3'd2: begin VAR5[0:7]<={VAR7[2:7],{2{1'b0}}}; VAR5[8:15]<={VAR7[10:15],{2{1'b0}}}; VAR5[16:23]<={VAR7[18:23],{2{1'b0}}}; VAR5[24:31]<={VAR7[26:31],{2{1'b0}}}; VAR5[32:39]<={VAR7[34:39],{2{1'b0}}}; VAR5[40:47]<={VAR7[42:47],{2{1'b0}}}; VAR5[48:55]<={VAR7[50:55],{2{1'b0}}}; VAR5[56:63]<={VAR7[58:63],{2{1'b0}}}; VAR5[64:127]<=64'd0; end 3'd3: begin VAR5[0:7]<={VAR7[3:7],{3{1'b0}}}; VAR5[8:15]<={VAR7[11:15],{3{1'b0}}}; VAR5[16:23]<={VAR7[19:23],{3{1'b0}}}; VAR5[24:31]<={VAR7[27:31],{3{1'b0}}}; VAR5[32:39]<={VAR7[35:39],{3{1'b0}}}; VAR5[40:47]<={VAR7[43:47],{3{1'b0}}}; VAR5[48:55]<={VAR7[51:55],{3{1'b0}}}; VAR5[56:63]<={VAR7[59:63],{3{1'b0}}}; VAR5[64:127]<=64'd0; end 3'd4: begin VAR5[0:7]<={VAR7[4:7],{4{1'b0}}}; VAR5[8:15]<={VAR7[12:15],{4{1'b0}}}; VAR5[16:23]<={VAR7[20:23],{4{1'b0}}}; VAR5[24:31]<={VAR7[28:31],{4{1'b0}}}; VAR5[32:39]<={VAR7[36:39],{4{1'b0}}}; VAR5[40:47]<={VAR7[44:47],{4{1'b0}}}; VAR5[48:55]<={VAR7[52:55],{4{1'b0}}}; VAR5[56:63]<={VAR7[60:63],{4{1'b0}}}; VAR5[64:127]<=64'd0; end 3'd5: begin VAR5[0:7]<={VAR7[5:7],{5{1'b0}}}; VAR5[8:15]<={VAR7[13:15],{5{1'b0}}}; VAR5[16:23]<={VAR7[21:23],{5{1'b0}}}; VAR5[24:31]<={VAR7[29:31],{5{1'b0}}}; VAR5[32:39]<={VAR7[37:39],{5{1'b0}}}; VAR5[40:47]<={VAR7[45:47],{5{1'b0}}}; VAR5[48:55]<={VAR7[53:55],{5{1'b0}}}; VAR5[56:63]<={VAR7[61:63],{5{1'b0}}}; VAR5[64:127]<=64'd0; end 3'd6: begin VAR5[0:7]<={VAR7[6:7],{6{1'b0}}}; VAR5[8:15]<={VAR7[14:15],{6{1'b0}}}; VAR5[16:23]<={VAR7[22:23],{6{1'b0}}}; VAR5[24:31]<={VAR7[30:31],{6{1'b0}}}; VAR5[32:39]<={VAR7[38:39],{6{1'b0}}}; VAR5[40:47]<={VAR7[46:47],{6{1'b0}}}; VAR5[48:55]<={VAR7[54:55],{6{1'b0}}}; VAR5[56:63]<={VAR7[62:63],{6{1'b0}}}; VAR5[64:127]<=64'd0; end 3'd7: begin VAR5[0:7]<={VAR7[7],{7{1'b0}}}; VAR5[8:15]<={VAR7[15],{7{1'b0}}}; VAR5[16:23]<={VAR7[23],{7{1'b0}}}; VAR5[24:31]<={VAR7[31],{7{1'b0}}}; VAR5[32:39]<={VAR7[39],{7{1'b0}}}; VAR5[40:47]<={VAR7[47],{7{1'b0}}}; VAR5[48:55]<={VAR7[55],{7{1'b0}}}; VAR5[56:63]<={VAR7[63],{7{1'b0}}}; VAR5[64:127]<=64'd0; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:63]<=VAR7[0:63]; VAR5[64:127]<=64'd0; end 4'd1: begin VAR5[0:15]<={VAR7[1:15],{1'b0}}; VAR5[16:31]<={VAR7[17:31],{1'b0}}; VAR5[32:47]<={VAR7[33:47],{1'b0}}; VAR5[48:63]<={VAR7[49:63],{1'b0}}; VAR5[64:127]<=64'd0; end 4'd2: begin VAR5[0:15]<={VAR7[2:15],{2{1'b0}}}; VAR5[16:31]<={VAR7[18:31],{2{1'b0}}}; VAR5[32:47]<={VAR7[34:47],{2{1'b0}}}; VAR5[48:63]<={VAR7[50:63],{2{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd3: begin VAR5[0:15]<={VAR7[3:15],{3{1'b0}}}; VAR5[16:31]<={VAR7[19:31],{3{1'b0}}}; VAR5[32:47]<={VAR7[35:47],{3{1'b0}}}; VAR5[48:63]<={VAR7[51:63],{3{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd4: begin VAR5[0:15]<={VAR7[4:15],{4{1'b0}}}; VAR5[16:31]<={VAR7[20:31],{4{1'b0}}}; VAR5[32:47]<={VAR7[36:47],{4{1'b0}}}; VAR5[48:63]<={VAR7[52:63],{4{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd5: begin VAR5[0:15]<={VAR7[5:15],{5{1'b0}}}; VAR5[16:31]<={VAR7[21:31],{5{1'b0}}}; VAR5[32:47]<={VAR7[37:47],{5{1'b0}}}; VAR5[48:63]<={VAR7[52:63],{5{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd6: begin VAR5[0:15]<={VAR7[6:15],{6{1'b0}}}; VAR5[16:31]<={VAR7[22:31],{6{1'b0}}}; VAR5[32:47]<={VAR7[38:47],{6{1'b0}}}; VAR5[48:63]<={VAR7[53:63],{6{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd7: begin VAR5[0:15]<={VAR7[7:15],{7{1'b0}}}; VAR5[16:31]<={VAR7[23:31],{7{1'b0}}}; VAR5[32:47]<={VAR7[39:47],{7{1'b0}}}; VAR5[48:63]<={VAR7[54:63],{7{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd8: begin VAR5[0:15]<={VAR7[8:15],{8{1'b0}}}; VAR5[16:31]<={VAR7[24:31],{8{1'b0}}}; VAR5[32:47]<={VAR7[40:47],{8{1'b0}}}; VAR5[48:63]<={VAR7[55:63],{8{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd9: begin VAR5[0:15]<={VAR7[9:15],{9{1'b0}}}; VAR5[16:31]<={VAR7[25:31],{9{1'b0}}}; VAR5[32:47]<={VAR7[41:47],{9{1'b0}}}; VAR5[48:63]<={VAR7[56:63],{9{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd10: begin VAR5[0:15]<={VAR7[10:15],{10{1'b0}}}; VAR5[16:31]<={VAR7[26:31],{10{1'b0}}}; VAR5[32:47]<={VAR7[42:47],{10{1'b0}}}; VAR5[48:63]<={VAR7[58:63],{10{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd11: begin VAR5[0:15]<={VAR7[11:15],{11{1'b0}}}; VAR5[16:31]<={VAR7[27:31],{11{1'b0}}}; VAR5[32:47]<={VAR7[43:47],{11{1'b0}}}; VAR5[48:63]<={VAR7[59:63],{11{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd12: begin VAR5[0:15]<={VAR7[12:15],{12{1'b0}}}; VAR5[16:31]<={VAR7[28:31],{12{1'b0}}}; VAR5[32:47]<={VAR7[44:47],{12{1'b0}}}; VAR5[48:63]<={VAR7[60:63],{12{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd13: begin VAR5[0:15]<={VAR7[13:15],{13{1'b0}}}; VAR5[16:31]<={VAR7[29:31],{13{1'b0}}}; VAR5[32:47]<={VAR7[45:47],{13{1'b0}}}; VAR5[48:63]<={VAR7[61:63],{13{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd14: begin VAR5[0:15]<={VAR7[14:15],{14{1'b0}}}; VAR5[16:31]<={VAR7[30:31],{14{1'b0}}}; VAR5[32:47]<={VAR7[46:47],{14{1'b0}}}; VAR5[48:63]<={VAR7[62:63],{14{1'b0}}}; VAR5[64:127]<=64'd0; end 4'd15: begin VAR5[0:15]<={VAR7[15],{15{1'b0}}}; VAR5[16:31]<={VAR7[31],{15{1'b0}}}; VAR5[32:47]<={VAR7[47],{15{1'b0}}}; VAR5[48:63]<={VAR7[63],{15{1'b0}}}; VAR5[64:127]<=64'd0; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:63]<=VAR7[0:63]; VAR5[64:127]<=64'd0; end 5'd1: begin VAR5[0:31]<={VAR7[1:31],{1'b0}}; VAR5[32:63]<={VAR7[33:63],{1'b0}}; VAR5[64:127]<=64'd0; end 5'd2: begin VAR5[0:31]<={VAR7[2:31],{2{1'b0}}}; VAR5[32:63]<={VAR7[34:63],{2{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd3: begin VAR5[0:31]<={VAR7[3:31],{3{1'b0}}}; VAR5[32:63]<={VAR7[35:63],{3{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd4: begin VAR5[0:31]<={VAR7[4:31],{4{1'b0}}}; VAR5[32:63]<={VAR7[36:63],{4{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd5: begin VAR5[0:31]<={VAR7[5:31],{5{1'b0}}}; VAR5[32:63]<={VAR7[37:63],{5{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd6: begin VAR5[0:31]<={VAR7[6:31],{6{1'b0}}}; VAR5[32:63]<={VAR7[38:63],{6{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd7: begin VAR5[0:31]<={VAR7[7:31],{7{1'b0}}}; VAR5[32:63]<={VAR7[39:63],{7{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd8: begin VAR5[0:31]<={VAR7[8:31],{8{1'b0}}}; VAR5[32:63]<={VAR7[40:63],{8{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd9: begin VAR5[0:31]<={VAR7[9:31],{9{1'b0}}}; VAR5[32:63]<={VAR7[41:63],{9{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd10: begin VAR5[0:31]<={VAR7[10:31],{10{1'b0}}}; VAR5[32:63]<={VAR7[42:63],{10{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd11: begin VAR5[0:31]<={VAR7[11:31],{11{1'b0}}}; VAR5[32:63]<={VAR7[43:63],{11{1'b0}}}; VAR5[64:95]<={VAR7[75:95],{11{1'b0}}}; VAR5[96:127]<={VAR7[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:31]<={VAR7[12:31],{12{1'b0}}}; VAR5[32:63]<={VAR7[44:63],{12{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd13: begin VAR5[0:31]<={VAR7[13:31],{13{1'b0}}}; VAR5[32:63]<={VAR7[45:63],{13{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd14: begin VAR5[0:31]<={VAR7[14:31],{14{1'b0}}}; VAR5[32:63]<={VAR7[46:63],{14{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd15: begin VAR5[0:31]<={VAR7[15:31],{15{1'b0}}}; VAR5[32:63]<={VAR7[47:63],{15{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd16: begin VAR5[0:31]<={VAR7[16:31],{16{1'b0}}}; VAR5[32:63]<={VAR7[48:63],{16{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd17: begin VAR5[0:31]<={VAR7[17:31],{17{1'b0}}}; VAR5[32:63]<={VAR7[49:63],{17{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd18: begin VAR5[0:31]<={VAR7[18:31],{18{1'b0}}}; VAR5[32:63]<={VAR7[50:63],{18{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd19: begin VAR5[0:31]<={VAR7[19:31],{19{1'b0}}}; VAR5[32:63]<={VAR7[51:63],{19{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd20: begin VAR5[0:31]<={VAR7[20:31],{20{1'b0}}}; VAR5[32:63]<={VAR7[52:63],{20{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd21: begin VAR5[0:31]<={VAR7[21:31],{21{1'b0}}}; VAR5[32:63]<={VAR7[53:63],{21{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd22: begin VAR5[0:31]<={VAR7[22:31],{22{1'b0}}}; VAR5[32:63]<={VAR7[54:63],{22{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd23: begin VAR5[0:31]<={VAR7[23:31],{23{1'b0}}}; VAR5[32:63]<={VAR7[55:63],{23{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd24: begin VAR5[0:31]<={VAR7[24:31],{24{1'b0}}}; VAR5[32:63]<={VAR7[56:63],{24{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd25: begin VAR5[0:31]<={VAR7[25:31],{25{1'b0}}}; VAR5[32:63]<={VAR7[57:63],{25{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd26: begin VAR5[0:31]<={VAR7[26:31],{26{1'b0}}}; VAR5[32:63]<={VAR7[58:63],{26{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd27: begin VAR5[0:31]<={VAR7[27:31],{27{1'b0}}}; VAR5[32:63]<={VAR7[59:63],{27{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd28: begin VAR5[0:31]<={VAR7[28:31],{28{1'b0}}}; VAR5[32:63]<={VAR7[60:63],{28{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd29: begin VAR5[0:31]<={VAR7[29:31],{29{1'b0}}}; VAR5[32:63]<={VAR7[61:63],{29{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd30: begin VAR5[0:31]<={VAR7[30:31],{30{1'b0}}}; VAR5[32:63]<={VAR7[62:63],{30{1'b0}}}; VAR5[64:127]<=64'd0; end 5'd31: begin VAR5[0:31]<={VAR7[31],{31{1'b0}}}; VAR5[32:63]<={VAR7[63],{31{1'b0}}}; VAR5[64:127]<=64'd0; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:63]<=64'd0; VAR5[64:127]<=VAR7[64:127]; end 3'd1: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[65:71],{1'b0}}; VAR5[72:79]<={VAR7[73:79],{1'b0}}; VAR5[80:87]<={VAR7[81:87],{1'b0}}; VAR5[88:95]<={VAR7[89:95],{1'b0}}; VAR5[96:103]<={VAR7[97:103],{1'b0}}; VAR5[104:111]<={VAR7[105:111],{1'b0}}; VAR5[112:119]<={VAR7[113:119],{1'b0}}; VAR5[120:127]<={VAR7[121:127],{1'b0}}; end 3'd2: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[66:71],{2{1'b0}}}; VAR5[72:79]<={VAR7[74:79],{2{1'b0}}}; VAR5[80:87]<={VAR7[82:87],{2{1'b0}}}; VAR5[88:95]<={VAR7[90:95],{2{1'b0}}}; VAR5[96:103]<={VAR7[98:103],{2{1'b0}}}; VAR5[104:111]<={VAR7[106:111],{2{1'b0}}}; VAR5[112:119]<={VAR7[114:119],{2{1'b0}}}; VAR5[120:127]<={VAR7[122:127],{2{1'b0}}}; end 3'd3: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[67:71],{3{1'b0}}}; VAR5[72:79]<={VAR7[75:79],{3{1'b0}}}; VAR5[80:87]<={VAR7[83:87],{3{1'b0}}}; VAR5[88:95]<={VAR7[91:95],{3{1'b0}}}; VAR5[96:103]<={VAR7[99:103],{3{1'b0}}}; VAR5[104:111]<={VAR7[107:111],{3{1'b0}}}; VAR5[112:119]<={VAR7[115:119],{3{1'b0}}}; VAR5[120:127]<={VAR7[123:127],{3{1'b0}}}; end 3'd4: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[68:71],{4{1'b0}}}; VAR5[72:79]<={VAR7[76:79],{4{1'b0}}}; VAR5[80:87]<={VAR7[84:87],{4{1'b0}}}; VAR5[88:95]<={VAR7[92:95],{4{1'b0}}}; VAR5[96:103]<={VAR7[100:103],{4{1'b0}}}; VAR5[104:111]<={VAR7[108:111],{4{1'b0}}}; VAR5[112:119]<={VAR7[116:119],{4{1'b0}}}; VAR5[120:127]<={VAR7[124:127],{4{1'b0}}}; end 3'd5: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[69:71],{5{1'b0}}}; VAR5[72:79]<={VAR7[77:79],{5{1'b0}}}; VAR5[80:87]<={VAR7[85:87],{5{1'b0}}}; VAR5[88:95]<={VAR7[93:95],{5{1'b0}}}; VAR5[96:103]<={VAR7[101:103],{5{1'b0}}}; VAR5[104:111]<={VAR7[109:111],{5{1'b0}}}; VAR5[112:119]<={VAR7[117:119],{5{1'b0}}}; VAR5[120:127]<={VAR7[125:127],{5{1'b0}}}; end 3'd6: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[70:71],{6{1'b0}}}; VAR5[72:79]<={VAR7[78:79],{6{1'b0}}}; VAR5[80:87]<={VAR7[86:87],{6{1'b0}}}; VAR5[88:95]<={VAR7[94:95],{6{1'b0}}}; VAR5[96:103]<={VAR7[102:103],{6{1'b0}}}; VAR5[104:111]<={VAR7[110:111],{6{1'b0}}}; VAR5[112:119]<={VAR7[118:119],{6{1'b0}}}; VAR5[120:127]<={VAR7[126:127],{6{1'b0}}}; end 3'd7: begin VAR5[0:63]<=64'd0; VAR5[64:71]<={VAR7[71],{7{1'b0}}}; VAR5[72:79]<={VAR7[79],{7{1'b0}}}; VAR5[80:87]<={VAR7[87],{7{1'b0}}}; VAR5[88:95]<={VAR7[95],{7{1'b0}}}; VAR5[96:103]<={VAR7[103],{7{1'b0}}}; VAR5[104:111]<={VAR7[111],{7{1'b0}}}; VAR5[112:119]<={VAR7[119],{7{1'b0}}}; VAR5[120:127]<={VAR7[127],{7{1'b0}}}; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:63]<=64'd0; VAR5[64:127]<=VAR7[64:127]; end 4'd1: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[65:79],{1'b0}}; VAR5[80:95]<={VAR7[81:95],{1'b0}}; VAR5[96:111]<={VAR7[97:111],{1'b0}}; VAR5[112:127]<={VAR7[113:127],{1'b0}}; end 4'd2: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[66:79],{2{1'b0}}}; VAR5[80:95]<={VAR7[82:95],{2{1'b0}}}; VAR5[96:111]<={VAR7[98:111],{2{1'b0}}}; VAR5[112:127]<={VAR7[114:127],{2{1'b0}}}; end 4'd3: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[67:79],{3{1'b0}}}; VAR5[80:95]<={VAR7[83:95],{3{1'b0}}}; VAR5[96:111]<={VAR7[99:111],{3{1'b0}}}; VAR5[112:127]<={VAR7[115:127],{3{1'b0}}}; end 4'd4: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[68:79],{4{1'b0}}}; VAR5[80:95]<={VAR7[84:95],{4{1'b0}}}; VAR5[96:111]<={VAR7[100:111],{4{1'b0}}}; VAR5[112:127]<={VAR7[116:127],{4{1'b0}}}; end 4'd5: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[69:79],{5{1'b0}}}; VAR5[80:95]<={VAR7[85:95],{5{1'b0}}}; VAR5[96:111]<={VAR7[101:111],{5{1'b0}}}; VAR5[112:127]<={VAR7[117:127],{5{1'b0}}}; end 4'd6: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[70:79],{6{1'b0}}}; VAR5[80:95]<={VAR7[86:95],{6{1'b0}}}; VAR5[96:111]<={VAR7[102:111],{6{1'b0}}}; VAR5[112:127]<={VAR7[118:127],{6{1'b0}}}; end 4'd7: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[71:79],{7{1'b0}}}; VAR5[80:95]<={VAR7[87:95],{7{1'b0}}}; VAR5[96:111]<={VAR7[103:111],{7{1'b0}}}; VAR5[112:127]<={VAR7[119:127],{7{1'b0}}}; end 4'd8: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[72:79],{8{1'b0}}}; VAR5[80:95]<={VAR7[88:95],{8{1'b0}}}; VAR5[96:111]<={VAR7[104:111],{8{1'b0}}}; VAR5[112:127]<={VAR7[120:127],{8{1'b0}}}; end 4'd9: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[73:79],{9{1'b0}}}; VAR5[80:95]<={VAR7[89:95],{9{1'b0}}}; VAR5[96:111]<={VAR7[105:111],{9{1'b0}}}; VAR5[112:127]<={VAR7[121:127],{9{1'b0}}}; end 4'd10: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[74:79],{10{1'b0}}}; VAR5[80:95]<={VAR7[90:95],{10{1'b0}}}; VAR5[96:111]<={VAR7[106:111],{10{1'b0}}}; VAR5[112:127]<={VAR7[122:127],{10{1'b0}}}; end 4'd11: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[75:79],{11{1'b0}}}; VAR5[80:95]<={VAR7[91:95],{11{1'b0}}}; VAR5[96:111]<={VAR7[107:111],{11{1'b0}}}; VAR5[112:127]<={VAR7[123:127],{11{1'b0}}}; end 4'd12: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[76:79],{12{1'b0}}}; VAR5[80:95]<={VAR7[92:95],{12{1'b0}}}; VAR5[96:111]<={VAR7[108:111],{12{1'b0}}}; VAR5[112:127]<={VAR7[124:127],{12{1'b0}}}; end 4'd13: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[77:79],{13{1'b0}}}; VAR5[80:95]<={VAR7[93:95],{13{1'b0}}}; VAR5[96:111]<={VAR7[109:111],{13{1'b0}}}; VAR5[112:127]<={VAR7[125:127],{13{1'b0}}}; end 4'd14: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[78:79],{14{1'b0}}}; VAR5[80:95]<={VAR7[94:95],{14{1'b0}}}; VAR5[96:111]<={VAR7[110:111],{14{1'b0}}}; VAR5[112:127]<={VAR7[126:127],{14{1'b0}}}; end 4'd15: begin VAR5[0:63]<=64'd0; VAR5[64:79]<={VAR7[79],{15{1'b0}}}; VAR5[80:95]<={VAR7[95],{15{1'b0}}}; VAR5[96:111]<={VAR7[111],{15{1'b0}}}; VAR5[112:127]<={VAR7[127],{15{1'b0}}}; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:63]<=64'd0; VAR5[64:127]<=VAR7[64:127]; end 5'd1: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[65:95],{1'b0}}; VAR5[96:127]<={VAR7[97:127],{1'b0}}; end 5'd2: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[66:95],{2{1'b0}}}; VAR5[96:127]<={VAR7[98:127],{2{1'b0}}}; end 5'd3: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[67:95],{3{1'b0}}}; VAR5[96:127]<={VAR7[99:127],{3{1'b0}}}; end 5'd4: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[68:95],{4{1'b0}}}; VAR5[96:127]<={VAR7[100:127],{4{1'b0}}}; end 5'd5: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[69:95],{5{1'b0}}}; VAR5[96:127]<={VAR7[101:127],{5{1'b0}}}; end 5'd6: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[70:95],{6{1'b0}}}; VAR5[96:127]<={VAR7[102:127],{6{1'b0}}}; end 5'd7: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[71:95],{7{1'b0}}}; VAR5[96:127]<={VAR7[103:127],{7{1'b0}}}; end 5'd8: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[72:95],{8{1'b0}}}; VAR5[96:127]<={VAR7[104:127],{8{1'b0}}}; end 5'd9: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[73:95],{9{1'b0}}}; VAR5[96:127]<={VAR7[105:127],{9{1'b0}}}; end 5'd10: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[74:95],{10{1'b0}}}; VAR5[96:127]<={VAR7[106:127],{10{1'b0}}}; end 5'd11: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[75:95],{11{1'b0}}}; VAR5[96:127]<={VAR7[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[76:95],{12{1'b0}}}; VAR5[96:127]<={VAR7[108:127],{12{1'b0}}}; end 5'd13: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[77:95],{13{1'b0}}}; VAR5[96:127]<={VAR7[109:127],{13{1'b0}}}; end 5'd14: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[78:95],{14{1'b0}}}; VAR5[96:127]<={VAR7[110:127],{14{1'b0}}}; end 5'd15: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[79:95],{15{1'b0}}}; VAR5[96:127]<={VAR7[111:127],{15{1'b0}}}; end 5'd16: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[80:95],{16{1'b0}}}; VAR5[96:127]<={VAR7[112:127],{16{1'b0}}}; end 5'd17: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[81:95],{17{1'b0}}}; VAR5[96:127]<={VAR7[113:127],{17{1'b0}}}; end 5'd18: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[82:95],{18{1'b0}}}; VAR5[96:127]<={VAR7[114:127],{18{1'b0}}}; end 5'd19: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[83:95],{19{1'b0}}}; VAR5[96:127]<={VAR7[115:127],{19{1'b0}}}; end 5'd20: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[84:95],{20{1'b0}}}; VAR5[96:127]<={VAR7[116:127],{20{1'b0}}}; end 5'd21: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[85:95],{21{1'b0}}}; VAR5[96:127]<={VAR7[117:127],{21{1'b0}}}; end 5'd22: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[86:95],{22{1'b0}}}; VAR5[96:127]<={VAR7[118:127],{22{1'b0}}}; end 5'd23: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[87:95],{23{1'b0}}}; VAR5[96:127]<={VAR7[119:127],{23{1'b0}}}; end 5'd24: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[88:95],{24{1'b0}}}; VAR5[96:127]<={VAR7[120:127],{24{1'b0}}}; end 5'd25: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[89:95],{25{1'b0}}}; VAR5[96:127]<={VAR7[121:127],{25{1'b0}}}; end 5'd26: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[90:95],{26{1'b0}}}; VAR5[96:127]<={VAR7[122:127],{26{1'b0}}}; end 5'd27: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[91:95],{27{1'b0}}}; VAR5[96:127]<={VAR7[123:127],{27{1'b0}}}; end 5'd28: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[92:95],{28{1'b0}}}; VAR5[96:127]<={VAR7[124:127],{28{1'b0}}}; end 5'd29: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[93:95],{29{1'b0}}}; VAR5[96:127]<={VAR7[125:127],{29{1'b0}}}; end 5'd30: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[94:95],{30{1'b0}}}; VAR5[96:127]<={VAR7[126:127],{30{1'b0}}}; end 5'd31: begin VAR5[0:63]<=64'd0; VAR5[64:95]<={VAR7[95],{31{1'b0}}}; VAR5[96:127]<={VAR7[127],{31{1'b0}}}; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:7]<=VAR7[0:7]; VAR5[8:15]<=8'b0; VAR5[16:23]<=VAR7[16:23]; VAR5[24:31]<=8'b0; VAR5[32:39]<=VAR7[33:39]; VAR5[40:47]<=8'b0; VAR5[48:55]<=VAR7[48:55]; VAR5[56:63]<=8'b0; VAR5[64:71]<=VAR7[64:71]; VAR5[72:79]<=8'b0; VAR5[80:87]<=VAR7[80:87]; VAR5[88:95]<=8'b0; VAR5[96:103]<=VAR7[96:103]; VAR5[104:111]<=8'b0; VAR5[112:119]<=VAR7[112:119]; VAR5[120:127]<=8'b0; end 3'd1: begin VAR5[0:7]<={VAR7[1:7],{1'b0}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[17:23],{1'b0}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[33:39],{1'b0}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[49:55],{1'b0}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[65:71],{1'b0}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[81:87],{1'b0}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[97:103],{1'b0}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[113:119],{1'b0}}; VAR5[120:127]<=8'b0; end 3'd2: begin VAR5[0:7]<={VAR7[2:7],{2{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[18:23],{2{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[34:39],{2{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[50:55],{2{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[66:71],{2{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[82:87],{2{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[98:103],{2{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[114:119],{2{1'b0}}}; VAR5[120:127]<=8'b0; end 3'd3: begin VAR5[0:7]<={VAR7[3:7],{3{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[19:23],{3{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[35:39],{3{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[51:55],{3{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[67:71],{3{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[83:87],{3{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[99:103],{3{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[115:119],{3{1'b0}}}; VAR5[120:127]<=8'b0; end 3'd4: begin VAR5[0:7]<={VAR7[4:7],{4{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[20:23],{4{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[36:39],{4{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[52:55],{4{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[68:71],{4{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[84:87],{4{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[100:103],{4{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[116:119],{4{1'b0}}}; VAR5[120:127]<=8'b0; end 3'd5: begin VAR5[0:7]<={VAR7[5:7],{5{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[21:23],{5{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[37:39],{5{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[53:55],{5{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[69:71],{5{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[85:87],{5{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[101:103],{5{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[117:119],{5{1'b0}}}; VAR5[120:127]<=8'b0; end 3'd6: begin VAR5[0:7]<={VAR7[6:7],{6{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[22:23],{6{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[38:39],{6{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[54:55],{6{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[70:71],{6{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[86:87],{6{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[102:103],{6{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[118:119],{6{1'b0}}}; VAR5[120:127]<=8'b0; end 3'd7: begin VAR5[0:7]<={VAR7[7],{7{1'b0}}}; VAR5[8:15]<=8'b0; VAR5[16:23]<={VAR7[23],{7{1'b0}}}; VAR5[24:31]<=8'b0; VAR5[32:39]<={VAR7[39],{7{1'b0}}}; VAR5[40:47]<=8'b0; VAR5[48:55]<={VAR7[55],{7{1'b0}}}; VAR5[56:63]<=8'b0; VAR5[64:71]<={VAR7[71],{7{1'b0}}}; VAR5[72:79]<=8'b0; VAR5[80:87]<={VAR7[87],{7{1'b0}}}; VAR5[88:95]<=8'b0; VAR5[96:103]<={VAR7[103],{7{1'b0}}}; VAR5[104:111]<=8'b0; VAR5[112:119]<={VAR7[119],{7{1'b0}}}; VAR5[120:127]<=8'b0; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:127]<=VAR7[0:127]; end 4'd1: begin VAR5[0:15]<={VAR7[1:15],{1'b0}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[33:47],{1'b0}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[65:79],{1'b0}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[97:111],{1'b0}}; VAR5[112:127]<=16'b0; end 4'd2: begin VAR5[0:15]<={VAR7[2:15],{2{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[34:47],{2{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[66:79],{2{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[98:111],{2{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd3: begin VAR5[0:15]<={VAR7[3:15],{3{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[35:47],{3{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[67:79],{3{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[99:111],{3{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd4: begin VAR5[0:15]<={VAR7[4:15],{4{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[36:47],{4{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[68:79],{4{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[100:111],{4{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd5: begin VAR5[0:15]<={VAR7[5:15],{5{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[37:47],{5{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[69:79],{5{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[101:111],{5{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd6: begin VAR5[0:15]<={VAR7[6:15],{6{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[38:47],{6{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[70:79],{6{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[102:111],{6{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd7: begin VAR5[0:15]<={VAR7[7:15],{7{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[39:47],{7{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[71:79],{7{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[103:111],{7{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd8: begin VAR5[0:15]<={VAR7[8:15],{8{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[40:47],{8{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[72:79],{8{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[104:111],{8{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd9: begin VAR5[0:15]<={VAR7[9:15],{9{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[41:47],{9{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[73:79],{9{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[105:111],{9{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd10: begin VAR5[0:15]<={VAR7[10:15],{10{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[42:47],{10{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[74:79],{10{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[106:111],{10{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd11: begin VAR5[0:15]<={VAR7[11:15],{11{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[43:47],{11{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[75:79],{11{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[107:111],{11{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd12: begin VAR5[0:15]<={VAR7[12:15],{12{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[44:47],{12{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[76:79],{12{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[108:111],{12{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd13: begin VAR5[0:15]<={VAR7[13:15],{13{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[45:47],{13{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[77:79],{13{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[109:111],{13{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd14: begin VAR5[0:15]<={VAR7[14:15],{14{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[46:47],{14{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[78:79],{14{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[110:111],{14{1'b0}}}; VAR5[112:127]<=16'b0; end 4'd15: begin VAR5[0:15]<={VAR7[15],{15{1'b0}}}; VAR5[16:31]<=16'b0; VAR5[32:47]<={VAR7[47],{15{1'b0}}}; VAR5[48:63]<=16'b0; VAR5[64:79]<={VAR7[79],{15{1'b0}}}; VAR5[80:95]<=16'b0; VAR5[96:111]<={VAR7[111],{15{1'b0}}}; VAR5[112:127]<=16'b0; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:127]<=VAR7[0:127]; end 5'd1: begin VAR5[0:31]<={VAR7[1:31],{1'b0}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[65:95],{1'b0}}; VAR5[96:127]<=32'b0; end 5'd2: begin VAR5[0:31]<={VAR7[2:31],{2{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[66:95],{2{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd3: begin VAR5[0:31]<={VAR7[3:31],{3{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[67:95],{3{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd4: begin VAR5[0:31]<={VAR7[4:31],{4{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[68:95],{4{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd5: begin VAR5[0:31]<={VAR7[5:31],{5{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[69:95],{5{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd6: begin VAR5[0:31]<={VAR7[6:31],{6{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[70:95],{6{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd7: begin VAR5[0:31]<={VAR7[7:31],{7{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[71:95],{7{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd8: begin VAR5[0:31]<={VAR7[8:31],{8{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[72:95],{8{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd9: begin VAR5[0:31]<={VAR7[9:31],{9{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[73:95],{9{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd10: begin VAR5[0:31]<={VAR7[10:31],{10{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[74:95],{10{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd11: begin VAR5[0:31]<={VAR7[11:31],{11{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[75:95],{11{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd12: begin VAR5[0:31]<={VAR7[12:31],{12{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[76:95],{12{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd13: begin VAR5[0:31]<={VAR7[13:31],{13{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[77:95],{13{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd14: begin VAR5[0:31]<={VAR7[14:31],{14{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[78:95],{14{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd15: begin VAR5[0:31]<={VAR7[15:31],{15{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[79:95],{15{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd16: begin VAR5[0:31]<={VAR7[16:31],{16{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[80:95],{16{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd17: begin VAR5[0:31]<={VAR7[17:31],{17{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[81:95],{17{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd18: begin VAR5[0:31]<={VAR7[18:31],{18{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[82:95],{18{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd19: begin VAR5[0:31]<={VAR7[19:31],{19{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[83:95],{19{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd20: begin VAR5[0:31]<={VAR7[20:31],{20{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[84:95],{20{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd21: begin VAR5[0:31]<={VAR7[21:31],{21{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[85:95],{21{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd22: begin VAR5[0:31]<={VAR7[22:31],{22{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[86:95],{22{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd23: begin VAR5[0:31]<={VAR7[23:31],{23{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[87:95],{23{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd24: begin VAR5[0:31]<={VAR7[24:31],{24{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[88:95],{24{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd25: begin VAR5[0:31]<={VAR7[25:31],{25{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[89:95],{25{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd26: begin VAR5[0:31]<={VAR7[26:31],{26{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[90:95],{26{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd27: begin VAR5[0:31]<={VAR7[27:31],{27{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[91:95],{27{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd28: begin VAR5[0:31]<={VAR7[28:31],{28{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[92:95],{28{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd29: begin VAR5[0:31]<={VAR7[29:31],{29{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[93:95],{29{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd30: begin VAR5[0:31]<={VAR7[30:31],{30{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[94:95],{30{1'b0}}}; VAR5[96:127]<=32'b0; end 5'd31: begin VAR5[0:31]<={VAR7[31],{31{1'b0}}}; VAR5[32:63]<=32'b0; VAR5[64:95]<={VAR7[95],{31{1'b0}}}; VAR5[96:127]<=32'b0; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:127]<=VAR7[0:127]; end 3'd1: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[9:15],{1'b0}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[25:31],{1'b0}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[41:47],{1'b0}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[57:63],{1'b0}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[73:79],{1'b0}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[89:95],{1'b0}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[105:111],{1'b0}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[121:127],{1'b0}}; end 3'd2: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[10:15],{2{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[26:31],{2{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[42:47],{2{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[58:63],{2{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[74:79],{2{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[90:95],{2{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[106:111],{2{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[122:127],{2{1'b0}}}; end 3'd3: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[11:15],{3{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[27:31],{3{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[43:47],{3{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[59:63],{3{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[75:79],{3{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[91:95],{3{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[107:111],{3{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[123:127],{3{1'b0}}}; end 3'd4: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[12:15],{4{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[28:31],{4{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[44:47],{4{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[60:63],{4{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[76:79],{4{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[92:95],{4{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[108:111],{4{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[124:127],{4{1'b0}}}; end 3'd5: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[13:15],{5{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[29:31],{5{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[45:47],{5{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[61:63],{5{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[77:79],{5{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[93:95],{5{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[109:111],{5{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[125:127],{5{1'b0}}}; end 3'd6: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[14:15],{6{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[30:31],{6{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[46:47],{6{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[62:63],{6{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[78:79],{6{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[94:95],{6{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[110:111],{6{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[126:127],{6{1'b0}}}; end 3'd7: begin VAR5[0:7]<=8'b0; VAR5[8:15]<={VAR7[15],{7{1'b0}}}; VAR5[16:23]<=8'b0; VAR5[24:31]<={VAR7[31],{7{1'b0}}}; VAR5[32:39]<=8'b0; VAR5[40:47]<={VAR7[47],{7{1'b0}}}; VAR5[48:55]<=8'b0; VAR5[56:63]<={VAR7[63],{7{1'b0}}}; VAR5[64:71]<=8'b0; VAR5[72:79]<={VAR7[79],{7{1'b0}}}; VAR5[80:87]<=8'b0; VAR5[88:95]<={VAR7[95],{7{1'b0}}}; VAR5[96:103]<=8'b0; VAR5[104:111]<={VAR7[111],{7{1'b0}}}; VAR5[112:119]<=8'b0; VAR5[120:127]<={VAR7[127],{7{1'b0}}}; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:127]<=VAR7[0:127]; end 4'd1: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[17:31],{1'b0}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[49:63],{1'b0}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[81:95],{1'b0}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[113:127],{1'b0}}; end 4'd2: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[18:31],{2{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[50:63],{2{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[82:95],{2{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[114:127],{2{1'b0}}}; end 4'd3: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[19:31],{3{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[51:63],{3{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[83:95],{3{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[115:127],{3{1'b0}}}; end 4'd4: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[20:31],{4{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[52:63],{4{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[84:95],{4{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[116:127],{4{1'b0}}}; end 4'd5: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[21:31],{5{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[52:63],{5{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[85:95],{5{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[117:127],{5{1'b0}}}; end 4'd6: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[22:31],{6{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[53:63],{6{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[86:95],{6{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[118:127],{6{1'b0}}}; end 4'd7: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[23:31],{7{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[54:63],{7{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[87:95],{7{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[119:127],{7{1'b0}}}; end 4'd8: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[24:31],{8{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[55:63],{8{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[88:95],{8{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[120:127],{8{1'b0}}}; end 4'd9: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[25:31],{9{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[56:63],{9{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[89:95],{9{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[121:127],{9{1'b0}}}; end 4'd10: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[26:31],{10{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[58:63],{10{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[90:95],{10{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[122:127],{10{1'b0}}}; end 4'd11: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[27:31],{11{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[59:63],{11{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[91:95],{11{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[123:127],{11{1'b0}}}; end 4'd12: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[28:31],{12{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[60:63],{12{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[92:95],{12{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[124:127],{12{1'b0}}}; end 4'd13: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[29:31],{13{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[61:63],{13{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[93:95],{13{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[125:127],{13{1'b0}}}; end 4'd14: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[30:31],{14{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[62:63],{14{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[94:95],{14{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[126:127],{14{1'b0}}}; end 4'd15: begin VAR5[0:15]<=16'b0; VAR5[16:31]<={VAR7[31],{15{1'b0}}}; VAR5[32:47]<=16'b0; VAR5[48:63]<={VAR7[63],{15{1'b0}}}; VAR5[64:79]<=16'b0; VAR5[80:95]<={VAR7[95],{15{1'b0}}}; VAR5[96:111]<=16'b0; VAR5[112:127]<={VAR7[127],{15{1'b0}}}; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:127]<=VAR7[0:127]; end 5'd1: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[33:63],{1'b0}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[97:127],{1'b0}}; end 5'd2: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[34:63],{2{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[98:127],{2{1'b0}}}; end 5'd3: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[35:63],{3{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[99:127],{3{1'b0}}}; end 5'd4: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[36:63],{4{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[100:127],{4{1'b0}}}; end 5'd5: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[37:63],{5{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[101:127],{5{1'b0}}}; end 5'd6: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[38:63],{6{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[102:127],{6{1'b0}}}; end 5'd7: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[39:63],{7{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[103:127],{7{1'b0}}}; end 5'd8: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[40:63],{8{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[104:127],{8{1'b0}}}; end 5'd9: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[41:63],{9{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[105:127],{9{1'b0}}}; end 5'd10: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[42:63],{10{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[106:127],{10{1'b0}}}; end 5'd11: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[43:63],{11{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[44:63],{12{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[108:127],{12{1'b0}}}; end 5'd13: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[45:63],{13{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[109:127],{13{1'b0}}}; end 5'd14: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[46:63],{14{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[110:127],{14{1'b0}}}; end 5'd15: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[47:63],{15{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[111:127],{15{1'b0}}}; end 5'd16: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[48:63],{16{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[112:127],{16{1'b0}}}; end 5'd17: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[49:63],{17{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[113:127],{17{1'b0}}}; end 5'd18: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[50:63],{18{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[114:127],{18{1'b0}}}; end 5'd19: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[51:63],{19{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[115:127],{19{1'b0}}}; end 5'd20: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[52:63],{20{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[116:127],{20{1'b0}}}; end 5'd21: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[53:63],{21{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[117:127],{21{1'b0}}}; end 5'd22: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[54:63],{22{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[118:127],{22{1'b0}}}; end 5'd23: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[55:63],{23{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[119:127],{23{1'b0}}}; end 5'd24: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[56:63],{24{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[120:127],{24{1'b0}}}; end 5'd25: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[57:63],{25{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[121:127],{25{1'b0}}}; end 5'd26: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[58:63],{26{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[122:127],{26{1'b0}}}; end 5'd27: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[59:63],{27{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[123:127],{27{1'b0}}}; end 5'd28: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[60:63],{28{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[124:127],{28{1'b0}}}; end 5'd29: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[61:63],{29{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[125:127],{29{1'b0}}}; end 5'd30: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[62:63],{30{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[126:127],{30{1'b0}}}; end 5'd31: begin VAR5[0:31]<=32'b0; VAR5[32:63]<={VAR7[63],{31{1'b0}}}; VAR5[64:95]<=32'b0; VAR5[96:127]<={VAR7[127],{31{1'b0}}}; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:7]<=VAR7[0:7]; VAR5[8:127]<=119'b0; end 3'd1: begin VAR5[0:7]<={VAR7[1:7],{1'b0}}; VAR5[8:127]<=119'b0; end 3'd2: begin VAR5[0:7]<={VAR7[2:7],{2{1'b0}}}; VAR5[8:127]<=119'b0; end 3'd3: begin VAR5[0:7]<={VAR7[3:7],{3{1'b0}}}; VAR5[8:127]<=119'b0; end 3'd4: begin VAR5[0:7]<={VAR7[4:7],{4{1'b0}}}; VAR5[8:127]<=119'b0; end 3'd5: begin VAR5[0:7]<={VAR7[5:7],{5{1'b0}}}; VAR5[8:127]<=119'b0; end 3'd6: begin VAR5[0:7]<={VAR7[6:7],{6{1'b0}}}; VAR5[8:127]<=119'b0; end 3'd7: begin VAR5[0:7]<={VAR7[7],{7{1'b0}}}; VAR5[8:127]<=119'b0; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:15]<=VAR7[0:15]; VAR5[16:127]<=112'b0; end 4'd1: begin VAR5[0:15]<={VAR7[1:15],{1'b0}}; VAR5[16:127]<=112'b0; end 4'd2: begin VAR5[0:15]<={VAR7[2:15],{2{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd3: begin VAR5[0:15]<={VAR7[3:15],{3{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd4: begin VAR5[0:15]<={VAR7[4:15],{4{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd5: begin VAR5[0:15]<={VAR7[5:15],{5{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd6: begin VAR5[0:15]<={VAR7[6:15],{6{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd7: begin VAR5[0:15]<={VAR7[7:15],{7{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd8: begin VAR5[0:15]<={VAR7[8:15],{8{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd9: begin VAR5[0:15]<={VAR7[9:15],{9{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd10: begin VAR5[0:15]<={VAR7[10:15],{10{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd11: begin VAR5[0:15]<={VAR7[11:15],{11{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd12: begin VAR5[0:15]<={VAR7[12:15],{12{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd13: begin VAR5[0:15]<={VAR7[13:15],{13{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd14: begin VAR5[0:15]<={VAR7[14:15],{14{1'b0}}}; VAR5[16:127]<=112'b0; end 4'd15: begin VAR5[0:15]<={VAR7[15],{15{1'b0}}}; VAR5[16:127]<=112'b0; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:31]<=VAR7[0:31]; VAR5[32:127]<=96'b0; end 5'd1: begin VAR5[0:31]<={VAR7[1:31],{1'b0}}; VAR5[32:127]<=96'b0; end 5'd2: begin VAR5[0:31]<={VAR7[2:31],{2{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd3: begin VAR5[0:31]<={VAR7[3:31],{3{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd4: begin VAR5[0:31]<={VAR7[4:31],{4{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd5: begin VAR5[0:31]<={VAR7[5:31],{5{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd6: begin VAR5[0:31]<={VAR7[6:31],{6{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd7: begin VAR5[0:31]<={VAR7[7:31],{7{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd8: begin VAR5[0:31]<={VAR7[8:31],{8{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd9: begin VAR5[0:31]<={VAR7[9:31],{9{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd10: begin VAR5[0:31]<={VAR7[10:31],{10{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd11: begin VAR5[0:31]<={VAR7[11:31],{11{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd12: begin VAR5[0:31]<={VAR7[12:31],{12{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd13: begin VAR5[0:31]<={VAR7[13:31],{13{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd14: begin VAR5[0:31]<={VAR7[14:31],{14{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd15: begin VAR5[0:31]<={VAR7[15:31],{15{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd16: begin VAR5[0:31]<={VAR7[16:31],{16{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd17: begin VAR5[0:31]<={VAR7[17:31],{17{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd18: begin VAR5[0:31]<={VAR7[18:31],{18{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd19: begin VAR5[0:31]<={VAR7[19:31],{19{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd20: begin VAR5[0:31]<={VAR7[20:31],{20{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd21: begin VAR5[0:31]<={VAR7[21:31],{21{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd22: begin VAR5[0:31]<={VAR7[22:31],{22{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd23: begin VAR5[0:31]<={VAR7[23:31],{23{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd24: begin VAR5[0:31]<={VAR7[24:31],{24{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd25: begin VAR5[0:31]<={VAR7[25:31],{25{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd26: begin VAR5[0:31]<={VAR7[26:31],{26{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd27: begin VAR5[0:31]<={VAR7[27:31],{27{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd28: begin VAR5[0:31]<={VAR7[28:31],{28{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd29: begin VAR5[0:31]<={VAR7[29:31],{29{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd30: begin VAR5[0:31]<={VAR7[30:31],{30{1'b0}}}; VAR5[32:127]<=96'b0; end 5'd31: begin VAR5[0:31]<={VAR7[31],{31{1'b0}}}; VAR5[32:127]<=96'b0; end endcase end endcase end case(VAR4) begin case(VAR6[2:4]) 3'd0: begin VAR5[0:119]<=120'b0; VAR5[120:127]<=VAR7[120:127]; end 3'd1: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[121:127],{1'b0}}; end 3'd2: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[122:127],{2{1'b0}}}; end 3'd3: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[123:127],{3{1'b0}}}; end 3'd4: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[124:127],{4{1'b0}}}; end 3'd5: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[125:127],{5{1'b0}}}; end 3'd6: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[126:127],{6{1'b0}}}; end 3'd7: begin VAR5[0:119]<=120'b0; VAR5[120:127]<={VAR7[127],{7{1'b0}}}; end endcase end begin case(VAR6[1:4]) 4'd0: begin VAR5[0:111]<=112'b0; VAR5[112:127]<=VAR7[112:127]; end 4'd1: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[113:127],{1'b0}}; end 4'd2: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[114:127],{2{1'b0}}}; end 4'd3: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[115:127],{3{1'b0}}}; end 4'd4: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[116:127],{4{1'b0}}}; end 4'd5: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[117:127],{5{1'b0}}}; end 4'd6: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[118:127],{6{1'b0}}}; end 4'd7: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[119:127],{7{1'b0}}}; end 4'd8: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[120:127],{8{1'b0}}}; end 4'd9: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[121:127],{9{1'b0}}}; end 4'd10: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[122:127],{10{1'b0}}}; end 4'd11: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[123:127],{11{1'b0}}}; end 4'd12: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[124:127],{12{1'b0}}}; end 4'd13: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[125:127],{13{1'b0}}}; end 4'd14: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[126:127],{14{1'b0}}}; end 4'd15: begin VAR5[0:111]<=112'b0; VAR5[112:127]<={VAR7[127],{15{1'b0}}}; end endcase end begin case(VAR6[0:4]) 5'd0: begin VAR5[0:95]<=96'b0; VAR5[96:127]<=VAR7[96:127]; end 5'd1: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[97:127],{1'b0}}; end 5'd2: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[98:127],{2{1'b0}}}; end 5'd3: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[99:127],{3{1'b0}}}; end 5'd4: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[100:127],{4{1'b0}}}; end 5'd5: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[101:127],{5{1'b0}}}; end 5'd6: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[102:127],{6{1'b0}}}; end 5'd7: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[103:127],{7{1'b0}}}; end 5'd8: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[104:127],{8{1'b0}}}; end 5'd9: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[105:127],{9{1'b0}}}; end 5'd10: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[106:127],{10{1'b0}}}; end 5'd11: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[108:127],{12{1'b0}}}; end 5'd13: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[109:127],{13{1'b0}}}; end 5'd14: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[110:127],{14{1'b0}}}; end 5'd15: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[111:127],{15{1'b0}}}; end 5'd16: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[112:127],{16{1'b0}}}; end 5'd17: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[113:127],{17{1'b0}}}; end 5'd18: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[114:127],{18{1'b0}}}; end 5'd19: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[115:127],{19{1'b0}}}; end 5'd20: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[116:127],{20{1'b0}}}; end 5'd21: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[117:127],{21{1'b0}}}; end 5'd22: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[118:127],{22{1'b0}}}; end 5'd23: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[119:127],{23{1'b0}}}; end 5'd24: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[120:127],{24{1'b0}}}; end 5'd25: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[121:127],{25{1'b0}}}; end 5'd26: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[122:127],{26{1'b0}}}; end 5'd27: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[123:127],{27{1'b0}}}; end 5'd28: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[124:127],{28{1'b0}}}; end 5'd29: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[125:127],{29{1'b0}}}; end 5'd30: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[126:127],{30{1'b0}}}; end 5'd31: begin VAR5[0:95]<=96'b0; VAR5[96:127]<={VAR7[127],{31{1'b0}}}; end endcase end endcase end endcase end default: begin VAR5<=128'd0; end endcase end endmodule
mit
niketancm/tsea26
lab2-3/rtl/mac_scale.v
1,488
module MODULE1 (input wire signed [39:0] VAR3, input wire [2:0] VAR4, output wire VAR2, output reg [39:0] VAR6); reg VAR5; reg VAR1; assign VAR2 = VAR1 | VAR5; always@(*) begin VAR5 = 0; VAR1 = 0; case (VAR4) 3'b000: begin VAR6=VAR3; end 3'b001: begin VAR6=VAR3 << 1; VAR5 = ~VAR3[39] & VAR3[38]; VAR1 = VAR3[39] & ~VAR3[38]; end 3'b010: begin VAR6=VAR3 << 2; VAR5 = ~VAR3[39] & (|VAR3[38:37]); VAR1 = VAR3[39] & ~(&VAR3[38:37]); end 3'b011: begin VAR6={VAR3[39],VAR3[39:1]}; end 3'b100: begin VAR6={{2{VAR3[39]}},VAR3[39:2]}; end 3'b101: begin VAR6={{3{VAR3[39]}},VAR3[39:3]}; end 3'b110: begin VAR6={{4{VAR3[39]}},VAR3[39:4]}; end 3'b111: begin VAR6=VAR3 << 16; VAR5 = ~VAR3[39] & (|VAR3[38:23]); VAR1 = VAR3[39] & ~(&VAR3[38:23]); end endcase end endmodule
gpl-2.0
fbalakirev/red-pitaya-notes
cores/axis_alex_v1_0/axis_alex.v
2,028
module MODULE1 ( input wire VAR7, input wire VAR10, output wire [3:0] VAR14, output wire VAR9, input wire [31:0] VAR16, input wire VAR12 ); reg [15:0] VAR6, VAR3; reg [11:0] VAR1, VAR11; reg [1:0] VAR5, VAR4; reg VAR15, VAR8; reg VAR2, VAR13; always @(posedge VAR7) begin if(~VAR10) begin VAR6 <= 16'd0; VAR1 <= 12'd0; VAR5 <= 2'd0; VAR15 <= 1'b0; VAR2 <= 1'b0; end else begin VAR6 <= VAR3; VAR1 <= VAR11; VAR5 <= VAR4; VAR15 <= VAR8; VAR2 <= VAR13; end end always @* begin VAR3 = VAR6; VAR11 = VAR1; VAR4 = VAR5; VAR8 = VAR15; VAR13 = VAR2; if(VAR12 & ~VAR15) begin VAR3 = VAR16[15:0]; VAR4 = VAR16[17:16]; VAR8 = 1'b1; VAR13 = 1'b1; end if(VAR2) begin VAR13 = 1'b0; end if(VAR15) begin VAR11 = VAR1 + 1'b1; end if(&VAR1[6:0]) begin VAR3 = {VAR6[14:0], 1'b0}; end if(VAR1[7] & VAR1[11]) begin VAR11 = 12'd0; VAR4 = 2'd0; VAR8 = 1'b0; end end assign VAR9 = VAR2; assign VAR14[0] = VAR6[15]; assign VAR14[1] = VAR1[6] & ~VAR1[11]; assign VAR14[2] = VAR5[0] & VAR1[6] & VAR1[11]; assign VAR14[3] = VAR5[1] & VAR1[6] & VAR1[11]; endmodule
mit
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/verilog/ANN_ddiv_64ns_64ns_64_31.v
1,903
module MODULE1 VAR11 = 7, VAR16 = 31, VAR6 = 64, VAR5 = 64, VAR23 = 64 )( input wire clk, input wire reset, input wire VAR2, input wire [VAR6-1:0] VAR27, input wire [VAR5-1:0] VAR21, output wire [VAR23-1:0] dout ); wire VAR12; wire VAR17; wire VAR7; wire [63:0] VAR8; wire VAR18; wire [63:0] VAR24; wire VAR10; wire [63:0] VAR4; reg [VAR6-1:0] VAR26; reg [VAR5-1:0] VAR14; VAR9 VAR22 ( .VAR12 ( VAR12 ), .VAR17 ( VAR17 ), .VAR13 ( VAR7 ), .VAR3 ( VAR8 ), .VAR15 ( VAR18 ), .VAR19 ( VAR24 ), .VAR25 ( VAR10 ), .VAR20 ( VAR4 ) ); assign VAR12 = clk; assign VAR17 = VAR2; assign VAR7 = 1'b1; assign VAR8 = VAR26==='VAR1 ? 'b0 : VAR26; assign VAR18 = 1'b1; assign VAR24 = VAR14==='VAR1 ? 'b0 : VAR14; assign dout = VAR4; always @(posedge clk) begin if (VAR2) begin VAR26 <= VAR27; VAR14 <= VAR21; end end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai21/gf180mcu_fd_sc_mcu9t5v0__oai21_2.behavioral.pp.v
1,561
module MODULE1( VAR8, VAR2, VAR9, VAR7, VAR3, VAR4 ); input VAR7, VAR9, VAR8; inout VAR3, VAR4; output VAR2; VAR5 VAR6(.VAR8(VAR8),.VAR2(VAR2),.VAR9(VAR9),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4)); VAR5 VAR1(.VAR8(VAR8),.VAR2(VAR2),.VAR9(VAR9),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4));
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_misc/rtl/bw_io_schmitt.v
1,359
module MODULE1( out, in, VAR1 ); output out; input in; inout VAR1; wire out = in; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o221a/sky130_fd_sc_hdll__o221a.symbol.v
1,402
module MODULE1 ( input VAR6, input VAR10, input VAR2, input VAR8, input VAR1, output VAR3 ); supply1 VAR4; supply0 VAR9; supply1 VAR5 ; supply0 VAR7 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o21a/sky130_fd_sc_ls__o21a.pp.symbol.v
1,344
module MODULE1 ( input VAR8 , input VAR5 , input VAR3 , output VAR4 , input VAR1 , input VAR7, input VAR2, input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a31oi/sky130_fd_sc_ls__a31oi.behavioral.pp.v
2,038
module MODULE1 ( VAR17 , VAR4 , VAR13 , VAR10 , VAR8 , VAR11, VAR15, VAR1 , VAR5 ); output VAR17 ; input VAR4 ; input VAR13 ; input VAR10 ; input VAR8 ; input VAR11; input VAR15; input VAR1 ; input VAR5 ; wire VAR12 ; wire VAR16 ; wire VAR2; and VAR6 (VAR12 , VAR10, VAR4, VAR13 ); nor VAR7 (VAR16 , VAR8, VAR12 ); VAR3 VAR14 (VAR2, VAR16, VAR11, VAR15); buf VAR9 (VAR17 , VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/srdlrtp/sky130_fd_sc_lp__srdlrtp.functional.v
1,861
module MODULE1 ( VAR8 , VAR3, VAR13 , VAR9 , VAR14 ); output VAR8 ; input VAR3; input VAR13 ; input VAR9 ; input VAR14; wire VAR6; wire VAR4; wire VAR5; wire VAR15 ; wire VAR12 ; not VAR7 (VAR4 , VAR3 ); VAR10 VAR1 VAR2 (VAR6 , VAR13, VAR9, VAR4, VAR14, VAR5, VAR15, VAR12); bufif1 VAR11 (VAR8 , VAR6, VAR12 ); endmodule
apache-2.0
asicguy/gplgpu
hdl/altera_ddr3/ddr3_int_alt_ddrx_controller_wrapper.v
17,925
module MODULE1 ( VAR39, VAR59, VAR50, VAR35, VAR109, VAR67, VAR13, VAR26, VAR36, VAR85, VAR74, VAR30, VAR48, VAR83, VAR64, VAR80, VAR73, VAR58, VAR37, VAR111, VAR103, VAR70, VAR54, VAR105, VAR4, VAR110, VAR41, VAR69, VAR98, VAR63, VAR52, VAR49, VAR77, VAR27, VAR53, VAR100, VAR81, VAR32, VAR116, VAR97, VAR31, VAR43, VAR57, VAR61, VAR101, VAR113, VAR60, VAR19, VAR10, VAR71, VAR6, VAR25, VAR11, VAR5, VAR94, VAR14, VAR86, VAR34, VAR93 ); localparam VAR55 = "VAR21"; localparam VAR112 = 7; localparam VAR92 = 25; localparam VAR90 = 128; localparam VAR66 = "VAR47"; localparam VAR84 = 1; localparam VAR99 = 1; localparam VAR16 = 1; localparam VAR91 = 1; localparam VAR75 = 14; localparam VAR29 = 14; localparam VAR120 = 10; localparam VAR1 = 3; localparam VAR108 = 4; localparam VAR68 = 32; localparam VAR12 = 4; localparam VAR3 = 1; localparam VAR18 = 1; localparam VAR79 = 4; localparam VAR115 = 8; localparam VAR45 = 8; localparam VAR65 = 0; localparam VAR40 = 0; localparam VAR106 = 0; localparam VAR88 = 0; localparam VAR15 = 0; localparam VAR62 = 0; localparam VAR117 = 16; localparam VAR76 = 32; localparam VAR23 = 0; localparam VAR119 = 5; localparam VAR82 = 0; localparam VAR107 = 6; localparam VAR118 = 4; localparam VAR33 = 13; localparam VAR95 = 34; localparam VAR102 = 2341; localparam VAR7 = 5; localparam VAR24 = 5; localparam VAR20 = 5; localparam VAR17 = 4; localparam VAR8 = 4; localparam VAR104 = 12; localparam VAR28 = 16; localparam VAR51 = 0; localparam VAR22 = 0; localparam VAR78 = 2; localparam VAR2 = 0; localparam VAR46 = 0; localparam VAR42 = 0; localparam VAR56 = 0; localparam VAR96 = 0; localparam VAR89 = 0; localparam VAR87 = 0; localparam VAR114 = 4; localparam VAR44 = 0; input VAR39; input VAR59; input VAR50; input VAR35; output VAR109; input VAR67; input VAR13; output VAR26; input [VAR112-1:0] VAR36; input VAR85; input [VAR92-1:0] VAR74; output VAR30; output VAR48; output [VAR90-1:0] VAR83; input [VAR90-1:0] VAR64; input [VAR90/8-1:0] VAR80; input VAR73; input VAR58; output VAR37; input VAR111; input [VAR84-1:0] VAR103; output VAR70; input VAR54; input [VAR84-1:0] VAR105; output VAR4; output VAR110; input VAR41; input VAR69; output VAR98; output [VAR3 - 1:0] VAR63; output [(VAR108*VAR84) - 1:0] VAR52; output [(VAR16 * (VAR79/2)) - 1:0] VAR49; output [(VAR84 * (VAR79/2)) - 1:0] VAR77; output [(VAR79/2) - 1:0] VAR27; output [(VAR79/2) - 1:0] VAR53; output [(VAR79/2) - 1:0] VAR100; output [(VAR1 * (VAR79/2)) - 1:0] VAR81; output [(VAR75 * (VAR79/2)) - 1:0] VAR32; output [(VAR91 * (VAR79/2)) - 1:0] VAR116; output [(VAR79/2) - 1:0] VAR97; output [(VAR108 * (VAR79/2)) - 1:0] VAR31; output [(VAR108 * (VAR79/2)) - 1:0] VAR43; output [(VAR68*VAR79) - 1:0] VAR57; output [(VAR12*VAR79) - 1:0] VAR61; input [4:0] VAR101; output [(VAR108 * (VAR79/2)) - 1:0] VAR113; input [(VAR68 * VAR79) - 1:0] VAR60; input [(VAR79/2) - 1:0] VAR19; input VAR10; input VAR71; input [VAR117 - 1 : 0] VAR6; input [(VAR76 / 8) - 1 : 0] VAR25; input [VAR76 - 1 : 0] VAR11; output VAR5; output [VAR76 - 1 : 0] VAR94; output VAR14; output VAR86; output [(VAR84 * (2 ** VAR1) * VAR29) - 1:0] VAR34; output [(VAR84 * (2 ** VAR1)) - 1:0] VAR93; VAR38 # ( .VAR55 ( VAR55 ), .VAR112 ( VAR112 ), .VAR92 ( VAR92 ), .VAR90 ( VAR90 ), .VAR66 ( VAR66 ), .VAR84 ( VAR84 ), .VAR99 ( VAR99 ), .VAR16 ( VAR16 ), .VAR91 ( VAR91 ), .VAR75 ( VAR75 ), .VAR29 ( VAR29 ), .VAR120 ( VAR120 ), .VAR1 ( VAR1 ), .VAR108 ( VAR108 ), .VAR68 ( VAR68 ), .VAR12 ( VAR12 ), .VAR3 ( VAR3 ), .VAR18 ( VAR18 ), .VAR79 ( VAR79 ), .VAR115 ( VAR115 ), .VAR45 ( VAR45 ), .VAR65 ( VAR65 ), .VAR40 ( VAR40 ), .VAR106 ( VAR106 ), .VAR88 ( VAR88 ), .VAR46 ( VAR46 ), .VAR15 ( VAR15 ), .VAR62 ( VAR62 ), .VAR56 ( VAR56 ), .VAR117 ( VAR117 ), .VAR76 ( VAR76 ), .VAR23 ( VAR23 ), .VAR42 ( VAR42 ), .VAR119 ( VAR119 ), .VAR82 ( VAR82 ), .VAR107 ( VAR107 ), .VAR118 ( VAR118 ), .VAR33 ( VAR33 ), .VAR95 ( VAR95 ), .VAR102 ( VAR102 ), .VAR7 ( VAR7 ), .VAR24 ( VAR24 ), .VAR20 ( VAR20 ), .VAR17 ( VAR17 ), .VAR8 ( VAR8 ), .VAR104 ( VAR104 ), .VAR28 ( VAR28 ), .VAR22 ( VAR22 ), .VAR78 ( VAR78 ), .VAR2 ( VAR2 ), .VAR51 ( VAR51 ), .VAR96 ( VAR96 ), .VAR89 ( VAR89 ), .VAR87 ( VAR87 ), .VAR114 ( VAR114 ), .VAR44 ( VAR44 ) ) VAR9 ( .VAR39 ( VAR39 ), .VAR59 ( VAR59 ), .VAR50 ( VAR50 ), .VAR35 ( VAR35 ), .VAR109 ( VAR109 ), .VAR67 ( VAR67 ), .VAR13 ( VAR13 ), .VAR26 ( VAR26 ), .VAR36 ( VAR36 ), .VAR85 ( VAR85 ), .VAR74 ( VAR74 ), .VAR30 ( VAR30 ), .VAR48 ( VAR48 ), .VAR83 ( VAR83 ), .VAR64 ( VAR64 ), .VAR80 ( VAR80 ), .VAR73 ( VAR73 ), .VAR58 ( VAR58 ), .VAR37 ( VAR37 ), .VAR111 ( VAR111 ), .VAR103 ( VAR103 ), .VAR70 ( VAR70 ), .VAR54 ( VAR54 ), .VAR105 ( VAR105 ), .VAR4 ( VAR4 ), .VAR110 ( VAR110 ), .VAR41 ( VAR41 ), .VAR69 ( VAR69 ), .VAR98 ( VAR98 ), .VAR63 ( VAR63 ), .VAR52 ( VAR52 ), .VAR49 ( VAR49 ), .VAR77 ( VAR77 ), .VAR27 ( VAR27 ), .VAR53 ( VAR53 ), .VAR100 ( VAR100 ), .VAR81 ( VAR81 ), .VAR32 ( VAR32 ), .VAR116 ( VAR116 ), .VAR97 ( VAR97 ), .VAR31 ( VAR31 ), .VAR43 ( VAR43 ), .VAR57 ( VAR57 ), .VAR61 ( VAR61 ), .VAR101 ( VAR101 ), .VAR113 ( VAR113 ), .VAR72 ( ), .VAR60 ( VAR60 ), .VAR19 ( VAR19 ), .VAR10 ( VAR10 ), .VAR71 ( VAR71 ), .VAR6 ( VAR6 ), .VAR25 ( VAR25 ), .VAR11 ( VAR11 ), .VAR5 ( VAR5 ), .VAR94 ( VAR94 ), .VAR14 ( VAR14 ), .VAR86 ( VAR86 ), .VAR34 ( VAR34 ), .VAR93 ( VAR93 ) ); endmodule
gpl-3.0
cospan/prometheus_fpga
rtl/slave_fifo/prometheus_fx3_stream_out.v
3,559
module MODULE1( input VAR14, input VAR4, input VAR10, input VAR9, input VAR13, input [31:0]VAR1, output VAR11, output VAR16 ); reg [2:0]VAR6; reg [2:0]VAR2; parameter [2:0] VAR5 = 3'd0; parameter [2:0] VAR7 = 3'd1; parameter [2:0] VAR15 = 3'd2; parameter [2:0] VAR8 = 3'd3; parameter [2:0] VAR18 = 3'd4; parameter [2:0] VAR3 = 3'd5; reg [1:0] VAR17; reg VAR12; assign VAR11 = ((VAR6 == VAR8) | (VAR6 == VAR18)) ? 1'b0 : 1'b1; assign VAR16 = ((VAR6 == VAR8) | (VAR6 == VAR18) | (VAR6 == VAR3)) ? 1'b0 : 1'b1; always @(posedge VAR4, negedge VAR14)begin if(!VAR14)begin VAR12 <= 1'b0; end else if(VAR6 == VAR8) begin VAR12 <= 1'b1; end else if((VAR6 == VAR18) & (VAR12 > 1'b0))begin VAR12 <= VAR12 - 1'b1; end else begin VAR12 <= VAR12; end end always @(posedge VAR4, negedge VAR14)begin if(!VAR14)begin VAR17 <= 2'd0; end else if(VAR6 == VAR18) begin VAR17 <= 2'd2; end else if((VAR6 == VAR3) & (VAR17 > 1'b0))begin VAR17 <= VAR17 - 1'b1; end else begin VAR17 <= VAR17; end end always @(posedge VAR4, negedge VAR14)begin if(!VAR14)begin VAR6 <= VAR5; end else begin VAR6 <= VAR2; end end always @(*)begin VAR2 = VAR6; case(VAR6) VAR5:begin if((VAR10) & (VAR9 == 1'b1))begin VAR2 = VAR7; end else begin VAR2 = VAR5; end end VAR7:begin VAR2 = VAR15; end VAR15:begin if(VAR13 == 1'b1)begin VAR2 = VAR8; end else begin VAR2 = VAR15; end end VAR8 :begin if(VAR13 == 1'b0)begin VAR2 = VAR18; end else begin VAR2 = VAR8; end end VAR18 : begin if(VAR12 == 0)begin VAR2 = VAR3; end else begin VAR2 = VAR18; end end VAR3 : begin if(VAR17 == 0)begin VAR2 = VAR5; end else begin VAR2 = VAR3; end end endcase end endmodule
gpl-3.0
545/Atari7800
Atari7800/Atari7800.srcs/sources_1/new/tia.v
16,461
module MODULE2(VAR7, VAR126, VAR48, VAR100, VAR108, VAR84, VAR61, VAR62, VAR67, VAR116, VAR50, VAR101, VAR68, VAR46, VAR19, VAR70, VAR45, VAR3, VAR9, VAR17, VAR93); input [5:0] VAR7; input [7:0] VAR126; output [7:0] VAR48; input [2:0] VAR100; input VAR108; input VAR84; output VAR61; input VAR62; input VAR67; input [1:0] VAR50; input [3:0] VAR116; output VAR101, VAR68; output VAR46, VAR19; output [7:0] VAR70; input VAR45; output VAR3, VAR9; output reg [3:0] VAR17, VAR93; reg [7:0] VAR48; wire VAR101; reg VAR46, VAR19; reg [7:0] VAR124; reg [3:0] VAR110; reg VAR43; reg [7:0] VAR8; wire [3:0] VAR98; always @(posedge VAR62) begin if (~VAR45) begin VAR124 <= 8'd0; VAR110[3:1] <= 3'd0; VAR43 <= 0; VAR8 <= 0; VAR65 <= 2'b11; end else begin if (VAR107) VAR65 <= 2'b11; end else VAR65 <= VAR65 & VAR50; if (VAR8 == 57) begin VAR43 <= ~VAR43; VAR8 <= 0; end else begin VAR8 <= VAR8 + 1; end if ((VAR110[3]) ||(VAR124 == 8'd227)) VAR124 <= 8'd0; end else VAR124 <= VAR124 + 8'd1; VAR110[3:1] <= VAR110[2:0]; end end assign VAR101 = (VAR124 >= 8'd20) && (VAR124 < 8'd36); assign VAR68 = (VAR124 < 8'd68); reg [7:0] VAR71, VAR96, VAR5, VAR72, VAR91; reg [4:0] VAR16, VAR87; reg [7:0] VAR22, VAR15, VAR117, VAR115, VAR105; reg [3:0] VAR18, VAR23, VAR114, VAR103, VAR58; reg VAR26, VAR10, VAR83, VAR59; reg [1:0] VAR4; reg [19:0] VAR12; reg [7:0] VAR104, VAR113; reg [7:0] VAR85, VAR13; reg VAR64, VAR73, VAR42; reg VAR86; reg VAR54; reg [14:0] VAR27; reg VAR60, VAR66; reg VAR21, VAR55, VAR1; reg [3:0] VAR20, VAR31; reg [4:0] VAR121, VAR90; wire [7:0] VAR36; VAR14 VAR56(.VAR69(VAR20), .VAR63(VAR31), .VAR125(VAR121), .VAR95(VAR90), .VAR11(VAR43), .VAR3(VAR3), .VAR9(VAR9)); assign VAR36 = (VAR124 >= 8'd68) ? (VAR124 - 8'd68) : 8'd227; wire [5:0] VAR24; wire VAR102, VAR119, VAR40; assign VAR24 = VAR36[7:2]; assign VAR119 = VAR12[VAR24]; assign VAR40 = (VAR64 == 1'b0)? VAR12[VAR24 - 6'd20]: VAR12[6'd39 - VAR24]; assign VAR102 = (VAR24 < 6'd20)? VAR119 : VAR40; wire VAR37; wire [7:0] VAR92, VAR118; assign VAR118 = (VAR21)? VAR85 : VAR104; assign VAR92 = (!VAR73)? VAR118 : {VAR118[0], VAR118[1], VAR118[2], VAR118[3], VAR118[4], VAR118[5], VAR118[6], VAR118[7]}; MODULE1 MODULE4(VAR36, VAR71, VAR16[2:0], VAR92, VAR37); wire VAR35; wire [7:0] VAR34, VAR28; assign VAR28 = (VAR55)? VAR13 : VAR113; assign VAR34 = (!VAR42)? VAR28 : {VAR28[0], VAR28[1], VAR28[2], VAR28[3], VAR28[4], VAR28[5], VAR28[6], VAR28[7]}; MODULE1 MODULE5(VAR36, VAR96, VAR87[2:0], VAR34, VAR35); wire VAR52, VAR80; wire [7:0] VAR57; reg [7:0] VAR44; always @(VAR16) begin case(VAR16[4:3]) 2'd0: VAR44 <= 8'h01; 2'd1: VAR44 <= 8'h03; 2'd2: VAR44 <= 8'h0F; 2'd3: VAR44 <= 8'hFF; endcase end assign VAR57 = (VAR60)? VAR71 : VAR5; MODULE1 MODULE1(VAR36, VAR57, VAR16[2:0], VAR44, VAR80); assign VAR52 = VAR80 && VAR26; wire VAR30, VAR81; wire [7:0] VAR111; reg [7:0] VAR25; always @(VAR87) begin case(VAR87[4:3]) 2'd0: VAR25 <= 8'h01; 2'd1: VAR25 <= 8'h03; 2'd2: VAR25 <= 8'h0F; 2'd3: VAR25 <= 8'hFF; endcase end assign VAR111 = (VAR66)? VAR96 : VAR72; MODULE1 MODULE3(VAR36, VAR111, VAR87[2:0], VAR25, VAR81); assign VAR30 = VAR81 && VAR10; wire VAR74, VAR94, VAR2; reg [7:0] VAR47; always @(VAR4) begin case(VAR4) 2'd0: VAR47 <= 8'h01; 2'd1: VAR47 <= 8'h03; 2'd2: VAR47 <= 8'h0F; 2'd3: VAR47 <= 8'hFF; endcase end MODULE1 MODULE2(VAR36, VAR91, 3'd0, VAR47, VAR74); assign VAR2 = ((VAR1)? VAR59 : VAR83); assign VAR94 = VAR74 && VAR2; reg [7:0] VAR89; always @(VAR54, VAR115, VAR22, VAR15, VAR24) begin if (VAR54) begin if (VAR24 < 6'd20) VAR89 <= VAR22; end else VAR89 <= VAR15; end else VAR89 <= VAR115; end reg [7:0] VAR127; assign VAR70 = (VAR68)? 8'b0 : VAR127; always @(VAR86, VAR102, VAR37, VAR35, VAR52, VAR30, VAR94, VAR89, VAR22, VAR15, VAR105) begin if (!VAR86) begin if (VAR37 || VAR52) VAR127 <= VAR22; end else if (VAR35 || VAR30) VAR127 <= VAR15; end else if (VAR102) VAR127 <= VAR89; else VAR127 <= VAR105; end else begin if (VAR102) VAR127 <= VAR89; end else if (VAR37 || VAR52) VAR127 <= VAR22; else if (VAR35 || VAR30) VAR127 <= VAR15; else VAR127 <= VAR105; end end wire [14:0] VAR39; reg VAR78; assign VAR39 = {VAR37 && VAR35, VAR52 && VAR30, VAR94 && VAR102, VAR30 && VAR102, VAR30 && VAR94, VAR52 && VAR102, VAR52 && VAR94, VAR35 && VAR102, VAR35 && VAR94, VAR37 && VAR102, VAR37 && VAR94, VAR30 && VAR37, VAR30 && VAR35, VAR52 && VAR35, VAR52 && VAR37}; always @(posedge VAR62, posedge VAR78) begin if (VAR78) VAR27 <= 15'b000000000000000; end else VAR27 <= VAR27 | VAR39; end reg VAR120, VAR49; always @(VAR124, VAR49) begin if (VAR124 == 8'd0) VAR120 <= 1'b0; end else if (VAR49 && VAR124 > 8'd2) VAR120 <= 1'b1; end assign VAR61 = ~VAR120; wire [1:0] VAR38; reg VAR106; reg VAR107; reg [1:0] VAR65; assign VAR38 = (VAR106)? VAR65 : VAR50; reg VAR51; assign VAR98 = (VAR51)? 4'b0000 : VAR116; always @(posedge VAR67) begin if (~VAR45) begin VAR107 <= 1'b0; VAR78 <= 1'b0; VAR110[0] <= 1'b0; VAR49 <= 1'b0; VAR48 <= 8'b00000000; end else if (VAR108) begin VAR107 <= ({VAR84, VAR7[5:0]} == VAR19 && VAR126[6] && !VAR106); VAR78 <= ({VAR84, VAR7[5:0]} == VAR99); VAR110[0] <= ({VAR84, VAR7[5:0]} == VAR6); VAR49 <= ({VAR84, VAR7[5:0]} == VAR82) && !VAR120; case({VAR84, VAR7[5:0]}) VAR106 <= VAR126[6]; VAR51 <= VAR126[7]; VAR19 <= VAR126[1]; end VAR64 <= VAR126[0]; VAR54 <= VAR126[1]; VAR86 <= VAR126[2]; VAR4 <= VAR126[5:4]; end VAR126[4], VAR126[5], VAR126[6], VAR126[7]}; VAR104 <= {VAR126[0], VAR126[1], VAR126[2], VAR126[3], VAR126[4], VAR126[5], VAR126[6], VAR126[7]}; VAR13 <= VAR113; end VAR113 <= {VAR126[0], VAR126[1], VAR126[2], VAR126[3], VAR126[4], VAR126[5], VAR126[6], VAR126[7]}; VAR85 <= VAR104; VAR59 <= VAR83; end VAR71 <= VAR71 - {{4{VAR18[3]}}, VAR18[3:0]}; VAR96 <= VAR96 - {{4{VAR23[3]}}, VAR23[3:0]}; VAR5 <= VAR5 - {{4{VAR114[3]}}, VAR114[3:0]}; VAR72 <= VAR72 - {{4{VAR103[3]}}, VAR103[3:0]}; VAR91 <= VAR91 - {{4{VAR58[3]}},VAR58[3:0]}; end VAR18 <= VAR126[7:4]; VAR23 <= VAR126[7:4]; VAR114 <= VAR126[7:4]; VAR103 <= VAR126[7:4]; VAR58 <= VAR126[7:4]; end default: VAR48 <= 8'b00000000; endcase end else begin VAR107 <= 1'b0; VAR78 <= 1'b0; VAR110[0] <= 1'b0; VAR49 <= 1'b0; VAR48 <= 8'b00000000; end end endmodule module MODULE1(VAR36, VAR29, VAR77, VAR123, VAR75); input [7:0] VAR36, VAR29, VAR123; input [2:0] VAR77; output VAR75; wire [7:0] VAR33; wire [8:0] VAR97; wire VAR76, VAR109; reg VAR122; reg [2:0] VAR79; assign VAR33 = VAR36 - VAR29 - 8'd1; assign VAR97 = 9'b1 << (VAR33[7:3]); always @(VAR77, VAR97) begin case (VAR77) 3'd0: VAR122 <= (VAR97 & 9'b00000001) != 0; 3'd1: VAR122 <= (VAR97 & 9'b00000101) != 0; 3'd2: VAR122 <= (VAR97 & 9'b00010001) != 0; 3'd3: VAR122 <= (VAR97 & 9'b00010101) != 0; 3'd4: VAR122 <= (VAR97 & 9'b10000001) != 0; 3'd5: VAR122 <= (VAR97 & 9'b00000011) != 0; 3'd6: VAR122 <= (VAR97 & 9'b10010001) != 0; 3'd7: VAR122 <= (VAR97 & 9'b00001111) != 0; endcase end always @(VAR77, VAR33) begin case (VAR77) 3'd5: VAR79 <= VAR33[3:1]; 3'd7: VAR79 <= VAR33[4:2]; default: VAR79 <= VAR33[2:0]; endcase end assign VAR76 = VAR123[VAR79]; assign VAR109 = (VAR36 > VAR29) && ({1'b0, VAR36} <= {1'b0, VAR29} + 9'd72); assign VAR75 = VAR122 && VAR76 && VAR109; endmodule
gpl-2.0
titorgalaxy/Titor
rtl/verilog/chardev/Character_Map.v
30,790
module MODULE1 ( VAR3, VAR7, VAR4, VAR10, reset, clk ); input [VAR12-1:0] VAR3; input [VAR5-1:0] VAR7; input [VAR5-1:0] VAR4; output VAR10; input reset; input clk; reg [VAR5-1:0] VAR11; reg [VAR5-1:0] VAR6; assign VAR10 = VAR11[VAR6]; wire VAR13 = (VAR3 >= VAR2) && (VAR3 < VAR9) && (VAR7 >= 0) && (VAR7 < VAR1) && (VAR4 >= 0) && (VAR4 < VAR8); always @(posedge clk) begin if(reset) VAR6 <= 'b0; end else VAR6 <= VAR4; if(reset) begin VAR11 <= 0; end else if(!VAR13) begin VAR11 <= 0; end else begin case( ((VAR3-VAR2)<<3) | VAR7[2:0] ) {32'd0,3'd0} : VAR11 <= 'b00000; {32'd0,3'd1} : VAR11 <= 'b00000; {32'd0,3'd2} : VAR11 <= 'b00000; {32'd0,3'd3} : VAR11 <= 'b00000; {32'd0,3'd4} : VAR11 <= 'b00000; {32'd0,3'd5} : VAR11 <= 'b00000; {32'd0,3'd6} : VAR11 <= 'b00000; {32'd0,3'd7} : VAR11 <= 'b00000; {32'd1,3'd0} : VAR11 <= 'b00100; {32'd1,3'd1} : VAR11 <= 'b00100; {32'd1,3'd2} : VAR11 <= 'b00100; {32'd1,3'd3} : VAR11 <= 'b00100; {32'd1,3'd4} : VAR11 <= 'b00100; {32'd1,3'd5} : VAR11 <= 'b00000; {32'd1,3'd6} : VAR11 <= 'b00100; {32'd1,3'd7} : VAR11 <= 'b00000; {32'd2,3'd0} : VAR11 <= 'b01010; {32'd2,3'd1} : VAR11 <= 'b01010; {32'd2,3'd2} : VAR11 <= 'b01010; {32'd2,3'd3} : VAR11 <= 'b00000; {32'd2,3'd4} : VAR11 <= 'b00000; {32'd2,3'd5} : VAR11 <= 'b00000; {32'd2,3'd6} : VAR11 <= 'b00000; {32'd2,3'd7} : VAR11 <= 'b00000; {32'd3,3'd0} : VAR11 <= 'b01010; {32'd3,3'd1} : VAR11 <= 'b01010; {32'd3,3'd2} : VAR11 <= 'b11111; {32'd3,3'd3} : VAR11 <= 'b01010; {32'd3,3'd4} : VAR11 <= 'b11111; {32'd3,3'd5} : VAR11 <= 'b01010; {32'd3,3'd6} : VAR11 <= 'b01010; {32'd3,3'd7} : VAR11 <= 'b00000; {32'd4,3'd0} : VAR11 <= 'b00100; {32'd4,3'd1} : VAR11 <= 'b01110; {32'd4,3'd2} : VAR11 <= 'b00101; {32'd4,3'd3} : VAR11 <= 'b01110; {32'd4,3'd4} : VAR11 <= 'b10100; {32'd4,3'd5} : VAR11 <= 'b01110; {32'd4,3'd6} : VAR11 <= 'b00100; {32'd4,3'd7} : VAR11 <= 'b00000; {32'd5,3'd0} : VAR11 <= 'b10011; {32'd5,3'd1} : VAR11 <= 'b10011; {32'd5,3'd2} : VAR11 <= 'b01000; {32'd5,3'd3} : VAR11 <= 'b00100; {32'd5,3'd4} : VAR11 <= 'b00010; {32'd5,3'd5} : VAR11 <= 'b11001; {32'd5,3'd6} : VAR11 <= 'b11001; {32'd5,3'd7} : VAR11 <= 'b00000; {32'd6,3'd0} : VAR11 <= 'b00110; {32'd6,3'd1} : VAR11 <= 'b01001; {32'd6,3'd2} : VAR11 <= 'b00101; {32'd6,3'd3} : VAR11 <= 'b00010; {32'd6,3'd4} : VAR11 <= 'b10101; {32'd6,3'd5} : VAR11 <= 'b01001; {32'd6,3'd6} : VAR11 <= 'b10110; {32'd6,3'd7} : VAR11 <= 'b00000; {32'd7,3'd0} : VAR11 <= 'b00100; {32'd7,3'd1} : VAR11 <= 'b00100; {32'd7,3'd2} : VAR11 <= 'b00100; {32'd7,3'd3} : VAR11 <= 'b00000; {32'd7,3'd4} : VAR11 <= 'b00000; {32'd7,3'd5} : VAR11 <= 'b00000; {32'd7,3'd6} : VAR11 <= 'b00000; {32'd7,3'd7} : VAR11 <= 'b00000; {32'd8,3'd0} : VAR11 <= 'b01000; {32'd8,3'd1} : VAR11 <= 'b00100; {32'd8,3'd2} : VAR11 <= 'b00010; {32'd8,3'd3} : VAR11 <= 'b00010; {32'd8,3'd4} : VAR11 <= 'b00010; {32'd8,3'd5} : VAR11 <= 'b00100; {32'd8,3'd6} : VAR11 <= 'b01000; {32'd8,3'd7} : VAR11 <= 'b00000; {32'd9,3'd0} : VAR11 <= 'b00010; {32'd9,3'd1} : VAR11 <= 'b00100; {32'd9,3'd2} : VAR11 <= 'b01000; {32'd9,3'd3} : VAR11 <= 'b01000; {32'd9,3'd4} : VAR11 <= 'b01000; {32'd9,3'd5} : VAR11 <= 'b00100; {32'd9,3'd6} : VAR11 <= 'b00010; {32'd9,3'd7} : VAR11 <= 'b00000; {32'd10,3'd0} : VAR11 <= 'b00100; {32'd10,3'd1} : VAR11 <= 'b10101; {32'd10,3'd2} : VAR11 <= 'b01110; {32'd10,3'd3} : VAR11 <= 'b10101; {32'd10,3'd4} : VAR11 <= 'b00100; {32'd10,3'd5} : VAR11 <= 'b00000; {32'd10,3'd6} : VAR11 <= 'b00000; {32'd10,3'd7} : VAR11 <= 'b00000; {32'd11,3'd0} : VAR11 <= 'b00000; {32'd11,3'd1} : VAR11 <= 'b00100; {32'd11,3'd2} : VAR11 <= 'b00100; {32'd11,3'd3} : VAR11 <= 'b11111; {32'd11,3'd4} : VAR11 <= 'b00100; {32'd11,3'd5} : VAR11 <= 'b00100; {32'd11,3'd6} : VAR11 <= 'b00000; {32'd11,3'd7} : VAR11 <= 'b00000; {32'd12,3'd0} : VAR11 <= 'b00000; {32'd12,3'd1} : VAR11 <= 'b00000; {32'd12,3'd2} : VAR11 <= 'b00000; {32'd12,3'd3} : VAR11 <= 'b00000; {32'd12,3'd4} : VAR11 <= 'b00110; {32'd12,3'd5} : VAR11 <= 'b00100; {32'd12,3'd6} : VAR11 <= 'b00010; {32'd12,3'd7} : VAR11 <= 'b00000; {32'd13,3'd0} : VAR11 <= 'b00000; {32'd13,3'd1} : VAR11 <= 'b00000; {32'd13,3'd2} : VAR11 <= 'b00000; {32'd13,3'd3} : VAR11 <= 'b11111; {32'd13,3'd4} : VAR11 <= 'b00000; {32'd13,3'd5} : VAR11 <= 'b00000; {32'd13,3'd6} : VAR11 <= 'b00000; {32'd13,3'd7} : VAR11 <= 'b00000; {32'd14,3'd0} : VAR11 <= 'b00000; {32'd14,3'd1} : VAR11 <= 'b00000; {32'd14,3'd2} : VAR11 <= 'b00000; {32'd14,3'd3} : VAR11 <= 'b00000; {32'd14,3'd4} : VAR11 <= 'b00000; {32'd14,3'd5} : VAR11 <= 'b00110; {32'd14,3'd6} : VAR11 <= 'b00110; {32'd14,3'd7} : VAR11 <= 'b00000; {32'd15,3'd0} : VAR11 <= 'b10000; {32'd15,3'd1} : VAR11 <= 'b10000; {32'd15,3'd2} : VAR11 <= 'b01000; {32'd15,3'd3} : VAR11 <= 'b00100; {32'd15,3'd4} : VAR11 <= 'b00010; {32'd15,3'd5} : VAR11 <= 'b00001; {32'd15,3'd6} : VAR11 <= 'b00001; {32'd15,3'd7} : VAR11 <= 'b00000; {32'd16,3'd0} : VAR11 <= 'b01110; {32'd16,3'd1} : VAR11 <= 'b10001; {32'd16,3'd2} : VAR11 <= 'b11001; {32'd16,3'd3} : VAR11 <= 'b10101; {32'd16,3'd4} : VAR11 <= 'b10011; {32'd16,3'd5} : VAR11 <= 'b10001; {32'd16,3'd6} : VAR11 <= 'b01110; {32'd16,3'd7} : VAR11 <= 'b00000; {32'd17,3'd0} : VAR11 <= 'b00100; {32'd17,3'd1} : VAR11 <= 'b00110; {32'd17,3'd2} : VAR11 <= 'b00100; {32'd17,3'd3} : VAR11 <= 'b00100; {32'd17,3'd4} : VAR11 <= 'b00100; {32'd17,3'd5} : VAR11 <= 'b00100; {32'd17,3'd6} : VAR11 <= 'b01110; {32'd17,3'd7} : VAR11 <= 'b00000; {32'd18,3'd0} : VAR11 <= 'b01110; {32'd18,3'd1} : VAR11 <= 'b10001; {32'd18,3'd2} : VAR11 <= 'b10000; {32'd18,3'd3} : VAR11 <= 'b01000; {32'd18,3'd4} : VAR11 <= 'b00100; {32'd18,3'd5} : VAR11 <= 'b00010; {32'd18,3'd6} : VAR11 <= 'b11111; {32'd18,3'd7} : VAR11 <= 'b00000; {32'd19,3'd0} : VAR11 <= 'b01110; {32'd19,3'd1} : VAR11 <= 'b10001; {32'd19,3'd2} : VAR11 <= 'b10000; {32'd19,3'd3} : VAR11 <= 'b01100; {32'd19,3'd4} : VAR11 <= 'b10000; {32'd19,3'd5} : VAR11 <= 'b10001; {32'd19,3'd6} : VAR11 <= 'b01110; {32'd19,3'd7} : VAR11 <= 'b00000; {32'd20,3'd0} : VAR11 <= 'b01001; {32'd20,3'd1} : VAR11 <= 'b01001; {32'd20,3'd2} : VAR11 <= 'b01001; {32'd20,3'd3} : VAR11 <= 'b11111; {32'd20,3'd4} : VAR11 <= 'b01000; {32'd20,3'd5} : VAR11 <= 'b01000; {32'd20,3'd6} : VAR11 <= 'b01000; {32'd20,3'd7} : VAR11 <= 'b00000; {32'd21,3'd0} : VAR11 <= 'b11111; {32'd21,3'd1} : VAR11 <= 'b00001; {32'd21,3'd2} : VAR11 <= 'b01111; {32'd21,3'd3} : VAR11 <= 'b10001; {32'd21,3'd4} : VAR11 <= 'b10000; {32'd21,3'd5} : VAR11 <= 'b10001; {32'd21,3'd6} : VAR11 <= 'b01110; {32'd21,3'd7} : VAR11 <= 'b00000; {32'd22,3'd0} : VAR11 <= 'b01110; {32'd22,3'd1} : VAR11 <= 'b10001; {32'd22,3'd2} : VAR11 <= 'b00001; {32'd22,3'd3} : VAR11 <= 'b01111; {32'd22,3'd4} : VAR11 <= 'b10001; {32'd22,3'd5} : VAR11 <= 'b10001; {32'd22,3'd6} : VAR11 <= 'b01110; {32'd22,3'd7} : VAR11 <= 'b00000; {32'd23,3'd0} : VAR11 <= 'b11111; {32'd23,3'd1} : VAR11 <= 'b10000; {32'd23,3'd2} : VAR11 <= 'b01000; {32'd23,3'd3} : VAR11 <= 'b00100; {32'd23,3'd4} : VAR11 <= 'b00100; {32'd23,3'd5} : VAR11 <= 'b00100; {32'd23,3'd6} : VAR11 <= 'b00100; {32'd23,3'd7} : VAR11 <= 'b00000; {32'd24,3'd0} : VAR11 <= 'b01110; {32'd24,3'd1} : VAR11 <= 'b10001; {32'd24,3'd2} : VAR11 <= 'b10001; {32'd24,3'd3} : VAR11 <= 'b01110; {32'd24,3'd4} : VAR11 <= 'b10001; {32'd24,3'd5} : VAR11 <= 'b10001; {32'd24,3'd6} : VAR11 <= 'b01110; {32'd24,3'd7} : VAR11 <= 'b00000; {32'd25,3'd0} : VAR11 <= 'b01110; {32'd25,3'd1} : VAR11 <= 'b10001; {32'd25,3'd2} : VAR11 <= 'b10001; {32'd25,3'd3} : VAR11 <= 'b11110; {32'd25,3'd4} : VAR11 <= 'b10000; {32'd25,3'd5} : VAR11 <= 'b10001; {32'd25,3'd6} : VAR11 <= 'b01110; {32'd25,3'd7} : VAR11 <= 'b00000; {32'd26,3'd0} : VAR11 <= 'b00000; {32'd26,3'd1} : VAR11 <= 'b00110; {32'd26,3'd2} : VAR11 <= 'b00110; {32'd26,3'd3} : VAR11 <= 'b00000; {32'd26,3'd4} : VAR11 <= 'b00110; {32'd26,3'd5} : VAR11 <= 'b00110; {32'd26,3'd6} : VAR11 <= 'b00000; {32'd26,3'd7} : VAR11 <= 'b00000; {32'd27,3'd0} : VAR11 <= 'b00000; {32'd27,3'd1} : VAR11 <= 'b00110; {32'd27,3'd2} : VAR11 <= 'b00110; {32'd27,3'd3} : VAR11 <= 'b00000; {32'd27,3'd4} : VAR11 <= 'b00110; {32'd27,3'd5} : VAR11 <= 'b00100; {32'd27,3'd6} : VAR11 <= 'b00010; {32'd27,3'd7} : VAR11 <= 'b00000; {32'd28,3'd0} : VAR11 <= 'b01000; {32'd28,3'd1} : VAR11 <= 'b00100; {32'd28,3'd2} : VAR11 <= 'b00010; {32'd28,3'd3} : VAR11 <= 'b00001; {32'd28,3'd4} : VAR11 <= 'b00010; {32'd28,3'd5} : VAR11 <= 'b00100; {32'd28,3'd6} : VAR11 <= 'b01000; {32'd28,3'd7} : VAR11 <= 'b00000; {32'd29,3'd0} : VAR11 <= 'b00000; {32'd29,3'd1} : VAR11 <= 'b00000; {32'd29,3'd2} : VAR11 <= 'b11111; {32'd29,3'd3} : VAR11 <= 'b00000; {32'd29,3'd4} : VAR11 <= 'b11111; {32'd29,3'd5} : VAR11 <= 'b00000; {32'd29,3'd6} : VAR11 <= 'b00000; {32'd29,3'd7} : VAR11 <= 'b00000; {32'd30,3'd0} : VAR11 <= 'b00010; {32'd30,3'd1} : VAR11 <= 'b00100; {32'd30,3'd2} : VAR11 <= 'b01000; {32'd30,3'd3} : VAR11 <= 'b10000; {32'd30,3'd4} : VAR11 <= 'b01000; {32'd30,3'd5} : VAR11 <= 'b00100; {32'd30,3'd6} : VAR11 <= 'b00010; {32'd30,3'd7} : VAR11 <= 'b00000; {32'd31,3'd0} : VAR11 <= 'b01110; {32'd31,3'd1} : VAR11 <= 'b10001; {32'd31,3'd2} : VAR11 <= 'b10000; {32'd31,3'd3} : VAR11 <= 'b01000; {32'd31,3'd4} : VAR11 <= 'b00100; {32'd31,3'd5} : VAR11 <= 'b00000; {32'd31,3'd6} : VAR11 <= 'b00100; {32'd31,3'd7} : VAR11 <= 'b00000; {32'd32,3'd0} : VAR11 <= 'b01110; {32'd32,3'd1} : VAR11 <= 'b10001; {32'd32,3'd2} : VAR11 <= 'b10000; {32'd32,3'd3} : VAR11 <= 'b10110; {32'd32,3'd4} : VAR11 <= 'b10101; {32'd32,3'd5} : VAR11 <= 'b10101; {32'd32,3'd6} : VAR11 <= 'b01110; {32'd32,3'd7} : VAR11 <= 'b00000; {32'd33,3'd0} : VAR11 <= 'b01110; {32'd33,3'd1} : VAR11 <= 'b10001; {32'd33,3'd2} : VAR11 <= 'b10001; {32'd33,3'd3} : VAR11 <= 'b11111; {32'd33,3'd4} : VAR11 <= 'b10001; {32'd33,3'd5} : VAR11 <= 'b10001; {32'd33,3'd6} : VAR11 <= 'b10001; {32'd33,3'd7} : VAR11 <= 'b00000; {32'd34,3'd0} : VAR11 <= 'b01111; {32'd34,3'd1} : VAR11 <= 'b10001; {32'd34,3'd2} : VAR11 <= 'b10001; {32'd34,3'd3} : VAR11 <= 'b01111; {32'd34,3'd4} : VAR11 <= 'b10001; {32'd34,3'd5} : VAR11 <= 'b10001; {32'd34,3'd6} : VAR11 <= 'b01111; {32'd34,3'd7} : VAR11 <= 'b00000; {32'd35,3'd0} : VAR11 <= 'b01110; {32'd35,3'd1} : VAR11 <= 'b10001; {32'd35,3'd2} : VAR11 <= 'b00001; {32'd35,3'd3} : VAR11 <= 'b00001; {32'd35,3'd4} : VAR11 <= 'b00001; {32'd35,3'd5} : VAR11 <= 'b10001; {32'd35,3'd6} : VAR11 <= 'b01110; {32'd35,3'd7} : VAR11 <= 'b00000; {32'd36,3'd0} : VAR11 <= 'b01111; {32'd36,3'd1} : VAR11 <= 'b10001; {32'd36,3'd2} : VAR11 <= 'b10001; {32'd36,3'd3} : VAR11 <= 'b10001; {32'd36,3'd4} : VAR11 <= 'b10001; {32'd36,3'd5} : VAR11 <= 'b10001; {32'd36,3'd6} : VAR11 <= 'b01111; {32'd36,3'd7} : VAR11 <= 'b00000; {32'd37,3'd0} : VAR11 <= 'b11111; {32'd37,3'd1} : VAR11 <= 'b00001; {32'd37,3'd2} : VAR11 <= 'b00001; {32'd37,3'd3} : VAR11 <= 'b01111; {32'd37,3'd4} : VAR11 <= 'b00001; {32'd37,3'd5} : VAR11 <= 'b00001; {32'd37,3'd6} : VAR11 <= 'b11111; {32'd37,3'd7} : VAR11 <= 'b00000; {32'd38,3'd0} : VAR11 <= 'b11111; {32'd38,3'd1} : VAR11 <= 'b00001; {32'd38,3'd2} : VAR11 <= 'b00001; {32'd38,3'd3} : VAR11 <= 'b01111; {32'd38,3'd4} : VAR11 <= 'b00001; {32'd38,3'd5} : VAR11 <= 'b00001; {32'd38,3'd6} : VAR11 <= 'b00001; {32'd38,3'd7} : VAR11 <= 'b00000; {32'd39,3'd0} : VAR11 <= 'b01110; {32'd39,3'd1} : VAR11 <= 'b10001; {32'd39,3'd2} : VAR11 <= 'b00001; {32'd39,3'd3} : VAR11 <= 'b11101; {32'd39,3'd4} : VAR11 <= 'b10001; {32'd39,3'd5} : VAR11 <= 'b10001; {32'd39,3'd6} : VAR11 <= 'b01110; {32'd39,3'd7} : VAR11 <= 'b00000; {32'd40,3'd0} : VAR11 <= 'b10001; {32'd40,3'd1} : VAR11 <= 'b10001; {32'd40,3'd2} : VAR11 <= 'b10001; {32'd40,3'd3} : VAR11 <= 'b11111; {32'd40,3'd4} : VAR11 <= 'b10001; {32'd40,3'd5} : VAR11 <= 'b10001; {32'd40,3'd6} : VAR11 <= 'b10001; {32'd40,3'd7} : VAR11 <= 'b00000; {32'd41,3'd0} : VAR11 <= 'b11111; {32'd41,3'd1} : VAR11 <= 'b00100; {32'd41,3'd2} : VAR11 <= 'b00100; {32'd41,3'd3} : VAR11 <= 'b00100; {32'd41,3'd4} : VAR11 <= 'b00100; {32'd41,3'd5} : VAR11 <= 'b00100; {32'd41,3'd6} : VAR11 <= 'b11111; {32'd41,3'd7} : VAR11 <= 'b00000; {32'd42,3'd0} : VAR11 <= 'b11110; {32'd42,3'd1} : VAR11 <= 'b01000; {32'd42,3'd2} : VAR11 <= 'b01000; {32'd42,3'd3} : VAR11 <= 'b01000; {32'd42,3'd4} : VAR11 <= 'b01000; {32'd42,3'd5} : VAR11 <= 'b01001; {32'd42,3'd6} : VAR11 <= 'b00110; {32'd42,3'd7} : VAR11 <= 'b00000; {32'd43,3'd0} : VAR11 <= 'b10001; {32'd43,3'd1} : VAR11 <= 'b01001; {32'd43,3'd2} : VAR11 <= 'b00101; {32'd43,3'd3} : VAR11 <= 'b00011; {32'd43,3'd4} : VAR11 <= 'b00101; {32'd43,3'd5} : VAR11 <= 'b01001; {32'd43,3'd6} : VAR11 <= 'b10001; {32'd43,3'd7} : VAR11 <= 'b00000; {32'd44,3'd0} : VAR11 <= 'b00001; {32'd44,3'd1} : VAR11 <= 'b00001; {32'd44,3'd2} : VAR11 <= 'b00001; {32'd44,3'd3} : VAR11 <= 'b00001; {32'd44,3'd4} : VAR11 <= 'b00001; {32'd44,3'd5} : VAR11 <= 'b00001; {32'd44,3'd6} : VAR11 <= 'b11111; {32'd44,3'd7} : VAR11 <= 'b00000; {32'd45,3'd0} : VAR11 <= 'b10001; {32'd45,3'd1} : VAR11 <= 'b10001; {32'd45,3'd2} : VAR11 <= 'b11011; {32'd45,3'd3} : VAR11 <= 'b10101; {32'd45,3'd4} : VAR11 <= 'b10001; {32'd45,3'd5} : VAR11 <= 'b10001; {32'd45,3'd6} : VAR11 <= 'b10001; {32'd45,3'd7} : VAR11 <= 'b00000; {32'd46,3'd0} : VAR11 <= 'b10001; {32'd46,3'd1} : VAR11 <= 'b10001; {32'd46,3'd2} : VAR11 <= 'b10011; {32'd46,3'd3} : VAR11 <= 'b10101; {32'd46,3'd4} : VAR11 <= 'b11001; {32'd46,3'd5} : VAR11 <= 'b10001; {32'd46,3'd6} : VAR11 <= 'b10001; {32'd46,3'd7} : VAR11 <= 'b00000; {32'd47,3'd0} : VAR11 <= 'b01110; {32'd47,3'd1} : VAR11 <= 'b10001; {32'd47,3'd2} : VAR11 <= 'b10001; {32'd47,3'd3} : VAR11 <= 'b10001; {32'd47,3'd4} : VAR11 <= 'b10001; {32'd47,3'd5} : VAR11 <= 'b10001; {32'd47,3'd6} : VAR11 <= 'b01110; {32'd47,3'd7} : VAR11 <= 'b00000; {32'd48,3'd0} : VAR11 <= 'b01111; {32'd48,3'd1} : VAR11 <= 'b10001; {32'd48,3'd2} : VAR11 <= 'b10001; {32'd48,3'd3} : VAR11 <= 'b01111; {32'd48,3'd4} : VAR11 <= 'b00001; {32'd48,3'd5} : VAR11 <= 'b00001; {32'd48,3'd6} : VAR11 <= 'b00001; {32'd48,3'd7} : VAR11 <= 'b00000; {32'd49,3'd0} : VAR11 <= 'b01110; {32'd49,3'd1} : VAR11 <= 'b10001; {32'd49,3'd2} : VAR11 <= 'b10001; {32'd49,3'd3} : VAR11 <= 'b10001; {32'd49,3'd4} : VAR11 <= 'b10101; {32'd49,3'd5} : VAR11 <= 'b01001; {32'd49,3'd6} : VAR11 <= 'b10110; {32'd49,3'd7} : VAR11 <= 'b00000; {32'd50,3'd0} : VAR11 <= 'b01111; {32'd50,3'd1} : VAR11 <= 'b10001; {32'd50,3'd2} : VAR11 <= 'b10001; {32'd50,3'd3} : VAR11 <= 'b01111; {32'd50,3'd4} : VAR11 <= 'b00101; {32'd50,3'd5} : VAR11 <= 'b01001; {32'd50,3'd6} : VAR11 <= 'b10001; {32'd50,3'd7} : VAR11 <= 'b00000; {32'd51,3'd0} : VAR11 <= 'b01110; {32'd51,3'd1} : VAR11 <= 'b10001; {32'd51,3'd2} : VAR11 <= 'b00001; {32'd51,3'd3} : VAR11 <= 'b01110; {32'd51,3'd4} : VAR11 <= 'b10000; {32'd51,3'd5} : VAR11 <= 'b10001; {32'd51,3'd6} : VAR11 <= 'b01110; {32'd51,3'd7} : VAR11 <= 'b00000; {32'd52,3'd0} : VAR11 <= 'b11111; {32'd52,3'd1} : VAR11 <= 'b00100; {32'd52,3'd2} : VAR11 <= 'b00100; {32'd52,3'd3} : VAR11 <= 'b00100; {32'd52,3'd4} : VAR11 <= 'b00100; {32'd52,3'd5} : VAR11 <= 'b00100; {32'd52,3'd6} : VAR11 <= 'b00100; {32'd52,3'd7} : VAR11 <= 'b00000; {32'd53,3'd0} : VAR11 <= 'b10001; {32'd53,3'd1} : VAR11 <= 'b10001; {32'd53,3'd2} : VAR11 <= 'b10001; {32'd53,3'd3} : VAR11 <= 'b10001; {32'd53,3'd4} : VAR11 <= 'b10001; {32'd53,3'd5} : VAR11 <= 'b10001; {32'd53,3'd6} : VAR11 <= 'b01110; {32'd53,3'd7} : VAR11 <= 'b00000; {32'd54,3'd0} : VAR11 <= 'b10001; {32'd54,3'd1} : VAR11 <= 'b10001; {32'd54,3'd2} : VAR11 <= 'b10001; {32'd54,3'd3} : VAR11 <= 'b10001; {32'd54,3'd4} : VAR11 <= 'b10001; {32'd54,3'd5} : VAR11 <= 'b01010; {32'd54,3'd6} : VAR11 <= 'b00100; {32'd54,3'd7} : VAR11 <= 'b00000; {32'd55,3'd0} : VAR11 <= 'b10001; {32'd55,3'd1} : VAR11 <= 'b10001; {32'd55,3'd2} : VAR11 <= 'b10001; {32'd55,3'd3} : VAR11 <= 'b10101; {32'd55,3'd4} : VAR11 <= 'b11011; {32'd55,3'd5} : VAR11 <= 'b10001; {32'd55,3'd6} : VAR11 <= 'b10001; {32'd55,3'd7} : VAR11 <= 'b00000; {32'd56,3'd0} : VAR11 <= 'b10001; {32'd56,3'd1} : VAR11 <= 'b10001; {32'd56,3'd2} : VAR11 <= 'b01010; {32'd56,3'd3} : VAR11 <= 'b00100; {32'd56,3'd4} : VAR11 <= 'b01010; {32'd56,3'd5} : VAR11 <= 'b10001; {32'd56,3'd6} : VAR11 <= 'b10001; {32'd56,3'd7} : VAR11 <= 'b00000; {32'd57,3'd0} : VAR11 <= 'b10001; {32'd57,3'd1} : VAR11 <= 'b10001; {32'd57,3'd2} : VAR11 <= 'b01010; {32'd57,3'd3} : VAR11 <= 'b00100; {32'd57,3'd4} : VAR11 <= 'b00100; {32'd57,3'd5} : VAR11 <= 'b00100; {32'd57,3'd6} : VAR11 <= 'b00100; {32'd57,3'd7} : VAR11 <= 'b00000; {32'd58,3'd0} : VAR11 <= 'b11111; {32'd58,3'd1} : VAR11 <= 'b10000; {32'd58,3'd2} : VAR11 <= 'b01000; {32'd58,3'd3} : VAR11 <= 'b00100; {32'd58,3'd4} : VAR11 <= 'b00010; {32'd58,3'd5} : VAR11 <= 'b00001; {32'd58,3'd6} : VAR11 <= 'b11111; {32'd58,3'd7} : VAR11 <= 'b00000; {32'd59,3'd0} : VAR11 <= 'b01110; {32'd59,3'd1} : VAR11 <= 'b00010; {32'd59,3'd2} : VAR11 <= 'b00010; {32'd59,3'd3} : VAR11 <= 'b00010; {32'd59,3'd4} : VAR11 <= 'b00010; {32'd59,3'd5} : VAR11 <= 'b00010; {32'd59,3'd6} : VAR11 <= 'b01110; {32'd59,3'd7} : VAR11 <= 'b00000; {32'd60,3'd0} : VAR11 <= 'b00001; {32'd60,3'd1} : VAR11 <= 'b00001; {32'd60,3'd2} : VAR11 <= 'b00010; {32'd60,3'd3} : VAR11 <= 'b00100; {32'd60,3'd4} : VAR11 <= 'b01000; {32'd60,3'd5} : VAR11 <= 'b10000; {32'd60,3'd6} : VAR11 <= 'b10000; {32'd60,3'd7} : VAR11 <= 'b00000; {32'd61,3'd0} : VAR11 <= 'b01110; {32'd61,3'd1} : VAR11 <= 'b01000; {32'd61,3'd2} : VAR11 <= 'b01000; {32'd61,3'd3} : VAR11 <= 'b01000; {32'd61,3'd4} : VAR11 <= 'b01000; {32'd61,3'd5} : VAR11 <= 'b01000; {32'd61,3'd6} : VAR11 <= 'b01110; {32'd61,3'd7} : VAR11 <= 'b00000; {32'd62,3'd0} : VAR11 <= 'b00100; {32'd62,3'd1} : VAR11 <= 'b01010; {32'd62,3'd2} : VAR11 <= 'b10001; {32'd62,3'd3} : VAR11 <= 'b00000; {32'd62,3'd4} : VAR11 <= 'b00000; {32'd62,3'd5} : VAR11 <= 'b00000; {32'd62,3'd6} : VAR11 <= 'b00000; {32'd62,3'd7} : VAR11 <= 'b00000; {32'd63,3'd0} : VAR11 <= 'b00000; {32'd63,3'd1} : VAR11 <= 'b00000; {32'd63,3'd2} : VAR11 <= 'b00000; {32'd63,3'd3} : VAR11 <= 'b00000; {32'd63,3'd4} : VAR11 <= 'b00000; {32'd63,3'd5} : VAR11 <= 'b00000; {32'd63,3'd6} : VAR11 <= 'b11111; {32'd63,3'd7} : VAR11 <= 'b00000; {32'd64,3'd0} : VAR11 <= 'b00010; {32'd64,3'd1} : VAR11 <= 'b00100; {32'd64,3'd2} : VAR11 <= 'b00000; {32'd64,3'd3} : VAR11 <= 'b00000; {32'd64,3'd4} : VAR11 <= 'b00000; {32'd64,3'd5} : VAR11 <= 'b00000; {32'd64,3'd6} : VAR11 <= 'b00000; {32'd64,3'd7} : VAR11 <= 'b00000; {32'd65,3'd0} : VAR11 <= 'b00000; {32'd65,3'd1} : VAR11 <= 'b00000; {32'd65,3'd2} : VAR11 <= 'b01100; {32'd65,3'd3} : VAR11 <= 'b10000; {32'd65,3'd4} : VAR11 <= 'b11100; {32'd65,3'd5} : VAR11 <= 'b10010; {32'd65,3'd6} : VAR11 <= 'b11100; {32'd65,3'd7} : VAR11 <= 'b00000; {32'd66,3'd0} : VAR11 <= 'b00010; {32'd66,3'd1} : VAR11 <= 'b00010; {32'd66,3'd2} : VAR11 <= 'b00010; {32'd66,3'd3} : VAR11 <= 'b01110; {32'd66,3'd4} : VAR11 <= 'b10010; {32'd66,3'd5} : VAR11 <= 'b10010; {32'd66,3'd6} : VAR11 <= 'b01110; {32'd66,3'd7} : VAR11 <= 'b00000; {32'd67,3'd0} : VAR11 <= 'b00000; {32'd67,3'd1} : VAR11 <= 'b00000; {32'd67,3'd2} : VAR11 <= 'b01100; {32'd67,3'd3} : VAR11 <= 'b00010; {32'd67,3'd4} : VAR11 <= 'b00010; {32'd67,3'd5} : VAR11 <= 'b00010; {32'd67,3'd6} : VAR11 <= 'b01100; {32'd67,3'd7} : VAR11 <= 'b00000; {32'd68,3'd0} : VAR11 <= 'b01000; {32'd68,3'd1} : VAR11 <= 'b01000; {32'd68,3'd2} : VAR11 <= 'b01000; {32'd68,3'd3} : VAR11 <= 'b01110; {32'd68,3'd4} : VAR11 <= 'b01001; {32'd68,3'd5} : VAR11 <= 'b01001; {32'd68,3'd6} : VAR11 <= 'b01110; {32'd68,3'd7} : VAR11 <= 'b00000; {32'd69,3'd0} : VAR11 <= 'b00000; {32'd69,3'd1} : VAR11 <= 'b00000; {32'd69,3'd2} : VAR11 <= 'b01100; {32'd69,3'd3} : VAR11 <= 'b10010; {32'd69,3'd4} : VAR11 <= 'b11110; {32'd69,3'd5} : VAR11 <= 'b00010; {32'd69,3'd6} : VAR11 <= 'b01100; {32'd69,3'd7} : VAR11 <= 'b00000; {32'd70,3'd0} : VAR11 <= 'b01100; {32'd70,3'd1} : VAR11 <= 'b10010; {32'd70,3'd2} : VAR11 <= 'b00010; {32'd70,3'd3} : VAR11 <= 'b00111; {32'd70,3'd4} : VAR11 <= 'b00010; {32'd70,3'd5} : VAR11 <= 'b00010; {32'd70,3'd6} : VAR11 <= 'b00010; {32'd70,3'd7} : VAR11 <= 'b00000; {32'd71,3'd0} : VAR11 <= 'b00000; {32'd71,3'd1} : VAR11 <= 'b01110; {32'd71,3'd2} : VAR11 <= 'b01001; {32'd71,3'd3} : VAR11 <= 'b01001; {32'd71,3'd4} : VAR11 <= 'b01110; {32'd71,3'd5} : VAR11 <= 'b01000; {32'd71,3'd6} : VAR11 <= 'b00110; {32'd71,3'd7} : VAR11 <= 'b00000; {32'd72,3'd0} : VAR11 <= 'b00010; {32'd72,3'd1} : VAR11 <= 'b00010; {32'd72,3'd2} : VAR11 <= 'b00010; {32'd72,3'd3} : VAR11 <= 'b01110; {32'd72,3'd4} : VAR11 <= 'b10010; {32'd72,3'd5} : VAR11 <= 'b10010; {32'd72,3'd6} : VAR11 <= 'b10010; {32'd72,3'd7} : VAR11 <= 'b00000; {32'd73,3'd0} : VAR11 <= 'b00000; {32'd73,3'd1} : VAR11 <= 'b00100; {32'd73,3'd2} : VAR11 <= 'b00000; {32'd73,3'd3} : VAR11 <= 'b00100; {32'd73,3'd4} : VAR11 <= 'b00100; {32'd73,3'd5} : VAR11 <= 'b00100; {32'd73,3'd6} : VAR11 <= 'b00100; {32'd73,3'd7} : VAR11 <= 'b00000; {32'd74,3'd0} : VAR11 <= 'b00000; {32'd74,3'd1} : VAR11 <= 'b00100; {32'd74,3'd2} : VAR11 <= 'b00000; {32'd74,3'd3} : VAR11 <= 'b00100; {32'd74,3'd4} : VAR11 <= 'b00100; {32'd74,3'd5} : VAR11 <= 'b00101; {32'd74,3'd6} : VAR11 <= 'b00010; {32'd74,3'd7} : VAR11 <= 'b00000; {32'd75,3'd0} : VAR11 <= 'b00010; {32'd75,3'd1} : VAR11 <= 'b00010; {32'd75,3'd2} : VAR11 <= 'b10010; {32'd75,3'd3} : VAR11 <= 'b01010; {32'd75,3'd4} : VAR11 <= 'b00110; {32'd75,3'd5} : VAR11 <= 'b01010; {32'd75,3'd6} : VAR11 <= 'b10010; {32'd75,3'd7} : VAR11 <= 'b00000; {32'd76,3'd0} : VAR11 <= 'b00110; {32'd76,3'd1} : VAR11 <= 'b00100; {32'd76,3'd2} : VAR11 <= 'b00100; {32'd76,3'd3} : VAR11 <= 'b00100; {32'd76,3'd4} : VAR11 <= 'b00100; {32'd76,3'd5} : VAR11 <= 'b00100; {32'd76,3'd6} : VAR11 <= 'b01000; {32'd76,3'd7} : VAR11 <= 'b00000; {32'd77,3'd0} : VAR11 <= 'b00000; {32'd77,3'd1} : VAR11 <= 'b00000; {32'd77,3'd2} : VAR11 <= 'b01011; {32'd77,3'd3} : VAR11 <= 'b10101; {32'd77,3'd4} : VAR11 <= 'b10101; {32'd77,3'd5} : VAR11 <= 'b10101; {32'd77,3'd6} : VAR11 <= 'b10101; {32'd77,3'd7} : VAR11 <= 'b00000; {32'd78,3'd0} : VAR11 <= 'b00000; {32'd78,3'd1} : VAR11 <= 'b00000; {32'd78,3'd2} : VAR11 <= 'b01110; {32'd78,3'd3} : VAR11 <= 'b10010; {32'd78,3'd4} : VAR11 <= 'b10010; {32'd78,3'd5} : VAR11 <= 'b10010; {32'd78,3'd6} : VAR11 <= 'b10010; {32'd78,3'd7} : VAR11 <= 'b00000; {32'd79,3'd0} : VAR11 <= 'b00000; {32'd79,3'd1} : VAR11 <= 'b00000; {32'd79,3'd2} : VAR11 <= 'b01110; {32'd79,3'd3} : VAR11 <= 'b10001; {32'd79,3'd4} : VAR11 <= 'b10001; {32'd79,3'd5} : VAR11 <= 'b10001; {32'd79,3'd6} : VAR11 <= 'b01110; {32'd79,3'd7} : VAR11 <= 'b00000; {32'd80,3'd0} : VAR11 <= 'b00000; {32'd80,3'd1} : VAR11 <= 'b01110; {32'd80,3'd2} : VAR11 <= 'b10010; {32'd80,3'd3} : VAR11 <= 'b01110; {32'd80,3'd4} : VAR11 <= 'b00010; {32'd80,3'd5} : VAR11 <= 'b00010; {32'd80,3'd6} : VAR11 <= 'b00010; {32'd80,3'd7} : VAR11 <= 'b00000; {32'd81,3'd0} : VAR11 <= 'b00000; {32'd81,3'd1} : VAR11 <= 'b01110; {32'd81,3'd2} : VAR11 <= 'b01001; {32'd81,3'd3} : VAR11 <= 'b01110; {32'd81,3'd4} : VAR11 <= 'b01000; {32'd81,3'd5} : VAR11 <= 'b01000; {32'd81,3'd6} : VAR11 <= 'b11000; {32'd81,3'd7} : VAR11 <= 'b00000; {32'd82,3'd0} : VAR11 <= 'b00000; {32'd82,3'd1} : VAR11 <= 'b00000; {32'd82,3'd2} : VAR11 <= 'b01110; {32'd82,3'd3} : VAR11 <= 'b10010; {32'd82,3'd4} : VAR11 <= 'b00010; {32'd82,3'd5} : VAR11 <= 'b00010; {32'd82,3'd6} : VAR11 <= 'b00010; {32'd82,3'd7} : VAR11 <= 'b00000; {32'd83,3'd0} : VAR11 <= 'b00000; {32'd83,3'd1} : VAR11 <= 'b00000; {32'd83,3'd2} : VAR11 <= 'b11100; {32'd83,3'd3} : VAR11 <= 'b00010; {32'd83,3'd4} : VAR11 <= 'b01100; {32'd83,3'd5} : VAR11 <= 'b10000; {32'd83,3'd6} : VAR11 <= 'b01110; {32'd83,3'd7} : VAR11 <= 'b00000; {32'd84,3'd0} : VAR11 <= 'b00000; {32'd84,3'd1} : VAR11 <= 'b00100; {32'd84,3'd2} : VAR11 <= 'b01110; {32'd84,3'd3} : VAR11 <= 'b00100; {32'd84,3'd4} : VAR11 <= 'b00100; {32'd84,3'd5} : VAR11 <= 'b00100; {32'd84,3'd6} : VAR11 <= 'b01000; {32'd84,3'd7} : VAR11 <= 'b00000; {32'd85,3'd0} : VAR11 <= 'b00000; {32'd85,3'd1} : VAR11 <= 'b00000; {32'd85,3'd2} : VAR11 <= 'b00000; {32'd85,3'd3} : VAR11 <= 'b01001; {32'd85,3'd4} : VAR11 <= 'b01001; {32'd85,3'd5} : VAR11 <= 'b01001; {32'd85,3'd6} : VAR11 <= 'b01110; {32'd85,3'd7} : VAR11 <= 'b00000; {32'd86,3'd0} : VAR11 <= 'b00000; {32'd86,3'd1} : VAR11 <= 'b00000; {32'd86,3'd2} : VAR11 <= 'b00000; {32'd86,3'd3} : VAR11 <= 'b10001; {32'd86,3'd4} : VAR11 <= 'b10001; {32'd86,3'd5} : VAR11 <= 'b01010; {32'd86,3'd6} : VAR11 <= 'b00100; {32'd86,3'd7} : VAR11 <= 'b00000; {32'd87,3'd0} : VAR11 <= 'b00000; {32'd87,3'd1} : VAR11 <= 'b00000; {32'd87,3'd2} : VAR11 <= 'b00000; {32'd87,3'd3} : VAR11 <= 'b10001; {32'd87,3'd4} : VAR11 <= 'b10001; {32'd87,3'd5} : VAR11 <= 'b10101; {32'd87,3'd6} : VAR11 <= 'b01010; {32'd87,3'd7} : VAR11 <= 'b00000; {32'd88,3'd0} : VAR11 <= 'b00000; {32'd88,3'd1} : VAR11 <= 'b00000; {32'd88,3'd2} : VAR11 <= 'b10001; {32'd88,3'd3} : VAR11 <= 'b01010; {32'd88,3'd4} : VAR11 <= 'b00100; {32'd88,3'd5} : VAR11 <= 'b01010; {32'd88,3'd6} : VAR11 <= 'b10001; {32'd88,3'd7} : VAR11 <= 'b00000; {32'd89,3'd0} : VAR11 <= 'b00000; {32'd89,3'd1} : VAR11 <= 'b00000; {32'd89,3'd2} : VAR11 <= 'b10010; {32'd89,3'd3} : VAR11 <= 'b10010; {32'd89,3'd4} : VAR11 <= 'b10100; {32'd89,3'd5} : VAR11 <= 'b01000; {32'd89,3'd6} : VAR11 <= 'b00110; {32'd89,3'd7} : VAR11 <= 'b00000; {32'd90,3'd0} : VAR11 <= 'b00000; {32'd90,3'd1} : VAR11 <= 'b00000; {32'd90,3'd2} : VAR11 <= 'b11111; {32'd90,3'd3} : VAR11 <= 'b01000; {32'd90,3'd4} : VAR11 <= 'b00100; {32'd90,3'd5} : VAR11 <= 'b00010; {32'd90,3'd6} : VAR11 <= 'b11111; {32'd90,3'd7} : VAR11 <= 'b00000; {32'd91,3'd0} : VAR11 <= 'b01100; {32'd91,3'd1} : VAR11 <= 'b00010; {32'd91,3'd2} : VAR11 <= 'b00010; {32'd91,3'd3} : VAR11 <= 'b00001; {32'd91,3'd4} : VAR11 <= 'b00010; {32'd91,3'd5} : VAR11 <= 'b00010; {32'd91,3'd6} : VAR11 <= 'b01100; {32'd91,3'd7} : VAR11 <= 'b00000; {32'd92,3'd0} : VAR11 <= 'b00100; {32'd92,3'd1} : VAR11 <= 'b00100; {32'd92,3'd2} : VAR11 <= 'b00100; {32'd92,3'd3} : VAR11 <= 'b00100; {32'd92,3'd4} : VAR11 <= 'b00100; {32'd92,3'd5} : VAR11 <= 'b00100; {32'd92,3'd6} : VAR11 <= 'b00100; {32'd92,3'd7} : VAR11 <= 'b00000; {32'd93,3'd0} : VAR11 <= 'b00110; {32'd93,3'd1} : VAR11 <= 'b01000; {32'd93,3'd2} : VAR11 <= 'b01000; {32'd93,3'd3} : VAR11 <= 'b10000; {32'd93,3'd4} : VAR11 <= 'b01000; {32'd93,3'd5} : VAR11 <= 'b01000; {32'd93,3'd6} : VAR11 <= 'b00110; {32'd93,3'd7} : VAR11 <= 'b00000; {32'd94,3'd0} : VAR11 <= 'b00010; {32'd94,3'd1} : VAR11 <= 'b10101; {32'd94,3'd2} : VAR11 <= 'b01000; {32'd94,3'd3} : VAR11 <= 'b00000; {32'd94,3'd4} : VAR11 <= 'b00000; {32'd94,3'd5} : VAR11 <= 'b00000; {32'd94,3'd6} : VAR11 <= 'b00000; {32'd94,3'd7} : VAR11 <= 'b00000; {32'd95,3'd0} : VAR11 <= 'b00000; {32'd95,3'd1} : VAR11 <= 'b00000; {32'd95,3'd2} : VAR11 <= 'b00000; {32'd95,3'd3} : VAR11 <= 'b00000; {32'd95,3'd4} : VAR11 <= 'b00000; {32'd95,3'd5} : VAR11 <= 'b00000; {32'd95,3'd6} : VAR11 <= 'b00000; {32'd95,3'd7} : VAR11 <= 'b00000; default: VAR11 <= 'b00000; endcase end end endmodule
gpl-3.0
scalable-networks/ext
uhd/fpga/usrp2/gpmc/fifo_to_gpmc.v
5,988
module MODULE1 (input clk, input reset, input VAR2, input VAR38, input [17:0] VAR35, input VAR6, output VAR45, output [15:0] VAR44, input [VAR33:1] VAR16, input VAR1, input VAR24, output reg VAR28); wire [17:0] VAR14; reg VAR30; reg [VAR33:1] addr; reg [VAR32:0] VAR19, VAR11; localparam VAR25 = 0; localparam VAR29 = 1; reg VAR20; reg [VAR33-1:0] counter; reg [VAR32:0] VAR46; localparam VAR26 = 0; localparam VAR9 = 1; always @(posedge VAR1 or posedge VAR38) begin if (VAR38) begin VAR30 <= VAR25; VAR19 <= 0; VAR11 <= 0; addr <= 0; end else if (VAR24) begin addr <= VAR16 + 1; case(VAR30) VAR25: begin if (VAR16 == 0) begin VAR30 <= VAR29; VAR11 <= VAR19 + 1; end end VAR29: begin if (addr == VAR7) begin VAR30 <= VAR25; VAR19 <= VAR11; addr <= 0; end end endcase end end wire [VAR32:0] VAR3; VAR40 #(.VAR43(VAR32+1)) VAR15 (.clk(clk), .rst(reset | VAR2), .in(VAR19), .out(VAR3)); wire VAR4 = (VAR46 ^ (1 << VAR32)) != VAR3; wire [VAR32:0] VAR34; VAR40 #(.VAR43(VAR32+1)) VAR5 (.clk(clk), .rst(reset | VAR2), .in(VAR11), .out(VAR34)); always @(posedge clk) if (reset | VAR2) VAR28 <= 0; else VAR28 <= VAR34 != VAR46; always @(posedge clk) begin if (reset | VAR2) begin VAR20 <= VAR26; VAR46 <= 0; counter <= 0; end else begin case(VAR20) VAR26: begin if (VAR4) VAR20 <= VAR9; counter <= 0; end VAR9: begin if (VAR6 && VAR45 && VAR35[17]) begin VAR20 <= VAR26; VAR46 <= VAR46 + 1; end if (VAR6 && VAR45) begin counter <= counter + 1; end end endcase end end assign VAR45 = VAR20 == VAR9; assign VAR44 = VAR14[15:0]; VAR42 #(.VAR18(18),.VAR39(VAR32 + VAR33)) VAR21 (.VAR13(clk),.VAR23(1'b1),.VAR37(VAR6 && VAR45), .VAR36({VAR46[VAR32-1:0], counter}),.VAR41(VAR35),.VAR31(), .VAR22(VAR1),.VAR12(1'b1),.VAR10(1'b0), .VAR8({VAR19[VAR32-1:0], addr}),.VAR27(18'h3ffff),.VAR17(VAR14)); endmodule
gpl-2.0
aj-michael/Digital-Systems
HammingCodes/NewHammingDecoder.v
1,423
module MODULE1(VAR2, VAR1); input [6:0] VAR2; output [3:0] VAR1; assign VAR1[3] = (VAR2[6]^VAR2[5]^VAR2[4]^VAR2[2])&(VAR2[6]^VAR2[5]^VAR2[3]^VAR2[1])&(VAR2[6]^VAR2[4]^VAR2[3]^VAR2[0]) ? ~VAR2[6] : VAR2[6]; assign VAR1[2] = (VAR2[6]^VAR2[5]^VAR2[4]^VAR2[2])&(VAR2[6]^VAR2[5]^VAR2[3]^VAR2[1])&!(VAR2[6]^VAR2[4]^VAR2[3]^VAR2[0]) ? ~VAR2[5] : VAR2[5]; assign VAR1[1] = (VAR2[6]^VAR2[5]^VAR2[4]^VAR2[2])&!(VAR2[6]^VAR2[5]^VAR2[3]^VAR2[1])&(VAR2[6]^VAR2[4]^VAR2[3]^VAR2[0]) ? ~VAR2[4] : VAR2[4]; assign VAR1[0] = !(VAR2[6]^VAR2[5]^VAR2[4]^VAR2[2])&(VAR2[6]^VAR2[5]^VAR2[3]^VAR2[1])&(VAR2[6]^VAR2[4]^VAR2[3]^VAR2[0]) ? ~VAR2[3] : VAR2[3]; endmodule
mit
alexforencich/xfcp
lib/eth/lib/axis/rtl/axis_ll_bridge.v
2,439
module MODULE1 # ( parameter VAR11 = 8 ) ( input wire clk, input wire rst, input wire [VAR11-1:0] VAR9, input wire VAR8, output wire VAR7, input wire VAR5, output wire [VAR11-1:0] VAR3, output wire VAR6, output wire VAR12, output wire VAR1, input wire VAR4 ); reg VAR2 = 1'b1; always @(posedge clk) begin if (rst) begin VAR2 = 1'b1; end else begin if (VAR8 && VAR7) VAR2 = VAR5; end end wire VAR10 = VAR8 && VAR5 && VAR2; assign VAR7 = !VAR4; assign VAR3 = VAR9; assign VAR6 = !(VAR2 && VAR8 && !VAR10); assign VAR12 = !(VAR5 && !VAR10); assign VAR1 = !(VAR8 && !VAR10); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputisolatch/sky130_fd_sc_lp__inputisolatch.functional.v
1,641
module MODULE1 ( VAR6 , VAR10 , VAR9 ); output VAR6 ; input VAR10 ; input VAR9; wire VAR3 ; wire VAR5; wire VAR1 ; VAR7 VAR2 VAR4 (VAR3 , VAR10, VAR9 ); buf VAR8 (VAR6 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a22oi/sky130_fd_sc_ms__a22oi.functional.pp.v
2,164
module MODULE1 ( VAR12 , VAR15 , VAR1 , VAR9 , VAR2 , VAR8, VAR5, VAR7 , VAR19 ); output VAR12 ; input VAR15 ; input VAR1 ; input VAR9 ; input VAR2 ; input VAR8; input VAR5; input VAR7 ; input VAR19 ; wire VAR18 ; wire VAR4 ; wire VAR17 ; wire VAR10; nand VAR14 (VAR18 , VAR1, VAR15 ); nand VAR11 (VAR4 , VAR2, VAR9 ); and VAR6 (VAR17 , VAR18, VAR4 ); VAR13 VAR16 (VAR10, VAR17, VAR8, VAR5); buf VAR3 (VAR12 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand2/sky130_fd_sc_lp__nand2.pp.symbol.v
1,269
module MODULE1 ( input VAR6 , input VAR7 , output VAR1 , input VAR4 , input VAR3, input VAR5, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfrbp/sky130_fd_sc_hvl__sdfrbp.functional.pp.v
2,774
module MODULE1 ( VAR4 , VAR5 , VAR20 , VAR8 , VAR26 , VAR12 , VAR15, VAR22 , VAR7 , VAR1 , VAR11 ); output VAR4 ; output VAR5 ; input VAR20 ; input VAR8 ; input VAR26 ; input VAR12 ; input VAR15; input VAR22 ; input VAR7 ; input VAR1 ; input VAR11 ; wire VAR21 ; wire VAR24 ; wire VAR17 ; wire VAR18 ; wire VAR9; not VAR19 (VAR24 , VAR15 ); VAR16 VAR6 (VAR17 , VAR8, VAR26, VAR12 ); VAR14 VAR13 VAR10 (VAR21 , VAR17, VAR20, VAR24, , VAR22, VAR7); buf VAR2 (VAR18 , VAR21 ); VAR23 VAR25 (VAR4 , VAR18, VAR22, VAR7 ); not VAR27 (VAR9, VAR21 ); VAR23 VAR3 (VAR5 , VAR9, VAR22, VAR7 ); endmodule
apache-2.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
bin_Sobel_Filter/ip/Sobel/acl_int_div64s.v
4,801
module MODULE1 ( enable, VAR17, VAR23, VAR8, VAR19, VAR4); input enable; input VAR17; input [63:0] VAR23; input [63:0] VAR8; output [63:0] VAR19; output [63:0] VAR4; wire [63:0] VAR18; wire [63:0] VAR6; wire [63:0] VAR4 = VAR18[63:0]; wire [63:0] VAR19 = VAR6[63:0]; VAR2 VAR1 ( .VAR17 (VAR17), .VAR20 (enable), .VAR23 (VAR23), .VAR8 (VAR8), .VAR4 (VAR18), .VAR19 (VAR6), .VAR10 (1'b0)); VAR1.VAR11 = "VAR21", VAR1.VAR14 = "VAR9=6,VAR13=VAR5", VAR1.VAR22 = "VAR21", VAR1.VAR16 = 32, VAR1.VAR3 = "VAR15", VAR1.VAR7 = 64, VAR1.VAR12 = 64; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlymetal6s6s/sky130_fd_sc_hs__dlymetal6s6s.pp.symbol.v
1,325
module MODULE1 ( input VAR1 , output VAR2 , input VAR4, input VAR3 ); endmodule
apache-2.0
freecores/orsoc_graphics_accelerator
rtl/verilog/gfx/gfx_cuvz.v
8,197
module MODULE1( VAR26, VAR42, VAR50, VAR3, VAR36, VAR25, VAR41, VAR56, VAR8, VAR22, VAR60, VAR51, VAR58, VAR6, VAR18, VAR31, VAR49, VAR10, VAR57, VAR12, VAR40, VAR48, VAR11, VAR47, VAR59, VAR19, VAR53, VAR32, VAR20, VAR15, VAR28, VAR37, VAR9, VAR43, VAR30 ); parameter VAR1 = 16; input VAR26; input VAR42; input VAR50; output reg VAR3; input VAR36; input [VAR1-1:0] VAR25; input [VAR1-1:0] VAR41; input [31:0] VAR56; input [31:0] VAR8; input [31:0] VAR22; input [1:0] VAR60; output reg [31:0] VAR51; input signed [VAR1-1:0] VAR58; input signed [VAR1-1:0] VAR6; input signed [VAR1-1:0] VAR18; output reg signed [VAR1-1:0] VAR31; input [7:0] VAR59; input [7:0] VAR19; input [7:0] VAR53; output reg [7:0] VAR32; input [VAR1-1:0] VAR49; input [VAR1-1:0] VAR57; input [VAR1-1:0] VAR40; input [VAR1-1:0] VAR10; input [VAR1-1:0] VAR12; input [VAR1-1:0] VAR48; output reg [VAR1-1:0] VAR11; output reg [VAR1-1:0] VAR47; output reg [VAR1-1:0] VAR20; output reg [VAR1-1:0] VAR15; input [VAR1-1:0] VAR28; input [VAR1-1:0] VAR37; output reg [VAR1-1:0] VAR9; output reg [VAR1-1:0] VAR43; output reg VAR30; reg [VAR1:0] VAR29; reg [VAR1:0] VAR54; reg [VAR1:0] VAR7; reg [1:0] state; parameter VAR24 = 2'b00, VAR16 = 2'b01, VAR27 = 2'b10; always @(posedge VAR26 or posedge VAR42) if(VAR42) state <= VAR24; else case (state) VAR24: if(VAR36) state <= VAR16; VAR16: state <= VAR27; VAR27: if(VAR50) state <= VAR24; endcase wire [VAR1*2-1:0] VAR35 = VAR29 * VAR49 + VAR54 * VAR57 + VAR7 * VAR40; wire [VAR1*2-1:0] VAR44 = VAR29 * VAR10 + VAR54 * VAR12 + VAR7 * VAR48; wire [VAR1+8-1:0] VAR34 = VAR29 * VAR59 + VAR54 * VAR19 + VAR7 * VAR53; wire signed [VAR1*2-1:0] VAR21 = ({1'b0, VAR29}) * VAR58 + ({1'b0, VAR54}) * VAR6 + ({1'b0, VAR7}) * VAR18; wire [VAR1-1:0] VAR55 = (VAR54/2) + VAR7; wire [VAR1-1:0] VAR5 = VAR7; wire [7:0] VAR23 = (VAR60 == 2'b00) ? VAR56[7:0] : (VAR60 == 2'b01) ? VAR56[15:11] : VAR56[23:16]; wire [7:0] VAR33 = (VAR60 == 2'b00) ? VAR56[7:0] : (VAR60 == 2'b01) ? VAR56[10:5] : VAR56[15:8]; wire [7:0] VAR2 = (VAR60 == 2'b00) ? VAR56[7:0] : (VAR60 == 2'b01) ? VAR56[4:0] : VAR56[7:0]; wire [7:0] VAR39 = (VAR60 == 2'b00) ? VAR8[7:0] : (VAR60 == 2'b01) ? VAR8[15:11] : VAR8[23:16]; wire [7:0] VAR4 = (VAR60 == 2'b00) ? VAR8[7:0] : (VAR60 == 2'b01) ? VAR8[10:5] : VAR8[15:8]; wire [7:0] VAR52 = (VAR60 == 2'b00) ? VAR8[7:0] : (VAR60 == 2'b01) ? VAR8[4:0] : VAR8[7:0]; wire [7:0] VAR46 = (VAR60 == 2'b00) ? VAR22[7:0] : (VAR60 == 2'b01) ? VAR22[15:11] : VAR22[23:16]; wire [7:0] VAR14 = (VAR60 == 2'b00) ? VAR22[7:0] : (VAR60 == 2'b01) ? VAR22[10:5] : VAR22[15:8]; wire [7:0] VAR45 = (VAR60 == 2'b00) ? VAR22[7:0] : (VAR60 == 2'b01) ? VAR22[4:0] : VAR22[7:0]; wire [8+VAR1-1:0] VAR17 = VAR29*VAR23 + VAR54*VAR39 + VAR7*VAR46; wire [8+VAR1-1:0] VAR38 = VAR29*VAR33 + VAR54*VAR4 + VAR7*VAR14; wire [8+VAR1-1:0] VAR13 = VAR29*VAR2 + VAR54*VAR52 + VAR7*VAR45; always @(posedge VAR26 or posedge VAR42) begin if(VAR42) begin VAR3 <= 1'b0; VAR30 <= 1'b0; VAR9 <= 1'b0; VAR43 <= 1'b0; VAR51 <= 1'b0; VAR31 <= 1'b0; VAR11 <= 1'b0; VAR47 <= 1'b0; VAR32 <= 1'b0; VAR29 <= 1'b0; VAR54 <= 1'b0; VAR7 <= 1'b0; end else case (state) VAR24: begin VAR3 <= 1'b0; if(VAR36) begin VAR9 <= VAR28; VAR43 <= VAR37; VAR29 <= VAR25; VAR54 <= VAR41; VAR7 <= (VAR25 + VAR41 >= (1 << VAR1)) ? 1'b0 : (1 << VAR1) - VAR25 - VAR41; end end VAR16: begin VAR30 <= 1'b1; VAR11 <= VAR35[VAR1*2-1:VAR1]; VAR47 <= VAR44[VAR1*2-1:VAR1]; VAR20 <= VAR55; VAR15 <= VAR5; VAR31 <= VAR21[VAR1*2-1:VAR1]; VAR32 <= VAR34[VAR1+8-1:VAR1]; VAR51 <= (VAR60 == 2'b00) ? {VAR17[8+VAR1-1:VAR1]} : (VAR60 == 2'b01) ? {VAR17[5+VAR1-1:VAR1], VAR38[6+VAR1-1:VAR1], VAR13[5+VAR1-1:VAR1]} : {VAR17[8+VAR1-1:VAR1], VAR38[8+VAR1-1:VAR1], VAR13[8+VAR1-1:VAR1]}; end VAR27: begin VAR30 <= 1'b0; if(VAR50) VAR3 <= 1'b1; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor2/sky130_fd_sc_hdll__nor2_2.v
2,102
module MODULE2 ( VAR5 , VAR6 , VAR2 , VAR9, VAR3, VAR7 , VAR1 ); output VAR5 ; input VAR6 ; input VAR2 ; input VAR9; input VAR3; input VAR7 ; input VAR1 ; VAR4 VAR8 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR9(VAR9), .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR5, VAR6, VAR2 ); output VAR5; input VAR6; input VAR2; supply1 VAR9; supply0 VAR3; supply1 VAR7 ; supply0 VAR1 ; VAR4 VAR8 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2) ); endmodule
apache-2.0
asicguy/gplgpu
hdl/de_temp/dex_top.v
19,370
module MODULE1 ( input VAR5, input VAR90, input VAR148, input [31:0] VAR13, input [31:0] VAR155, input [3:0] VAR87, input [3:0] VAR122, input [3:0] VAR124, input [3:0] VAR62, input VAR9, input VAR17, input VAR60, input VAR102, input [1:0] VAR98, input [1:0] VAR118, input VAR21, input VAR100, input VAR20, input VAR23, input VAR45, input [2:0] VAR79, input [31:0] VAR129, input [15:0] VAR47, input [31:0] VAR163, input [31:0] VAR48, input [159:0] VAR76, input VAR94, VAR82, input [1:0] VAR19, input VAR84, VAR113, VAR141, VAR132, VAR105, input VAR165, input VAR143, input VAR10, input VAR126, input VAR154, input VAR158, input VAR159, input VAR111, input VAR51, input VAR66, input VAR119, output VAR36, VAR3, VAR65, VAR95, VAR139, VAR80, VAR144, output [15:0] VAR145, output [15:0] VAR2, VAR97, VAR133, VAR147, output VAR50, output VAR162, output VAR85, output [15:0] VAR41, output [31:0] VAR56, output VAR70, output VAR101, output VAR86, output VAR75, VAR37, output VAR27, output [3:0] VAR115, output VAR140, output VAR32, output VAR91, output [4:0] VAR146, output [4:0] VAR81, output [15:0] VAR160, output [15:0] VAR71, output VAR68, output VAR64, output VAR161, output VAR15, output VAR108, output VAR18,VAR73, output VAR12, output VAR136, output VAR72, output [2:0] VAR57, output VAR35, output [31:0] VAR39, output [31:0] VAR138 ); wire [2:0] VAR104; wire VAR58; wire VAR164; wire [15:0] VAR43; wire [4:0] VAR83; wire [4:0] VAR16; wire [4:0] VAR93; wire [3:0] VAR44; wire [1:0] VAR11; wire [4:0] VAR31; wire [15:0] VAR116; wire [15:0] VAR38; wire [15:0] VAR106; wire [15:0] VAR46; wire VAR28; wire VAR92; wire VAR128; wire [3:0] VAR33; wire [1:0] VAR135; wire [2:0] VAR114; wire VAR8; wire VAR53; wire VAR125; wire VAR103; wire VAR123; wire VAR67; wire VAR137,VAR25; wire VAR152,VAR121,VAR157; wire [2:0] VAR142; wire VAR109; wire VAR6; wire VAR52; wire VAR149; wire VAR77; wire VAR22; wire VAR7; wire VAR59; wire VAR69; wire VAR156; wire VAR127; wire VAR112; wire VAR99; wire VAR151; wire VAR63; wire VAR88; wire VAR54; wire VAR1; wire VAR40; assign VAR128 = VAR79[2]; assign VAR123 = (VAR19==2'b01); assign VAR67 = (VAR19==2'b10); VAR24 VAR26 ( .VAR5 (VAR5), .VAR49 (VAR148), .VAR90 (VAR90), .VAR128 (VAR128), .VAR45 (VAR45), .VAR9 (VAR9), .VAR17 (VAR17), .VAR60 (VAR60), .VAR153 (VAR102), .VAR98 (VAR98), .VAR21 (VAR21), .VAR118 (VAR118), .VAR100 (VAR100), .VAR20 (VAR20), .VAR110 (VAR94), .VAR29 (VAR82), .VAR140 (VAR140), .VAR8 (VAR8), .VAR53 (VAR53), .VAR28 (VAR28), .VAR92 (VAR92), .VAR6 (VAR6), .VAR52 (VAR52), .VAR55 (VAR104), .VAR87 (VAR87), .VAR122 (VAR122), .VAR124 (VAR124), .VAR62 (VAR62), .VAR105 (VAR105), .VAR123 (VAR123), .VAR67 (VAR67), .VAR84 (VAR84), .VAR113 (VAR113), .VAR132 (VAR132), .VAR37 (VAR37), .VAR57 (VAR57), .VAR165 (VAR165), .VAR143 (VAR143), .VAR58 (VAR58), .VAR164 (VAR164), .VAR137 (VAR137), .VAR25 (VAR25), .VAR10 (VAR10), .VAR142 (VAR142), .VAR126 (VAR126), .VAR154 (VAR154), .VAR159 (VAR159), .VAR111 (VAR111), .VAR51 (VAR51), .VAR119 (VAR119), .VAR83 (VAR83), .VAR16 (VAR16), .VAR93 (VAR93), .VAR44 (VAR44), .VAR11 (VAR11), .VAR31 (VAR31), .VAR4 (VAR149), .VAR34 (VAR77), .VAR134 (VAR3), .VAR107 (VAR22), .VAR33 (VAR33), .VAR135 (VAR135), .VAR89 (VAR7), .VAR131 (VAR65), .VAR130 (VAR36), .VAR95 (VAR95), .VAR139 (VAR139), .VAR80 (VAR80), .VAR136 (VAR136), .VAR40 (VAR40), .VAR59 (VAR59), .VAR69 (VAR69), .VAR156 (VAR156), .VAR50 (VAR50), .VAR72 (VAR72), .VAR162 (VAR162), .VAR70 (VAR70), .VAR101 (VAR101), .VAR86 (VAR86), .VAR125 (VAR125), .VAR103 (VAR103), .VAR27 (VAR27), .VAR144 (VAR144), .VAR127 (VAR127), .VAR112 (VAR112), .VAR99 (VAR99), .VAR151 (VAR151), .VAR63 (VAR63), .VAR120 (VAR88), .VAR161 (VAR161), .VAR15 (VAR15), .VAR109 (VAR109), .VAR108 (VAR108), .VAR54 (VAR54), .VAR1 (VAR1), .VAR18 (VAR18), .VAR73 (VAR73), .VAR12 (VAR12), .VAR152 (VAR152), .VAR121 (VAR121), .VAR157 (VAR157), .VAR35 (VAR35) ); VAR30 VAR74 ( .VAR5 (VAR5), .VAR148 (VAR148), .VAR83 (VAR83), .VAR116 (VAR116), .VAR106 (VAR106), .VAR38 (VAR38), .VAR46 (VAR46), .VAR31 (VAR31), .VAR154 (VAR154), .VAR132 (VAR132), .VAR113 (VAR113), .VAR112 (VAR112), .VAR99 (VAR99), .VAR151 (VAR151), .VAR143 (VAR143), .VAR63 (VAR63), .VAR157 (VAR157), .VAR145 (VAR145), .VAR43 (VAR43), .VAR6 (VAR6), .VAR52 (VAR52), .VAR57 (VAR57[1:0]), .VAR115 (VAR115), .VAR28 (VAR28), .VAR92 (VAR92), .VAR91 (VAR91) ); VAR150 VAR117 ( .VAR5 (VAR5), .VAR49 (VAR148), .VAR76 (VAR76), .VAR61 (VAR154), .VAR158 (VAR158), .VAR136 (VAR136), .VAR40 (VAR40), .VAR50 (VAR50), .VAR72 (VAR72), .VAR162 (VAR162), .VAR16 (VAR16), .VAR93 (VAR93), .VAR44 (VAR44), .VAR11 (VAR11), .VAR149 (VAR149), .VAR77 (VAR77), .VAR23 (VAR23), .VAR22 (VAR22), .VAR66 (VAR66), .VAR33 (VAR33), .VAR135 (VAR135), .VAR7 (VAR7), .VAR145 (VAR145), .VAR43 (VAR43), .VAR129 (VAR129), .VAR47 (VAR47), .VAR79 (VAR79[1:0]), .VAR163 (VAR163), .VAR48 (VAR48), .VAR13 (VAR13), .VAR155 (VAR155), .VAR125 (VAR125), .VAR103 (VAR103), .VAR95 (VAR95), .VAR96 (VAR139), .VAR19 (VAR19), .VAR113 (VAR113), .VAR132 (VAR132), .VAR59 (VAR59), .VAR69 (VAR69), .VAR156 (VAR156), .VAR127 (VAR127), .VAR98 (VAR98[1]), .VAR88 (VAR88), .VAR20 (VAR20), .VAR54 (VAR54), .VAR1 (VAR1), .VAR102 (VAR102), .VAR152 (VAR152), .VAR121 (VAR121), .VAR15 (VAR109), .VAR55 (VAR104), .VAR8 (VAR8), .VAR53 (VAR53), .VAR116 (VAR116), .VAR106 (VAR106), .VAR38 (VAR38), .VAR46 (VAR46), .VAR140 (VAR140), .VAR2 (VAR2), .VAR97 (VAR97), .VAR133 (VAR133), .VAR147 (VAR147), .VAR85 (VAR85), .VAR41 (VAR41), .VAR56 (VAR56), .VAR75 (VAR75), .VAR37 (VAR37), .VAR32 (VAR32), .VAR78 (VAR57[2]), .VAR58 (VAR58), .VAR164 (VAR164), .VAR146 (VAR146), .VAR81 (VAR81), .VAR160 (VAR160), .VAR71 (VAR71), .VAR68 (VAR68), .VAR64 (VAR64), .VAR137 (VAR137), .VAR25 (VAR25), .VAR142 (VAR142), .VAR14 (VAR39), .VAR42 (VAR138) ); endmodule
gpl-3.0
intelligenttoasters/CPC2.0
FPGA/Quartus/custom/usb/buffers/fifoRTL.v
6,145
module MODULE1(VAR27, VAR10, VAR20, VAR12, VAR17, VAR6, VAR23, VAR9, VAR22, VAR24, VAR11, VAR7, VAR13); parameter VAR15 = 8; parameter VAR5 = 64; parameter VAR16 = 6; input VAR27; input VAR20; input [VAR15-1:0] VAR17; input VAR23; input VAR11; output VAR22; input VAR10; input VAR12; output [VAR15-1:0] VAR6; input VAR9; input VAR7; output VAR24; output [15:0]VAR13; wire VAR27; wire VAR10; wire VAR20; wire VAR12; wire [VAR15-1:0] VAR17; reg [VAR15-1:0] VAR6; wire VAR23; wire VAR9; reg VAR22; reg VAR24; wire VAR14; reg [15:0]VAR13; reg [VAR16:0]VAR29; reg [VAR16:0]VAR28; reg [VAR16:0]VAR4; reg [VAR16:0]VAR8; reg [VAR16-1:0]VAR3; reg [VAR16-1:0]VAR2; reg [VAR16:0]VAR31; reg VAR25; wire [VAR15-1:0] VAR30; always @(posedge VAR27) begin VAR8 <= VAR4; if (VAR20 == 1'b1 || VAR11 == 1'b1) begin VAR22 <= 1'b0; VAR29 <= 0; end else begin if (VAR23 == 1'b1) begin VAR29 <= VAR29 + 1'b1; end if ((VAR8[VAR16-1:0] == VAR29[VAR16-1:0]) && (VAR8[VAR16] != VAR29[VAR16]) ) VAR22 <= 1'b1; end else VAR22 <= 1'b0; end end always @(VAR28 or VAR4) VAR31 <= VAR28 - VAR4; always @(posedge VAR10) begin VAR13 <= { {16-VAR16+1{1'b0}}, VAR31 }; VAR28 <= VAR29; if (VAR12 == 1'b1 || VAR7 == 1'b1) begin VAR24 <= 1'b1; VAR4 <= 0; VAR25 <= 1'b0; end else begin VAR25 <= VAR9; if (VAR9 == 1'b1 && VAR25 == 1'b0) begin VAR6 <= VAR30; VAR4 <= VAR4 + 1'b1; end if (VAR28 == VAR4) VAR24 <= 1'b1; end else VAR24 <= 1'b0; end end always @(VAR29 or VAR4) begin VAR3 <= VAR29[VAR16-1:0]; VAR2 <= VAR4[VAR16-1:0]; end VAR26 #(VAR15, VAR5, VAR16) VAR19 ( .VAR32(VAR3), .VAR18(VAR2), .VAR27(VAR27), .VAR10(VAR10), .VAR17(VAR17), .VAR21(VAR23), .VAR1(VAR9), .VAR6(VAR30)); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai221/gf180mcu_fd_sc_mcu7t5v0__oai221_1.functional.pp.v
1,702
module MODULE1( VAR15, VAR5, VAR9, VAR12, VAR4, VAR8, VAR3, VAR17 ); input VAR8, VAR4, VAR5, VAR15, VAR9; inout VAR3, VAR17; output VAR12; wire VAR16; not VAR6( VAR16, VAR8 ); wire VAR10; not VAR11( VAR10, VAR4 ); wire VAR1; and VAR22( VAR1, VAR16, VAR10 ); wire VAR18; not VAR2( VAR18, VAR5 ); wire VAR19; not VAR7( VAR19, VAR15 ); wire VAR20; and VAR23( VAR20, VAR18, VAR19 ); wire VAR21; not VAR14( VAR21, VAR9 ); or VAR13( VAR12, VAR1, VAR20, VAR21 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkbuf/sky130_fd_sc_ls__clkbuf.pp.symbol.v
1,262
module MODULE1 ( input VAR5 , output VAR2 , input VAR3 , input VAR1, input VAR6, input VAR4 ); endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/NVMeHostController/src/user_top.v
35,041
module MODULE1 # ( parameter VAR238 = 32, parameter VAR364 = 32, parameter VAR276 = 32'h80000000, parameter VAR9 = 32'h80010000, parameter VAR353 = 32, parameter VAR68 = 64, parameter VAR451 = 1, parameter VAR455 = 1, parameter VAR310 = 1, parameter VAR199 = 1, parameter VAR245 = 1, parameter VAR389 = 1, parameter VAR407 = 128 ) ( input VAR352, input VAR155, input [VAR238-1 : 0] VAR67, output VAR146, input VAR55, input [2 : 0] VAR156, input VAR286, output VAR445, input [VAR364-1 : 0] VAR347, input [(VAR364/8)-1 : 0] VAR40, output VAR475, input VAR462, output [1 : 0] VAR25, input VAR49, output VAR371, input [VAR238-1 : 0] VAR338, input [2 : 0] VAR84, output VAR357, input VAR383, output [VAR364-1 : 0] VAR368, output [1 : 0] VAR419, input VAR133, input VAR45, output [VAR451-1:0] VAR220, output [VAR353-1:0] VAR348, output [7:0] VAR122, output [2:0] VAR466, output [1:0] VAR88, output [1:0] VAR273, output [3:0] VAR134, output [2:0] VAR478, output [3:0] VAR117, output [3:0] VAR93, output [VAR455-1:0] VAR185, output VAR153, input VAR27, output [VAR451-1:0] VAR232, output [VAR68-1:0] VAR400, output [(VAR68/8)-1:0] VAR166, output VAR257, output [VAR310-1:0] VAR433, output VAR76, input VAR150, input [VAR451-1:0] VAR165, input [1:0] VAR16, input VAR457, input [VAR199-1:0] VAR107, output VAR237, output [VAR451-1:0] VAR41, output [VAR353-1:0] VAR24, output [7:0] VAR459, output [2:0] VAR26, output [1:0] VAR468, output [1:0] VAR370, output [3:0] VAR121, output [2:0] VAR424, output [3:0] VAR89, output [3:0] VAR441, output [VAR245-1:0] VAR340, output VAR284, input VAR272, input [VAR451-1:0] VAR141, input [VAR68-1:0] VAR281, input [1:0] VAR228, input VAR127, input [VAR389-1:0] VAR418, input VAR218, output VAR5, input VAR135, input VAR102, input VAR3, output VAR429, input VAR363, input VAR423, input VAR345, input [5:0] VAR90, input VAR128, input VAR322, input VAR403, output [VAR407-1:0] VAR333, output [(VAR407/8)-1:0] VAR413, output [3:0] VAR385, output VAR215, output VAR125, output VAR54, input [VAR407-1:0] VAR248, input [(VAR407/8)-1:0] VAR283, input VAR124, input VAR126, output VAR159, input [21:0] VAR223, output VAR140, output VAR123, input [11:0] VAR362, input [7:0] VAR246, input [11:0] VAR312, input [7:0] VAR436, input [11:0] VAR252, input [7:0] VAR271, output [2:0] VAR465, input [7:0] VAR193, input [4:0] VAR91, input [2:0] VAR241, output VAR414, input VAR275, output VAR72, output [7:0] VAR377, input [7:0] VAR184, input [2:0] VAR87, input VAR149, input VAR399, input VAR61, output VAR427, output [4:0] VAR460, input VAR86, output VAR449, input [15:0] VAR96, input [15:0] VAR256, input [15:0] VAR396, input [5:0] VAR115, input VAR118, output VAR316, output VAR258 ); parameter VAR57 = 36; wire VAR330; wire VAR269; wire VAR161; wire [5:0] VAR264; wire [15:0] VAR196; wire [2:0] VAR235; wire VAR163; wire VAR152; wire VAR476; wire VAR113; wire VAR189; wire VAR388; wire [1:0] VAR262; wire [1:0] VAR154; wire VAR176; wire [8:0] VAR266; wire [7:0] VAR337; wire [7:0] VAR324; wire [7:0] VAR308; wire [7:0] VAR207; wire [7:0] VAR11; wire [7:0] VAR349; wire [7:0] VAR361; wire [7:0] VAR341; wire [VAR57-1:2] VAR456; wire [VAR57-1:2] VAR191; wire [VAR57-1:2] VAR327; wire [VAR57-1:2] VAR359; wire [VAR57-1:2] VAR412; wire [VAR57-1:2] VAR442; wire [VAR57-1:2] VAR17; wire [VAR57-1:2] VAR100; wire [3:0] VAR319; wire [3:0] VAR299; wire [3:0] VAR304; wire [3:0] VAR167; wire [3:0] VAR471; wire [3:0] VAR143; wire [3:0] VAR446; wire [3:0] VAR80; wire [8:0] VAR145; wire [7:0] VAR172; wire [7:0] VAR214; wire [7:0] VAR95; wire [7:0] VAR183; wire [7:0] VAR10; wire [7:0] VAR314; wire [7:0] VAR477; wire [7:0] VAR138; wire [VAR57-1:2] VAR21; wire [VAR57-1:2] VAR288; wire [VAR57-1:2] VAR415; wire [VAR57-1:2] VAR289; wire [VAR57-1:2] VAR379; wire [VAR57-1:2] VAR169; wire [VAR57-1:2] VAR38; wire [VAR57-1:2] VAR323; wire [8:0] VAR83; wire [2:0] VAR18; wire [2:0] VAR250; wire [2:0] VAR297; wire [2:0] VAR103; wire [2:0] VAR78; wire [2:0] VAR94; wire [2:0] VAR298; wire [2:0] VAR444; wire VAR227; wire [1:0] VAR236; wire VAR35; wire VAR182; wire VAR46; wire [1:0] VAR278; wire VAR14; wire [8:0] VAR443; wire [8:0] VAR473; wire [7:0] VAR447; wire [7:0] VAR212; wire [7:0] VAR302; wire [7:0] VAR366; wire [7:0] VAR315; wire [7:0] VAR461; wire [7:0] VAR342; wire [7:0] VAR397; wire [VAR57-1:2] VAR104; wire [VAR57-1:2] VAR106; wire [VAR57-1:2] VAR375; wire [VAR57-1:2] VAR194; wire [VAR57-1:2] VAR30; wire [VAR57-1:2] VAR226; wire [VAR57-1:2] VAR406; wire [VAR57-1:2] VAR390; wire [3:0] VAR358; wire [3:0] VAR435; wire [3:0] VAR405; wire [3:0] VAR120; wire [3:0] VAR2; wire [3:0] VAR180; wire [3:0] VAR119; wire [3:0] VAR474; wire [8:0] VAR13; wire [8:0] VAR99; wire [7:0] VAR261; wire [7:0] VAR178; wire [7:0] VAR408; wire [7:0] VAR240; wire [7:0] VAR450; wire [7:0] VAR171; wire [7:0] VAR420; wire [7:0] VAR398; wire [VAR57-1:2] VAR291; wire [VAR57-1:2] VAR177; wire [VAR57-1:2] VAR280; wire [VAR57-1:2] VAR160; wire [VAR57-1:2] VAR131; wire [VAR57-1:2] VAR321; wire [VAR57-1:2] VAR434; wire [VAR57-1:2] VAR294; wire [8:0] VAR151; wire [2:0] VAR208; wire [2:0] VAR213; wire [2:0] VAR157; wire [2:0] VAR287; wire [2:0] VAR268; wire [2:0] VAR469; wire [2:0] VAR53; wire [2:0] VAR216; wire [10:0] VAR56; wire [31:0] VAR463; wire VAR458; wire [18:0] VAR137; wire VAR452; wire VAR426; wire [34:0] VAR307; wire [34:0] VAR300; wire VAR430; wire VAR360; wire [49:0] VAR174; wire [49:0] VAR301; wire VAR43; wire [7:0] VAR233; wire [7:0] VAR200; wire [7:0] VAR454; wire [7:0] VAR179; wire VAR71; wire [VAR68-1:0] VAR343; wire VAR425; wire [9:4] VAR198; wire VAR309; wire VAR42; wire [9:4] VAR136; wire VAR325; wire [VAR68-1:0] VAR39; wire VAR381; wire VAR251; wire [20:0] VAR210; wire VAR7; wire VAR33; wire [29:0] VAR92; wire VAR19; wire VAR267; wire [29:0] VAR346; wire VAR270; VAR259 VAR354( .VAR242 (VAR352), .VAR28 (VAR155), .VAR3 (VAR3), .VAR423 (VAR423), .VAR62 (VAR118), .VAR23 (VAR269), .VAR129 (VAR258), .VAR330 (VAR330) ); VAR12 # ( .VAR238 (VAR238), .VAR364 (VAR364), .VAR276 (VAR276), .VAR9 (VAR9), .VAR353 (VAR353), .VAR68 (VAR68), .VAR451 (VAR451), .VAR455 (VAR455), .VAR310 (VAR310), .VAR199 (VAR199), .VAR245 (VAR245), .VAR389 (VAR389) ) VAR367 ( .VAR352 (VAR352), .VAR155 (VAR155), .VAR67 (VAR67), .VAR146 (VAR146), .VAR55 (VAR55), .VAR156 (VAR156), .VAR286 (VAR286), .VAR445 (VAR445), .VAR347 (VAR347), .VAR40 (VAR40), .VAR475 (VAR475), .VAR462 (VAR462), .VAR25 (VAR25), .VAR49 (VAR49), .VAR371 (VAR371), .VAR338 (VAR338), .VAR84 (VAR84), .VAR357 (VAR357), .VAR383 (VAR383), .VAR368 (VAR368), .VAR419 (VAR419), .VAR130 (VAR476), .VAR394 (VAR113), .VAR164 (VAR189), .VAR429 (VAR429), .VAR23 (VAR269), .VAR101 (VAR388), .VAR448 (VAR262), .VAR279 (VAR154), .VAR108 (VAR176), .VAR142 (VAR266), .VAR282 (VAR337), .VAR51 (VAR324), .VAR85 (VAR308), .VAR60 (VAR207), .VAR187 (VAR11), .VAR369 (VAR349), .VAR97 (VAR361), .VAR22 (VAR341), .VAR243 (VAR456), .VAR81 (VAR191), .VAR105 (VAR327), .VAR206 (VAR359), .VAR4 (VAR412), .VAR222 (VAR442), .VAR229 (VAR17), .VAR387 (VAR100), .VAR234 (VAR319), .VAR148 (VAR299), .VAR204 (VAR304), .VAR417 (VAR167), .VAR188 (VAR471), .VAR265 (VAR143), .VAR15 (VAR446), .VAR111 (VAR80), .VAR162 (VAR145), .VAR285 (VAR172), .VAR336 (VAR214), .VAR186 (VAR95), .VAR230 (VAR183), .VAR75 (VAR10), .VAR249 (VAR314), .VAR181 (VAR477), .VAR58 (VAR138), .VAR70 (VAR21), .VAR52 (VAR288), .VAR65 (VAR415), .VAR110 (VAR289), .VAR453 (VAR379), .VAR339 (VAR169), .VAR372 (VAR38), .VAR168 (VAR323), .VAR175 (VAR83), .VAR464 (VAR18), .VAR467 (VAR250), .VAR416 (VAR297), .VAR254 (VAR103), .VAR37 (VAR78), .VAR386 (VAR94), .VAR296 (VAR298), .VAR77 (VAR444), .VAR374 (VAR458), .VAR147 (VAR137), .VAR47 (VAR452), .VAR219 (VAR56), .VAR203 (VAR463), .VAR295 (VAR426), .VAR380 (VAR307), .VAR329 (VAR300), .VAR244 (VAR430), .VAR404 (VAR360), .VAR355 (VAR174), .VAR351 (VAR301), .VAR132 (VAR43), .VAR133 (VAR133), .VAR45 (VAR45), .VAR220 (VAR220), .VAR348 (VAR348), .VAR122 (VAR122), .VAR466 (VAR466), .VAR88 (VAR88), .VAR273 (VAR273), .VAR134 (VAR134), .VAR478 (VAR478), .VAR117 (VAR117), .VAR93 (VAR93), .VAR185 (VAR185), .VAR153 (VAR153), .VAR27 (VAR27), .VAR232 (VAR232), .VAR400 (VAR400), .VAR166 (VAR166), .VAR257 (VAR257), .VAR433 (VAR433), .VAR76 (VAR76), .VAR150 (VAR150), .VAR165 (VAR165), .VAR16 (VAR16), .VAR457 (VAR457), .VAR107 (VAR107), .VAR237 (VAR237), .VAR41 (VAR41), .VAR24 (VAR24), .VAR459 (VAR459), .VAR26 (VAR26), .VAR468 (VAR468), .VAR370 (VAR370), .VAR121 (VAR121), .VAR424 (VAR424), .VAR89 (VAR89), .VAR441 (VAR441), .VAR340 (VAR340), .VAR284 (VAR284), .VAR272 (VAR272), .VAR141 (VAR141), .VAR281 (VAR281), .VAR228 (VAR228), .VAR127 (VAR127), .VAR418 (VAR418), .VAR218 (VAR218), .VAR5 (VAR5), .VAR277 (VAR71), .VAR209 (VAR343), .VAR313 (VAR425), .VAR303 (VAR198), .VAR98 (VAR309), .VAR326 (VAR42), .VAR391 (VAR136), .VAR197 (VAR325), .VAR356 (VAR39), .VAR422 (VAR381), .VAR378 (VAR251), .VAR318 (VAR210), .VAR365 (VAR7), .VAR247 (VAR363), .VAR330 (VAR330), .VAR114 (VAR33), .VAR332 (VAR92), .VAR344 (VAR19), .VAR173 (VAR267), .VAR255 (VAR346), .VAR36 (VAR270), .VAR292 (VAR233), .VAR331 (VAR200), .VAR211 (VAR454), .VAR253 (VAR179), .VAR195 (VAR161), .VAR115 (VAR264), .VAR96 (VAR196), .VAR87 (VAR235), .VAR149 (VAR163), .VAR399 (VAR152) ); VAR382 VAR139 ( .VAR242 (VAR352), .VAR279 (VAR154), .VAR108 (VAR176), .VAR142 (VAR266), .VAR282 (VAR337), .VAR51 (VAR324), .VAR85 (VAR308), .VAR60 (VAR207), .VAR187 (VAR11), .VAR369 (VAR349), .VAR97 (VAR361), .VAR22 (VAR341), .VAR243 (VAR456), .VAR81 (VAR191), .VAR105 (VAR327), .VAR206 (VAR359), .VAR4 (VAR412), .VAR222 (VAR442), .VAR229 (VAR17), .VAR387 (VAR100), .VAR234 (VAR319), .VAR148 (VAR299), .VAR204 (VAR304), .VAR417 (VAR167), .VAR188 (VAR471), .VAR265 (VAR143), .VAR15 (VAR446), .VAR111 (VAR80), .VAR162 (VAR145), .VAR285 (VAR172), .VAR336 (VAR214), .VAR186 (VAR95), .VAR230 (VAR183), .VAR75 (VAR10), .VAR249 (VAR314), .VAR181 (VAR477), .VAR58 (VAR138), .VAR70 (VAR21), .VAR52 (VAR288), .VAR65 (VAR415), .VAR110 (VAR289), .VAR453 (VAR379), .VAR339 (VAR169), .VAR372 (VAR38), .VAR168 (VAR323), .VAR175 (VAR83), .VAR464 (VAR18), .VAR467 (VAR250), .VAR416 (VAR297), .VAR254 (VAR103), .VAR37 (VAR78), .VAR386 (VAR94), .VAR296 (VAR298), .VAR77 (VAR444), .VAR439 (VAR161), .VAR350 (VAR264), .VAR437 (VAR196), .VAR32 (VAR235), .VAR31 (VAR163), .VAR470 (VAR152), .VAR393 (VAR476), .VAR44 (VAR113), .VAR69 (VAR189), .VAR438 (VAR388), .VAR20 (VAR262), .VAR247 (VAR363), .VAR195 (VAR345), .VAR115 (VAR115), .VAR96 (VAR96), .VAR87 (VAR87), .VAR149 (VAR149), .VAR399 (VAR399), .VAR130 (VAR35), .VAR394 (VAR182), .VAR164 (VAR46), .VAR101 (VAR227), .VAR448 (VAR236), .VAR112 (VAR278), .VAR34 (VAR14), .VAR317 (VAR443), .VAR411 (VAR473), .VAR63 (VAR447), .VAR431 (VAR212), .VAR290 (VAR302), .VAR116 (VAR366), .VAR158 (VAR315), .VAR201 (VAR461), .VAR306 (VAR342), .VAR1 (VAR397), .VAR202 (VAR104), .VAR328 (VAR106), .VAR231 (VAR375), .VAR421 (VAR194), .VAR293 (VAR30), .VAR274 (VAR226), .VAR440 (VAR406), .VAR392 (VAR390), .VAR48 (VAR358), .VAR59 (VAR435), .VAR432 (VAR405), .VAR109 (VAR120), .VAR50 (VAR2), .VAR205 (VAR180), .VAR190 (VAR119), .VAR66 (VAR474), .VAR311 (VAR13), .VAR320 (VAR99), .VAR6 (VAR261), .VAR8 (VAR178), .VAR410 (VAR408), .VAR335 (VAR240), .VAR472 (VAR450), .VAR401 (VAR171), .VAR260 (VAR420), .VAR73 (VAR398), .VAR170 (VAR291), .VAR144 (VAR177), .VAR373 (VAR280), .VAR409 (VAR160), .VAR79 (VAR131), .VAR305 (VAR321), .VAR395 (VAR434), .VAR402 (VAR294), .VAR192 (VAR151), .VAR29 (VAR208), .VAR384 (VAR213), .VAR428 (VAR157), .VAR64 (VAR287), .VAR82 (VAR268), .VAR376 (VAR469), .VAR74 (VAR53), .VAR239 (VAR216) ); VAR334 # ( .VAR407 (128) ) VAR224( .VAR135 (VAR135), .VAR102 (VAR102), .VAR247 (VAR363), .VAR330 (VAR330), .VAR114 (VAR33), .VAR332 (VAR92), .VAR344 (VAR19), .VAR173 (VAR267), .VAR255 (VAR346), .VAR36 (VAR270), .VAR242 (VAR352), .VAR28 (VAR155), .VAR101 (VAR227), .VAR448 (VAR236), .VAR279 (VAR278), .VAR108 (VAR14), .VAR225 (VAR443), .VAR142 (VAR473), .VAR282 (VAR447), .VAR51 (VAR212), .VAR85 (VAR302), .VAR60 (VAR366), .VAR187 (VAR315), .VAR369 (VAR461), .VAR97 (VAR342), .VAR22 (VAR397), .VAR243 (VAR104), .VAR81 (VAR106), .VAR105 (VAR375), .VAR206 (VAR194), .VAR4 (VAR30), .VAR222 (VAR226), .VAR229 (VAR406), .VAR387 (VAR390), .VAR234 (VAR358), .VAR148 (VAR435), .VAR204 (VAR405), .VAR417 (VAR120), .VAR188 (VAR2), .VAR265 (VAR180), .VAR15 (VAR119), .VAR111 (VAR474), .VAR217 (VAR13), .VAR162 (VAR99), .VAR285 (VAR261), .VAR336 (VAR178), .VAR186 (VAR408), .VAR230 (VAR240), .VAR75 (VAR450), .VAR249 (VAR171), .VAR181 (VAR420), .VAR58 (VAR398), .VAR70 (VAR291), .VAR52 (VAR177), .VAR65 (VAR280), .VAR110 (VAR160), .VAR453 (VAR131), .VAR339 (VAR321), .VAR372 (VAR434), .VAR168 (VAR294), .VAR175 (VAR151), .VAR464 (VAR208), .VAR467 (VAR213), .VAR416 (VAR157), .VAR254 (VAR287), .VAR37 (VAR268), .VAR386 (VAR469), .VAR296 (VAR53), .VAR77 (VAR216), .VAR374 (VAR458), .VAR147 (VAR137), .VAR47 (VAR452), .VAR219 (VAR56), .VAR203 (VAR463), .VAR295 (VAR426), .VAR380 (VAR307), .VAR329 (VAR300), .VAR244 (VAR430), .VAR404 (VAR360), .VAR355 (VAR174), .VAR351 (VAR301), .VAR132 (VAR43), .VAR292 (VAR233), .VAR331 (VAR200), .VAR211 (VAR454), .VAR253 (VAR179), .VAR263 (VAR133), .VAR221 (VAR45), .VAR277 (VAR71), .VAR209 (VAR343), .VAR313 (VAR425), .VAR303 (VAR198), .VAR98 (VAR309), .VAR326 (VAR42), .VAR391 (VAR136), .VAR197 (VAR325), .VAR356 (VAR39), .VAR422 (VAR381), .VAR378 (VAR251), .VAR318 (VAR210), .VAR365 (VAR7), .VAR130 (VAR35), .VAR394 (VAR182), .VAR164 (VAR46), .VAR90 (VAR90), .VAR128 (VAR128), .VAR322 (VAR322), .VAR403 (VAR403), .VAR333 (VAR333), .VAR413 (VAR413), .VAR385 (VAR385), .VAR215 (VAR215), .VAR125 (VAR125), .VAR54 (VAR54), .VAR248 (VAR248), .VAR283 (VAR283), .VAR124 (VAR124), .VAR126 (VAR126), .VAR159 (VAR159), .VAR223 (VAR223), .VAR140 (VAR140), .VAR123 (VAR123), .VAR362 (VAR362), .VAR246 (VAR246), .VAR312 (VAR312), .VAR436 (VAR436), .VAR252 (VAR252), .VAR271 (VAR271), .VAR465 (VAR465), .VAR414 (VAR414), .VAR275 (VAR275), .VAR72 (VAR72), .VAR377 (VAR377), .VAR184 (VAR184), .VAR87 (VAR87), .VAR149 (VAR149), .VAR399 (VAR399), .VAR61 (VAR61), .VAR427 (VAR427), .VAR460 (VAR460), .VAR193 (VAR193), .VAR91 (VAR91), .VAR241 (VAR241), .VAR86 (VAR86), .VAR449 (VAR449), .VAR96 (VAR96), .VAR256 (VAR256), .VAR396 (VAR396), .VAR316 (VAR316) ); endmodule
gpl-3.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpaddsub_arch3/FPU_ADD_Substract_PIPELINED.v
24,268
module MODULE1 /*#(parameter VAR114 = 32, parameter VAR102 = 8, parameter VAR144 = 23, parameter VAR59=26, parameter VAR189 = 5) parameter VAR59 = 55, parameter VAR189 = 6) ( input wire clk, input wire rst, input wire VAR110, input wire [VAR114-1:0] VAR141, input wire [VAR114-1:0] VAR131, input wire VAR150, output wire VAR64, output wire VAR21, output wire VAR106, output wire VAR132, output wire ready, output wire [VAR114-1:0] VAR147 ); wire VAR48; wire VAR31; wire [6:0] VAR153; wire VAR92; wire VAR65; VAR187 VAR139 ( .clk (clk), .rst (rst), .VAR3 (VAR110), .VAR112 (VAR31), .VAR48 (VAR48), .VAR65 (VAR65) ); VAR1 #(.VAR114(7)) VAR43 ( .clk(clk), .rst(rst), .VAR72 (VAR65), .VAR201 (VAR31), .VAR136(VAR153)); wire VAR96; wire [VAR114-1:0] VAR70, VAR98; wire VAR45; wire VAR172; wire VAR108, VAR178; wire VAR128, VAR67; wire [VAR114-2:0] VAR169, VAR24; wire VAR17, VAR56, VAR8, VAR47; wire [VAR114-2:0] VAR125, VAR173; wire [VAR102-1:0] VAR50, VAR163; wire [VAR144-1:0] VAR113, VAR79; wire [VAR102-1:0] VAR119; wire [VAR189-1:0]VAR66; wire VAR71, VAR15, VAR2, VAR11; wire [VAR114-2:0] VAR9; wire [VAR144-1:0] VAR44; wire [VAR189-1:0] VAR18; wire [VAR189-1:0] VAR93; wire VAR127; wire VAR182; wire [VAR59-1:0] VAR100; wire [VAR59-1:0] VAR35; wire [VAR189-1:0] VAR197; wire [VAR59-1:0] VAR37[VAR189+1:0]; wire VAR36, VAR78, VAR19, VAR116; wire [VAR114-2:0]VAR5; wire [VAR189-1:0] VAR164; wire VAR20; wire VAR53; wire [VAR59-1:0] VAR103; wire [VAR59-1:0] VAR90; wire VAR148, VAR14; wire [VAR102-1:0]VAR170; reg [VAR102:0] VAR60; wire [VAR102-1:0] VAR191; wire [VAR102-1:0]VAR179; wire VAR149, VAR135; wire VAR155, VAR190, VAR124, VAR192; wire VAR105; wire [VAR59-1:0] VAR82; wire [VAR59-1:0] VAR158; wire [VAR102-1:0] VAR99; reg VAR54; reg [VAR59-1:0] VAR83; wire [VAR114-2:0] VAR123; wire VAR120, VAR16, VAR156, VAR160; wire [VAR102-1:0]VAR195; wire [VAR59-1:0] VAR87; wire [VAR59-1:0] VAR88; wire [VAR102-1:0] VAR91; wire [VAR189-1:0] VAR57; wire [VAR189-1:0] VAR28; wire [VAR102-VAR189-1:0] VAR151; wire VAR42, VAR104; wire [VAR114-1:0] VAR117; VAR107 #(.VAR114(VAR114)) VAR146 ( .clk(clk), .rst(rst), .VAR72(VAR48), .VAR62(VAR141), .VAR136(VAR70)); VAR107 #(.VAR114(VAR114)) VAR25 ( .clk(clk), .rst(rst), .VAR72(VAR48), .VAR62(VAR131), .VAR136(VAR98)); VAR107 #(.VAR114(1)) VAR85 ( .clk(clk), .rst(rst), .VAR72(VAR48), .VAR62(VAR150), .VAR136(VAR45)); VAR199 #(.VAR114(VAR114-1)) VAR40 ( .VAR61(VAR70[VAR114-2:0]), .VAR4(VAR98[VAR114-2:0]), .VAR27(VAR108), .VAR137(VAR178) ); VAR198 #(.VAR114(VAR114-1)) VAR41 ( .select(VAR108), .VAR154(VAR70[VAR114-2:0]), .VAR51(VAR98[VAR114-2:0]), .VAR13(VAR169), .VAR81(VAR24) ); VAR176 #(.VAR114(VAR114)) VAR10 ( .VAR34(VAR70[VAR114-1]), .VAR185(VAR98[VAR114-1]), .VAR7(VAR45), .VAR69(VAR128) ); VAR73 VAR129 ( .VAR68(VAR45), .VAR12(VAR70[VAR114-1]), .VAR180(VAR98[VAR114-1]), .VAR184(VAR108), .VAR181(VAR178), .VAR111(VAR67) ); assign VAR96 = VAR128 & VAR178; VAR107 #(.VAR114(VAR114-1)) VAR63 ( .clk(clk), .rst(rst), .VAR72(VAR172), .VAR62(VAR169), .VAR136(VAR125)); VAR107 #(.VAR114(VAR114-1)) VAR133 ( .clk(clk), .rst(rst), .VAR72(VAR172), .VAR62(VAR24), .VAR136(VAR173)); VAR107 #(.VAR114(3)) VAR165 ( .clk(clk), .rst(rst), .VAR72(VAR172), .VAR62({VAR67, VAR128, VAR96}), .VAR136({VAR17 , VAR56 , VAR8})); assign VAR50 = VAR125[VAR114-2:VAR114-VAR102-1]; assign VAR163 = VAR173[VAR114-2:VAR114-VAR102-1]; assign VAR113 = VAR125[VAR144-1:0]; assign VAR119 = VAR125[VAR114-2:VAR144] - VAR173[VAR114-2:VAR144]; assign VAR79 = VAR173[VAR144-1:0]; VAR107 #(.VAR114(VAR114-1)) VAR74 ( .clk(clk), .rst(rst), .VAR72(VAR47), .VAR62(VAR125), .VAR136(VAR9)); VAR107 #(.VAR114(VAR144)) VAR166 ( .clk(clk), .rst(rst), .VAR72(VAR47), .VAR62(VAR79), .VAR136(VAR44)); VAR107 #(.VAR114(VAR189)) VAR118 ( .clk(clk), .rst(rst), .VAR72(VAR47), .VAR62(VAR119[VAR189-1:0]), .VAR136(VAR18)); VAR107 #(.VAR114(3)) VAR183 ( .clk(clk), .rst(rst), .VAR72(VAR47), .VAR62({VAR17 , VAR56 , VAR8}), .VAR136({VAR71, VAR15, VAR2})); assign VAR66 = {{(VAR189-1){1'b0}},1'b1}; assign VAR151 ={(VAR102-VAR189){1'b0}}; assign VAR170 = {{(VAR102-1){1'b0}},1'b1}; assign VAR197 = (VAR120) ? VAR66 : VAR28; assign VAR93 = (VAR160) ? VAR197 : VAR18; assign VAR127 = (VAR160)&(~VAR120); assign VAR182 = (VAR160)&(VAR120); VAR196 #(.VAR114(VAR59)) VAR174 ( .VAR161(VAR160 ), .VAR26 ({1'b1,VAR44,2'b00}), .VAR134 (VAR88 ), .VAR94 (VAR100 ) ); genvar VAR159; VAR145 #(.VAR59(VAR59)) VAR23( .VAR140 (VAR100), .VAR143(VAR127), .VAR175 (VAR37 [0][VAR59-1:0]) ); generate for (VAR159=0; VAR159 < 2; VAR159=VAR159+1) begin : VAR157 VAR162 #(.VAR59(VAR59), .VAR171(VAR159)) VAR162( .VAR140 (VAR37[VAR159]), .VAR143 (VAR93[VAR159]), .VAR33(VAR182), .VAR175 (VAR37[VAR159+1]) ); end endgenerate assign VAR35 = VAR37[2]; assign VAR37[3] = VAR103; VAR107 #(.VAR114(VAR114-1)) VAR52 ( .clk(clk), .rst(rst), .VAR72(VAR11), .VAR62(VAR9), .VAR136(VAR5)); VAR107 #(.VAR114(VAR59)) VAR193 ( .clk(clk), .rst(rst), .VAR72((VAR11|VAR160)), .VAR62(VAR35), .VAR136(VAR103)); VAR107 #(.VAR114(VAR189)) VAR188 ( .clk(clk), .rst(rst), .VAR72(VAR11|VAR160), .VAR62({VAR93}), .VAR136({VAR164})); VAR107 #(.VAR114(2)) VAR58 ( .clk(clk), .rst(rst), .VAR72(VAR11|VAR160), .VAR62({VAR127,VAR182}), .VAR136({VAR20, VAR53})); VAR107 #(.VAR114(3)) VAR38 ( .clk(clk), .rst(rst), .VAR72(VAR11), .VAR62({VAR71, VAR15, VAR2}), .VAR136({VAR36, VAR78, VAR19})); VAR107 #(.VAR114(VAR189+VAR102)) VAR142( .clk(clk), .rst(rst), .VAR72(VAR160), .VAR62({VAR28 , VAR195 }), .VAR136({VAR57, VAR179}) ); VAR107 #(.VAR114(3)) VAR86 ( .clk(clk), .rst(rst), .VAR72(VAR160), .VAR62({VAR120 , VAR16 , VAR156 }), .VAR136({VAR148, VAR149, VAR135})); generate for (VAR159=2; VAR159 < VAR189; VAR159=VAR159+1) begin : VAR152 VAR162 #(.VAR59(VAR59), .VAR171(VAR159)) VAR162( .VAR140(VAR37[VAR159+1]), .VAR143(VAR164[VAR159]), .VAR33(VAR53), .VAR175(VAR37[VAR159+2]) ); end endgenerate VAR145 #(.VAR59(VAR59)) VAR29( .VAR140(VAR37[VAR189+1]), .VAR143(VAR20), .VAR175(VAR90) ); assign VAR91 = {VAR151, VAR57}; assign VAR191 = (VAR148) ? VAR170 : VAR91; always @* begin if (VAR148) begin VAR60 = VAR179 + VAR191; end else begin VAR60 = VAR179 - VAR191; end end VAR32 #(.VAR102(VAR102+1)) VAR80( .VAR200(VAR60), .VAR97 (VAR42), .VAR194(VAR104)); VAR107 #(.VAR114(VAR114-1)) VAR101 ( .clk(clk), .rst(rst), .VAR72((VAR116&~VAR14)), .VAR62(VAR5), .VAR136(VAR123)); VAR107 #(.VAR114(VAR59)) VAR126 ( .clk(clk), .rst(rst), .VAR72(VAR116&~VAR14), .VAR62(VAR90), .VAR136(VAR82)); VAR107 #(.VAR114(3)) VAR84 ( .clk(clk), .rst(rst), .VAR72(VAR116&~VAR14), .VAR62({VAR36,VAR78, VAR19}), .VAR136({VAR155 , VAR190, VAR124 })); assign VAR158 = {1'b1,VAR123[VAR144-1:0],2'b00}; assign VAR99 = VAR123[VAR114-2:VAR144]; always @* begin : VAR130 if (VAR190) begin {VAR54, VAR83} = VAR158 - VAR82; end else begin {VAR54, VAR83} = VAR158 + VAR82; end end assign VAR105 = VAR54&(~VAR190); VAR107 #(.VAR114(VAR59)) VAR121 ( .clk(clk), .rst(rst), .VAR72(VAR192), .VAR62(VAR83), .VAR136(VAR88)); VAR107 #(.VAR114(VAR102)) VAR186 ( .clk(clk), .rst(rst), .VAR72(VAR192), .VAR62(VAR99), .VAR136(VAR195)); VAR107 #(.VAR114(3)) VAR177 ( .clk(clk), .rst(rst), .VAR72(VAR192), .VAR62({VAR105, VAR155, VAR124}), .VAR136({VAR120, VAR16, VAR156})); assign VAR87 = ~VAR88; generate case (VAR59) 26:begin : VAR39 VAR168 VAR55( .VAR75(VAR87), .VAR115(VAR28) ); end 55:begin : VAR76 VAR109 VAR138( .VAR75(VAR87), .VAR115(VAR28) ); end endcase endgenerate VAR95 #(.VAR114(VAR114),.VAR102(VAR102),.VAR144(VAR144)) VAR6 ( .VAR21 (VAR42 ), .VAR106 (VAR104), .VAR167 (VAR149), .VAR49 (VAR60[VAR102-1:0]), .VAR122 (VAR90[VAR59-2:2]), .VAR89 (VAR117) ); assign {VAR172, VAR47, VAR11, VAR116, VAR192, VAR160, VAR14} = VAR153; assign VAR64 = VAR11; VAR107 #(.VAR114(VAR114)) VAR22 ( .clk(clk), .rst(rst), .VAR72(VAR14), .VAR62(VAR117), .VAR136(VAR147) ); VAR107 #(.VAR114(3)) VAR30 ( .clk(clk), .rst(rst), .VAR72(VAR14), .VAR62({VAR42,VAR104, VAR135}), .VAR136({VAR21 , VAR106 , VAR132 }) ); VAR77 #(.VAR114(1)) VAR46 ( .clk(clk), .rst(rst), .VAR62(VAR14), .VAR136(ready)); endmodule
gpl-3.0
plindstroem/oh
elink/hdl/elink.v
11,108
module MODULE1( VAR43, VAR34, VAR78, VAR25, VAR44, VAR1, VAR70, VAR12, VAR9, VAR57, VAR40, VAR68, VAR31, VAR26, VAR56, VAR39, VAR16, VAR18, VAR64, VAR35, VAR46, VAR55, VAR89, VAR86, timeout, reset, VAR52, VAR29, VAR47, VAR32, VAR2, VAR83, VAR8, VAR81, VAR42, VAR72, VAR48, VAR10, VAR69, VAR65, VAR82, VAR54, VAR17, VAR38, VAR41, VAR13, VAR75, VAR23, VAR58, VAR73, VAR49 ); parameter VAR71 = 32; parameter VAR6 = 32; parameter VAR74 = 104; parameter VAR36 = 12'h810; parameter VAR84 = "VAR21"; parameter VAR45 = 1; input reset; input VAR52; input VAR29; input VAR47; input VAR32; input VAR2; input VAR83; output VAR43; input VAR8, VAR81; input VAR42, VAR72; input [7:0] VAR48, VAR10; output VAR34,VAR78; output VAR25,VAR44; output VAR1, VAR70; output VAR12, VAR9; output [7:0] VAR57, VAR40; input VAR69,VAR65; input VAR82,VAR54; output [11:0] VAR68; output VAR31; output VAR89; output VAR86; output timeout; output VAR26; output [VAR74-1:0] VAR56; input VAR17; output VAR39; output [VAR74-1:0] VAR16; input VAR38; output VAR18; output [VAR74-1:0] VAR64; input VAR41; input VAR13; input [VAR74-1:0] VAR75; output VAR35; input VAR23; input [VAR74-1:0] VAR58; output VAR46; input VAR73; input [VAR74-1:0] VAR49; output VAR55; wire VAR53; wire [VAR74-1:0] VAR20; wire VAR11; wire [31:0] VAR61; wire [31:0] VAR3; wire [31:0] VAR80; wire [15:0] VAR22; wire VAR62; wire VAR66; wire VAR28; wire [VAR74-1:0] VAR27; wire VAR50; wire VAR15; wire VAR88; wire VAR76; wire VAR33; VAR37 VAR37 (.clk (VAR52), .VAR88 (VAR88), .VAR31 (VAR31), .VAR22 (VAR22[15:0]), .VAR68 (VAR68[11:0]), .VAR13 (VAR13), .VAR75 (VAR75[VAR74-1:0]), .reset (reset)); VAR19 VAR19 ( .VAR50 (VAR50), .VAR66 (VAR66), .VAR15 (VAR15), .VAR76 (VAR76), .VAR33(VAR33), .reset (reset), .VAR52 (VAR52), .VAR47(VAR47), .VAR2(VAR2), .VAR32 (VAR32), .VAR83 (VAR83)); VAR51 VAR51( .VAR43 (VAR43), .VAR34 (VAR34), .VAR78 (VAR78), .VAR25 (VAR25), .VAR44 (VAR44), .VAR26 (VAR26), .VAR56 (VAR56[VAR74-1:0]), .VAR39 (VAR39), .VAR16 (VAR16[VAR74-1:0]), .VAR18 (VAR18), .VAR64 (VAR64[VAR74-1:0]), .VAR62 (VAR62), .timeout (timeout), .VAR86 (VAR86), .VAR89 (VAR89), .VAR66 (VAR66), .VAR33 (VAR33), .VAR15 (VAR15), .VAR52 (VAR52), .VAR2 (VAR2), .VAR83 (VAR83), .VAR8 (VAR8), .VAR81 (VAR81), .VAR42 (VAR42), .VAR72 (VAR72), .VAR48 (VAR48[7:0]), .VAR10 (VAR10[7:0]), .VAR17 (VAR17), .VAR38 (VAR38), .VAR41 (VAR41), .VAR53 (VAR53), .VAR20 (VAR20[VAR74-1:0])); VAR67 VAR67(.VAR13 (VAR88), .VAR1 (VAR1), .VAR70 (VAR70), .VAR12 (VAR12), .VAR9 (VAR9), .VAR57 (VAR57[7:0]), .VAR40 (VAR40[7:0]), .VAR46 (VAR46), .VAR35 (VAR35), .VAR55 (VAR55), .VAR28 (VAR28), .VAR27 (VAR27[VAR74-1:0]), .VAR76 (VAR76), .VAR50 (VAR50), .VAR15 (VAR15), .VAR52 (VAR52), .VAR29 (VAR29), .VAR47 (VAR47), .VAR32 (VAR32), .VAR69 (VAR69), .VAR65 (VAR65), .VAR82 (VAR82), .VAR54 (VAR54), .VAR23 (VAR23), .VAR58 (VAR58[VAR74-1:0]), .VAR75 (VAR75[VAR74-1:0]), .VAR73 (VAR73), .VAR49 (VAR49[VAR74-1:0]), .VAR11 (VAR11)); VAR24 VAR30 ( .VAR87 (VAR11), .VAR63 (VAR53), .VAR59 (VAR20[VAR74-1:0]), .VAR4 (VAR32), .VAR79 (VAR50), .VAR14 (VAR28), .VAR60 (VAR27[VAR74-1:0]), .VAR5 (VAR83), .VAR77 (VAR66), .VAR7 (VAR62) ); endmodule
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dac_4d_2c_v1_00_a/hdl/verilog/cf_dac_if.v
18,082
module MODULE1 ( VAR99, VAR79, VAR141, VAR85, VAR3, VAR57, VAR67, VAR187, VAR25, VAR133, VAR117, VAR4, VAR62, VAR44, VAR81, VAR158, VAR52, VAR131, VAR122, VAR55, VAR142, VAR61, VAR128, VAR169, VAR23, VAR127, VAR6); parameter VAR54 = 0; parameter VAR153 = 0; parameter VAR164 = 1; parameter VAR163 = 1.667; parameter VAR111 = 6; parameter VAR103 = 12.000; parameter VAR45 = 2.000; parameter VAR65 = 6; parameter VAR124 = VAR54 & 'hf; parameter VAR180 = (VAR54 >> 4) & 'hf; input VAR99; input VAR79; input VAR141; output VAR85; output VAR3; output VAR57; output VAR67; output [15:0] VAR187; output [15:0] VAR25; output VAR133; input VAR117; input [ 2:0] VAR4; input [15:0] VAR62; input [15:0] VAR44; input [15:0] VAR81; input [ 2:0] VAR158; input [15:0] VAR52; input [15:0] VAR131; input [15:0] VAR122; output VAR55; input VAR142; input [15:0] VAR61; input [15:0] VAR128; input VAR169; input VAR23; input VAR127; output VAR6; reg [ 5:0] VAR108[15:0]; reg [ 5:0] VAR149 = 'd0; wire VAR22; wire VAR26; wire VAR73; wire [15:0] VAR145; wire VAR156; wire VAR98; wire VAR178; wire VAR1; wire VAR55; wire VAR47; wire VAR147; wire VAR160; wire VAR56; wire VAR183; assign VAR6 = 'd0; always @(posedge VAR133) begin VAR108[15] <= {VAR122[15], VAR81[15], VAR131[15], VAR44[15], VAR52[15], VAR62[15]}; VAR108[14] <= {VAR122[14], VAR81[14], VAR131[14], VAR44[14], VAR52[14], VAR62[14]}; VAR108[13] <= {VAR122[13], VAR81[13], VAR131[13], VAR44[13], VAR52[13], VAR62[13]}; VAR108[12] <= {VAR122[12], VAR81[12], VAR131[12], VAR44[12], VAR52[12], VAR62[12]}; VAR108[11] <= {VAR122[11], VAR81[11], VAR131[11], VAR44[11], VAR52[11], VAR62[11]}; VAR108[10] <= {VAR122[10], VAR81[10], VAR131[10], VAR44[10], VAR52[10], VAR62[10]}; VAR108[ 9] <= {VAR122[ 9], VAR81[ 9], VAR131[ 9], VAR44[ 9], VAR52[ 9], VAR62[ 9]}; VAR108[ 8] <= {VAR122[ 8], VAR81[ 8], VAR131[ 8], VAR44[ 8], VAR52[ 8], VAR62[ 8]}; VAR108[ 7] <= {VAR122[ 7], VAR81[ 7], VAR131[ 7], VAR44[ 7], VAR52[ 7], VAR62[ 7]}; VAR108[ 6] <= {VAR122[ 6], VAR81[ 6], VAR131[ 6], VAR44[ 6], VAR52[ 6], VAR62[ 6]}; VAR108[ 5] <= {VAR122[ 5], VAR81[ 5], VAR131[ 5], VAR44[ 5], VAR52[ 5], VAR62[ 5]}; VAR108[ 4] <= {VAR122[ 4], VAR81[ 4], VAR131[ 4], VAR44[ 4], VAR52[ 4], VAR62[ 4]}; VAR108[ 3] <= {VAR122[ 3], VAR81[ 3], VAR131[ 3], VAR44[ 3], VAR52[ 3], VAR62[ 3]}; VAR108[ 2] <= {VAR122[ 2], VAR81[ 2], VAR131[ 2], VAR44[ 2], VAR52[ 2], VAR62[ 2]}; VAR108[ 1] <= {VAR122[ 1], VAR81[ 1], VAR131[ 1], VAR44[ 1], VAR52[ 1], VAR62[ 1]}; VAR108[ 0] <= {VAR122[ 0], VAR81[ 0], VAR131[ 0], VAR44[ 0], VAR52[ 0], VAR62[ 0]}; VAR149 <= {VAR158[2], VAR4[2], VAR158[1], VAR4[1], VAR158[0], VAR4[0]}; end assign VAR156 = ~VAR56 | ~VAR117; VAR102 #(.VAR10(1'b1)) VAR162 ( .VAR165 (1'b1), .VAR123 (1'b0), .VAR28 (VAR156), .VAR150 (VAR133), .VAR188 (VAR98)); assign VAR178 = ~VAR56 | ~VAR99; VAR102 #(.VAR10(1'b1)) VAR139 ( .VAR165 (1'b1), .VAR123 (1'b0), .VAR28 (VAR178), .VAR150 (VAR133), .VAR188 (VAR1)); genvar VAR112; generate for (VAR112 = 0; VAR112 <= 15; VAR112 = VAR112 + 1) begin: VAR84 if (VAR180 == 1) begin VAR37 #( .VAR106 ("VAR155"), .VAR10 (1'b0), .VAR118 ("VAR20")) VAR13 ( .VAR166 (1'b0), .VAR165 (1'b1), .VAR83 (VAR98), .VAR150 (VAR55), .VAR182 (VAR61[VAR112]), .VAR59 (VAR128[VAR112]), .VAR188 (VAR145[VAR112])); end else begin VAR97 #( .VAR88 ("VAR179"), .VAR168 ("VAR9"), .VAR152 (6), .VAR58 ("VAR115"), .VAR167 (1), .VAR39 ("VAR43")) VAR126 ( .VAR182 (VAR108[VAR112][0]), .VAR59 (VAR108[VAR112][1]), .VAR177 (VAR108[VAR112][2]), .VAR19 (VAR108[VAR112][3]), .VAR161 (VAR108[VAR112][4]), .VAR69 (VAR108[VAR112][5]), .VAR101 (1'b0), .VAR185 (1'b0), .VAR125 (1'b0), .VAR96 (1'b0), .VAR114 (1'b0), .VAR104 (1'b0), .VAR49 (), .VAR72 (), .VAR181 (1'b1), .VAR18 (VAR55), .VAR12 (VAR133), .VAR66 (1'b0), .VAR109 (1'b0), .VAR175 (1'b0), .VAR129 (1'b0), .VAR120 (VAR145[VAR112]), .VAR121 (), .VAR16 (), .VAR41 (), .VAR75 (), .VAR31 (1'b0), .VAR34 (VAR98)); end VAR95 #( .VAR130 ("VAR176")) VAR60 ( .VAR107 (VAR145[VAR112]), .VAR68 (VAR187[VAR112]), .VAR136 (VAR25[VAR112])); end endgenerate generate if (VAR180 == 1) begin VAR37 #( .VAR106 ("VAR155"), .VAR10 (1'b0), .VAR118 ("VAR20")) VAR134 ( .VAR166 (1'b0), .VAR165 (1'b1), .VAR83 (VAR1), .VAR150 (VAR55), .VAR182 (VAR142), .VAR59 (VAR142), .VAR188 (VAR73)); end else begin VAR97 #( .VAR88 ("VAR179"), .VAR168 ("VAR9"), .VAR152 (6), .VAR58 ("VAR115"), .VAR167 (1), .VAR39 ("VAR43")) VAR50 ( .VAR182 (VAR149[0]), .VAR59 (VAR149[1]), .VAR177 (VAR149[2]), .VAR19 (VAR149[3]), .VAR161 (VAR149[4]), .VAR69 (VAR149[5]), .VAR101 (1'b0), .VAR185 (1'b0), .VAR125 (1'b0), .VAR96 (1'b0), .VAR114 (1'b0), .VAR104 (1'b0), .VAR49 (), .VAR72 (), .VAR181 (1'b1), .VAR18 (VAR55), .VAR12 (VAR133), .VAR66 (1'b0), .VAR109 (1'b0), .VAR175 (1'b0), .VAR129 (1'b0), .VAR120 (VAR73), .VAR121 (), .VAR16 (), .VAR41 (), .VAR75 (), .VAR31 (1'b0), .VAR34 (VAR1)); end endgenerate VAR95 #( .VAR130 ("VAR176")) VAR172 ( .VAR107 (VAR73), .VAR68 (VAR57), .VAR136 (VAR67)); generate if (VAR180 == 1) begin VAR37 #( .VAR106 ("VAR155"), .VAR10 (1'b0), .VAR118 ("VAR20")) VAR116 ( .VAR166 (1'b0), .VAR165 (1'b1), .VAR83 (VAR1), .VAR150 (VAR55), .VAR182 (1'b1), .VAR59 (1'b0), .VAR188 (VAR26)); end else begin VAR97 #( .VAR88 ("VAR179"), .VAR168 ("VAR9"), .VAR152 (6), .VAR58 ("VAR115"), .VAR167 (1), .VAR39 ("VAR43")) VAR53 ( .VAR182 (1'b1), .VAR59 (1'b0), .VAR177 (1'b1), .VAR19 (1'b0), .VAR161 (1'b1), .VAR69 (1'b0), .VAR101 (1'b0), .VAR185 (1'b0), .VAR125 (1'b0), .VAR96 (1'b0), .VAR114 (1'b0), .VAR104 (1'b0), .VAR49 (), .VAR72 (), .VAR181 (1'b1), .VAR18 (VAR55), .VAR12 (VAR133), .VAR66 (1'b0), .VAR109 (1'b0), .VAR175 (1'b0), .VAR129 (1'b0), .VAR120 (VAR26), .VAR121 (), .VAR16 (), .VAR41 (), .VAR75 (), .VAR31 (1'b0), .VAR34 (VAR1)); end endgenerate VAR95 #( .VAR130 ("VAR176")) VAR24 ( .VAR107 (VAR26), .VAR68 (VAR85), .VAR136 (VAR3)); VAR14 VAR11 ( .VAR107 (VAR79), .VAR119 (VAR141), .VAR68 (VAR22)); generate if (VAR124 == VAR164) begin VAR184 #( .VAR90 ("VAR46"), .VAR113 ("VAR27"), .VAR17 ("VAR27"), .VAR93 ("VAR135"), .VAR63 ("VAR27"), .VAR29 (VAR111), .VAR77 (VAR103), .VAR144 (0.000), .VAR91 ("VAR27"), .VAR89 (VAR45), .VAR171 (0.000), .VAR2 (0.500), .VAR38 ("VAR27"), .VAR186 (VAR65), .VAR32 (0.000), .VAR48 (0.500), .VAR7 ("VAR27"), .VAR151 (VAR163), .VAR40 (0.010)) VAR94 ( .VAR174 (VAR47), .VAR33 (), .VAR78 (VAR147), .VAR86 (), .VAR105 (VAR160), .VAR70 (), .VAR82 (), .VAR51 (), .VAR170 (), .VAR35 (), .VAR42 (), .VAR173 (), .VAR21 (), .VAR137 (VAR183), .VAR71 (VAR22), .VAR100 (1'b0), .VAR148 (1'b1), .VAR74 (7'h0), .VAR64 (1'b0), .VAR76 (1'b0), .VAR159 (16'h0), .VAR146 (), .VAR132 (), .VAR143 (1'b0), .VAR92 (1'b0), .VAR15 (1'b0), .VAR80 (1'b0), .VAR138 (), .VAR157 (VAR56), .VAR36 (), .VAR140 (), .VAR5 (1'b0), .VAR34 (1'b0)); end else begin VAR154 #( .VAR90 ("VAR46"), .VAR113 ("VAR27"), .VAR93 ("VAR135"), .VAR63 ("VAR27"), .VAR29 (VAR111), .VAR77 (VAR103), .VAR144 (0.000), .VAR91 ("VAR27"), .VAR89 (VAR45), .VAR171 (0.000), .VAR2 (0.500), .VAR38 ("VAR27"), .VAR186 (VAR65), .VAR32 (0.000), .VAR48 (0.500), .VAR7 ("VAR27"), .VAR151 (VAR163), .VAR40 (0.010)) VAR94 ( .VAR174 (VAR47), .VAR33 (), .VAR78 (VAR147), .VAR86 (), .VAR105 (VAR160), .VAR70 (), .VAR82 (), .VAR51 (), .VAR170 (), .VAR35 (), .VAR42 (), .VAR173 (), .VAR21 (), .VAR137 (VAR183), .VAR71 (VAR22), .VAR100 (1'b0), .VAR148 (1'b1), .VAR74 (7'h0), .VAR64 (1'b0), .VAR76 (1'b0), .VAR159 (16'h0), .VAR146 (), .VAR132 (), .VAR143 (1'b0), .VAR92 (1'b0), .VAR15 (1'b0), .VAR80 (1'b0), .VAR138 (), .VAR157 (VAR56), .VAR36 (), .VAR140 (), .VAR5 (1'b0), .VAR34 (1'b0)); end endgenerate VAR110 VAR87 ( .VAR107 (VAR47), .VAR68 (VAR183)); generate if (VAR180 == 1) begin VAR110 VAR30 ( .VAR107 (VAR147), .VAR68 (VAR55)); assign VAR133 = VAR55; end else begin VAR110 VAR30 ( .VAR107 (VAR147), .VAR68 (VAR55)); VAR110 VAR8 ( .VAR107 (VAR160), .VAR68 (VAR133)); end endgenerate endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.cache/ip/2017.3/7cc4809675563003/ip_design_zed_audio_ctrl_0_0_stub.v
2,366
module MODULE1(VAR13, VAR23, VAR19, VAR3, VAR16, VAR20, VAR12, VAR17, VAR18, VAR4, VAR9, VAR5, VAR7, VAR21, VAR22, VAR2, VAR6, VAR8, VAR1, VAR15, VAR11, VAR10, VAR14) ; output VAR13; output VAR23; input VAR19; output VAR3; input VAR16; input VAR20; input [31:0]VAR12; input VAR17; input [31:0]VAR18; input [3:0]VAR4; input VAR9; input VAR5; input [31:0]VAR7; input VAR21; input VAR22; output VAR2; output [31:0]VAR6; output [1:0]VAR8; output VAR1; output VAR15; output [1:0]VAR11; output VAR10; output VAR14; endmodule
mit
asicguy/gplgpu
hdl/math/flt2int.v
3,117
module MODULE1 ( input clk, input [31:0] VAR2, output reg [15:0] VAR3 ); reg [14:0] VAR4; always @* begin end if(VAR2[30:23] == 8'h7f) VAR4 = 16'h1; else begin casex(VAR2[30:23]) 8'VAR1: VAR4 = 15'h0; 8'b10000000: VAR4 = {14'h1, VAR2[22]}; 8'b10000001: VAR4 = {13'h1, VAR2[22:21]}; 8'b10000010: VAR4 = {12'h1, VAR2[22:20]}; 8'b10000011: VAR4 = {11'h1, VAR2[22:19]}; 8'b10000100: VAR4 = {10'h1, VAR2[22:18]}; 8'b10000101: VAR4 = {9'h1, VAR2[22:17]}; 8'b10000110: VAR4 = {8'h1, VAR2[22:16]}; 8'b10000111: VAR4 = {7'h1, VAR2[22:15]}; 8'b10001000: VAR4 = {6'h1, VAR2[22:14]}; 8'b10001001: VAR4 = {5'h1, VAR2[22:13]}; 8'b10001010: VAR4 = {4'h1, VAR2[22:12]}; 8'b10001011: VAR4 = {3'h1, VAR2[22:11]}; 8'b10001100: VAR4 = {2'h1, VAR2[22:10]}; 8'b10001101: VAR4 = {1'h1, VAR2[22: 9]}; default: VAR4 = 15'h7fff; endcase end end always @(posedge clk) begin if(VAR2[31]) VAR3 <= ~VAR4 + 16'h1; end else VAR3 <= {1'b0, VAR4}; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor4b/sky130_fd_sc_ls__nor4b.pp.symbol.v
1,324
module MODULE1 ( input VAR2 , input VAR8 , input VAR3 , input VAR6 , output VAR5 , input VAR4 , input VAR9, input VAR1, input VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a2111o/sky130_fd_sc_lp__a2111o.behavioral.v
1,585
module MODULE1 ( VAR7 , VAR4, VAR12, VAR11, VAR9, VAR6 ); output VAR7 ; input VAR4; input VAR12; input VAR11; input VAR9; input VAR6; supply1 VAR1; supply0 VAR13; supply1 VAR14 ; supply0 VAR5 ; wire VAR3 ; wire VAR10; and VAR2 (VAR3 , VAR4, VAR12 ); or VAR8 (VAR10, VAR9, VAR11, VAR3, VAR6); buf VAR15 (VAR7 , VAR10 ); endmodule
apache-2.0
mrehkopf/sd2snes
verilog/sd2snes_gsu/gsu_mult.v
4,386
module MODULE1 ( VAR13, VAR17, VAR15); input [7:0] VAR13; input [7:0] VAR17; output [15:0] VAR15; wire [15:0] VAR4; wire [15:0] VAR15 = VAR4[15:0]; VAR8 VAR16 ( .VAR13 (VAR13), .VAR17 (VAR17), .VAR15 (VAR4), .VAR2 (1'b0), .VAR10 (1'b1), .VAR5 (1'b0), .VAR19 (1'b0), .sum (1'b0)); VAR16.VAR18 = "VAR9=5", VAR16.VAR11 = "VAR14", VAR16.VAR7 = "VAR12", VAR16.VAR1 = 8, VAR16.VAR6 = 8, VAR16.VAR3 = 16; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfrtp/sky130_fd_sc_lp__sdfrtp.behavioral.pp.v
2,884
module MODULE1 ( VAR31 , VAR16 , VAR10 , VAR2 , VAR19 , VAR24, VAR27 , VAR23 , VAR9 , VAR17 ); output VAR31 ; input VAR16 ; input VAR10 ; input VAR2 ; input VAR19 ; input VAR24; input VAR27 ; input VAR23 ; input VAR9 ; input VAR17 ; wire VAR15 ; wire VAR4 ; wire VAR28 ; reg VAR5 ; wire VAR12 ; wire VAR21 ; wire VAR13 ; wire VAR25; wire VAR14 ; wire VAR1 ; wire VAR26 ; wire VAR20 ; wire VAR22 ; wire VAR3 ; wire VAR8 ; not VAR18 (VAR4 , VAR25 ); VAR7 VAR29 (VAR28, VAR12, VAR21, VAR13 ); VAR11 VAR6 (VAR15 , VAR28, VAR14, VAR4, VAR5, VAR27, VAR23); assign VAR1 = ( VAR27 === 1'b1 ); assign VAR26 = ( ( VAR25 === 1'b1 ) && VAR1 ); assign VAR20 = ( ( VAR13 === 1'b0 ) && VAR26 ); assign VAR22 = ( ( VAR13 === 1'b1 ) && VAR26 ); assign VAR3 = ( ( VAR12 !== VAR21 ) && VAR26 ); assign VAR8 = ( ( VAR24 === 1'b1 ) && VAR1 ); buf VAR30 (VAR31 , VAR15 ); endmodule
apache-2.0
bluespec/Flute
builds/RV64ACDFIMSU_Flute_iverilog/Verilog_RTL/mkGPR_RegFile.v
6,954
module MODULE1(VAR55, VAR26, VAR3, VAR29, VAR2, VAR73, VAR64, VAR23, VAR68, VAR53, VAR25, VAR20, VAR70, VAR72, VAR15); input VAR55; input VAR26; input VAR3; output VAR29; input VAR2; output VAR73; input [4 : 0] VAR64; output [63 : 0] VAR23; input [4 : 0] VAR68; output [63 : 0] VAR53; input [4 : 0] VAR25; output [63 : 0] VAR20; input [4 : 0] VAR70; input [63 : 0] VAR72; input VAR15; wire [63 : 0] VAR23, VAR53, VAR20; wire VAR29, VAR73; reg [1 : 0] VAR9; reg [1 : 0] VAR32; wire VAR45; wire VAR21, VAR46, VAR43, VAR10, VAR4; wire [63 : 0] VAR18, VAR1, VAR24, VAR5; wire [4 : 0] VAR6, VAR38, VAR30, VAR48, VAR34, VAR36; wire VAR42; wire VAR56, VAR58, VAR37, VAR22, VAR65, VAR71, VAR61, VAR19, VAR14, VAR47; assign VAR29 = VAR4 ; assign VAR37 = VAR4 ; assign VAR19 = VAR3 ; assign VAR73 = VAR9 == 2'd2 && VAR43 ; assign VAR22 = VAR9 == 2'd2 && VAR43 ; assign VAR14 = VAR2 ; assign VAR23 = (VAR64 == 5'd0) ? 64'd0 : VAR5 ; assign VAR53 = (VAR68 == 5'd0) ? 64'd0 : VAR24 ; assign VAR20 = (VAR25 == 5'd0) ? 64'd0 : VAR1 ; assign VAR65 = 1'd1 ; assign VAR47 = VAR15 ; VAR28 #(.VAR17(32'd1)) VAR69(.VAR66(VAR26), .VAR55(VAR55), .VAR54(VAR10), .VAR11(VAR46), .VAR33(VAR21), .VAR39(VAR4), .VAR62(VAR43)); VAR67 #(.VAR59(32'd5), .VAR7(32'd64), .VAR12(5'h0), .VAR44(5'd31)) VAR16(.VAR55(VAR55), .VAR57(VAR6), .VAR31(VAR38), .VAR8(VAR30), .VAR27(VAR48), .VAR74(VAR34), .VAR40(VAR36), .VAR50(VAR18), .VAR51(VAR42), .VAR49(VAR1), .VAR63(VAR24), .VAR60(VAR5), .VAR52(), .VAR13()); assign VAR58 = VAR9 == 2'd0 ; assign VAR61 = VAR9 == 2'd0 ; assign VAR56 = VAR9 == 2'd1 ; assign VAR71 = VAR9 == 2'd1 ; always@(VAR3 or VAR71 or VAR61) case (1'b1) VAR3: VAR32 = 2'd0; VAR71: VAR32 = 2'd2; VAR61: VAR32 = 2'd1; default: VAR32 = 2'b10 ; endcase assign VAR45 = VAR3 || VAR61 || VAR71 ; assign VAR10 = VAR3 ; assign VAR46 = VAR2 ; assign VAR21 = 1'b0 ; assign VAR6 = VAR25 ; assign VAR38 = VAR68 ; assign VAR30 = VAR64 ; assign VAR48 = 5'h0 ; assign VAR34 = 5'h0 ; assign VAR36 = VAR70 ; assign VAR18 = VAR72 ; assign VAR42 = VAR15 && VAR70 != 5'd0 ; always@(posedge VAR55) begin if (VAR26 == VAR35) begin VAR9 <= VAR41 2'd0; end else begin if (VAR45) VAR9 <= VAR41 VAR32; end end begin VAR9 = 2'h2; end
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/ui/ui_rd_data.v
18,401
module MODULE1 # ( parameter VAR59 = 100, parameter VAR96 = 256, parameter VAR80 = "VAR6", parameter VAR46 = "VAR75" ) ( VAR18, VAR14, VAR61, VAR26, VAR42, VAR81, VAR55, VAR1, rst, clk, VAR90, VAR72, VAR25, VAR82, VAR34, VAR95, VAR8 ); input rst; input clk; output wire VAR18; output wire [3:0] VAR14; reg [5:0] VAR19; reg VAR41; assign VAR18 = VAR41; wire VAR58; wire VAR60; reg [5:0] VAR88; generate begin : VAR10 wire VAR47 = ~VAR41 || VAR58; wire VAR4 = ~rst && (VAR41 || (VAR19[4:0] == 5'h1f)); always @(VAR19 or rst or VAR60 or VAR47) begin VAR88 = VAR19; if (rst) VAR88 = 6'b0; end else if (VAR47) VAR88 = VAR19 + 6'h1 + VAR60; end end endgenerate assign VAR14 = VAR19[3:0]; input VAR90; input [3:0] VAR72; input VAR25; input VAR82; input [VAR96-1:0] VAR34; output reg VAR61; output reg VAR26; output reg [VAR96-1:0] VAR42; input [3:0] VAR95; reg [3:0] VAR76 = 4'b0; output wire [3:0] VAR81; assign VAR81 = VAR76; input VAR8; output wire VAR55; output wire [3:0] VAR1; localparam VAR21 = VAR96 + (VAR80 == "VAR6" ? 0 : 4); localparam VAR52 = (VAR21/6); localparam VAR23 = VAR21 % 6; localparam VAR65 = VAR52 + ((VAR23 == 0 ) ? 0 : 1); localparam VAR77 = (VAR65*6); generate if (VAR46 == "VAR36") begin : VAR15 assign VAR58 = 1'b0; assign VAR60 = 1'b0; assign VAR55 = 1'b0; reg [3:0] VAR73; wire [3:0] VAR93 = rst ? 4'b0 : VAR73 + {3'b0, VAR8}; always @(posedge clk) VAR73 <= assign VAR1 = VAR93; if (VAR80 == "VAR6") begin : VAR12 always @(VAR34) VAR42 = VAR34; always @(VAR90) VAR61 = VAR90; always @(VAR82) VAR26 = VAR82; end else begin : VAR87 end end else begin : VAR56 wire VAR50 = ~VAR41 || VAR90; wire [4:0] VAR91 = {VAR72, VAR25}; wire [1:0] VAR39; begin : VAR78 wire [4:0] VAR51 = VAR41 ? VAR91 : VAR19[4:0]; reg [4:0] VAR29; always @(posedge clk) VAR29 <= wire [1:0] VAR54; reg VAR84; wire [1:0] VAR38 = VAR41 ? {VAR82, ~(VAR25 ? VAR84 : VAR54[0])} : 2'b0; reg [1:0] VAR70; always @(posedge clk) VAR70 <= reg VAR27; VAR13 .VAR69(64'h0000000000000000), .VAR64(64'h0000000000000000), .VAR62(64'h0000000000000000) ) VAR68 ( .VAR5(VAR39), .VAR17(), .VAR85(VAR54), .VAR63(), .VAR49(VAR70), .VAR94(2'b0), .VAR53(VAR70), .VAR86(VAR70), .VAR2(VAR19[4:0]), .VAR40(5'b0), .VAR33(VAR51), .VAR35(VAR29), .VAR74(VAR27), .VAR32(clk) ); end wire [VAR77-1:0] VAR31; begin : VAR9 wire [VAR77-1:0] VAR11; if (VAR23 == 0) if (VAR80 == "VAR6") assign VAR11 = VAR34; end else assign VAR11 = {VAR95, VAR34}; end else if (VAR80 == "VAR6") assign VAR11 = {{6-VAR23{1'b0}}, VAR34}; else assign VAR11 = {{6-VAR23{1'b0}}, VAR95, VAR34}; reg [4:0] VAR7; genvar VAR22; for (VAR22=0; VAR22<VAR65; VAR22=VAR22+1) begin : VAR20 VAR13 .VAR69(64'h0000000000000000), .VAR64(64'h0000000000000000), .VAR62(64'h0000000000000000) ) VAR68 ( .VAR5(VAR31[((VAR22*6)+4)+:2]), .VAR17(VAR31[((VAR22*6)+2)+:2]), .VAR85(VAR31[((VAR22*6)+0)+:2]), .VAR63(), .VAR49(VAR11[((VAR22*6)+4)+:2]), .VAR94(VAR11[((VAR22*6)+2)+:2]), .VAR53(VAR11[((VAR22*6)+0)+:2]), .VAR86(2'b0), .VAR2(VAR7[4:0]), .VAR40(VAR7[4:0]), .VAR33(VAR7[4:0]), .VAR35(VAR91), .VAR74(VAR50), .VAR32(clk) ); end end wire VAR66 = (VAR39[0] == VAR19[5]); wire VAR30 = VAR90 && (VAR91[4:0] == VAR19[4:0]); assign VAR58 = VAR41 && (VAR30 || VAR66); wire VAR43 = VAR30 ? VAR82 : VAR39[1]; assign VAR60 = VAR58 && VAR43 && ~VAR19[0]; wire [VAR96-1:0] VAR3 = VAR30 ? VAR34 : VAR31[VAR96-1:0]; if (VAR80 != "VAR6") begin : VAR83 wire [3:0] VAR37 = VAR30 ? VAR95 : VAR31[VAR96+:4]; always @(posedge clk) VAR76 <= end reg VAR45; wire VAR24 = VAR45 && VAR26; reg [4:0] VAR48; wire [4:0] VAR44 = VAR48 - 5'b1; wire [4:0] VAR92 = VAR48 + 5'b1; begin : VAR71 reg [4:0] VAR57; always @(VAR24 or VAR48 or VAR8 or rst or VAR44 or VAR92) begin VAR57 = VAR48; if (rst) VAR57 = 5'b0; end else case ({VAR8, VAR24}) 2'b01 : VAR57 = VAR44; 2'b10 : VAR57 = VAR92; endcase end assign VAR55 = VAR57[4]; VAR89: cover property (@(posedge clk) (~rst && VAR55)); VAR67: cover property (@(posedge clk) (~rst && VAR8 && VAR24 && (VAR48 == 5'hf))); VAR79: assert property (@(posedge clk) (rst || !((VAR48 == 5'b0) && (VAR57 == 5'h1f)))); VAR16: assert property (@(posedge clk) (rst || !((VAR48 == 5'h10) && (VAR57 == 5'h11)))); end reg [3:0] VAR73; assign VAR1 = VAR73; begin : VAR28 reg [3:0] VAR93; always @(VAR8 or VAR73 or rst) begin VAR93 = VAR73; if (rst) VAR93 = 4'b0; end else if (VAR8) VAR93 = VAR73 + 4'h1; end always @(posedge clk) VAR73 <= end end endgenerate endmodule
lgpl-3.0
ffu/DSA-3.2.2
usrp/fpga/sdr_lib/phase_acc.v
1,628
module MODULE1 (clk,reset,enable,VAR9,VAR5,VAR8,VAR1,VAR4); parameter VAR11 = 0; parameter VAR7 = 0; parameter VAR6 = 32; input clk, reset, enable, VAR9; input [6:0] VAR5; input [31:0] VAR8; input VAR1; output reg [VAR6-1:0] VAR4; wire [VAR6-1:0] VAR3; VAR12 #(VAR11) VAR2(.VAR10(clk),.reset(1'b0),.VAR9(VAR1),.addr(VAR5),.in(VAR8),.out(VAR3)); always @(posedge clk) if(reset) VAR4 <= 32'b0; else if(VAR1 & (VAR5 == VAR7)) VAR4 <= VAR8; else if(enable & VAR9) VAR4 <= VAR4 + VAR3; endmodule
gpl-3.0
cr88192/bgbtech_bjx1core
srvcore/GpReg.v
5,867
parameter[5:0] VAR5 = 6'h00; parameter[5:0] VAR23 = 6'h0F; parameter[5:0] VAR27 = 6'h20; parameter[5:0] VAR19 = 6'h2F; parameter[5:0] VAR29 = 6'h30; parameter[5:0] VAR42 = 6'h3F; parameter[6:0] VAR35 = 7'h00; parameter[6:0] VAR43 = 7'h0F; parameter[6:0] VAR34 = 7'h20; parameter[6:0] VAR21 = 7'h2F; parameter[6:0] VAR47 = 7'h30; parameter[6:0] VAR40 = 7'h3F; parameter[6:0] VAR30 = 7'h10; parameter[6:0] VAR4 = 7'h11; parameter[6:0] VAR17 = 7'h12; parameter[6:0] VAR28 = 7'h13; parameter[6:0] VAR1 = 7'h15; parameter[6:0] VAR7 = 7'h16; parameter[6:0] VAR20 = 7'h1F; parameter[6:0] VAR38 = 7'h50; parameter[6:0] VAR18 = 7'h51; parameter[6:0] VAR8 = 7'h52; parameter[6:0] VAR26 = 7'h53; parameter[6:0] VAR36 = 7'h54; parameter[6:0] VAR12 = 7'h57; parameter[6:0] VAR25 = 7'h5E; parameter[6:0] VAR9 = 7'h5F; module MODULE1( clk, VAR41, VAR39, VAR15, VAR37, VAR24, VAR44, VAR3, VAR48, VAR14, VAR16 ); input clk; input VAR41; input VAR39; input[6:0] VAR15; input[63:0] VAR37; input[6:0] VAR24; input[6:0] VAR3; input[6:0] VAR14; output[63:0] VAR44; output[63:0] VAR48; output[63:0] VAR16; reg[31:0] VAR11[64]; reg[31:0] VAR32[64]; reg[31:0] VAR6[16]; reg[31:0] VAR45[16]; reg[63:0] VAR46; reg[63:0] VAR22; reg[63:0] VAR10; reg[5:0] VAR33; reg[5:0] VAR13; reg[5:0] VAR31; reg[5:0] VAR2; always @ (clk) begin VAR33 = VAR15[5:0]; VAR13 = VAR24[5:0]; VAR31 = VAR3[5:0]; VAR2 = VAR14[5:0]; if(VAR24[5:4]!=2'b01) begin if(VAR24[6]) begin VAR44[31: 0] = VAR32[VAR13]; VAR44[63:32] = 32'h00000000 ; end else begin VAR44[31: 0] = VAR11[VAR13]; VAR44[63:32] = VAR32[VAR13]; end end else begin if(VAR24[6]) begin VAR44[31: 0] = VAR6[VAR13[3:0]]; VAR44[63:32] = VAR45[VAR13[3:0]]; end else begin VAR44[31: 0] = VAR11[VAR13]; VAR44[63:32] = VAR32[VAR13]; end end if(VAR3[5:4]!=2'b01) begin if(VAR3[6]) begin VAR48[31: 0] = VAR32[VAR31]; VAR48[63:32] = 32'h00000000 ; end else begin VAR48[31: 0] = VAR11[VAR31]; VAR48[63:32] = VAR32[VAR31]; end end else begin if(VAR3[6]) begin VAR48[31: 0] = VAR6[VAR31[3:0]]; VAR48[63:32] = VAR45[VAR31[3:0]]; end else begin VAR48[31: 0] = VAR11[VAR31]; VAR48[63:32] = VAR32[VAR31]; end end if(VAR14[5:4]!=2'b01) begin if(VAR14[6]) begin VAR16[31: 0] = VAR32[VAR2]; VAR16[63:32] = 32'h00000000 ; end else begin VAR16[31: 0] = VAR11[VAR2]; VAR16[63:32] = VAR32[VAR2]; end end else begin if(VAR14[6]) begin VAR16[31: 0] = VAR6[VAR2[3:0]]; VAR16[63:32] = VAR45[VAR2[3:0]]; end else begin VAR16[31: 0] = VAR11[VAR2]; VAR16[63:32] = VAR32[VAR2]; end end end always @ (posedge clk) begin if(VAR41==1'b1) begin if(VAR15[5:4]!=2'b01) begin if(VAR39==1'b1) begin VAR11[VAR33] <= VAR37[31:0]; VAR32[VAR33] <= VAR37[63:32]; end else begin if(VAR15[6]) VAR32[VAR33] <= VAR37[31:0]; end else VAR11[VAR33] <= VAR37[31:0]; end end else begin if(VAR15[6]) begin VAR6[VAR33[3:0]] <= VAR37[31:0]; if(VAR39==1'b1) VAR45[VAR33[3:0]] <= VAR37[63:32]; end else begin VAR11[VAR33] <= VAR37[31:0]; if(VAR39==1'b1) VAR32[VAR33] <= VAR37[63:32]; end end end end endmodule
mit
trnewman/VT-USRP-daughterboard-drivers_python
gr-sounder/src/fpga/lib/sounder_rx.v
2,658
module MODULE1(VAR22,VAR21,VAR23,VAR13,VAR27, VAR14,VAR30,VAR8,VAR26,VAR16,VAR28); input VAR22; input VAR21; input VAR23; input VAR13; input VAR27; input [15:0] VAR14; input [4:0] VAR30; input [15:0] VAR8; input [15:0] VAR26; output [15:0] VAR16; output [15:0] VAR28; reg [31:0] VAR6, VAR12; reg [31:0] VAR19, VAR3; wire [31:0] VAR17, VAR1; VAR15 #(16,32) VAR20(VAR8, VAR17); VAR15 #(16,32) VAR11(VAR26, VAR1); wire VAR5; VAR4 VAR7 ( .VAR22(VAR22),.VAR21(VAR21),.VAR23(VAR23),.VAR10(VAR27),.VAR14(VAR14),.VAR29(VAR5) ); wire [31:0] VAR9 = VAR5 ? VAR17 : -VAR17; wire [31:0] VAR2 = VAR5 ? VAR1 : -VAR1; always @(posedge VAR22) if (VAR21 | ~VAR23) begin VAR6 <= 0; VAR12 <= 0; VAR19 <= 0; VAR3 <= 0; end else if (VAR13) begin VAR19 <= VAR6; VAR3 <= VAR12; VAR6 <= VAR9; VAR12 <= VAR2; end else begin VAR6 <= VAR6 + VAR9; VAR12 <= VAR12 + VAR2; end wire [5:0] VAR25 = (5'd16-VAR30); wire [31:0] VAR24 = VAR19 << VAR25; wire [31:0] VAR18 = VAR3 << VAR25; assign VAR16 = VAR24[31:16]; assign VAR28 = VAR18[31:16]; endmodule
gpl-3.0
mlab/pvs
hdl_harness/async_transmitter.v
2,589
module MODULE1(clk, VAR11, VAR5, VAR9, VAR2); input clk, VAR11; input [7:0] VAR5; output VAR9, VAR2; parameter VAR12 = 50000000; parameter VAR8 = 115200; parameter VAR7 = 1; parameter VAR15 = 16; reg [VAR15:0] VAR6; wire [VAR15:0] VAR14 = 17'h10000; wire [VAR15:0] VAR14 = ((VAR8<<(VAR15-4))+(VAR12>>5))/(VAR12>>4); wire VAR10 = VAR6[VAR15]; wire VAR2; always @(posedge clk) if(VAR2) VAR6 <= VAR6[VAR15-1:0] + VAR14; reg [3:0] state; wire VAR3 = (state==0); assign VAR2 = ~VAR3; reg [7:0] VAR1; always @(posedge clk) if(VAR3 & VAR11) VAR1 <= VAR5; wire [7:0] VAR4 = VAR7 ? VAR1 : VAR5; always @(posedge clk) case(state) 4'b0000: if(VAR11) state <= 4'b0001; 4'b0001: if(VAR10) state <= 4'b0100; 4'b0100: if(VAR10) state <= 4'b1000; 4'b1000: if(VAR10) state <= 4'b1001; 4'b1001: if(VAR10) state <= 4'b1010; 4'b1010: if(VAR10) state <= 4'b1011; 4'b1011: if(VAR10) state <= 4'b1100; 4'b1100: if(VAR10) state <= 4'b1101; 4'b1101: if(VAR10) state <= 4'b1110; 4'b1110: if(VAR10) state <= 4'b1111; 4'b1111: if(VAR10) state <= 4'b0010; 4'b0010: if(VAR10) state <= 4'b0000; default: if(VAR10) state <= 4'b0000; endcase reg VAR13; always @( * ) case(state[2:0]) 3'd0: VAR13 <= VAR4[0]; 3'd1: VAR13 <= VAR4[1]; 3'd2: VAR13 <= VAR4[2]; 3'd3: VAR13 <= VAR4[3]; 3'd4: VAR13 <= VAR4[4]; 3'd5: VAR13 <= VAR4[5]; 3'd6: VAR13 <= VAR4[6]; 3'd7: VAR13 <= VAR4[7]; endcase reg VAR9; always @(posedge clk) VAR9 <= (state<4) | (state[3] & VAR13); endmodule
gpl-3.0
htuNCSU/MmcCommunicationVerilog
MAX10_SLAVE/freedm_bus_slave/fb_slave_counters.v
6,829
module MODULE1 (VAR26, VAR12, VAR17, VAR19, VAR42, VAR31, VAR24, VAR40, VAR7, VAR13, VAR3, VAR48, VAR11, VAR15, VAR5, VAR45, VAR23, VAR46, VAR36, VAR51, VAR27, VAR2, VAR39, VAR35, VAR8, VAR32 ); input VAR26; input VAR12; input VAR17; input VAR19; input VAR42; input VAR31; input VAR24; input VAR40; input VAR7; input VAR13; input [1:0] VAR3; input VAR48; input VAR11; input VAR15; input VAR5; input VAR45; input [1:0] VAR23; input VAR46; input VAR36; output [15:0] VAR27; output [15:0] VAR51; output [7:0] VAR2; output [7: 0] VAR8; output [7: 0] VAR32; output VAR39; output VAR35; reg [15:0] VAR27; reg [15:0] VAR51; reg [7:0] VAR2; reg [7:0] VAR28; reg [3: 0] VAR21; reg [3: 0] VAR30; reg [3: 0] VAR47; reg [7: 0] VAR8; reg [7: 0] VAR32; reg VAR50 ; reg VAR4 ; reg VAR9 ; reg VAR41 ; reg VAR38 ; reg VAR44 ; reg VAR18 ; wire VAR49; wire VAR33; assign VAR33 = VAR19 & ~VAR24; assign VAR49 = VAR40 & ~VAR19 ; always @ (posedge VAR12 or posedge VAR17) begin if(VAR17) begin VAR50 <= 1'b0; VAR4 <= 1'b0; VAR9 <= 1'b0; VAR41 <= 1'b0; VAR38 <= 1'b0; VAR44 <= 1'b0; VAR18 <= 1'b0; end else begin VAR50 <= VAR49; VAR4 <= VAR50; VAR9 <= VAR33; VAR41 <= VAR9; VAR38 <= VAR24; VAR44 <= VAR38; VAR18 <= VAR44; end end always @ (posedge VAR12 or posedge VAR17) begin if(VAR17) VAR2 <= 8'b0; end else if ((VAR18==0) & (VAR44 ==1)) VAR2 <= VAR28; end always @ (posedge VAR12 or posedge VAR17) begin if(VAR17) VAR28 <= 8'd0; end else begin if(VAR4) VAR28 <= 8'd0; end else if(VAR41) VAR28 <= VAR28 + 8'd1; end end wire VAR6; wire VAR37; assign VAR37 = VAR19; assign VAR6 = VAR40; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR51 <= 16'h0; end else begin if(VAR6) VAR51 <= 16'h0; end else if(VAR37) VAR51 <= VAR51 + 16'd1; end end wire VAR43; wire VAR1; assign VAR1 = VAR13 | (|VAR3) | VAR48 | VAR11 | VAR15 | VAR5 |VAR45 | (|VAR23) | VAR46 ; assign VAR43 = VAR40; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR27 <= 16'h0; end else begin if(VAR43) VAR27 <= 16'h0; end else if(VAR1) VAR27 <= VAR27 + 16'd1; end end wire VAR20; wire VAR34; assign VAR20 = VAR46 ; assign VAR34 = |VAR23 ; assign VAR39 = VAR21[0] ; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR21 <= 4'b0; end else begin if(VAR34) VAR21 <= 4'b0; end else if(VAR20) VAR21 <= VAR21 + 4'b0001; end end wire VAR10; wire VAR14; assign VAR10 = VAR36 ; assign VAR14 = VAR40 | VAR7 | VAR45 | (|VAR23) | VAR46; assign VAR35 = VAR47[0] ; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR47 <= 4'b0; end else begin if(VAR14) VAR47 <= 4'b0; end else if(VAR10) VAR47 <= VAR47 + 4'b0001; end end wire VAR29; wire VAR16; assign VAR16 = VAR40 | VAR7 | VAR45; assign VAR29 = VAR23[0]; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR8 <= 8'b0; end else begin if(VAR16) VAR8 <= 8'b0; end else if(VAR29) VAR8 <= VAR8 + 8'b0001; end end wire VAR25; wire VAR22; assign VAR25 = VAR40 | VAR7; assign VAR22 = VAR42 ; always @ (posedge VAR26 or posedge VAR17) begin if(VAR17) VAR32[7:0] <= 8'd0; end else begin if(VAR25) VAR32[7:0] <= 8'd0; end else if(VAR22) VAR32[7:0] <= VAR32[7:0] + 8'd1; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor3/sky130_fd_sc_ls__xnor3_4.v
2,184
module MODULE1 ( VAR9 , VAR1 , VAR4 , VAR2 , VAR6, VAR10, VAR5 , VAR3 ); output VAR9 ; input VAR1 ; input VAR4 ; input VAR2 ; input VAR6; input VAR10; input VAR5 ; input VAR3 ; VAR8 VAR7 ( .VAR9(VAR9), .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2), .VAR6(VAR6), .VAR10(VAR10), .VAR5(VAR5), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR9, VAR1, VAR4, VAR2 ); output VAR9; input VAR1; input VAR4; input VAR2; supply1 VAR6; supply0 VAR10; supply1 VAR5 ; supply0 VAR3 ; VAR8 VAR7 ( .VAR9(VAR9), .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2) ); endmodule
apache-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/synthesis/submodules/altera_up_av_config_auto_init_ob_de2_35.v
7,573
module MODULE1 ( VAR21, VAR11 ); parameter VAR17 = 9'h01A; parameter VAR2 = 9'h01A; parameter VAR16 = 9'h07B; parameter VAR13 = 9'h07B; parameter VAR14 = 9'h0F8; parameter VAR15 = 9'h006; parameter VAR18 = 9'h000; parameter VAR8 = 9'h001; parameter VAR9 = 9'h002; parameter VAR4 = 9'h001; parameter VAR22 = 16'h0040; parameter VAR5 = 16'h2df4; parameter VAR20 = 16'h2e00; input [ 5: 0] VAR21; output [26: 0] VAR11; wire [26: 0] VAR7; wire [26: 0] VAR10; assign VAR11 = VAR7 | VAR10; VAR23 VAR19 ( .VAR21 (VAR21), .VAR11 (VAR7) ); VAR19.VAR17 = VAR17, VAR19.VAR2 = VAR2, VAR19.VAR16 = VAR16, VAR19.VAR13 = VAR13, VAR19.VAR14 = VAR14, VAR19.VAR15 = VAR15, VAR19.VAR18 = VAR18, VAR19.VAR8 = VAR8, VAR19.VAR9 = VAR9, VAR19.VAR4 = VAR4; VAR3 VAR12 ( .VAR21 (VAR21), .VAR11 (VAR10) ); VAR12.VAR6 = VAR22, VAR12.VAR24 = VAR5, VAR12.VAR1 = VAR20; endmodule
gpl-2.0
kernelpanics/Grad
Expanded-Hyperbolic-CORDIC/Verilog/Exponential/Coprocesador_CORDIC.v
8,449
module MODULE1#(parameter VAR101 = 32, parameter VAR55=8, parameter VAR43=5, parameter VAR15 = 8, parameter VAR36 = 23, parameter VAR108 = 9) ( input wire [31:0] VAR92, input wire VAR44, input wire VAR86, input wire VAR105, input wire VAR80, input wire VAR29, input wire VAR16, input wire VAR13, input wire VAR76, input wire VAR70, input wire VAR66, input wire VAR42, input wire VAR74, input wire VAR28, input wire VAR97, input wire VAR52, output wire VAR22, output wire VAR83, output wire VAR37, output wire VAR79, output wire VAR10, output wire VAR88, output wire VAR103, output wire VAR6, output wire VAR93, output wire [VAR101-1:0] VAR31, output wire [VAR43-1:0] VAR102 ); wire [VAR101-1:0] VAR9; wire [VAR101-1:0] VAR5; wire [VAR101-1:0] VAR87; wire [VAR101-1:0] VAR38; wire [VAR101-1:0] VAR18; wire [VAR101-1:0] VAR96; wire [VAR101-1:0] VAR32; wire [VAR101-1:0] VAR20; wire [VAR101-1:0] VAR67; wire [VAR43-1:0] VAR45; wire VAR1; wire [VAR101-1:0] VAR25; wire [VAR101-1:0] VAR3; wire [VAR101-1:0] VAR60; wire [VAR101-1:0] VAR40; wire [VAR101-1:0] VAR14; wire [VAR101-1:0] VAR82; wire [VAR101-1:0] VAR17; wire [VAR101-1:0] VAR8; wire [VAR101-1:0] VAR91; wire [VAR101-1:0] VAR27; wire [VAR101-1:0] VAR107; wire [VAR101-1:0] VAR2; wire [VAR101-1:0] VAR54; wire [VAR101-1:0] VAR23; wire [VAR101-1:0] VAR30; assign VAR102 = VAR45; VAR53 #(.VAR101(VAR101)) VAR77 ( .VAR12(VAR105), .VAR72(VAR54), .VAR7(32'b01000110001100000010010110011010), .VAR58(VAR9) ); VAR53 #(.VAR101(VAR101)) VAR73 ( .VAR12(VAR105), .VAR72(VAR23), .VAR7(32'b01000110001100000010010110011010), .VAR58(VAR5) ); VAR53 #(.VAR101(VAR101)) VAR51 ( .VAR12(VAR105), .VAR72(VAR30), .VAR7(VAR92), .VAR58(VAR87) ); VAR50 #(.VAR101(VAR101)) VAR90 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR70), .VAR43(VAR9), .VAR4(VAR38) ); VAR50 #(.VAR101(VAR101)) VAR99 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR66), .VAR43(VAR5), .VAR4(VAR18) ); VAR50 #(.VAR101(VAR101)) VAR46 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR42), .VAR43(VAR87), .VAR4(VAR96) ); VAR50 #(.VAR101(VAR101)) VAR17 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR52), .VAR43(VAR38), .VAR4(VAR17) ); VAR50 #(.VAR101(VAR101)) VAR8 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR52), .VAR43(VAR18), .VAR4(VAR8) ); VAR50 #(.VAR101(VAR101)) VAR91 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR52), .VAR43(VAR96), .VAR4(VAR91) ); VAR78 #(.VAR101(VAR43)) VAR64 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR97), .VAR19(VAR45) ); VAR56 #(.VAR101(VAR101),.VAR43(VAR43)) VAR69 ( .VAR44(VAR44), .VAR104(1'b1), .VAR65(VAR45), .VAR75(VAR27) ); VAR68 #(.VAR101(VAR101),.VAR43(VAR43)) VAR109 ( .VAR44(VAR44), .VAR104(1'b1), .VAR65(VAR45), .VAR75(VAR32) ); VAR106 #(.VAR101(VAR101)) VAR33( .clk(VAR44), .VAR59(VAR38), .VAR71(VAR27), .VAR62(VAR20) ); assign VAR25 = {VAR96[31],VAR20[30:0]}; assign VAR3 = {VAR96[31],VAR20[30:0]}; assign VAR60 = {~VAR96[31],VAR32[30:0]}; VAR50 #(.VAR101(VAR101)) VAR40 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR28), .VAR43(VAR25), .VAR4(VAR40) ); VAR50 #(.VAR101(VAR101)) VAR14 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR28), .VAR43(VAR3), .VAR4(VAR14) ); VAR50 #(.VAR101(VAR101)) VAR82 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR28), .VAR43(VAR60), .VAR4(VAR82) ); VAR53 #(.VAR101(VAR101)) VAR98 ( .VAR12(VAR74), .VAR72(VAR38), .VAR7(VAR91), .VAR58(VAR107) ); VAR53 #(.VAR101(VAR101)) VAR63 ( .VAR12(VAR74), .VAR72(VAR18), .VAR7(VAR82), .VAR58(VAR2) ); VAR35 #(.VAR21(32),.VAR26(8),.VAR49(23),.VAR34(26), .VAR95(5)) VAR47( .clk(VAR44), .rst(VAR86), .VAR39(VAR16), .VAR100(VAR22), .VAR57(VAR17), .VAR85(VAR40), .VAR11(VAR29), .VAR48(2'b00), .VAR94(VAR79), .VAR41(VAR103), .ready(VAR22), .VAR24(VAR54) ); VAR35 #(.VAR21(32),.VAR26(8),.VAR49(23),.VAR34(26), .VAR95(5)) VAR81( .clk(VAR44), .rst(VAR86), .VAR39(VAR13), .VAR100(VAR83), .VAR57(VAR8), .VAR85(VAR14), .VAR11(VAR29), .VAR48(2'b00), .VAR94(VAR10), .VAR41(VAR6), .ready(VAR83), .VAR24(VAR23) ); VAR35 #(.VAR21(32),.VAR26(8),.VAR49(23),.VAR34(26), .VAR95(5)) VAR89( .clk(VAR44), .rst(VAR86), .VAR39(VAR76), .VAR100(VAR37), .VAR57(VAR107), .VAR85(VAR2), .VAR11(VAR29), .VAR48(2'b00), .VAR94(VAR88), .VAR41(VAR93), .ready(VAR37), .VAR24(VAR30) ); VAR50 #(.VAR101(VAR101)) VAR61 ( .VAR44(VAR44), .VAR86(VAR86), .VAR84(VAR80), .VAR43(VAR30), .VAR4(VAR31) ); endmodule
gpl-3.0
TUM-LIS/faultify
hardware/base_system/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/verilog/cut_wrapper.v
9,487
module MODULE1 ( clk, rst, VAR200, VAR110, VAR3 ); input clk; input rst; input[31:0] VAR200; output[53:0] VAR110; input[215:0] VAR3; VAR77 VAR109 ( .VAR46(clk), .reset(rst), .addr(VAR110 [19:0]), .VAR213(VAR200 [31:0]), .VAR174(VAR110 [51:20]), .rd(VAR110[52]), .wr(VAR110[53]), .VAR223(VAR3[0]), .VAR184(VAR3[1]), .VAR114(VAR3[2]), .VAR221(VAR3[3]), .VAR214(VAR3[4]), .VAR201(VAR3[5]), .VAR123(VAR3[6]), .VAR170(VAR3[7]), .VAR173(VAR3[8]), .VAR175(VAR3[9]), .VAR144(VAR3[10]), .VAR171(VAR3[11]), .VAR219(VAR3[12]), .VAR169(VAR3[13]), .VAR102(VAR3[14]), .VAR163(VAR3[15]), .VAR133(VAR3[16]), .VAR178(VAR3[17]), .VAR28(VAR3[18]), .VAR24(VAR3[19]), .VAR194(VAR3[20]), .VAR58(VAR3[21]), .VAR33(VAR3[22]), .VAR97(VAR3[23]), .VAR127(VAR3[24]), .VAR60(VAR3[25]), .VAR53(VAR3[26]), .VAR172(VAR3[27]), .VAR115(VAR3[28]), .VAR212(VAR3[29]), .VAR10(VAR3[30]), .VAR193(VAR3[31]), .VAR140(VAR3[32]), .VAR89(VAR3[33]), .VAR202(VAR3[34]), .VAR134(VAR3[35]), .VAR180(VAR3[36]), .VAR73(VAR3[37]), .VAR32(VAR3[38]), .VAR12(VAR3[39]), .VAR36(VAR3[40]), .VAR42(VAR3[41]), .VAR69(VAR3[42]), .VAR91(VAR3[43]), .VAR71(VAR3[44]), .VAR165(VAR3[45]), .VAR189(VAR3[46]), .VAR26(VAR3[47]), .VAR45(VAR3[48]), .VAR52(VAR3[49]), .VAR38(VAR3[50]), .VAR1(VAR3[51]), .VAR34(VAR3[52]), .VAR155(VAR3[53]), .VAR150(VAR3[54]), .VAR121(VAR3[55]), .VAR5(VAR3[56]), .VAR154(VAR3[57]), .VAR81(VAR3[58]), .VAR199(VAR3[59]), .VAR49(VAR3[60]), .VAR224(VAR3[61]), .VAR192(VAR3[62]), .VAR29(VAR3[63]), .VAR105(VAR3[64]), .VAR136(VAR3[65]), .VAR8(VAR3[66]), .VAR119(VAR3[67]), .VAR113(VAR3[68]), .VAR44(VAR3[69]), .VAR84(VAR3[70]), .VAR112(VAR3[71]), .VAR56(VAR3[72]), .VAR124(VAR3[73]), .VAR218(VAR3[74]), .VAR90(VAR3[75]), .VAR118(VAR3[76]), .VAR122(VAR3[77]), .VAR183(VAR3[78]), .VAR191(VAR3[79]), .VAR9(VAR3[80]), .VAR31(VAR3[81]), .VAR51(VAR3[82]), .VAR159(VAR3[83]), .VAR54(VAR3[84]), .VAR74(VAR3[85]), .VAR182(VAR3[86]), .VAR57(VAR3[87]), .VAR82(VAR3[88]), .VAR186(VAR3[89]), .VAR76(VAR3[90]), .VAR100(VAR3[91]), .VAR166(VAR3[92]), .VAR160(VAR3[93]), .VAR75(VAR3[94]), .VAR96(VAR3[95]), .VAR142(VAR3[96]), .VAR70(VAR3[97]), .VAR205(VAR3[98]), .VAR23(VAR3[99]), .VAR120(VAR3[100]), .VAR156(VAR3[101]), .VAR215(VAR3[102]), .VAR131(VAR3[103]), .VAR20(VAR3[104]), .VAR11(VAR3[105]), .VAR104(VAR3[106]), .VAR22(VAR3[107]), .VAR72(VAR3[108]), .VAR198(VAR3[109]), .VAR204(VAR3[110]), .VAR39(VAR3[111]), .VAR176(VAR3[112]), .VAR35(VAR3[113]), .VAR187(VAR3[114]), .VAR161(VAR3[115]), .VAR62(VAR3[116]), .VAR13(VAR3[117]), .VAR86(VAR3[118]), .VAR101(VAR3[119]), .VAR80(VAR3[120]), .VAR157(VAR3[121]), .VAR106(VAR3[122]), .VAR16(VAR3[123]), .VAR85(VAR3[124]), .VAR43(VAR3[125]), .VAR210(VAR3[126]), .VAR138(VAR3[127]), .VAR94(VAR3[128]), .VAR92(VAR3[129]), .VAR95(VAR3[130]), .VAR220(VAR3[131]), .VAR111(VAR3[132]), .VAR18(VAR3[133]), .VAR145(VAR3[134]), .VAR116(VAR3[135]), .VAR67(VAR3[136]), .VAR117(VAR3[137]), .VAR65(VAR3[138]), .VAR207(VAR3[139]), .VAR206(VAR3[140]), .VAR153(VAR3[141]), .VAR47(VAR3[142]), .VAR168(VAR3[143]), .VAR63(VAR3[144]), .VAR137(VAR3[145]), .VAR148(VAR3[146]), .VAR162(VAR3[147]), .VAR21(VAR3[148]), .VAR98(VAR3[149]), .VAR164(VAR3[150]), .VAR177(VAR3[151]), .VAR190(VAR3[152]), .VAR15(VAR3[153]), .VAR17(VAR3[154]), .VAR126(VAR3[155]), .VAR135(VAR3[156]), .VAR128(VAR3[157]), .VAR99(VAR3[158]), .VAR107(VAR3[159]), .VAR195(VAR3[160]), .VAR68(VAR3[161]), .VAR79(VAR3[162]), .VAR103(VAR3[163]), .VAR149(VAR3[164]), .VAR197(VAR3[165]), .VAR132(VAR3[166]), .VAR196(VAR3[167]), .VAR83(VAR3[168]), .VAR152(VAR3[169]), .VAR7(VAR3[170]), .VAR59(VAR3[171]), .VAR146(VAR3[172]), .VAR147(VAR3[173]), .VAR55(VAR3[174]), .VAR167(VAR3[175]), .VAR188(VAR3[176]), .VAR217(VAR3[177]), .VAR208(VAR3[178]), .VAR211(VAR3[179]), .VAR37(VAR3[180]), .VAR64(VAR3[181]), .VAR48(VAR3[182]), .VAR41(VAR3[183]), .VAR130(VAR3[184]), .VAR158(VAR3[185]), .VAR143(VAR3[186]), .VAR14(VAR3[187]), .VAR2(VAR3[188]), .VAR216(VAR3[189]), .VAR61(VAR3[190]), .VAR139(VAR3[191]), .VAR185(VAR3[192]), .VAR181(VAR3[193]), .VAR88(VAR3[194]), .VAR66(VAR3[195]), .VAR27(VAR3[196]), .VAR125(VAR3[197]), .VAR25(VAR3[198]), .VAR40(VAR3[199]), .VAR30(VAR3[200]), .VAR141(VAR3[201]), .VAR19(VAR3[202]), .VAR108(VAR3[203]), .VAR93(VAR3[204]), .VAR203(VAR3[205]), .VAR209(VAR3[206]), .VAR6(VAR3[207]), .VAR129(VAR3[208]), .VAR50(VAR3[209]), .VAR179(VAR3[210]), .VAR87(VAR3[211]), .VAR222(VAR3[212]), .VAR78(VAR3[213]), .VAR4(VAR3[214]), .VAR151(VAR3[215])); endmodule
gpl-2.0
m-labs/milkymist
cores/tmu2/rtl/tmu2_vdivops.v
2,509
module MODULE1( input VAR24, input VAR17, output VAR2, input VAR9, output VAR25, input signed [17:0] VAR26, input signed [17:0] VAR22, input signed [17:0] VAR16, input signed [17:0] VAR15, input signed [17:0] VAR18, input signed [17:0] VAR13, input signed [17:0] VAR6, input signed [17:0] VAR5, input signed [11:0] VAR20, input signed [11:0] VAR28, output reg VAR29, input VAR23, output reg signed [17:0] VAR4, output reg signed [17:0] VAR8, output reg signed [17:0] VAR3, output reg signed [17:0] VAR10, output reg VAR31, output reg [16:0] VAR11, output reg VAR21, output reg [16:0] VAR12, output reg VAR19, output reg [16:0] VAR30, output reg VAR7, output reg [16:0] VAR14, output reg signed [11:0] VAR1, output reg signed [11:0] VAR27 ); always @(posedge VAR24) begin if(VAR17) VAR29 <= 1'b0; end else begin if(VAR23) VAR29 <= 1'b0; if(VAR9 & VAR25) begin VAR29 <= 1'b1; if(VAR18 > VAR26) begin VAR31 <= 1'b1; VAR11 <= VAR18 - VAR26; end else begin VAR31 <= 1'b0; VAR11 <= VAR26 - VAR18; end if(VAR13 > VAR22) begin VAR21 <= 1'b1; VAR12 <= VAR13 - VAR22; end else begin VAR21 <= 1'b0; VAR12 <= VAR22 - VAR13; end if(VAR6 > VAR16) begin VAR19 <= 1'b1; VAR30 <= VAR6 - VAR16; end else begin VAR19 <= 1'b0; VAR30 <= VAR16 - VAR6; end if(VAR5 > VAR15) begin VAR7 <= 1'b1; VAR14 <= VAR5 - VAR15; end else begin VAR7 <= 1'b0; VAR14 <= VAR15 - VAR5; end VAR4 <= VAR26; VAR8 <= VAR22; VAR3 <= VAR16; VAR10 <= VAR15; VAR1 <= VAR20; VAR27 <= VAR28; end end end assign VAR25 = ~VAR29 | VAR23; assign VAR2 = VAR29; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/busdriver2/sky130_fd_sc_lp__busdriver2.functional.pp.v
1,887
module MODULE1 ( VAR9 , VAR7 , VAR8, VAR5, VAR2, VAR6 , VAR13 ); output VAR9 ; input VAR7 ; input VAR8; input VAR5; input VAR2; input VAR6 ; input VAR13 ; wire VAR11 ; wire VAR10; VAR4 VAR3 (VAR11 , VAR7, VAR5, VAR2 ); VAR4 VAR1 (VAR10, VAR8, VAR5, VAR2 ); bufif0 VAR12 (VAR9 , VAR11, VAR10); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xor3/sky130_fd_sc_ls__xor3.behavioral.v
1,406
module MODULE1 ( VAR2, VAR4, VAR8, VAR7 ); output VAR2; input VAR4; input VAR8; input VAR7; supply1 VAR11; supply0 VAR10; supply1 VAR3 ; supply0 VAR6 ; wire VAR5; xor VAR1 (VAR5, VAR4, VAR8, VAR7 ); buf VAR9 (VAR2 , VAR5 ); endmodule
apache-2.0
peteasa/parallella-fpga
AdiHDLLib/library/prcfg/bist/prcfg_dac.v
6,537
module MODULE1( clk, VAR15, VAR4, VAR16, VAR17, VAR8, VAR12, VAR5, VAR1 ); localparam VAR2 = 8'hA1; parameter VAR10 = 0; input clk; input [31:0] VAR15; output [31:0] VAR4; output VAR16; input [15:0] VAR17; output VAR8; input VAR12; output [15:0] VAR5; input VAR1; reg [15:0] VAR5 = 0; reg VAR8 = 0; reg VAR16 = 0; reg [15:0] VAR11 = 32'hA2F19C; reg [31:0] VAR4 = 0; reg [ 2:0] counter = 0; reg VAR14 = 0; reg [15:0] VAR3 = 0; reg [15:0] VAR9 = 0; reg [ 3:0] VAR6; wire [15:0] VAR7; function [15:0] VAR13; input [15:0] din; reg [15:0] dout; begin dout[15] = din[14] ^ din[15]; dout[14] = din[13] ^ din[14]; dout[13] = din[12] ^ din[13]; dout[12] = din[11] ^ din[12]; dout[11] = din[10] ^ din[11]; dout[10] = din[ 9] ^ din[10]; dout[ 9] = din[ 8] ^ din[ 9]; dout[ 8] = din[ 7] ^ din[ 8]; dout[ 7] = din[ 6] ^ din[ 7]; dout[ 6] = din[ 5] ^ din[ 6]; dout[ 5] = din[ 4] ^ din[ 5]; dout[ 4] = din[ 3] ^ din[ 4]; dout[ 3] = din[ 2] ^ din[ 3]; dout[ 2] = din[ 1] ^ din[ 2]; dout[ 1] = din[ 0] ^ din[ 1]; dout[ 0] = din[14] ^ din[15] ^ din[ 0]; VAR13 = dout; end endfunction always @(posedge clk) begin VAR4 <= {24'h0, VAR2}; VAR6 <= VAR15[7:4]; end always @(posedge clk) begin if ((VAR12 == 1'h1) && (VAR1 == 1'h1)) begin counter <= counter + 1; end end always @(counter) begin case(counter) 3'd0 : begin VAR3 <= 16'h0000; VAR9 <= 16'h7FFF; end 3'd1 : begin VAR3 <= 16'h5A82; VAR9 <= 16'h5A82; end 3'd2 : begin VAR3 <= 16'h7FFF; VAR9 <= 16'h0000; end 3'd3 : begin VAR3 <= 16'h5A82; VAR9 <= 16'hA57E; end 3'd4 : begin VAR3 <= 16'h0000; VAR9 <= 16'h8001; end 3'd5 : begin VAR3 <= 16'hA57E; VAR9 <= 16'hA57E; end 3'd6 : begin VAR3 <= 16'h8001; VAR9 <= 16'h0000; end 3'd7 : begin VAR3 <= 16'hA57E; VAR9 <= 16'h5A82; end endcase end always @(posedge clk) begin if((VAR12 == 1'h1) && (VAR1 == 1'h1)) begin VAR11 <= VAR13(VAR11); end end always @(posedge clk) begin if((VAR12 == 1'h1) && (VAR1 == 1'h1)) begin VAR14 <= ~VAR14; end end assign VAR7 = (VAR14 == 1'h1) ? 16'h5555 : 16'hAAAA; always @(posedge clk) begin VAR16 <= VAR12; VAR8 <= (VAR6 == 0) ? VAR1 : 1'b0; end always @(posedge clk) begin case(VAR6) 4'h0 : begin VAR5 <= VAR17; end 4'h1 : begin VAR5 <= {VAR9, VAR3}; end 4'h2 : begin VAR5 <= VAR11; end 4'h3 : begin VAR5 <= VAR7; end default : begin VAR5 <= VAR17; end endcase end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a221oi/sky130_fd_sc_hdll__a221oi.behavioral.v
1,686
module MODULE1 ( VAR13 , VAR14, VAR6, VAR4, VAR2, VAR15 ); output VAR13 ; input VAR14; input VAR6; input VAR4; input VAR2; input VAR15; supply1 VAR16; supply0 VAR9; supply1 VAR1 ; supply0 VAR3 ; wire VAR5 ; wire VAR11 ; wire VAR17; and VAR8 (VAR5 , VAR4, VAR2 ); and VAR10 (VAR11 , VAR14, VAR6 ); nor VAR7 (VAR17, VAR5, VAR15, VAR11); buf VAR12 (VAR13 , VAR17 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/ebufn/sky130_fd_sc_ls__ebufn.pp.blackbox.v
1,287
module MODULE1 ( VAR6 , VAR7 , VAR2, VAR1, VAR4, VAR5 , VAR3 ); output VAR6 ; input VAR7 ; input VAR2; input VAR1; input VAR4; input VAR5 ; input VAR3 ; endmodule
apache-2.0
bluespec/Flute
builds/RV64ACDFIMSU_Flute_verilator/Verilog_RTL/mkTLB.v
30,889
module MODULE1(VAR37, VAR122, VAR147, VAR90, VAR49, VAR80, VAR154, VAR85, VAR101, VAR21, VAR189, VAR27, VAR44, VAR173, VAR200); parameter [0 : 0] VAR140 = 1'b0; input VAR37; input VAR122; input VAR147; output VAR90; input [15 : 0] VAR49; input [26 : 0] VAR80; output [130 : 0] VAR154; output VAR85; input [15 : 0] VAR101; input [26 : 0] VAR21; input [63 : 0] VAR189; input [1 : 0] VAR27; input [63 : 0] VAR44; input VAR173; output VAR200; wire [130 : 0] VAR154; wire VAR90, VAR200, VAR85; reg VAR50; wire VAR142, VAR8; reg VAR39; wire VAR7, VAR150; reg VAR2; wire VAR36, VAR106; reg VAR107; wire VAR163, VAR100; reg VAR124; wire VAR75, VAR165; reg VAR78; wire VAR18, VAR115; reg VAR103; wire VAR12, VAR120; reg VAR183; wire VAR121, VAR55; reg VAR148; wire VAR186, VAR69; reg VAR112; wire VAR132, VAR157; reg VAR176; wire VAR86, VAR170; reg VAR89; wire VAR87, VAR43; reg VAR174; wire VAR116, VAR152; reg VAR138; wire VAR126, VAR133; reg VAR167; wire VAR38, VAR40; reg VAR22; wire VAR181, VAR54; reg VAR178; wire VAR160, VAR184; reg VAR175; wire VAR31, VAR153; reg VAR77; wire VAR146, VAR10; reg VAR95; wire VAR105, VAR24; reg VAR71; wire VAR46, VAR88; reg VAR110; wire VAR5, VAR123; reg VAR9; wire VAR195, VAR161; reg VAR135; wire VAR53, VAR114; reg VAR128; wire VAR97, VAR172; reg VAR56; wire VAR19, VAR164; reg VAR168; wire VAR158, VAR94; reg VAR191; wire VAR23, VAR76; reg VAR199; wire VAR166, VAR198; wire [166 : 0] VAR3, VAR102; wire [3 : 0] VAR25, VAR34, VAR129, VAR109, VAR42, VAR134; wire VAR14; wire [158 : 0] VAR192, VAR194; wire [2 : 0] VAR4, VAR151, VAR139, VAR17, VAR64, VAR190; wire VAR130; wire [150 : 0] VAR35, VAR141; wire [1 : 0] VAR70, VAR11, VAR196, VAR169, VAR61, VAR162; wire VAR131; wire VAR48, VAR113, VAR104, VAR60, VAR187, VAR29; wire VAR20, VAR171, VAR96, VAR51, VAR57, VAR83, VAR59, VAR108, VAR145, VAR6, VAR179, VAR15, VAR82, VAR119, VAR32, VAR99, VAR143, VAR30, VAR188, VAR26, VAR72, VAR45, VAR180, VAR149, VAR16, VAR118, VAR136, VAR58; reg VAR13, VAR182, VAR1; wire [129 : 0] VAR65, VAR79, VAR197; wire [63 : 0] VAR68, VAR111; wire VAR144, VAR93, VAR28, VAR91, VAR81; assign VAR90 = 1'd1 ; assign VAR113 = 1'd1 ; assign VAR187 = VAR147 ; assign VAR154 = { VAR28, VAR65 } ; assign VAR85 = !VAR50 ; assign VAR200 = !VAR50 ; assign VAR104 = !VAR50 ; assign VAR29 = VAR173 ; VAR84 #(.VAR67(32'd4), .VAR159(32'd167), .VAR63(4'h0), .VAR74(4'd15)) VAR41(.VAR37(VAR37), .VAR177(VAR25), .VAR52(VAR34), .VAR92(VAR129), .VAR62(VAR109), .VAR185(VAR42), .VAR156(VAR134), .VAR127(VAR3), .VAR47(VAR14), .VAR66(VAR102), .VAR137(), .VAR98(), .VAR193(), .VAR73()); VAR84 #(.VAR67(32'd3), .VAR159(32'd159), .VAR63(3'h0), .VAR74(3'd7)) VAR33(.VAR37(VAR37), .VAR177(VAR4), .VAR52(VAR151), .VAR92(VAR139), .VAR62(VAR17), .VAR185(VAR64), .VAR156(VAR190), .VAR127(VAR192), .VAR47(VAR130), .VAR66(VAR194), .VAR137(), .VAR98(), .VAR193(), .VAR73()); VAR84 #(.VAR67(32'd2), .VAR159(32'd151), .VAR63(2'h0), .VAR74(2'd3)) VAR155(.VAR37(VAR37), .VAR177(VAR70), .VAR52(VAR11), .VAR92(VAR196), .VAR62(VAR169), .VAR185(VAR61), .VAR156(VAR162), .VAR127(VAR35), .VAR47(VAR131), .VAR66(VAR141), .VAR137(), .VAR98(), .VAR193(), .VAR73()); assign VAR48 = VAR50 ; assign VAR60 = VAR50 ; assign VAR20 = VAR173 && VAR21[3:0] == 4'd0 && VAR27 == 2'd0 ; assign VAR171 = VAR173 && VAR21[3:0] == 4'd1 && VAR27 == 2'd0 ; assign VAR96 = VAR173 && VAR21[3:0] == 4'd10 && VAR27 == 2'd0 ; assign VAR51 = VAR173 && VAR21[3:0] == 4'd11 && VAR27 == 2'd0 ; assign VAR57 = VAR173 && VAR21[3:0] == 4'd12 && VAR27 == 2'd0 ; assign VAR83 = VAR173 && VAR21[3:0] == 4'd13 && VAR27 == 2'd0 ; assign VAR59 = VAR173 && VAR21[3:0] == 4'd14 && VAR27 == 2'd0 ; assign VAR108 = VAR173 && VAR21[3:0] == 4'd15 && VAR27 == 2'd0 ; assign VAR145 = VAR173 && VAR21[3:0] == 4'd2 && VAR27 == 2'd0 ; assign VAR6 = VAR173 && VAR21[3:0] == 4'd3 && VAR27 == 2'd0 ; assign VAR179 = VAR173 && VAR21[3:0] == 4'd4 && VAR27 == 2'd0 ; assign VAR15 = VAR173 && VAR21[3:0] == 4'd5 && VAR27 == 2'd0 ; assign VAR82 = VAR173 && VAR21[3:0] == 4'd6 && VAR27 == 2'd0 ; assign VAR119 = VAR173 && VAR21[3:0] == 4'd7 && VAR27 == 2'd0 ; assign VAR32 = VAR173 && VAR21[3:0] == 4'd8 && VAR27 == 2'd0 ; assign VAR99 = VAR173 && VAR21[3:0] == 4'd9 && VAR27 == 2'd0 ; assign VAR143 = VAR173 && VAR21[11:9] == 3'd0 && VAR27 == 2'd1 ; assign VAR30 = VAR173 && VAR21[11:9] == 3'd1 && VAR27 == 2'd1 ; assign VAR188 = VAR173 && VAR21[11:9] == 3'd2 && VAR27 == 2'd1 ; assign VAR26 = VAR173 && VAR21[11:9] == 3'd3 && VAR27 == 2'd1 ; assign VAR72 = VAR173 && VAR21[11:9] == 3'd4 && VAR27 == 2'd1 ; assign VAR45 = VAR173 && VAR21[11:9] == 3'd5 && VAR27 == 2'd1 ; assign VAR180 = VAR173 && VAR21[11:9] == 3'd6 && VAR27 == 2'd1 ; assign VAR149 = VAR173 && VAR21[11:9] == 3'd7 && VAR27 == 2'd1 ; assign VAR16 = VAR173 && VAR21[19:18] == 2'd0 && VAR27 != 2'd0 && VAR27 != 2'd1 ; assign VAR118 = VAR173 && VAR21[19:18] == 2'd1 && VAR27 != 2'd0 && VAR27 != 2'd1 ; assign VAR136 = VAR173 && VAR21[19:18] == 2'd2 && VAR27 != 2'd0 && VAR27 != 2'd1 ; assign VAR58 = VAR173 && VAR21[19:18] == 2'd3 && VAR27 != 2'd0 && VAR27 != 2'd1 ; assign VAR142 = VAR147 ; assign VAR8 = VAR50 || VAR147 ; assign VAR7 = VAR20 ; assign VAR150 = VAR173 && VAR21[3:0] == 4'd0 && VAR27 == 2'd0 || VAR50 ; assign VAR36 = VAR171 ; assign VAR106 = VAR173 && VAR21[3:0] == 4'd1 && VAR27 == 2'd0 || VAR50 ; assign VAR163 = VAR96 ; assign VAR100 = VAR173 && VAR21[3:0] == 4'd10 && VAR27 == 2'd0 || VAR50 ; assign VAR75 = VAR51 ; assign VAR165 = VAR173 && VAR21[3:0] == 4'd11 && VAR27 == 2'd0 || VAR50 ; assign VAR18 = VAR57 ; assign VAR115 = VAR173 && VAR21[3:0] == 4'd12 && VAR27 == 2'd0 || VAR50 ; assign VAR12 = VAR83 ; assign VAR120 = VAR173 && VAR21[3:0] == 4'd13 && VAR27 == 2'd0 || VAR50 ; assign VAR121 = VAR59 ; assign VAR55 = VAR173 && VAR21[3:0] == 4'd14 && VAR27 == 2'd0 || VAR50 ; assign VAR186 = VAR108 ; assign VAR69 = VAR173 && VAR21[3:0] == 4'd15 && VAR27 == 2'd0 || VAR50 ; assign VAR132 = VAR145 ; assign VAR157 = VAR173 && VAR21[3:0] == 4'd2 && VAR27 == 2'd0 || VAR50 ; assign VAR86 = VAR6 ; assign VAR170 = VAR173 && VAR21[3:0] == 4'd3 && VAR27 == 2'd0 || VAR50 ; assign VAR87 = VAR179 ; assign VAR43 = VAR173 && VAR21[3:0] == 4'd4 && VAR27 == 2'd0 || VAR50 ; assign VAR116 = VAR15 ; assign VAR152 = VAR173 && VAR21[3:0] == 4'd5 && VAR27 == 2'd0 || VAR50 ; assign VAR126 = VAR82 ; assign VAR133 = VAR173 && VAR21[3:0] == 4'd6 && VAR27 == 2'd0 || VAR50 ; assign VAR38 = VAR119 ; assign VAR40 = VAR173 && VAR21[3:0] == 4'd7 && VAR27 == 2'd0 || VAR50 ; assign VAR181 = VAR32 ; assign VAR54 = VAR173 && VAR21[3:0] == 4'd8 && VAR27 == 2'd0 || VAR50 ; assign VAR160 = VAR99 ; assign VAR184 = VAR173 && VAR21[3:0] == 4'd9 && VAR27 == 2'd0 || VAR50 ; assign VAR31 = VAR143 ; assign VAR153 = VAR173 && VAR21[11:9] == 3'd0 && VAR27 == 2'd1 || VAR50 ; assign VAR146 = VAR30 ; assign VAR10 = VAR173 && VAR21[11:9] == 3'd1 && VAR27 == 2'd1 || VAR50 ; assign VAR105 = VAR188 ; assign VAR24 = VAR173 && VAR21[11:9] == 3'd2 && VAR27 == 2'd1 || VAR50 ; assign VAR46 = VAR26 ; assign VAR88 = VAR173 && VAR21[11:9] == 3'd3 && VAR27 == 2'd1 || VAR50 ; assign VAR5 = VAR72 ; assign VAR123 = VAR173 && VAR21[11:9] == 3'd4 && VAR27 == 2'd1 || VAR50 ; assign VAR195 = VAR45 ; assign VAR161 = VAR173 && VAR21[11:9] == 3'd5 && VAR27 == 2'd1 || VAR50 ; assign VAR53 = VAR180 ; assign VAR114 = VAR173 && VAR21[11:9] == 3'd6 && VAR27 == 2'd1 || VAR50 ; assign VAR97 = VAR149 ; assign VAR172 = VAR173 && VAR21[11:9] == 3'd7 && VAR27 == 2'd1 || VAR50 ; assign VAR19 = VAR16 ; assign VAR164 = VAR173 && VAR21[19:18] == 2'd0 && VAR27 != 2'd0 && VAR27 != 2'd1 || VAR50 ; assign VAR158 = VAR118 ; assign VAR94 = VAR173 && VAR21[19:18] == 2'd1 && VAR27 != 2'd0 && VAR27 != 2'd1 || VAR50 ; assign VAR23 = VAR136 ; assign VAR76 = VAR173 && VAR21[19:18] == 2'd2 && VAR27 != 2'd0 && VAR27 != 2'd1 || VAR50 ; assign VAR166 = VAR58 ; assign VAR198 = VAR173 && VAR21[19:18] == 2'd3 && VAR27 != 2'd0 && VAR27 != 2'd1 || VAR50 ; assign VAR25 = VAR80[3:0] ; assign VAR34 = 4'h0 ; assign VAR129 = 4'h0 ; assign VAR109 = 4'h0 ; assign VAR42 = 4'h0 ; assign VAR134 = VAR21[3:0] ; assign VAR3 = { VAR101, VAR21[26:4], VAR189, VAR44 } ; assign VAR14 = VAR173 && VAR27 == 2'd0 ; assign VAR4 = VAR80[11:9] ; assign VAR151 = 3'h0 ; assign VAR139 = 3'h0 ; assign VAR17 = 3'h0 ; assign VAR64 = 3'h0 ; assign VAR190 = VAR21[11:9] ; assign VAR192 = { VAR101, VAR21[26:12], VAR189, VAR44 } ; assign VAR130 = VAR173 && VAR27 == 2'd1 ; assign VAR70 = VAR80[19:18] ; assign VAR11 = 2'h0 ; assign VAR196 = 2'h0 ; assign VAR169 = 2'h0 ; assign VAR61 = 2'h0 ; assign VAR162 = VAR21[19:18] ; assign VAR35 = { VAR101, VAR21[26:20], VAR189, VAR44 } ; assign VAR131 = VAR173 && VAR27 != 2'd0 && VAR27 != 2'd1 ; assign VAR65 = { VAR68, 2'd0, VAR111 } | VAR79 | VAR197 ; assign VAR79 = (VAR91 && VAR194[142:128] == VAR80[26:12]) ? { VAR194[127:64], 2'd1, VAR194[63:0] } : 130'd0 ; assign VAR197 = (VAR81 && VAR141[134:128] == VAR80[26:20]) ? { VAR141[127:64], 2'd2, VAR141[63:0] } : 130'd0 ; assign VAR144 = VAR13 && (VAR102[166:151] == VAR49 || VAR102[69]) ; assign VAR93 = (VAR144 && VAR102[150:128] == VAR80[26:4]) | (VAR91 && VAR194[142:128] == VAR80[26:12]) ; assign VAR28 = VAR93 | (VAR81 && VAR141[134:128] == VAR80[26:20]) ; assign VAR91 = VAR182 && (VAR194[158:143] == VAR49 || VAR194[69]) ; assign VAR81 = VAR1 && (VAR141[150:135] == VAR49 || VAR141[69]) ; assign VAR68 = (VAR144 && VAR102[150:128] == VAR80[26:4]) ? VAR102[127:64] : 64'd0 ; assign VAR111 = (VAR144 && VAR102[150:128] == VAR80[26:4]) ? VAR102[63:0] : 64'd0 ; always@(VAR80 or VAR39 or VAR2 or VAR112 or VAR176 or VAR89 or VAR174 or VAR138 or VAR167 or VAR22 or VAR178 or VAR107 or VAR124 or VAR78 or VAR103 or VAR183 or VAR148) begin case (VAR80[3:0]) 4'd0: VAR13 = VAR39; 4'd1: VAR13 = VAR2; 4'd2: VAR13 = VAR112; 4'd3: VAR13 = VAR176; 4'd4: VAR13 = VAR89; 4'd5: VAR13 = VAR174; 4'd6: VAR13 = VAR138; 4'd7: VAR13 = VAR167; 4'd8: VAR13 = VAR22; 4'd9: VAR13 = VAR178; 4'd10: VAR13 = VAR107; 4'd11: VAR13 = VAR124; 4'd12: VAR13 = VAR78; 4'd13: VAR13 = VAR103; 4'd14: VAR13 = VAR183; 4'd15: VAR13 = VAR148; endcase end always@(VAR80 or VAR175 or VAR77 or VAR95 or VAR71 or VAR110 or VAR9 or VAR135 or VAR128) begin case (VAR80[11:9]) 3'd0: VAR182 = VAR175; 3'd1: VAR182 = VAR77; 3'd2: VAR182 = VAR95; 3'd3: VAR182 = VAR71; 3'd4: VAR182 = VAR110; 3'd5: VAR182 = VAR9; 3'd6: VAR182 = VAR135; 3'd7: VAR182 = VAR128; endcase end always@(VAR80 or VAR56 or VAR168 or VAR191 or VAR199) begin case (VAR80[19:18]) 2'd0: VAR1 = VAR56; 2'd1: VAR1 = VAR168; 2'd2: VAR1 = VAR191; 2'd3: VAR1 = VAR199; endcase end always@(posedge VAR37) begin if (VAR122 == VAR125) begin VAR50 <= VAR117 1'd1; end else begin if (VAR8) VAR50 <= VAR117 VAR142; end if (VAR150) VAR39 <= VAR117 VAR7; if (VAR106) VAR2 <= VAR117 VAR36; if (VAR100) VAR107 <= VAR117 VAR163; if (VAR165) VAR124 <= VAR117 VAR75; if (VAR115) VAR78 <= VAR117 VAR18; if (VAR120) VAR103 <= VAR117 VAR12; if (VAR55) VAR183 <= VAR117 VAR121; if (VAR69) VAR148 <= VAR117 VAR186; if (VAR157) VAR112 <= VAR117 VAR132; if (VAR170) VAR176 <= VAR117 VAR86; if (VAR43) VAR89 <= VAR117 VAR87; if (VAR152) VAR174 <= VAR117 VAR116; if (VAR133) VAR138 <= VAR117 VAR126; if (VAR40) VAR167 <= VAR117 VAR38; if (VAR54) VAR22 <= VAR117 VAR181; if (VAR184) VAR178 <= VAR117 VAR160; if (VAR153) VAR175 <= VAR117 VAR31; if (VAR10) VAR77 <= VAR117 VAR146; if (VAR24) VAR95 <= VAR117 VAR105; if (VAR88) VAR71 <= VAR117 VAR46; if (VAR123) VAR110 <= VAR117 VAR5; if (VAR161) VAR9 <= VAR117 VAR195; if (VAR114) VAR135 <= VAR117 VAR53; if (VAR172) VAR128 <= VAR117 VAR97; if (VAR164) VAR56 <= VAR117 VAR19; if (VAR94) VAR168 <= VAR117 VAR158; if (VAR76) VAR191 <= VAR117 VAR23; if (VAR198) VAR199 <= VAR117 VAR166; end begin VAR50 = 1'h0; VAR39 = 1'h0; VAR2 = 1'h0; VAR107 = 1'h0; VAR124 = 1'h0; VAR78 = 1'h0; VAR103 = 1'h0; VAR183 = 1'h0; VAR148 = 1'h0; VAR112 = 1'h0; VAR176 = 1'h0; VAR89 = 1'h0; VAR174 = 1'h0; VAR138 = 1'h0; VAR167 = 1'h0; VAR22 = 1'h0; VAR178 = 1'h0; VAR175 = 1'h0; VAR77 = 1'h0; VAR95 = 1'h0; VAR71 = 1'h0; VAR110 = 1'h0; VAR9 = 1'h0; VAR135 = 1'h0; VAR128 = 1'h0; VAR56 = 1'h0; VAR168 = 1'h0; VAR191 = 1'h0; VAR199 = 1'h0; end
apache-2.0
jeffkub/n64-cart-reader
old/n64cartridge/src/sdram/autorefresh_counter.v
5,634
module MODULE1( input VAR6, input VAR2, input VAR8, output reg VAR4); generate if (VAR3 == 2000) begin reg [10:0] VAR1; wire VAR5,VAR7; xnor(VAR5,VAR1[10],VAR1[8]); assign VAR7 = (VAR1 == 11'h5D3); always @(posedge VAR6,posedge VAR2) begin if(VAR2) begin VAR1 <= 0; VAR4 <= 0; end else begin if(VAR8) VAR1 <= VAR7 ? 11'h0 : {VAR1[9:0],VAR5}; VAR4 <= VAR7; end end end else if (VAR3 == 1500) begin reg [10:0] VAR1; wire VAR5,VAR7; xnor(VAR5,VAR1[10],VAR1[8]); assign VAR7 = (VAR1 == 11'h17); always @(posedge VAR6,posedge VAR2) begin if(VAR2) begin VAR1 <= 0; VAR4 <= 0; end else begin if(VAR8) VAR1 <= VAR7 ? 11'h0 : {VAR1[9:0],VAR5}; VAR4 <= VAR7; end end end else if (VAR3 == 1000) begin reg [9:0] VAR1; wire VAR5,VAR7; xnor(VAR5,VAR1[9],VAR1[6]); assign VAR7 = (VAR1 == 10'h2B2); always @(posedge VAR6,posedge VAR2) begin if(VAR2) begin VAR1 <= 0; VAR4 <= 0; end else begin if(VAR8) VAR1 <= VAR7 ? 10'h0 : {VAR1[8:0],VAR5}; VAR4 <= VAR7; end end end else if (VAR3 == 750) begin reg [9:0] VAR1; wire VAR5,VAR7; xnor(VAR5,VAR1[9],VAR1[6]); assign VAR7 = (VAR1 == 10'h373); always @(posedge VAR6,posedge VAR2) begin if(VAR2) begin VAR1 <= 0; VAR4 <= 0; end else begin if(VAR8) VAR1 <= VAR7 ? 10'h0 : {VAR1[8:0],VAR5}; VAR4 <= VAR7; end end end else if (VAR3 == 500) begin reg [8:0] VAR1; wire VAR5,VAR7; xnor(VAR5,VAR1[8],VAR1[4]); assign VAR7 = (VAR1 == 9'h21); always @(posedge VAR6,posedge VAR2) begin if(VAR2) begin VAR1 <= 0; VAR4 <= 0; end else begin if(VAR8) VAR1 <= VAR7 ? 9'h0 : {VAR1[7:0],VAR5}; VAR4 <= VAR7; end end end endgenerate endmodule
mit
Canaan-Creative/MM
verilog/superkdf9/soc/superkdf9.v
3,653
module MODULE1 ( input wire clk, rst ,output wire [3:0] VAR46 ,input wire [31:0] VAR88 ,output wire [10:0] VAR24 ,output wire [31:0] VAR36 ,output wire [31:0] VAR82 ,input wire [31:0] VAR51 ,input wire VAR14 ,output wire VAR17 ); wire [31:0] VAR3, VAR71; wire [31:0] VAR35, VAR86 ; wire VAR56, VAR70; wire VAR62, VAR79; wire [31:0] VAR30 , VAR23; wire [32-2-1:0] VAR52, VAR55; wire VAR37, VAR57; wire VAR53, VAR78; wire VAR75, VAR72; wire VAR2, VAR85; wire [31:0] VAR7 , VAR10; wire [32-2-1:0] VAR6, VAR80; wire VAR68, VAR63; wire VAR64, VAR59; VAR31 #( .VAR60(1+VAR15 - VAR54), .VAR81("VAR44") ) VAR44 ( .VAR76(VAR56), .VAR69(VAR70), .VAR1(VAR62), .VAR83(VAR79), .VAR66(VAR52), .VAR84(VAR55), .VAR74(VAR30), .VAR11(VAR23), .VAR29(VAR3), .VAR58(VAR71), .VAR32(VAR37), .VAR65(VAR57), .VAR19(VAR53), .VAR77(VAR78) ); VAR31 #( .VAR60(8192), .VAR81("VAR44") ) VAR21 ( .VAR76(VAR75), .VAR69(VAR72), .VAR1(VAR2), .VAR83(VAR85), .VAR66(VAR6), .VAR84(VAR80), .VAR74(VAR7), .VAR11(VAR10), .VAR29(VAR35), .VAR58(VAR86), .VAR32(VAR68), .VAR65(VAR63), .VAR19(VAR64), .VAR77(VAR59) ); VAR16 MODULE1( .VAR73(), .VAR12(), .VAR87(), .VAR33(), .VAR38(), .VAR67(), .VAR50(), .VAR20(), .VAR18(), .VAR22(), .VAR4(), .VAR28(), .VAR25(), .VAR47(), .VAR26(), .VAR45(), .VAR40(), .VAR13(), .VAR43(), .VAR49(), .VAR41(), .VAR8(), .VAR48(), .VAR61(), .VAR27(), .VAR9(), .VAR39(clk), .VAR42(rst), .VAR88(VAR88), .VAR56(VAR56), .VAR70(VAR70), .VAR62(VAR62), .VAR79(VAR79), .VAR30(VAR30), .VAR23(VAR23), .VAR3(VAR3), .VAR71(VAR71), .VAR52(VAR52[VAR34-1:0]), .VAR55(VAR55[VAR34-1:0]), .VAR37(VAR37), .VAR57(VAR57), .VAR53(VAR53), .VAR78(VAR78), .VAR75(VAR75), .VAR72(VAR72), .VAR2(VAR2), .VAR85(VAR85), .VAR7(VAR7), .VAR10(VAR10), .VAR35(VAR35), .VAR86(VAR86), .VAR6(VAR6[VAR5-1:0]), .VAR80(VAR80[VAR5-1:0]), .VAR68(VAR68), .VAR63(VAR63), .VAR64(VAR64), .VAR59(VAR59), .VAR51(VAR51), .VAR14(VAR14), .VAR17(VAR17), .VAR24(VAR24), .VAR36(VAR36), .VAR82(VAR82) ); endmodule
unlicense
UdayanSinha/Code_Blocks
Nios-2/Nios/practica4/mi_nios/synthesis/submodules/mi_nios_cpu_jtag_debug_module_tck.v
8,246
module MODULE1 ( VAR26, VAR39, VAR36, VAR29, VAR18, VAR13, VAR1, VAR19, VAR14, VAR8, VAR28, VAR10, VAR30, VAR40, VAR21, VAR31, VAR35, VAR11, VAR20, VAR37, VAR2, VAR5, VAR7, VAR24, VAR17, VAR23, VAR12, VAR15, VAR22, VAR38, VAR9 ) ; output [ 1: 0] VAR12; output VAR15; output [ 37: 0] VAR22; output VAR38; output VAR9; input [ 31: 0] VAR26; input [ 31: 0] VAR39; input VAR36; input VAR29; input VAR18; input VAR13; input VAR1; input [ 1: 0] VAR19; input VAR14; input VAR8; input VAR28; input VAR10; input VAR30; input VAR40; input VAR21; input VAR31; input [ 35: 0] VAR35; input VAR11; input [ 6: 0] VAR20; input VAR37; input VAR2; input VAR5; input VAR7; input VAR24; input VAR17; input VAR23; reg [ 2: 0] VAR32 ; wire VAR33; reg [ 1: 0] VAR12; wire VAR15; wire VAR27; reg [ 37: 0] VAR22 ; wire VAR38; wire VAR9; wire VAR3; wire VAR34; always @(posedge VAR40) begin if (VAR24) case (VAR19) 2'b00: begin VAR22[35] <= VAR33; VAR22[34] <= VAR8; VAR22[33] <= VAR30; VAR22[32 : 1] <= VAR26; VAR22[0] <= VAR27; end 2'b01: begin VAR22[35 : 0] <= VAR35; VAR22[37] <= VAR11; VAR22[36] <= VAR31; end 2'b10: begin VAR22[37] <= VAR7; VAR22[36] <= VAR13; VAR22[35] <= VAR18; VAR22[34] <= VAR29; VAR22[33] <= VAR36; VAR22[32 : 1] <= VAR39; VAR22[0] <= VAR5; end 2'b11: begin VAR22[15 : 2] <= VAR20; VAR22[1] <= VAR2; VAR22[0] <= VAR37; end endcase if (VAR17) case (VAR32) 3'b000: begin VAR22 <= {VAR21, VAR22[37 : 2], VAR21}; end 3'b001: begin VAR22 <= {VAR21, VAR22[37 : 9], VAR21, VAR22[7 : 1]}; end 3'b010: begin VAR22 <= {VAR21, VAR22[37 : 17], VAR21, VAR22[15 : 1]}; end 3'b011: begin VAR22 <= {VAR21, VAR22[37 : 33], VAR21, VAR22[31 : 1]}; end 3'b100: begin VAR22 <= {VAR21, VAR22[37], VAR21, VAR22[35 : 1]}; end 3'b101: begin VAR22 <= {VAR21, VAR22[37 : 1]}; end default: begin VAR22 <= {VAR21, VAR22[37 : 2], VAR21}; end endcase if (VAR23) case (VAR19) 2'b00: begin VAR32 <= 3'b100; end 2'b01: begin VAR32 <= 3'b101; end 2'b10: begin VAR32 <= 3'b101; end 2'b11: begin VAR32 <= 3'b010; end endcase end assign VAR9 = VAR22[0]; assign VAR38 = VAR14; assign VAR3 = VAR15; VAR25 VAR6 ( .clk (VAR40), .din (VAR1), .dout (VAR33), .VAR10 (VAR3) ); assign VAR34 = VAR15; VAR25 VAR4 ( .clk (VAR40), .din (VAR28), .dout (VAR27), .VAR10 (VAR34) ); always @(posedge VAR40 or negedge VAR15) begin if (VAR15 == 0) VAR12 <= 2'b0; end else VAR12 <= {VAR33, VAR27}; end assign VAR15 = VAR10; endmodule
mit
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_rx/MAC_rx_add_chk.v
6,743
module MODULE1 ( VAR20 , VAR3 , VAR6 , VAR15 , VAR22 , VAR16 , VAR10 , VAR28 , VAR11 , VAR19 ); input VAR20 ; input VAR3 ; input VAR6 ; input [7:0] VAR15 ; input VAR22 ; output VAR16 ; input VAR10 ; input [7:0] VAR28 ; input [2:0] VAR11 ; input VAR19 ; reg [2:0] VAR14; wire[2:0] VAR5; wire[7:0] din; wire[7:0] dout; wire VAR8; reg VAR16; reg VAR9; reg VAR4; reg [7:0] VAR24 ; reg VAR26 ; always @ (posedge VAR3 or posedge VAR20) if (VAR20) begin VAR24 <=0; VAR26 <=0; end else begin VAR24 <=VAR15; VAR26 <=VAR22; end always @ (posedge VAR3 or posedge VAR20) if (VAR20) begin VAR9 <=0; VAR4 <=0; end else begin VAR9 <=VAR19; VAR4 <=VAR9; end assign VAR8 =VAR9&!VAR4; assign VAR5 =VAR11; assign din =VAR28; always @ (posedge VAR3 or posedge VAR20) if (VAR20) VAR14 <=0; else if (VAR6) VAR14 <=0; else if (VAR22) VAR14 <=VAR14 + 1; always @ (posedge VAR3 or posedge VAR20) if (VAR20) VAR16 <=0; else if (VAR6) VAR16 <=0; else if (VAR10&&VAR26&&dout!=VAR24) VAR16 <=1; VAR25 #(8,3,"VAR13","VAR2") VAR7( .VAR18 (din ), .VAR27 (VAR8 ), .VAR21 (VAR5 ), .VAR1 (VAR14 ), .VAR17 (VAR3 ), .VAR12 (VAR3 ), .VAR23 (dout )); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/ebufn/sky130_fd_sc_ms__ebufn.behavioral.pp.v
1,870
module MODULE1 ( VAR12 , VAR5 , VAR3, VAR6, VAR1, VAR4 , VAR2 ); output VAR12 ; input VAR5 ; input VAR3; input VAR6; input VAR1; input VAR4 ; input VAR2 ; wire VAR9 ; wire VAR8; VAR7 VAR10 (VAR9 , VAR5, VAR6, VAR1 ); VAR7 VAR11 (VAR8, VAR3, VAR6, VAR1 ); bufif0 VAR13 (VAR12 , VAR9, VAR8); endmodule
apache-2.0
CeesWolfs/ceespu
src/gpu/primitives/dvi_encoder.v
5,448
module MODULE1 ( input VAR60, input VAR25, input VAR23, input VAR19, input rst, input [7:0] VAR8, input [7:0] VAR50, input [7:0] VAR65, input VAR31, input VAR4, input VAR51, output reg [3:0] VAR30, output reg [3:0] VAR71 ); reg VAR53, VAR2 = 1'h0; wire [1-1:0] VAR27; reg [5-1:0] VAR54; VAR67 VAR42 ( .VAR9(VAR23), .VAR19(VAR19), .VAR41(VAR25), .rst(rst), .VAR11(VAR54), .VAR21(VAR27) ); wire [1-1:0] VAR49; wire [1-1:0] VAR7; VAR14 VAR34 ( .VAR47(VAR27), .VAR64(VAR49), .VAR69(VAR7) ); wire [10-1:0] VAR28; reg [8-1:0] VAR40; reg [1-1:0] VAR3; reg [1-1:0] VAR37; reg [1-1:0] VAR73; VAR1 VAR62 ( .clk(VAR60), .rst(rst), .VAR6(VAR40), .VAR74(VAR3), .VAR13(VAR37), .VAR51(VAR73), .VAR45(VAR28) ); wire [10-1:0] VAR63; reg [8-1:0] VAR17; reg [1-1:0] VAR75; reg [1-1:0] VAR46; reg [1-1:0] VAR39; VAR1 VAR61 ( .clk(VAR60), .rst(rst), .VAR6(VAR17), .VAR74(VAR75), .VAR13(VAR46), .VAR51(VAR39), .VAR45(VAR63) ); wire [10-1:0] VAR20; reg [8-1:0] VAR48; reg [1-1:0] VAR5; reg [1-1:0] VAR22; reg [1-1:0] VAR12; VAR1 VAR68 ( .clk(VAR60), .rst(rst), .VAR6(VAR48), .VAR74(VAR5), .VAR13(VAR22), .VAR51(VAR12), .VAR45(VAR20) ); wire [15-1:0] VAR10; reg [30-1:0] VAR32; VAR66 VAR16 ( .rst(rst), .clk(VAR60), .VAR59(VAR25), .VAR6(VAR32), .VAR45(VAR10) ); wire [1-1:0] VAR44; reg [5-1:0] VAR18; VAR67 VAR52 ( .VAR9(VAR23), .VAR19(VAR19), .VAR41(VAR25), .rst(rst), .VAR11(VAR18), .VAR21(VAR44) ); wire [1-1:0] VAR43; reg [5-1:0] VAR29; VAR67 VAR36 ( .VAR9(VAR23), .VAR19(VAR19), .VAR41(VAR25), .rst(rst), .VAR11(VAR29), .VAR21(VAR43) ); wire [1-1:0] VAR58; reg [5-1:0] VAR15; VAR67 VAR72 ( .VAR9(VAR23), .VAR19(VAR19), .VAR41(VAR25), .rst(rst), .VAR11(VAR15), .VAR21(VAR58) ); wire [1-1:0] VAR26; wire [1-1:0] VAR56; VAR14 VAR38 ( .VAR47(VAR44), .VAR64(VAR26), .VAR69(VAR56) ); wire [1-1:0] VAR57; wire [1-1:0] VAR35; VAR14 VAR70 ( .VAR47(VAR43), .VAR64(VAR57), .VAR69(VAR35) ); wire [1-1:0] VAR33; wire [1-1:0] VAR24; VAR14 VAR55 ( .VAR47(VAR58), .VAR64(VAR33), .VAR69(VAR24) ); always @* begin VAR53 = VAR2; VAR53 = ~VAR2; VAR54 = {3'h5{~VAR2}}; VAR30[3+0-:1] = VAR49; VAR71[3+0-:1] = VAR7; VAR48 = VAR8; VAR17 = VAR50; VAR40 = VAR65; VAR5 = VAR31; VAR22 = VAR4; VAR12 = VAR51; VAR75 = VAR31; VAR46 = VAR4; VAR39 = VAR51; VAR3 = VAR31; VAR37 = VAR4; VAR73 = VAR51; VAR32 = {VAR20[5+4-:5], VAR63[5+4-:5], VAR28[5+4-:5], VAR20[0+4-:5], VAR63[0+4-:5], VAR28[0+4-:5]}; VAR18 = VAR10[10+4-:5]; VAR29 = VAR10[5+4-:5]; VAR15 = VAR10[0+4-:5]; VAR30[0+0-:1] = VAR33; VAR71[0+0-:1] = VAR24; VAR30[1+0-:1] = VAR57; VAR71[1+0-:1] = VAR35; VAR30[2+0-:1] = VAR26; VAR71[2+0-:1] = VAR56; end always @(posedge VAR25) begin if (rst == 1'b1) begin VAR2 <= 1'h0; end else begin VAR2 <= VAR53; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32a/sky130_fd_sc_lp__o32a.symbol.v
1,383
module MODULE1 ( input VAR10, input VAR3, input VAR6, input VAR2, input VAR1, output VAR8 ); supply1 VAR7; supply0 VAR5; supply1 VAR4 ; supply0 VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o32ai/sky130_fd_sc_hdll__o32ai.functional.v
1,555
module MODULE1 ( VAR13 , VAR10, VAR5, VAR8, VAR7, VAR9 ); output VAR13 ; input VAR10; input VAR5; input VAR8; input VAR7; input VAR9; wire VAR3 ; wire VAR2 ; wire VAR1; nor VAR4 (VAR3 , VAR8, VAR10, VAR5 ); nor VAR12 (VAR2 , VAR7, VAR9 ); or VAR11 (VAR1, VAR2, VAR3); buf VAR6 (VAR13 , VAR1 ); endmodule
apache-2.0
chipsalliance/yosys-f4pga-plugins
ql-qlf-plugin/qlf_k6n10f/brams_final_map.v
15,800
module MODULE2 (VAR122, VAR64, VAR93, VAR98, VAR103, VAR76, VAR42, VAR105, VAR29, VAR57, VAR90, VAR109, VAR18, VAR100, VAR65, VAR45, VAR75, VAR62, VAR127, VAR126, VAR95, VAR111, VAR86, VAR31, VAR5, VAR26, VAR102, VAR129); parameter VAR17 = 11; parameter VAR132 = 18; parameter VAR78 = 4; parameter VAR123 = 4; parameter VAR8 = 4; parameter VAR83 = 4; parameter VAR107 = 1; parameter VAR15 = 1; parameter [18431:0] VAR116 = 18432'VAR67; parameter [18431:0] VAR72 = 18432'VAR67; input VAR57; input VAR90; input VAR109; input VAR18; input [VAR17-1:0] VAR122; output [VAR132-1:0] VAR64; input VAR93; input [VAR17-1:0] VAR98; input [VAR132-1:0] VAR103; input [VAR78-1:0] VAR76; input [VAR17-1:0] VAR42; output [VAR132-1:0] VAR105; input VAR29; input [VAR17-1:0] VAR100; input [VAR132-1:0] VAR65; input [VAR123-1:0] VAR45; input [VAR17-1:0] VAR75; output [VAR132-1:0] VAR62; input VAR127; input [VAR17-1:0] VAR126; input [VAR132-1:0] VAR95; input [VAR8-1:0] VAR111; input [VAR17-1:0] VAR86; output [VAR132-1:0] VAR31; input VAR5; input [VAR17-1:0] VAR26; input [VAR132-1:0] VAR102; input [VAR83-1:0] VAR129; wire VAR115; wire VAR104; wire [13:VAR17] VAR16 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR49 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR46 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR69 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR108 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR71 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR68 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR24 = {14-VAR17{1'b0}}; wire [13:0] VAR50 = {VAR16, VAR122}; wire [13:0] VAR114 = {VAR49, VAR98}; wire [13:0] VAR58 = {VAR46, VAR42}; wire [13:0] VAR101 = {VAR69, VAR100}; wire [13:0] VAR36 = {VAR108, VAR75}; wire [13:0] VAR56 = {VAR71, VAR126}; wire [13:0] VAR51 = {VAR68, VAR86}; wire [13:0] VAR33 = {VAR24, VAR26}; wire [17:VAR132] VAR81; wire [17:VAR132] VAR80; wire [17:VAR132] VAR37; wire [17:VAR132] VAR61; wire [17:VAR132] VAR121; wire [17:VAR132] VAR130; wire [17:VAR132] VAR59; wire [17:VAR132] VAR73; wire [13:0] VAR12; wire [13:0] VAR128; wire [13:0] VAR88; wire [13:0] VAR97; case (VAR132) 1: begin assign VAR12 = VAR93 ? VAR50 : (VAR76 ? VAR114 : 14'd0); assign VAR88 = VAR29 ? VAR58 : (VAR45 ? VAR101 : 14'd0); assign VAR128 = VAR127 ? VAR36 : (VAR111 ? VAR56 : 14'd0); assign VAR97 = VAR5 ? VAR51 : (VAR129 ? VAR33 : 14'd0); 11'd10, 11'd10, 4'd0, VAR63, VAR63, VAR63, VAR63, 1'd0, 12'd10, 12'd10, 4'd0, VAR63, VAR63, VAR63, VAR63, 1'd0 }; end 2: begin assign VAR12 = VAR93 ? (VAR50 << 1) : (VAR76 ? (VAR114 << 1) : 14'd0); assign VAR88 = VAR29 ? (VAR58 << 1) : (VAR45 ? (VAR101 << 1) : 14'd0); assign VAR128 = VAR127 ? (VAR36 << 1) : (VAR111 ? (VAR56 << 1) : 14'd0); assign VAR97 = VAR5 ? (VAR51 << 1) : (VAR129 ? (VAR33 << 1) : 14'd0); 11'd10, 11'd10, 4'd0, VAR6, VAR6, VAR6, VAR6, 1'd0, 12'd10, 12'd10, 4'd0, VAR6, VAR6, VAR6, VAR6, 1'd0 }; end 4: begin assign VAR12 = VAR93 ? (VAR50 << 2) : (VAR76 ? (VAR114 << 2) : 14'd0); assign VAR88 = VAR29 ? (VAR58 << 2) : (VAR45 ? (VAR101 << 2) : 14'd0); assign VAR128 = VAR127 ? (VAR36 << 2) : (VAR111 ? (VAR56 << 2) : 14'd0); assign VAR97 = VAR5 ? (VAR51 << 2) : (VAR129 ? (VAR33 << 2) : 14'd0); 11'd10, 11'd10, 4'd0, VAR9, VAR9, VAR9, VAR9, 1'd0, 12'd10, 12'd10, 4'd0, VAR9, VAR9, VAR9, VAR9, 1'd0 }; end 8, 9: begin assign VAR12 = VAR93 ? (VAR50 << 3) : (VAR76 ? (VAR114 << 3) : 14'd0); assign VAR88 = VAR29 ? (VAR58 << 3) : (VAR45 ? (VAR101 << 3) : 14'd0); assign VAR128 = VAR127 ? (VAR36 << 3) : (VAR111 ? (VAR56 << 3) : 14'd0); assign VAR97 = VAR5 ? (VAR51 << 3) : (VAR129 ? (VAR33 << 3) : 14'd0); 11'd10, 11'd10, 4'd0, VAR11, VAR11, VAR11, VAR11, 1'd0, 12'd10, 12'd10, 4'd0, VAR11, VAR11, VAR11, VAR11, 1'd0 }; end 16, 18: begin assign VAR12 = VAR93 ? (VAR50 << 4) : (VAR76 ? (VAR114 << 4) : 14'd0); assign VAR88 = VAR29 ? (VAR58 << 4) : (VAR45 ? (VAR101 << 4) : 14'd0); assign VAR128 = VAR127 ? (VAR36 << 4) : (VAR111 ? (VAR56 << 4) : 14'd0); assign VAR97 = VAR5 ? (VAR51 << 4) : (VAR129 ? (VAR33 << 4) : 14'd0); 11'd10, 11'd10, 4'd0, VAR91, VAR91, VAR91, VAR91, 1'd0, 12'd10, 12'd10, 4'd0, VAR91, VAR91, VAR91, VAR91, 1'd0 }; end default: begin assign VAR12 = VAR93 ? VAR50 : (VAR76 ? VAR114 : 14'd0); assign VAR88 = VAR29 ? VAR58 : (VAR45 ? VAR101 : 14'd0); assign VAR128 = VAR127 ? VAR36 : (VAR111 ? VAR56 : 14'd0); assign VAR97 = VAR5 ? VAR51 : (VAR129 ? VAR33 : 14'd0); 11'd10, 11'd10, 4'd0, VAR60, VAR60, VAR60, VAR60, 1'd0, 12'd10, 12'd10, 4'd0, VAR60, VAR60, VAR60, VAR60, 1'd0 }; end endcase assign VAR115 = 1'b0; assign VAR104 = 1'b0; wire [17:0] VAR70; wire [17:0] VAR119; wire [17:0] VAR35; wire [17:0] VAR106; wire [17:0] VAR25; wire [17:0] VAR1; wire [17:0] VAR112; wire [17:0] VAR21; case (VAR132) 9: begin assign VAR64 = {VAR70[16], VAR70[7:0]}; assign VAR105 = {VAR119[16], VAR119[7:0]}; assign VAR62 = {VAR35[16], VAR35[7:0]}; assign VAR31 = {VAR106[16], VAR106[7:0]}; assign VAR25 = {VAR121[17], VAR103[8], VAR121[16:9], VAR103[7:0]}; assign VAR1 = {VAR130[17], VAR65[8], VAR130[16:9], VAR65[7:0]}; assign VAR112 = {VAR59[17], VAR95[8], VAR59[16:9], VAR95[7:0]}; assign VAR21 = {VAR73[17], VAR102[8], VAR73[16:9], VAR102[7:0]}; end default: begin assign VAR64 = VAR70[VAR132-1:0]; assign VAR105 = VAR119[VAR132-1:0]; assign VAR62 = VAR35[VAR132-1:0]; assign VAR31 = VAR106[VAR132-1:0]; assign VAR25 = {VAR121, VAR103}; assign VAR1 = {VAR130, VAR65}; assign VAR112 = {VAR59, VAR95}; assign VAR21 = {VAR73, VAR102}; end endcase wire VAR55 = VAR57; wire VAR113 = VAR109; wire VAR2 = VAR90; wire VAR27 = VAR18; wire VAR99 = VAR93; wire VAR110 = VAR76[0]; wire [VAR78-1:0] VAR41 = {VAR76[1],VAR76[0]}; wire VAR117 = VAR127; wire VAR82 = VAR111[0]; wire [VAR8-1:0] VAR54 = {VAR111[1],VAR111[0]}; wire VAR38 = VAR29; wire VAR124 = VAR45[0]; wire [VAR123-1:0] VAR84 = {VAR45[1],VAR45[0]}; wire VAR131 = VAR5; wire VAR44 = VAR129[0]; wire [VAR83-1:0] VAR23 = {VAR129[1],VAR129[0]}; VAR39 VAR118 ( .VAR79(VAR25), .VAR87(VAR70), .VAR120(VAR12), .VAR30(VAR55), .VAR92(VAR99), .VAR77(VAR110), .VAR14(VAR41), .VAR85(VAR112), .VAR32(VAR35), .VAR47(VAR128), .VAR4(VAR113), .VAR66(VAR117), .VAR96(VAR82), .VAR89(VAR54), .VAR40(VAR1), .VAR7(VAR119), .VAR19(VAR88), .VAR10(VAR2), .VAR52(VAR38), .VAR43(VAR124), .VAR13(VAR84), .VAR74(VAR21), .VAR34(VAR106), .VAR3(VAR97), .VAR94(VAR27), .VAR22(VAR131), .VAR48(VAR44), .VAR125(VAR23), .VAR28(VAR115), .VAR53(VAR104) ); endmodule module MODULE1 (VAR122, VAR64, VAR93, VAR98, VAR103, VAR76, VAR42, VAR105, VAR29, VAR57, VAR90, VAR100, VAR65, VAR45); parameter VAR17 = 11; parameter VAR132 = 18; parameter VAR78 = 4; parameter VAR123 = 4; parameter VAR107 = 1; parameter VAR15 = 1; parameter [18431:0] VAR116 = 18432'VAR67; parameter [18431:0] VAR72 = 18432'VAR67; input VAR57; input VAR90; input [VAR17-1:0] VAR122; output [VAR132-1:0] VAR64; input VAR93; input [VAR17-1:0] VAR98; input [VAR132-1:0] VAR103; input [VAR78-1:0] VAR76; input [VAR17-1:0] VAR42; output [VAR132-1:0] VAR105; input VAR29; input [VAR17-1:0] VAR100; input [VAR132-1:0] VAR65; input [VAR123-1:0] VAR45; wire VAR115; wire VAR104; wire [13:VAR17] VAR16 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR49 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR46 = {14-VAR17{1'b0}}; wire [13:VAR17] VAR69 = {14-VAR17{1'b0}}; wire [13:0] VAR50 = {VAR16, VAR122}; wire [13:0] VAR114 = {VAR49, VAR98}; wire [13:0] VAR58 = {VAR46, VAR42}; wire [13:0] VAR101 = {VAR69, VAR100}; wire [17:VAR132] VAR81; wire [17:VAR132] VAR80; wire [17:VAR132] VAR121; wire [17:VAR132] VAR130; wire [13:0] VAR12; wire [13:0] VAR128; wire [13:0] VAR88; wire [13:0] VAR97; case (VAR132) 1: begin assign VAR12 = VAR50; assign VAR88 = VAR114; assign VAR128 = VAR58; assign VAR97 = VAR101; 11'd10, 11'd10, 4'd0, VAR63, VAR63, VAR63, VAR63, 1'd0, 12'd10, 12'd10, 4'd0, VAR63, VAR63, VAR63, VAR63, 1'd0 }; end 2: begin assign VAR12 = VAR50 << 1; assign VAR88 = VAR114 << 1; assign VAR128 = VAR58 << 1; assign VAR97 = VAR101 << 1; 11'd10, 11'd10, 4'd0, VAR6, VAR6, VAR6, VAR6, 1'd0, 12'd10, 12'd10, 4'd0, VAR6, VAR6, VAR6, VAR6, 1'd0 }; end 4: begin assign VAR12 = VAR50 << 2; assign VAR88 = VAR114 << 2; assign VAR128 = VAR58 << 2; assign VAR97 = VAR101 << 2; 11'd10, 11'd10, 4'd0, VAR9, VAR9, VAR9, VAR9, 1'd0, 12'd10, 12'd10, 4'd0, VAR9, VAR9, VAR9, VAR9, 1'd0 }; end 8, 9: begin assign VAR12 = VAR50 << 3; assign VAR88 = VAR114 << 3; assign VAR128 = VAR58 << 3; assign VAR97 = VAR101 << 3; 11'd10, 11'd10, 4'd0, VAR11, VAR11, VAR11, VAR11, 1'd0, 12'd10, 12'd10, 4'd0, VAR11, VAR11, VAR11, VAR11, 1'd0 }; end 16, 18: begin assign VAR12 = VAR50 << 4; assign VAR88 = VAR114 << 4; assign VAR128 = VAR58 << 4; assign VAR97 = VAR101 << 4; 11'd10, 11'd10, 4'd0, VAR91, VAR91, VAR91, VAR91, 1'd0, 12'd10, 12'd10, 4'd0, VAR91, VAR91, VAR91, VAR91, 1'd0 }; end default: begin assign VAR12 = VAR50; assign VAR88 = VAR114; assign VAR128 = VAR101; assign VAR97 = VAR58; 11'd10, 11'd10, 4'd0, VAR60, VAR60, VAR60, VAR60, 1'd0, 12'd10, 12'd10, 4'd0, VAR60, VAR60, VAR60, VAR60, 1'd0 }; end endcase assign VAR115 = 1'b0; assign VAR104 = 1'b0; wire [17:0] VAR70; wire [17:0] VAR119; wire [17:0] VAR35; wire [17:0] VAR106; wire [17:0] VAR25; wire [17:0] VAR1; wire [17:0] VAR112; wire [17:0] VAR21; case (VAR132) 9: begin assign VAR64 = {VAR70[16], VAR70[7:0]}; assign VAR105 = {VAR35[16], VAR35[7:0]}; assign VAR25 = {18{1'b0}}; assign VAR1 = {VAR121[17], VAR103[8], VAR121[16:9], VAR103[7:0]}; assign VAR112 = {18{1'b0}}; assign VAR21 = {VAR130[17], VAR65[8], VAR130[16:9], VAR65[7:0]}; end default: begin assign VAR64 = VAR70[VAR132-1:0]; assign VAR105 = VAR35[VAR132-1:0]; assign VAR25 = {18{1'b1}}; assign VAR1 = {VAR121, VAR103}; assign VAR112 = {18{1'b1}}; assign VAR21 = {VAR130, VAR65}; end endcase wire VAR55 = VAR57; wire VAR113 = VAR90; wire VAR2 = VAR57; wire VAR27 = VAR90; wire VAR99 = VAR93; wire VAR110 = 1'b0; wire [VAR78-1:0] VAR41 = {VAR110,VAR110}; wire VAR117 = VAR29; wire VAR82 = 1'b0; wire [VAR123-1:0] VAR54 = {VAR82,VAR82}; wire VAR38 = 1'b0; wire VAR124 = VAR76[0]; wire [VAR78-1:0] VAR84 = {VAR76[1],VAR76[0]}; wire VAR131 = 1'b0; wire VAR44 = VAR45[0]; wire [VAR123-1:0] VAR23 = {VAR45[1],VAR45[0]}; VAR39 VAR118 ( .VAR79(VAR25), .VAR87(VAR70), .VAR120(VAR12), .VAR30(VAR55), .VAR92(VAR99), .VAR77(VAR110), .VAR14(VAR41), .VAR85(VAR112), .VAR32(VAR35), .VAR47(VAR128), .VAR4(VAR113), .VAR66(VAR117), .VAR96(VAR82), .VAR89(VAR54), .VAR40(VAR1), .VAR7(VAR119), .VAR19(VAR88), .VAR10(VAR2), .VAR52(VAR38), .VAR43(VAR124), .VAR13(VAR84), .VAR74(VAR21), .VAR34(VAR106), .VAR3(VAR97), .VAR94(VAR27), .VAR22(VAR131), .VAR48(VAR44), .VAR125(VAR23), .VAR28(VAR115), .VAR53(VAR104) ); endmodule
apache-2.0
petrmikheev/miksys
verilog/STARTUP.v
6,455
module MODULE1 ( address, VAR51, VAR47); input [8:0] address; input VAR51; output [31:0] VAR47; tri1 VAR51; wire [31:0] VAR27; wire [31:0] VAR47 = VAR27[31:0]; VAR30 VAR18 ( .VAR21 (address), .VAR46 (VAR51), .VAR29 (VAR27), .VAR6 (1'b0), .VAR3 (1'b0), .VAR28 (1'b1), .VAR12 (1'b0), .VAR24 (1'b0), .VAR45 (1'b1), .VAR5 (1'b1), .VAR36 (1'b1), .VAR2 (1'b1), .VAR48 (1'b1), .VAR9 (1'b1), .VAR10 (1'b1), .VAR25 ({32{1'b1}}), .VAR40 (1'b1), .VAR32 (), .VAR49 (), .VAR52 (1'b1), .VAR44 (1'b1), .VAR14 (1'b0), .VAR15 (1'b0)); VAR18.VAR8 = "VAR7", VAR18.VAR34 = "VAR20", VAR18.VAR50 = "VAR20", VAR18.VAR26 = "./VAR43/VAR43.VAR16" VAR18.VAR26 = "./VAR43/VAR43.VAR17" , VAR18.VAR4 = "VAR42 VAR13", VAR18.VAR11 = "VAR1=VAR22", VAR18.VAR19 = "VAR30", VAR18.VAR35 = 512, VAR18.VAR33 = "VAR38", VAR18.VAR39 = "VAR7", VAR18.VAR37 = "VAR53", VAR18.VAR31 = 9, VAR18.VAR41 = 32, VAR18.VAR23 = 1; endmodule
gpl-3.0
ad510/ee201l_cpu
Cpu.v
3,736
module MODULE1 (clk, reset, VAR12, ack, VAR21, VAR26, VAR15, VAR11, VAR3, VAR18, VAR14, VAR9, VAR16, VAR17); localparam VAR25 = 32, VAR19 = 8, VAR2 = 8; input clk, reset, VAR12, ack; input [(VAR25 - 1) : 0] VAR21; input [3:0] VAR26; input [7:0] VAR15; output [(VAR19 - 1) : 0] VAR11; output VAR3; output reg [7:0] VAR18; output reg [3:0] VAR14; output reg [3:0] VAR9; output reg [3:0] VAR16; output reg [3:0] VAR17; wire [(VAR2 - 1) : 0] VAR8; wire VAR24; wire VAR7; wire [(VAR19 - 1) : 0] addr; wire [(VAR2 - 1) : 0] VAR5; wire [(VAR2 - 1) : 0] VAR1; reg [(VAR19 - 1) : 0] VAR13; assign VAR8 = ((VAR13 == 8'he0) ? VAR15[7:0] : (VAR13 == 8'he1) ? VAR26[0] : (VAR13 == 8'he2) ? VAR26[1] : (VAR13 == 8'he3) ? VAR26[2] : (VAR13 == 8'he4) ? VAR26[3] : VAR1); VAR6 VAR20(.clk(clk), .reset(reset), .VAR12(VAR12), .ack(ack), .VAR21(VAR21), .VAR8(VAR8), .VAR24(VAR24), .VAR7(VAR7), .addr(addr), .VAR5(VAR5), .VAR11(VAR11), .VAR3(VAR3)); VAR23 VAR22(.VAR4(clk), .VAR24(VAR24), .VAR7(VAR7), .addr(addr), .VAR5(VAR5), .VAR10(VAR1)); always @(posedge clk, posedge reset) begin if (reset) begin VAR18 <= 8'b0; VAR14 <= 4'h0; VAR9 <= 4'h0; VAR16 <= 4'h0; VAR17 <= 4'h0; end else begin VAR13 <= addr; if (VAR7) begin case (addr) 8'hf0: VAR18[0] <= VAR5[0]; 8'hf1: VAR18[1] <= VAR5[0]; 8'hf2: VAR18[2] <= VAR5[0]; 8'hf3: VAR18[3] <= VAR5[0]; 8'hf4: VAR18[4] <= VAR5[0]; 8'hf5: VAR18[5] <= VAR5[0]; 8'hf6: VAR18[6] <= VAR5[0]; 8'hf7: VAR18[7] <= VAR5[0]; 8'hfa: VAR14 <= VAR5[3:0]; 8'hfb: VAR9 <= VAR5[3:0]; 8'hfc: VAR16 <= VAR5[3:0]; 8'hfd: VAR17 <= VAR5[3:0]; endcase end end end endmodule
mit
shaform/ArkanoidOnVerilog
draw_block.v
2,037
module MODULE1( input VAR4, input [10:0] VAR10, input [11:0] VAR16, input [2:0] VAR14, output [4:0] VAR11, VAR9, output reg [3:0] out ); parameter VAR8 = 160; parameter VAR2 = 0; parameter VAR7 = 320; parameter VAR1 = 480; wire VAR12; assign VAR12 = VAR16 >= VAR8 && VAR16 < VAR8+VAR7 && VAR10 >= VAR2 && VAR10 < VAR2+VAR1; assign VAR9 = (VAR16-VAR8)/32; assign VAR11 = (VAR10-VAR2)/16; reg VAR3; always @(posedge VAR4) VAR3 <= ~VAR3; always @(*) begin if (VAR12) begin if (VAR14 == 3'b000) out = 4'b0000; end else if(~VAR14[2]) begin : VAR6 integer VAR13, VAR5; VAR13 = (VAR16-VAR8)%32; VAR5 = (VAR10-VAR2)%16; if (VAR13>8 && VAR13<23) begin if (VAR5==0 || VAR5==15) out = 4'b1000; end else case (VAR14[1:0]) 2'b00: out = 4'b0000; 2'b01: out = 4'b1100; 2'b10: out = 4'b1011; 2'b11: out = 4'b1101; endcase end else if (VAR13==8 || VAR13==23) out = 4'b1000; end else case(VAR14[1:0]) 2'b11: if(VAR13==0 || VAR13==31 || VAR5==0 || VAR5==15) out = 4'b1000; end else out = 4'b1110; default:out = 4'b0000; endcase end else if (VAR14[2]) begin : VAR15 integer VAR13,VAR5; VAR13 = (VAR16-VAR8)%32; VAR5 = (VAR10-VAR2)%16; if (VAR13==0 || VAR13==31 || VAR5==0 || VAR5==15) out = 4'b1000; end else begin case (VAR14[1:0]) 2'b00: out = 4'b1001; 2'b01: out = 4'b1010; 2'b10: out = 4'b1110; 2'b11: out = 4'b1111 ; endcase end end end else out = 4'b0000; end endmodule
gpl-3.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_096bits.v
1,917
module MODULE2 ( clk, VAR20, VAR31, VAR18, VAR12, VAR2, VAR24, VAR23, VAR13, sum, ); input clk; input [VAR17+0-1:0] VAR20, VAR31, VAR18, VAR12, VAR2, VAR24, VAR23, VAR13; output [VAR17 :0] sum; reg [VAR17 :0] sum; wire [VAR17+3-1:0] VAR19; wire [VAR17+2-1:0] VAR10, VAR4; wire [VAR17+1-1:0] VAR3, VAR7, VAR11, VAR29; reg [VAR17+0-1:0] VAR8, VAR9, VAR33, VAR15, VAR1, VAR30, VAR5, VAR14; MODULE1 VAR28(VAR10, VAR4, VAR19 ); MODULE1 VAR34(VAR3, VAR7, VAR10 ); MODULE1 VAR27(VAR11, VAR29, VAR4 ); MODULE1 VAR25(VAR8, VAR9, VAR3); MODULE1 VAR22(VAR33, VAR15, VAR7); MODULE1 VAR26(VAR1, VAR30, VAR11); MODULE1 VAR16(VAR5, VAR14, VAR29); always @(posedge clk) begin VAR8 <= VAR20; VAR9 <= VAR31; VAR33 <= VAR18; VAR15 <= VAR12; VAR1 <= VAR2; VAR30 <= VAR24; VAR5 <= VAR23; VAR14 <= VAR13; sum <= VAR19; sum <= VAR10; end endmodule module MODULE1(VAR6,VAR32,sum); parameter VAR21 = 0; input [VAR17+VAR21-1:0] VAR6; input [VAR17+VAR21-1:0] VAR32; output [VAR17+VAR21:0] sum; assign sum = VAR6 + VAR32; endmodule
mit
google/skywater-pdk-libs-sky130_fd_io
cells/top_sio/sky130_fd_io__top_sio.blackbox.v
2,529
module MODULE1 ( VAR1 , VAR15, VAR14 , VAR24 , VAR27 , VAR8 , VAR9 , VAR16 , VAR5 , VAR22 , VAR23 , VAR2 , VAR3 , VAR13 , VAR10 , VAR7 , VAR6, VAR25 , VAR18 , VAR4 , VAR28 ); output VAR1 ; inout VAR15; inout VAR14 ; input [2:0] VAR24 ; input VAR27 ; input VAR8 ; output VAR9 ; input VAR16 ; input VAR5 ; input VAR22 ; input VAR23 ; input VAR2 ; input VAR3 ; input VAR13 ; input VAR10 ; input VAR7 ; inout VAR6; output VAR25 ; input VAR18 ; input VAR4 ; inout VAR28; supply0 VAR12 ; supply0 VAR20; supply0 VAR21 ; supply1 VAR19 ; supply1 VAR26 ; supply1 VAR11 ; supply1 VAR17; endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/hpdmc_ddr32/rtl/spartan6/hpdmc_ddrio.v
2,288
module MODULE1( input VAR21, input VAR6, input VAR40, input VAR44, input VAR47, input VAR29, input [7:0] VAR46, input [63:0] do, output [63:0] VAR19, output [3:0] VAR8, inout [31:0] VAR28, inout [3:0] VAR45, input VAR25, input VAR20, input VAR39 ); wire [31:0] VAR33; wire [31:0] VAR38; wire [31:0] VAR41; VAR42 VAR34( .VAR23(VAR33), .VAR37(VAR38), .VAR13(VAR41), .VAR11(VAR28) ); VAR12 VAR36( .VAR43(VAR33), .VAR27(VAR21), .VAR32(VAR6), .VAR26(1'b1), .VAR3({32{~VAR29}}), .VAR18({32{~VAR29}}), .VAR24(1'b0), .VAR17(1'b0) ); VAR12 VAR14( .VAR43(VAR38), .VAR27(VAR21), .VAR32(VAR6), .VAR26(1'b1), .VAR3(do[31:0]), .VAR18(do[63:32]), .VAR24(1'b0), .VAR17(1'b0) ); VAR9 VAR35( .VAR16(VAR19[31:0]), .VAR31(VAR19[63:32]), .VAR27(VAR21), .VAR32(VAR6), .VAR26(1'b1), .VAR7(VAR41), .VAR24(1'b0), .VAR17(1'b0) ); VAR2 VAR15( .VAR43(VAR8), .VAR27(VAR21), .VAR32(VAR6), .VAR26(1'b1), .VAR3(VAR46[7:4]), .VAR18(VAR46[3:0]), .VAR24(1'b0), .VAR17(1'b0) ); wire [3:0] VAR4; wire [3:0] VAR1; VAR22 VAR30( .VAR23(VAR4), .VAR37(VAR1), .VAR13(VAR45) ); VAR2 VAR5( .VAR43(VAR4), .VAR27(VAR40), .VAR32(VAR44), .VAR26(1'b1), .VAR3({4{~VAR29}}), .VAR18({4{~VAR29}}), .VAR24(1'b0), .VAR17(1'b0) ); VAR2 VAR10( .VAR43(VAR1), .VAR27(VAR40), .VAR32(VAR44), .VAR26(1'b1), .VAR3(4'hf), .VAR18(4'h0), .VAR24(1'b0), .VAR17(1'b0) ); endmodule
lgpl-3.0