repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/inputiso1n/sky130_fd_sc_hdll__inputiso1n.pp.blackbox.v
| 1,393 |
module MODULE1 (
VAR2 ,
VAR6 ,
VAR7,
VAR5 ,
VAR1 ,
VAR4 ,
VAR3
);
output VAR2 ;
input VAR6 ;
input VAR7;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR3 ;
endmodule
|
apache-2.0
|
ckdur/mriscv_vivado_arty
|
mriscv_vivado.srcs/sources_1/new/GPIO_interface_AXI.v
| 29,945 |
module MODULE1 #
(
parameter VAR100 = 100000, parameter VAR13 = 32, parameter VAR5 = 32, parameter VAR4 = 8, parameter VAR85 = 1, parameter VAR81 = 16, parameter VAR98 = 16, parameter VAR74 = 10 )
(
input VAR117,
input VAR97,
input VAR11,
output VAR80,
input [32-1:0] VAR106,
input [3-1:0] VAR118,
input VAR99,
output VAR71,
input [32-1:0] VAR33,
input [4-1:0] VAR119,
output reg VAR36,
input VAR42,
input VAR82,
output VAR76,
input [32-1:0] VAR92,
input [3-1:0] VAR73,
output reg VAR7,
input VAR24,
output reg [32-1:0] VAR23,
input [VAR13-1:0] VAR10, output [VAR13-1:0] VAR18, output reg [VAR13-1:0] VAR37, output reg [VAR13-1:0] VAR93, output reg [VAR13-1:0] VAR32, output reg [VAR13-1:0] VAR115, output reg [VAR13-1:0] VAR54,
output [VAR4-1:0] VAR47, output reg [31:0] VAR65
);
function integer VAR52;
input integer VAR19;
integer VAR58;
begin
VAR52 = 0;
for(VAR58 = 0; 2**VAR58 < VAR19; VAR58 = VAR58 + 1)
VAR52 = VAR58 + 1;
end
endfunction
reg [31:0] VAR67, VAR31;
reg [31:0] VAR87;
reg [3:0] VAR16;
reg [1:0] VAR102;
reg VAR72;
assign VAR80 = 1'b1;
assign VAR76 = 1'b1;
assign VAR71 = 1'b1;
always @(posedge VAR117)
begin : VAR108
if(VAR97 == 1'b0) begin
VAR67 <= 0;
VAR31 <= 0;
VAR87 <= 0;
VAR16 <= 0;
VAR102 <= 2'b00;
VAR72 <= 1'b0;
end else begin
if(VAR36) begin VAR67 <= VAR67;
VAR102[0] <= 1'b0;
end else if(VAR11) begin
VAR67 <= VAR106;
VAR102[0] <= 1'b1;
end else begin
VAR67 <= VAR67;
VAR102[0] <= VAR102[0];
end
if(VAR36) begin VAR87 <= VAR87;
VAR16 <= VAR16;
VAR102[1] <= 1'b0;
end else if(VAR99) begin
VAR87 <= VAR33;
VAR16 <= VAR119;
VAR102[1] <= 1'b1;
end else begin
VAR87 <= VAR87;
VAR16 <= VAR16;
VAR102[1] <= VAR102[1];
end
if(VAR7) begin VAR31 <= VAR31;
VAR72 <= 1'b0;
end else if(VAR82) begin
VAR31 <= VAR92;
VAR72 <= 1'b1;
end else begin
VAR31 <= VAR31;
VAR72 <= VAR72;
end
end
end
reg [VAR81-1:0] VAR28;
reg [VAR81-1:0] VAR70;
wire VAR55;
assign VAR55 = VAR28 == VAR70?1'b1:1'b0;
always @(posedge VAR117)
begin : VAR49
if(VAR97 == 1'b0) begin
VAR28 <= 0;
end else begin
if(VAR55) begin
VAR28 <= 0;
end else begin
VAR28 <= VAR28+1;
end
end
end
genvar VAR58;
reg [VAR98:0] VAR51 [0:VAR5-1]; reg [VAR98:0] VAR29 [0:VAR5-1]; reg [VAR81-1:0] VAR84 [0:VAR5-1]; reg [VAR81-1:0] VAR57 [0:VAR5-1]; wire [VAR5-1:0] VAR121;
wire [VAR5-1:0] VAR89;
generate
for(VAR58 = 0; VAR58 < VAR5; VAR58=VAR58+1) begin : VAR79
if(VAR85) begin
always @(posedge VAR117)
if (VAR97 == 1'b0 || VAR121[VAR58] == 1'b1)
VAR84[VAR58] <= 0;
end
else
VAR84[VAR58] <= VAR84[VAR58]+1;
assign VAR121[VAR58] = VAR84[VAR58] == VAR57[VAR58]?1'b1:1'b0;
end
else assign VAR121[VAR58] = 1'b1;
always @(posedge VAR117)
if (VAR97 == 1'b0)
VAR51[VAR58] <= 0;
end
else
VAR51[VAR58] <= VAR51[VAR58]+1;
assign VAR89[VAR58] = VAR51[VAR58] < VAR29[VAR58]?1'b0:1'b1;
end
endgenerate
reg [VAR5-1:0] VAR90;
reg [VAR13-1:0] VAR113;
localparam VAR116=0;
reg [31:0] VAR109; wire VAR94;
generate
for(VAR58 = 0; VAR58 < VAR13; VAR58=VAR58+1) begin : VAR66
if(VAR58 == 0) assign VAR18[VAR58] = VAR109[VAR116]?VAR94:(VAR90[VAR58]?VAR89[VAR58]:VAR113[VAR58]);
end
else if(VAR58 < VAR5) assign VAR18[VAR58] = VAR90[VAR58]?VAR89[VAR58]:VAR113[VAR58];
end
else assign VAR18[VAR58] = VAR113[VAR58];
end
endgenerate
reg [VAR4-1:0] VAR20;
generate
for(VAR58 = 0; VAR58 < VAR4; VAR58=VAR58+1) begin : VAR62
assign VAR47[VAR58] = (VAR20[VAR58] & VAR37[VAR58+2])?VAR10[VAR58+2]:1'b0;
end
endgenerate
wire VAR48;
assign VAR48 = VAR109[VAR116]?VAR10[1]:1'b1;
wire [3:0] VAR21;
assign VAR21 = VAR109[4:1];
reg [15:0] VAR35;
always @(VAR21) begin
case(VAR21)
4'h0: VAR35 = VAR100 * 1000 / (9600 * 8); 4'h1: VAR35 = VAR100 * 1000 / (9600 * 8); 4'h2: VAR35 = VAR100 * 1000 / (600 * 8); 4'h3: VAR35 = VAR100 * 1000 / (1200 * 8); 4'h4: VAR35 = VAR100 * 1000 / (2400 * 8); 4'h5: VAR35 = VAR100 * 1000 / (4800 * 8); 4'h6: VAR35 = VAR100 * 1000 / (9600 * 8); 4'h7: VAR35 = VAR100 * 1000 / (14000 * 8); 4'h8: VAR35 = VAR100 * 1000 / (19200 * 8); 4'h9: VAR35 = VAR100 * 1000 / (28800 * 8); 4'hA: VAR35 = VAR100 * 1000 / (38400 * 8); 4'hB: VAR35 = VAR100 * 1000 / (56000 * 8); 4'hC: VAR35 = VAR100 * 1000 / (57600 * 8); 4'hD: VAR35 = VAR100 * 1000 / (115200 * 8); 4'hE: VAR35 = VAR100 * 1000 / (115200 * 8); 4'hF: VAR35 = VAR100 * 1000 / (115200 * 8); endcase
end
wire [1:0] VAR43;
assign VAR43 = VAR109[6:5];
wire [1:0] VAR1;
assign VAR1 = VAR109[17:16];
wire [1:0] VAR6;
assign VAR6 = VAR109[19:18];
localparam VAR69 = 8;
wire VAR34 = ~VAR97;
wire VAR101;
wire VAR103;
wire VAR17;
wire VAR27;
reg [VAR69-1:0] VAR41;
reg VAR14;
wire VAR2;
wire [VAR69-1:0] VAR112;
wire VAR46;
reg VAR114;
VAR110 VAR3(
.clk(VAR117),
.rst(VAR34),
.VAR104(VAR41),
.VAR122(VAR14),
.VAR91(VAR2),
.VAR44(VAR112),
.VAR8(VAR46),
.VAR111(VAR114),
.VAR38(VAR48),
.VAR59(VAR94),
.VAR101(VAR101),
.VAR103(VAR103),
.VAR17(VAR17),
.VAR27(VAR27),
.VAR43(VAR43),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR35(VAR35) );
reg [VAR69-1:0] VAR60;
reg VAR45; reg VAR105;
reg VAR120;
reg VAR86;
reg [VAR74-1:0] VAR56; reg [VAR74-1:0] VAR22; reg [1:0] VAR25;
reg VAR75; reg VAR96;
reg [VAR74-1:0] VAR39;
reg [VAR69-1:0] VAR88;
wire [VAR69-1:0] VAR30;
always @(posedge VAR117)
if (VAR97 == 1'b0) begin
VAR39 <= 0;
VAR88 <= 0;
VAR75 <= 1'b0;
VAR96 <= 1'b0;
VAR56 <= 0;
VAR22 <= 0;
VAR25 <= 1'b0;
VAR105 <= 1'b0;
VAR60 <= 0;
VAR120 <= 1'b0;
VAR86 <= 1'b0;
end else begin
if (VAR114) begin VAR96 <= 1'b0;
VAR75 <= 1'b0;
VAR114 <= 1'b0;
if((VAR56+1) == VAR22) begin VAR86 <= 1'b1;
end else begin
VAR86 <= 1'b0;
VAR56 <= VAR56+1;
end
end else if (VAR46) begin if((VAR56+1) != VAR22) begin VAR39 <= VAR56;
VAR88 <= VAR112;
VAR96 <= 1'b1;
VAR75 <= 1'b1;
end
VAR114 <= 1'b1;
end else if (VAR105) begin VAR105 <= 1'b0;
end else if (VAR25 == 2'b10) begin VAR60 <= VAR30;
VAR75 <= 1'b0;
VAR25 <= 2'b00;
VAR105 <= 1'b1;
if(VAR22 == VAR56) begin
VAR120 <= 1'b1;
end else if((VAR22+1) == VAR56) begin
VAR56 <= 0;
VAR22 <= 0;
end else begin
VAR22 <= VAR22+1;
end
end else if (VAR25 == 2'b01) begin VAR25 <= 2'b10;
end else if (VAR45) begin if(VAR22 == VAR56) begin
VAR120 <= 1'b1;
VAR105 <= 1'b1;
end else begin
VAR39 <= VAR22;
VAR75 <= 1'b1;
VAR25 <= 2'b01;
VAR105 <= 1'b0;
VAR120 <= 1'b0;
end
end else begin
VAR96 <= 1'b0;
VAR75 <= 1'b0;
VAR114 <= 1'b0;
end
end
reg [VAR69-1:0] VAR40 [0:(2**VAR74)-1];
reg [VAR69-1:0] VAR12;
always @(posedge VAR117)
if (VAR97 == 1'b0) begin
VAR12 <= 0;
end else begin
if(VAR75) begin
VAR12 <= VAR40[VAR39];
if(VAR96) VAR40[VAR39] <= VAR88;
end
end
assign VAR30 = VAR12;
localparam VAR77 = VAR5 + VAR5 + 1 + 1 + 1 + 3 + 3 + 3 + 3 + 3 + 3 + 3 + 3 + 1;
localparam VAR68 = VAR52(VAR77);
localparam VAR83=8; localparam VAR9=9; localparam VAR50=10; localparam VAR64=11; localparam VAR107=12; localparam VAR95=13;
integer VAR15;
reg [2:0] state;
parameter VAR26 = 0, VAR53 = 1, VAR78 = 2, VAR63 = 3, VAR61 = 4;
always @(posedge VAR117)
if (VAR97 == 1'b0) begin
state <= VAR26;
VAR36 <= 1'b0;
VAR7 <= 1'b0;
VAR23 <= 0;
VAR41 <= 0;
VAR14 <= 1'b0;
VAR45 <= 1'b0;
VAR65 <= 32'h00000000;
VAR90 <= 0;
VAR20 <= 0;
VAR113 <= 0;
VAR37 <= 2; VAR93 <= 1; VAR32 <= 0;
VAR115 <= 0;
VAR54 <= 0;
VAR109 <= 32'h000000001; VAR70 <= {VAR81{1'b1}};
for(VAR15 = 0; VAR15 < VAR5; VAR15 = VAR15 + 1) begin
VAR29[VAR15] <= 0;
VAR57[VAR15] <= 0;
end
end else begin
VAR109[VAR83] <= VAR101;
VAR109[VAR9] <= VAR103;
VAR109[VAR50] <= VAR17;
VAR109[VAR64] <= VAR27;
VAR109[VAR107] <= VAR86;
VAR109[VAR95] <= VAR120;
case (state)
VAR26 :
if (VAR72) begin
if(0 <= VAR31[VAR68+1:2] && VAR31[VAR68+1:2] < VAR5) begin
VAR23 <= VAR29[VAR31[VAR68+1:2] - 0];
state <= VAR78;
VAR36 <= 1'b1;
end else if(VAR5 <= VAR31[VAR68+1:2] && VAR31[VAR68+1:2] < 2*VAR5) begin
VAR23 <= VAR57[VAR31[VAR68+1:2] - VAR5];
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR70;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+1) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR109;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+2) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR10;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+3) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR90;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+4) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR20;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+5) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR113;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+6) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR37;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+7) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR93;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+8) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR32;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+9) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR115;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+10) == VAR31[VAR68+1:2]) begin
VAR23 <= VAR54;
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+11) == VAR31[VAR68+1:2]) begin state <= VAR61;
VAR45 <= 1'b1;
end else if((2*VAR5+28) == VAR67[VAR68+1:2]) begin
VAR23 <= VAR65;
state <= VAR53;
VAR7 <= 1'b1;
end else begin
VAR23 <= 0;
state <= VAR53;
VAR7 <= 1'b1;
end
end else if(VAR102 == 2'b11) begin
if(0 <= VAR67[VAR68+1:2] && VAR67[VAR68+1:2] < VAR5) begin
VAR29[VAR67[VAR68+1:2] - 0] <= VAR87[VAR98:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if(VAR5 <= VAR67[VAR68+1:2] && VAR67[VAR68+1:2] < 2*VAR5) begin
VAR57[VAR67[VAR68+1:2] - VAR5] = VAR87[VAR81-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5) == VAR67[VAR68+1:2]) begin
VAR70 <= VAR87[VAR81-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+1) == VAR67[VAR68+1:2]) begin
VAR109 <= VAR87;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+2) == VAR67[VAR68+1:2]) begin
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+3) == VAR67[VAR68+1:2]) begin
VAR90 <= VAR87[VAR5-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+4) == VAR31[VAR68+1:2]) begin
VAR20 <= VAR87[VAR4-1:0];
state <= VAR53;
VAR7 <= 1'b1;
end else if((2*VAR5+5) == VAR67[VAR68+1:2]) begin
VAR113 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+6) == VAR67[VAR68+1:2]) begin
VAR37 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+7) == VAR67[VAR68+1:2]) begin
VAR93 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+8) == VAR67[VAR68+1:2]) begin
VAR32 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+9) == VAR67[VAR68+1:2]) begin
VAR115 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+10) == VAR67[VAR68+1:2]) begin
VAR54 <= VAR87[VAR13-1:0];
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+11) == VAR67[VAR68+1:2]) begin VAR41 <= VAR87[VAR69-1:0];
VAR14 <= 1'b1;
state <= VAR63;
end else if((2*VAR5+12) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR5; VAR15=VAR15+1) if(VAR87[VAR15]) VAR90[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+13) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR4; VAR15=VAR15+1) if(VAR87[VAR15]) VAR20[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+14) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR113[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+15) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR37[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+16) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR93[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+17) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR32[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+18) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR115[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+19) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR54[VAR15] <= 1'b1;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+20) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR5; VAR15=VAR15+1) if(VAR87[VAR15]) VAR90[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+21) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR4; VAR15=VAR15+1) if(VAR87[VAR15]) VAR20[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+22) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR113[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+23) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR37[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+24) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR93[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+25) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR32[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+26) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR115[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+27) == VAR67[VAR68+1:2]) begin
for(VAR15 = 0; VAR15 < VAR13; VAR15=VAR15+1) if(VAR87[VAR15]) VAR54[VAR15] <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else if((2*VAR5+28) == VAR67[VAR68+1:2]) begin
VAR65 <= VAR87;
state <= VAR78;
VAR36 <= 1'b1;
end else begin
state <= VAR78;
VAR36 <= 1'b1;
end
end else begin
state <= state;
end
VAR53 :
if (VAR24) begin
VAR7 <= 1'b0;
state <= VAR26;
end else begin
state <= state;
end
VAR78 :
if (VAR42) begin
VAR36 <= 1'b0;
state <= VAR26;
end else begin
state <= state;
end
VAR63 :
if (VAR2) begin
VAR14 <= 1'b0;
state <= VAR78;
VAR36 <= 1'b1;
end else begin
state <= state;
end
VAR61 :
if (VAR105) begin
VAR45 <= 1'b0;
VAR23 <= VAR60;
state <= VAR53;
VAR7 <= 1'b1;
end else begin
state <= state;
end
default: begin
state <= VAR26; end
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_lp__udp_dlatch_p_pp_pg_n.symbol.v
| 1,438 |
module MODULE1 (
input VAR4 ,
output VAR3 ,
input VAR6 ,
input VAR2,
input VAR5 ,
input VAR1
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/ebufn/sky130_fd_sc_hdll__ebufn.functional.v
| 1,224 |
module MODULE1 (
VAR4 ,
VAR2 ,
VAR1
);
output VAR4 ;
input VAR2 ;
input VAR1;
bufif0 VAR3 (VAR4 , VAR2, VAR1 );
endmodule
|
apache-2.0
|
kernelpanics/Grad
|
CORDIC-Exponential-Function/Verilog/Multiplier/multiplier.v
| 1,708 |
module MODULE1
input wire [VAR1-1:0] VAR2,
input wire [VAR1-1:0] VAR5,
output wire [2*VAR1-1:0] VAR4
);
reg [2*VAR1-1:0] VAR3;
assign VAR4=VAR3;
always@(posedge clk)
begin
VAR3<= VAR2*VAR5;
end
endmodule
|
gpl-3.0
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6t_OA_RVT_TT_210930.v
| 242,184 |
module MODULE1 (VAR8, VAR2, VAR3, VAR5, VAR7, VAR6);
output VAR8;
input VAR2, VAR3, VAR5, VAR7, VAR6;
wire VAR13, VAR1, VAR4;
wire VAR9, VAR12, VAR10;
wire VAR11;
not (VAR12, VAR6);
not (VAR9, VAR7);
not (VAR4, VAR5);
and (VAR10, VAR4, VAR9);
not (VAR1, VAR3);
not (VAR13, VAR2);
and (VAR11, VAR13, VAR1, VAR9);
or (VAR8, VAR11, VAR10, VAR12);
|
bsd-3-clause
|
CMU-SAFARI/NOCulator
|
hring/hw/buffered/src/c_dff.v
| 2,444 |
module MODULE1
(clk, reset, VAR3, VAR2);
parameter VAR5 = 32;
parameter VAR1 = 0;
parameter VAR6 = VAR7;
parameter [VAR1:(VAR1+VAR5)-1] VAR4 = {VAR5{1'b0}};
input clk;
input reset;
input [VAR1:(VAR1+VAR5)-1] VAR3;
output [VAR1:(VAR1+VAR5)-1] VAR2;
reg [VAR1:(VAR1+VAR5)-1] VAR2;
generate
case(VAR6)
always @(posedge clk, posedge reset)
if(reset)
VAR2 <= VAR4;
else
VAR2 <= VAR3;
always @(posedge clk)
if(reset)
VAR2 <= VAR4;
else
VAR2 <= VAR3;
endcase
endgenerate
endmodule
|
mit
|
alexforencich/hdg2000
|
fpga/lib/dsp/rtl/i2s_tx.v
| 3,137 |
module MODULE1 #
(
parameter VAR5 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR5-1:0] VAR12,
input wire [VAR5-1:0] VAR7,
input wire VAR8,
output wire VAR2,
input wire VAR3,
input wire VAR9,
output wire VAR11
);
reg [VAR5-1:0] VAR17 = 0;
reg [VAR5-1:0] VAR4 = 0;
reg VAR18 = 0;
reg VAR10 = 0;
reg [VAR5-1:0] VAR1 = 0;
reg [VAR14(VAR5+1)-1:0] VAR13 = 0;
reg VAR15 = 0;
reg VAR16 = 0;
reg VAR6 = 0;
assign VAR2 = ~VAR18 & ~VAR10;
assign VAR11 = VAR6;
always @(posedge clk) begin
if (rst) begin
VAR17 <= 0;
VAR4 <= 0;
VAR18 <= 0;
VAR10 <= 0;
VAR1 <= 0;
VAR13 <= 0;
VAR15 <= 0;
VAR16 <= 0;
VAR6 <= 0;
end else begin
if (VAR2 & VAR8) begin
VAR17 <= VAR12;
VAR4 <= VAR7;
VAR18 <= 1;
VAR10 <= 1;
end
VAR15 <= VAR3;
if (~VAR15 & VAR3) begin
VAR16 <= VAR9;
if (VAR16 != VAR9) begin
VAR13 <= VAR5;
if (VAR9) begin
VAR1 <= VAR4;
VAR10 <= 0;
end else begin
VAR1 <= VAR17;
VAR18 <= 0;
end
end
end
if (VAR15 & ~VAR3) begin
if (VAR13 > 0) begin
VAR13 <= VAR13 - 1;
{VAR6, VAR1} <= {VAR1[VAR5-1:0], 1'b0};
end
end
end
end
endmodule
|
mit
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_1/hdl/verilog/feedforward_AXILiteS_s_axi.v
| 9,418 |
module MODULE1
VAR49 = 5,
VAR4 = 32
)(
input wire VAR25,
input wire VAR43,
input wire VAR53,
input wire [VAR49-1:0] VAR17,
input wire VAR51,
output wire VAR37,
input wire [VAR4-1:0] VAR33,
input wire [VAR4/8-1:0] VAR20,
input wire VAR22,
output wire VAR34,
output wire [1:0] VAR18,
output wire VAR46,
input wire VAR27,
input wire [VAR49-1:0] VAR14,
input wire VAR10,
output wire VAR44,
output wire [VAR4-1:0] VAR45,
output wire [1:0] VAR42,
output wire VAR5,
input wire VAR58,
output wire interrupt,
output wire VAR39,
input wire VAR7,
input wire VAR56,
input wire VAR23,
output wire [7:0] VAR35
);
localparam
VAR26 = 5'h00,
VAR31 = 5'h04,
VAR12 = 5'h08,
VAR52 = 5'h0c,
VAR8 = 5'h10,
VAR32 = 5'h14,
VAR57 = 2'd0,
VAR16 = 2'd1,
VAR55 = 2'd2,
VAR41 = 2'd0,
VAR19 = 2'd1,
VAR6 = 5;
reg [1:0] VAR9;
reg [1:0] VAR15;
reg [VAR6-1:0] VAR28;
wire [31:0] VAR11;
wire VAR48;
wire VAR21;
reg [1:0] VAR36;
reg [1:0] VAR50;
reg [31:0] VAR1;
wire VAR47;
wire [VAR6-1:0] VAR40;
wire VAR59;
wire VAR30;
reg VAR38;
reg VAR29;
reg VAR2;
reg VAR3;
reg [1:0] VAR54;
reg [1:0] VAR24;
reg [7:0] VAR13;
assign VAR37 = (VAR9 == VAR57);
assign VAR34 = (VAR9 == VAR16);
assign VAR18 = 2'b00; assign VAR46 = (VAR9 == VAR55);
assign VAR11 = { {8{VAR20[3]}}, {8{VAR20[2]}}, {8{VAR20[1]}}, {8{VAR20[0]}} };
assign VAR48 = VAR51 & VAR37;
assign VAR21 = VAR22 & VAR34;
always @(posedge VAR25) begin
if (VAR43)
VAR9 <= VAR57;
end
else if (VAR53)
VAR9 <= VAR15;
end
always @ begin
case (VAR36)
VAR41:
if (VAR10)
VAR50 = VAR19;
end
else
VAR50 = VAR41;
VAR19:
if (VAR58 & VAR5)
VAR50 = VAR41;
else
VAR50 = VAR19;
default:
VAR50 = VAR41;
endcase
end
always @(posedge VAR25) begin
if (VAR53) begin
if (VAR47) begin
VAR1 <= 1'b0;
case (VAR40)
VAR26: begin
VAR1[0] <= VAR29;
VAR1[1] <= VAR38;
VAR1[2] <= VAR59;
VAR1[3] <= VAR30;
VAR1[7] <= VAR2;
end
VAR31: begin
VAR1 <= VAR3;
end
VAR12: begin
VAR1 <= VAR54;
end
VAR52: begin
VAR1 <= VAR24;
end
VAR8: begin
VAR1 <= VAR13[7:0];
end
endcase
end
end
end
assign interrupt = VAR3 & (|VAR24);
assign VAR39 = VAR29;
assign VAR59 = VAR23;
assign VAR30 = VAR56;
assign VAR35 = VAR13;
always @(posedge VAR25) begin
if (VAR43)
VAR29 <= 1'b0;
end
else if (VAR53) begin
if (VAR21 && VAR28 == VAR26 && VAR20[0] && VAR33[0])
VAR29 <= 1'b1;
end
else if (VAR30)
VAR29 <= VAR2; end
end
always @(posedge VAR25) begin
if (VAR43)
VAR38 <= 1'b0;
end
else if (VAR53) begin
if (VAR7)
VAR38 <= 1'b1;
end
else if (VAR47 && VAR40 == VAR26)
VAR38 <= 1'b0; end
end
always @(posedge VAR25) begin
if (VAR43)
VAR2 <= 1'b0;
end
else if (VAR53) begin
if (VAR21 && VAR28 == VAR26 && VAR20[0])
VAR2 <= VAR33[7];
end
end
always @(posedge VAR25) begin
if (VAR43)
VAR3 <= 1'b0;
end
else if (VAR53) begin
if (VAR21 && VAR28 == VAR31 && VAR20[0])
VAR3 <= VAR33[0];
end
end
always @(posedge VAR25) begin
if (VAR43)
VAR54 <= 1'b0;
end
else if (VAR53) begin
if (VAR21 && VAR28 == VAR12 && VAR20[0])
VAR54 <= VAR33[1:0];
end
end
always @(posedge VAR25) begin
if (VAR43)
VAR24[0] <= 1'b0;
end
else if (VAR53) begin
if (VAR54[0] & VAR7)
VAR24[0] <= 1'b1;
end
else if (VAR21 && VAR28 == VAR52 && VAR20[0])
VAR24[0] <= VAR24[0] ^ VAR33[0]; end
end
always @(posedge VAR25) begin
if (VAR43)
VAR24[1] <= 1'b0;
end
else if (VAR53) begin
if (VAR54[1] & VAR56)
VAR24[1] <= 1'b1;
end
else if (VAR21 && VAR28 == VAR52 && VAR20[0])
VAR24[1] <= VAR24[1] ^ VAR33[1]; end
end
always @(posedge VAR25) begin
if (VAR43)
VAR13[7:0] <= 0;
end
else if (VAR53) begin
if (VAR21 && VAR28 == VAR8)
VAR13[7:0] <= (VAR33[31:0] & VAR11) | (VAR13[7:0] & ~VAR11);
end
end
endmodule
|
gpl-3.0
|
hcabrera-/lancetfish
|
RTL/processing_element/des_engine/rtl/des_datapath.v
| 10,420 |
module MODULE1
(
input wire clk,
input wire reset,
input wire enable,
input wire VAR6,
input wire [0:63] VAR8,
input wire [0:47] VAR7,
output wire [0:63] VAR2
);
wire [0:31] VAR11;
wire [0:31] VAR24;
wire [0:63] VAR23;
assign VAR23[0 +: 8] = {
VAR8[57],
VAR8[49],
VAR8[41],
VAR8[33],
VAR8[25],
VAR8[17],
VAR8[9],
VAR8[1]
};
assign VAR23[8 +: 8] = {
VAR8[59],
VAR8[51],
VAR8[43],
VAR8[35],
VAR8[27],
VAR8[19],
VAR8[11],
VAR8[3]
};
assign VAR23[16 +: 8] = {
VAR8[61],
VAR8[53],
VAR8[45],
VAR8[37],
VAR8[29],
VAR8[21],
VAR8[13],
VAR8[5]
};
assign VAR23[24 +: 8] = {
VAR8[63],
VAR8[55],
VAR8[47],
VAR8[39],
VAR8[31],
VAR8[23],
VAR8[15],
VAR8[7]
};
assign VAR23[32 +: 8] = {
VAR8[56],
VAR8[48],
VAR8[40],
VAR8[32],
VAR8[24],
VAR8[16],
VAR8[8],
VAR8[0]
};
assign VAR23[40 +: 8] = {
VAR8[58],
VAR8[50],
VAR8[42],
VAR8[34],
VAR8[26],
VAR8[18],
VAR8[10],
VAR8[2]
};
assign VAR23[48 +: 8] = {
VAR8[60],
VAR8[52],
VAR8[44],
VAR8[36],
VAR8[28],
VAR8[20],
VAR8[12],
VAR8[4]
};
assign VAR23[56 +: 8] = {
VAR8[62],
VAR8[54],
VAR8[46],
VAR8[38],
VAR8[30],
VAR8[22],
VAR8[14],
VAR8[6]
};
wire [0:31] VAR4;
wire [0:31] VAR21;
assign VAR4 = (VAR6) ? VAR11 : VAR23[0 :31];
assign VAR21 = (VAR6) ? VAR24 : VAR23[32:63];
reg [0:31] VAR30;
reg [0:31] VAR26;
always @(posedge clk)
begin
if (reset)
begin
VAR30 <= {32{1'b0}};
VAR26 <= {32{1'b0}};
end
else
if (enable)
begin
VAR30 <= VAR4;
VAR26 <= VAR21;
end
end
wire [0:47] VAR34;
wire [0:47] VAR16;
wire [0:31] VAR18;
wire [0:31] VAR14;
assign VAR34[0 +: 6] = {VAR26[31], VAR26[0 +: 5]};
assign VAR34[6 +: 6] = {VAR26[3 +: 6]};
assign VAR34[12 +: 6] = {VAR26[7 +: 6]};
assign VAR34[18 +: 6] = {VAR26[11 +: 6]};
assign VAR34[24 +: 6] = {VAR26[15 +: 6]};
assign VAR34[30 +: 6] = {VAR26[19 +: 6]};
assign VAR34[36 +: 6] = {VAR26[23 +: 6]};
assign VAR34[42 +: 6] = {
VAR26[27],
VAR26[28],
VAR26[29],
VAR26[30],
VAR26[31],
VAR26[0]
};
assign VAR16 = VAR34 ^ VAR7;
VAR10 VAR25 (
.VAR17(VAR16[0 +: 6]),
.VAR3(VAR18[0 +: 4])
);
VAR9 VAR27 (
.VAR17(VAR16[6 +: 6]),
.VAR3(VAR18[4 +: 4])
);
VAR15 VAR1 (
.VAR17(VAR16[12 +: 6]),
.VAR3(VAR18[8 +: 4])
);
VAR19 VAR28 (
.VAR17(VAR16[18 +: 6]),
.VAR3(VAR18[12 +: 4])
);
VAR13 VAR33 (
.VAR17(VAR16[24 +: 6]),
.VAR3(VAR18[16 +: 4])
);
VAR31 VAR35 (
.VAR17(VAR16[30 +: 6]),
.VAR3(VAR18[20 +: 4])
);
VAR5 VAR12 (
.VAR17(VAR16[36 +: 6]),
.VAR3(VAR18[24 +: 4])
);
VAR32 VAR20 (
.VAR17(VAR16[42 +: 6]),
.VAR3(VAR18[28 +: 4])
);
assign VAR14[0 +: 8] = {
VAR18[15],
VAR18[6],
VAR18[19],
VAR18[20],
VAR18[28],
VAR18[11],
VAR18[27],
VAR18[16]
};
assign VAR14[8 +: 8] = {
VAR18[0],
VAR18[14],
VAR18[22],
VAR18[25],
VAR18[4],
VAR18[17],
VAR18[30],
VAR18[9]
};
assign VAR14[16 +: 8] = {
VAR18[1],
VAR18[7],
VAR18[23],
VAR18[13],
VAR18[31],
VAR18[26],
VAR18[2],
VAR18[8]
};
assign VAR14[24 +: 8] = {
VAR18[18],
VAR18[12],
VAR18[29],
VAR18[5],
VAR18[21],
VAR18[10],
VAR18[3],
VAR18[24]
};
assign VAR11 = VAR26;
assign VAR24 = VAR14 ^ VAR30;
wire [0:63] VAR29;
wire [0:63] VAR22;
assign VAR29 = {VAR24, VAR11};
assign VAR22[0 +: 8] = {
VAR29[39],
VAR29[7],
VAR29[47],
VAR29[15],
VAR29[55],
VAR29[23],
VAR29[63],
VAR29[31]
};
assign VAR22[8 +: 8] = {
VAR29[38],
VAR29[6],
VAR29[46],
VAR29[14],
VAR29[54],
VAR29[22],
VAR29[62],
VAR29[30]
};
assign VAR22[16 +: 8] = {
VAR29[37],
VAR29[5],
VAR29[45],
VAR29[13],
VAR29[53],
VAR29[21],
VAR29[61],
VAR29[29]
};
assign VAR22[24 +: 8] = {
VAR29[36],
VAR29[4],
VAR29[44],
VAR29[12],
VAR29[52],
VAR29[20],
VAR29[60],
VAR29[28]
};
assign VAR22[32 +: 8] = {
VAR29[35],
VAR29[3],
VAR29[43],
VAR29[11],
VAR29[51],
VAR29[19],
VAR29[59],
VAR29[27]
};
assign VAR22[40 +: 8] = {
VAR29[34],
VAR29[2],
VAR29[42],
VAR29[10],
VAR29[50],
VAR29[18],
VAR29[58],
VAR29[26]
};
assign VAR22[48 +: 8] = {
VAR29[33],
VAR29[1],
VAR29[41],
VAR29[9],
VAR29[49],
VAR29[17],
VAR29[57],
VAR29[25]
};
assign VAR22[56 +: 8] = {
VAR29[32],
VAR29[0],
VAR29[40],
VAR29[8],
VAR29[48],
VAR29[16],
VAR29[56],
VAR29[24]
};
assign VAR2 = VAR22;
endmodule
|
gpl-3.0
|
MeshSr/onetswitch20
|
ons20-app21-ref_switch/vivado/onets_7020_ref_switch/ip/ref_switch_core/src/ip/txfifo_512x72_to_36.v
| 13,774 |
module MODULE1(
rst,
VAR411,
VAR347,
din,
VAR231,
VAR137,
dout,
VAR153,
VAR408,
VAR332
);
input rst;
input VAR411;
input VAR347;
input [71 : 0] din;
input VAR231;
input VAR137;
output [35 : 0] dout;
output VAR153;
output VAR408;
output VAR332;
VAR174 #(
.VAR398(0),
.VAR11(0),
.VAR55(0),
.VAR100(0),
.VAR361(0),
.VAR108(0),
.VAR28(0),
.VAR185(32),
.VAR167(1),
.VAR139(1),
.VAR223(1),
.VAR372(64),
.VAR21(4),
.VAR199(1),
.VAR428(0),
.VAR229(1),
.VAR70(64),
.VAR95(4),
.VAR132(8),
.VAR315(4),
.VAR293(4),
.VAR145(4),
.VAR251(0),
.VAR171(0),
.VAR98(0),
.VAR131(9),
.VAR39("VAR246"),
.VAR410(72),
.VAR169(1),
.VAR27(32),
.VAR207(64),
.VAR280(32),
.VAR10(64),
.VAR357(2),
.VAR243("0"),
.VAR35(36),
.VAR190(0),
.VAR130(1),
.VAR287(0),
.VAR168(0),
.VAR58(0),
.VAR384(0),
.VAR151(0),
.VAR93(0),
.VAR165(0),
.VAR386("VAR193"),
.VAR163(1),
.VAR299(0),
.VAR14(1),
.VAR56(0),
.VAR283(0),
.VAR18(0),
.VAR256(0),
.VAR376(0),
.VAR277(0),
.VAR17(0),
.VAR261(0),
.VAR176(0),
.VAR232(0),
.VAR367(0),
.VAR129(0),
.VAR103(1),
.VAR50(0),
.VAR365(0),
.VAR83(0),
.VAR144(0),
.VAR417(0),
.VAR309(0),
.VAR316(0),
.VAR311(0),
.VAR276(0),
.VAR20(0),
.VAR323(0),
.VAR308(0),
.VAR63(0),
.VAR79(0),
.VAR142(0),
.VAR363(0),
.VAR346(0),
.VAR203(0),
.VAR306(0),
.VAR305(0),
.VAR136(0),
.VAR64(0),
.VAR54(1),
.VAR413(0),
.VAR210(0),
.VAR76(0),
.VAR222(0),
.VAR220(0),
.VAR310(0),
.VAR143(0),
.VAR257(2),
.VAR356(1),
.VAR274(1),
.VAR213(1),
.VAR74(1),
.VAR102(1),
.VAR172(1),
.VAR278(0),
.VAR22(0),
.VAR249(1),
.VAR374("VAR246"),
.VAR96(1),
.VAR71(0),
.VAR314(0),
.VAR4(1),
.VAR31(0),
.VAR46("512x72"),
.VAR124(2),
.VAR327(1022),
.VAR12(1022),
.VAR240(1022),
.VAR60(1022),
.VAR272(1022),
.VAR141(1022),
.VAR322(3),
.VAR241(0),
.VAR15(0),
.VAR289(0),
.VAR244(0),
.VAR392(0),
.VAR85(0),
.VAR396(0),
.VAR211(509),
.VAR242(1023),
.VAR175(1023),
.VAR359(1023),
.VAR170(1023),
.VAR191(1023),
.VAR164(1023),
.VAR82(508),
.VAR324(0),
.VAR94(0),
.VAR26(0),
.VAR128(0),
.VAR427(0),
.VAR84(0),
.VAR320(0),
.VAR216(0),
.VAR317(10),
.VAR407(1024),
.VAR57(1),
.VAR254(10),
.VAR355(0),
.VAR351(0),
.VAR286(0),
.VAR32(0),
.VAR420(0),
.VAR292(0),
.VAR344(0),
.VAR381(2),
.VAR107(0),
.VAR282(0),
.VAR158(0),
.VAR99(0),
.VAR371(1),
.VAR397(0),
.VAR177(0),
.VAR404(0),
.VAR288(0),
.VAR262(0),
.VAR186(0),
.VAR370(0),
.VAR345(0),
.VAR161(0),
.VAR236(0),
.VAR304(0),
.VAR134(0),
.VAR162(0),
.VAR294(0),
.VAR388(9),
.VAR78(512),
.VAR1(1024),
.VAR349(16),
.VAR258(1024),
.VAR303(16),
.VAR147(1024),
.VAR301(16),
.VAR81(1),
.VAR110(9),
.VAR263(10),
.VAR255(4),
.VAR97(10),
.VAR77(4),
.VAR73(10),
.VAR24(4),
.VAR419(1),
.VAR298(0)
)
VAR224 (
.VAR138(rst),
.VAR120(VAR411),
.VAR67(VAR347),
.VAR421(din),
.VAR53(VAR231),
.VAR390(VAR137),
.VAR159(dout),
.VAR412(VAR153),
.VAR387(VAR408),
.VAR290(VAR332),
.VAR265(),
.VAR235(),
.VAR44(),
.VAR227(),
.VAR111(),
.VAR350(),
.VAR275(),
.VAR378(),
.VAR221(),
.VAR49(),
.VAR217(),
.VAR206(),
.VAR342(),
.VAR336(),
.VAR406(),
.VAR393(),
.VAR209(),
.VAR319(),
.VAR155(),
.VAR86(),
.VAR348(),
.VAR192(),
.VAR125(),
.VAR160(),
.VAR48(),
.VAR285(),
.VAR123(),
.VAR178(),
.VAR197(),
.VAR245(),
.VAR281(),
.VAR6(),
.VAR109(),
.VAR196(),
.VAR422(),
.VAR400(),
.VAR9(),
.VAR402(),
.VAR90(),
.VAR382(),
.VAR313(),
.VAR180(),
.VAR307(),
.VAR341(),
.VAR284(),
.VAR233(),
.VAR122(),
.VAR312(),
.VAR239(),
.VAR338(),
.VAR181(),
.VAR358(),
.VAR205(),
.VAR182(),
.VAR92(),
.VAR8(),
.VAR25(),
.VAR250(),
.VAR228(),
.VAR409(),
.VAR29(),
.VAR37(),
.VAR352(),
.VAR375(),
.VAR334(),
.VAR425(),
.VAR270(),
.VAR2(),
.VAR114(),
.VAR198(),
.VAR215(),
.VAR343(),
.VAR179(),
.VAR118(),
.VAR126(),
.VAR366(),
.VAR149(),
.VAR40(),
.VAR146(),
.VAR219(),
.VAR426(),
.VAR354(),
.VAR202(),
.VAR65(),
.VAR140(),
.VAR238(),
.VAR331(),
.VAR201(),
.VAR30(),
.VAR156(),
.VAR362(),
.VAR247(),
.VAR377(),
.VAR36(),
.VAR101(),
.VAR34(),
.VAR295(),
.VAR214(),
.VAR47(),
.VAR360(),
.VAR259(),
.VAR379(),
.VAR326(),
.VAR133(),
.VAR380(),
.VAR91(),
.VAR340(),
.VAR260(),
.VAR414(),
.VAR267(),
.VAR329(),
.VAR302(),
.VAR119(),
.VAR148(),
.VAR218(),
.VAR279(),
.VAR87(),
.VAR237(),
.VAR264(),
.VAR296(),
.VAR51(),
.VAR271(),
.VAR373(),
.VAR189(),
.VAR105(),
.VAR391(),
.VAR3(),
.VAR194(),
.VAR383(),
.VAR297(),
.VAR45(),
.VAR135(),
.VAR333(),
.VAR300(),
.VAR43(),
.VAR208(),
.VAR117(),
.VAR335(),
.VAR325(),
.VAR19(),
.VAR166(),
.VAR225(),
.VAR405(),
.VAR204(),
.VAR401(),
.VAR230(),
.VAR154(),
.VAR195(),
.VAR113(),
.VAR13(),
.VAR389(),
.VAR418(),
.VAR394(),
.VAR173(),
.VAR200(),
.VAR23(),
.VAR115(),
.VAR38(),
.VAR112(),
.VAR7(),
.VAR75(),
.VAR61(),
.VAR395(),
.VAR188(),
.VAR52(),
.VAR106(),
.VAR416(),
.VAR183(),
.VAR59(),
.VAR116(),
.VAR328(),
.VAR62(),
.VAR364(),
.VAR33(),
.VAR68(),
.VAR385(),
.VAR16(),
.VAR415(),
.VAR273(),
.VAR226(),
.VAR252(),
.VAR80(),
.VAR157(),
.VAR212(),
.VAR403(),
.VAR66(),
.VAR269(),
.VAR72(),
.VAR104(),
.VAR152(),
.VAR184(),
.VAR234(),
.VAR268(),
.VAR369(),
.VAR248(),
.VAR121(),
.VAR318(),
.VAR253(),
.VAR187(),
.VAR127(),
.VAR339(),
.VAR89(),
.VAR150(),
.VAR424(),
.VAR423(),
.VAR42(),
.VAR399(),
.VAR291(),
.VAR41(),
.VAR330(),
.VAR69(),
.VAR353(),
.VAR321(),
.VAR337(),
.VAR266(),
.VAR88(),
.VAR368(),
.VAR5()
);
endmodule
|
lgpl-2.1
|
SLongofono/Senior_Design_Capstone
|
hdl/Ram2Ddr_RefComp/Source/Ram2DdrXadc_RefComp/ipcore_dir/ddr/user_design/rtl/ip_top/mig_7series_v1_9_memc_ui_top_std.v
| 36,326 |
module MODULE1 #
(
parameter VAR165 = 100,
parameter VAR152 = 64,
parameter VAR19 = "VAR154",
parameter VAR184 = "0", parameter VAR244 = 3, parameter VAR220 = 2, parameter VAR16 = "8", parameter VAR51 = "VAR191", parameter VAR126 = "VAR149", parameter VAR272 = 1, parameter VAR151 = 5,
parameter VAR137 = 12, parameter VAR56 = "VAR87", parameter VAR146 = 1, parameter VAR52 = 1, parameter VAR279 = 5,
parameter VAR179 = 64,
parameter VAR65 = 5,
parameter VAR38 = 1,
parameter VAR263 = "VAR259", parameter VAR75 = 8, parameter VAR12 = 6, parameter VAR60 = 64, parameter VAR194 = 3, parameter VAR125 = 8, parameter VAR226 = "VAR240",
parameter VAR280 = 8, parameter VAR251 = "VAR149",
parameter VAR10 = 8,
parameter VAR246 = "VAR149",
parameter VAR1 = 31,
parameter VAR121 = 0, parameter VAR258 = 0, parameter VAR229 = 4,
parameter VAR122 = 2, parameter VAR3 = 1, parameter VAR23 = "VAR274",
parameter VAR284 = "VAR149",
parameter VAR293 = "VAR87",
parameter VAR109 = "VAR7", parameter VAR253 = "VAR43", parameter VAR285 = "VAR87", parameter VAR248 = "VAR267",
parameter VAR28 = "VAR227",
parameter VAR171 = "VAR149",
parameter VAR247 = "60",
parameter VAR231 = "120",
parameter VAR88 = 2,
parameter VAR116 = 2500, parameter VAR283 = 10000, parameter VAR145 = 40000, parameter VAR99 = 1000000, parameter VAR63 = 37500, parameter VAR241 = 12500, parameter VAR5 = 7800000, parameter VAR228 = 110000, parameter VAR257 = 12500, parameter VAR67 = 10000, parameter VAR213 = 7500, parameter VAR261 = 7500, parameter VAR221 = 128000000, parameter VAR288 = 64, parameter VAR33 = "VAR149", parameter VAR110 = "VAR87", parameter VAR206 = "VAR149",
parameter VAR124 = "VAR149",
parameter VAR160 = "VAR209",
parameter VAR9 = 1,
parameter VAR42 = 4,
parameter VAR45 = 1,
parameter VAR103 = 16, parameter VAR156 = 32,
parameter VAR138 = 8,
parameter VAR190 = 64,
parameter [3:0] VAR148 = 4'hF,
parameter [3:0] VAR34 = 4'hF,
parameter [3:0] VAR73 = 4'hF,
parameter [3:0] VAR197 = 4'hF,
parameter [3:0] VAR117 = 4'hF,
parameter [3:0] VAR27 = 4'hc,
parameter [3:0] VAR271 = 4'hf,
parameter [3:0] VAR66 = 4'hf,
parameter [3:0] VAR114 = 4'h0,
parameter [3:0] VAR77 = 4'h0,
parameter [47:0] VAR167 = 48'h000000000000,
parameter [47:0] VAR78 = 48'h000000000000,
parameter [47:0] VAR203 = 48'h000000000000,
parameter [143:0] VAR93
= 144'h000000000000000000000000000000000000,
parameter [191:0] VAR79
= 192'h000000000000000000000000000000000000000000000000,
parameter [35:0] VAR130 = 36'h000000000,
parameter [11:0] VAR225 = 12'h000,
parameter [7:0] VAR262 = 8'h00,
parameter [95:0] VAR239 = 96'h000000000000000000000000,
parameter [95:0] VAR269 = 96'h000000000000000000000000,
parameter VAR252 = "VAR286",
parameter [119:0] VAR172 = 120'h000000000000000000000000000000,
parameter [11:0] VAR202 = 12'h000,
parameter [11:0] VAR157 = 12'h000,
parameter [11:0] VAR276 = 12'h000,
parameter [143:0] VAR199
= 144'h000000000000000000000000000000000000,
parameter [95:0] VAR120 = 96'h000000000000000000000000,
parameter [95:0] VAR113 = 96'h000000000000000000000000,
parameter [95:0] VAR287 = 96'h000000000000000000000000,
parameter [95:0] VAR97 = 96'h000000000000000000000000,
parameter [95:0] VAR39 = 96'h000000000000000000000000,
parameter [95:0] VAR2 = 96'h000000000000000000000000,
parameter [95:0] VAR80 = 96'h000000000000000000000000,
parameter [95:0] VAR107 = 96'h000000000000000000000000,
parameter [95:0] VAR108 = 96'h000000000000000000000000,
parameter [95:0] VAR17 = 96'h000000000000000000000000,
parameter [95:0] VAR270 = 96'h000000000000000000000000,
parameter [95:0] VAR131 = 96'h000000000000000000000000,
parameter [95:0] VAR303 = 96'h000000000000000000000000,
parameter [95:0] VAR20 = 96'h000000000000000000000000,
parameter [95:0] VAR268 = 96'h000000000000000000000000,
parameter [95:0] VAR216 = 96'h000000000000000000000000,
parameter [95:0] VAR291 = 96'h000000000000000000000000,
parameter [95:0] VAR4 = 96'h000000000000000000000000,
parameter [107:0] VAR243 = 108'h000000000000000000000000000,
parameter [107:0] VAR204 = 108'h000000000000000000000000000,
parameter [7:0] VAR275 = 8'b00000001,
parameter [7:0] VAR169 = 8'b00000000,
parameter VAR208 = "VAR189",
parameter [15:0] VAR101 = 16'h0000, parameter [11:0] VAR139 = 12'h000, parameter [2:0] VAR81 = 3'h0, parameter VAR186 = "VAR149",
parameter VAR224 = 300.0,
parameter VAR301 = 1, parameter VAR164 = 1, parameter VAR71 = 1 )
(
input clk,
input VAR104,
input VAR100 ,
input VAR215 ,
input VAR265,
input VAR235 ,
input rst,
inout [VAR60-1:0] VAR49,
inout [VAR125-1:0] VAR234,
inout [VAR125-1:0] VAR277,
output [VAR103-1:0] VAR266,
output [VAR244-1:0] VAR200,
output VAR294,
output [VAR272-1:0] VAR35,
output [VAR272-1:0] VAR41,
output [VAR52-1:0] VAR305,
output [VAR146*VAR3-1:0] VAR163,
output [VAR75-1:0] VAR96,
output [VAR45-1:0] VAR74,
output VAR222,
output VAR223,
output VAR232,
output VAR136,
output [VAR220-1:0] VAR302,
input [VAR156-1:0] VAR299,
input [2:0] VAR141,
input VAR32,
input VAR289,
input [VAR190-1:0] VAR175,
input VAR150,
input [VAR138-1:0] VAR278,
input VAR182,
input VAR72,
input [2*VAR122-1:0] VAR264,
output [2*VAR122-1:0] VAR295,
output [VAR190-1:0] VAR187,
output VAR22,
output VAR62,
output VAR207,
output VAR13,
input VAR59,
output VAR82,
input VAR36,
output VAR118,
input VAR95,
output VAR256,
input [11:0] VAR249,
input VAR188,
input VAR298,
input VAR102,
input VAR24,
input VAR84,
input [VAR194-1:0] VAR296,
output [6*VAR125*VAR42-1:0] VAR98,
output [6*VAR125*VAR42-1:0] VAR68,
output [VAR125-1:0] VAR178,
output [2*VAR122*VAR60-1:0] VAR6,
output [1:0] VAR162,
output [1:0] VAR64,
output [1:0] VAR153,
output [5:0] VAR177,
output VAR170,
output VAR69,
output VAR128,
output VAR112,
output [6*VAR125-1:0] VAR25,
output [3*VAR125-1:0] VAR111,
output VAR238,
input VAR192,
input VAR292,
input [VAR194:0] VAR260,
input VAR300,
input VAR76,
input VAR61,
input VAR86,
input VAR214,
output [6*VAR125*VAR42-1:0] VAR85,
output [5*VAR125*VAR42-1:0] VAR254,
output VAR105,
output [6*VAR125-1:0] VAR158,
output [3*VAR125-1:0] VAR273,
output VAR173,
input VAR282,
output [6*VAR42-1:0] VAR90,
output [255:0] VAR91,
output [255:0] VAR166,
output [255:0] VAR40,
output [99:0] VAR106,
output [255:0] VAR57,
output [255:0] VAR133,
output [255:0] VAR198,
output [5:0] VAR8,
output [8:0] VAR37,
output VAR92,
output VAR193,
output VAR201,
output VAR50,
output VAR15,
output VAR44,
output VAR58,
output VAR212,
output VAR219,
output [11:0] VAR123,
output [11:0] VAR159,
output [6*VAR42-1:0] VAR195,
output [6*VAR42-1:0] VAR176,
output [5:0] VAR144,
output [5:0] VAR297,
output [5:0] VAR53,
output VAR140,
output VAR168,
output [255:0] VAR242,
output [VAR280*16 -1:0] VAR281
);
wire VAR230;
wire [2*VAR122-1:0] VAR217;
wire [2*VAR122-1:0] VAR196;
wire [2*VAR122-1:0] VAR147;
wire [VAR1-1:0] VAR174;
wire [VAR38-1:0] VAR211;
wire VAR161;
wire [VAR65-1:0] VAR245;
wire [VAR38-1:0] VAR250;
wire VAR18;
wire [VAR65-1:0] VAR304;
wire VAR55;
wire VAR218;
wire [2*VAR122*VAR152-1:0] VAR26;
wire VAR70;
wire VAR21;
wire VAR290;
wire [VAR103-1:0] VAR236;
wire [VAR9-1:0] VAR183;
wire VAR233;
wire [VAR65-1:0] VAR47;
wire [VAR137-1:0] VAR210;
wire [2:0] VAR48;
wire [VAR244-1:0] VAR181;
wire [2*VAR122*VAR152-1:0] VAR132;
wire [2*VAR122*VAR152/8-1:0] VAR11;
wire VAR237;
wire VAR142;
wire VAR127;
wire VAR205;
wire VAR30;
wire VAR134;
wire VAR155;
wire VAR119;
wire VAR255;
reg reset ;
always @(posedge clk)
VAR143 #
(
.VAR165 (VAR165),
.VAR152 (VAR152),
.VAR19 (VAR19),
.VAR184 (VAR184),
.VAR244 (VAR244),
.VAR220 (VAR220),
.VAR16 (VAR16),
.VAR51 (VAR51),
.VAR126 (VAR126),
.VAR272 (VAR272),
.VAR137 (VAR137),
.VAR56 (VAR56),
.VAR146 (VAR146),
.VAR3 (VAR3),
.VAR52 (VAR52),
.VAR179 (VAR179),
.VAR65 (VAR65),
.VAR121 (VAR121),
.VAR38 (VAR38),
.VAR263 (VAR263),
.VAR75 (VAR75),
.VAR12 (VAR12),
.VAR60 (VAR60),
.VAR194 (VAR194),
.VAR125 (VAR125),
.VAR226 (VAR226),
.VAR280 (VAR280),
.VAR251 (VAR251),
.VAR10 (VAR10),
.VAR1 (VAR1),
.VAR224 (VAR224),
.VAR258 (VAR258),
.VAR229 (VAR229),
.VAR122 (VAR122),
.VAR23 (VAR23),
.VAR28 (VAR28),
.VAR284 (VAR284),
.VAR293 (VAR293),
.VAR109 (VAR109),
.VAR253 (VAR253),
.VAR285 (VAR285),
.VAR248 (VAR248),
.VAR171 (VAR171),
.VAR247 (VAR247),
.VAR231 (VAR231),
.VAR151 (VAR151),
.VAR279 (VAR279),
.VAR116 (VAR116),
.VAR283 (VAR283),
.VAR145 (VAR145),
.VAR99 (VAR99),
.VAR63 (VAR63),
.VAR241 (VAR241),
.VAR5 (VAR5),
.VAR228 (VAR228),
.VAR257 (VAR257),
.VAR67 (VAR67),
.VAR213 (VAR213),
.VAR261 (VAR261),
.VAR221 (VAR221),
.VAR288 (VAR288),
.VAR33 (VAR33),
.VAR110 (VAR110),
.VAR206 (VAR206),
.VAR124 (VAR124),
.VAR160 (VAR160),
.VAR9 (VAR9),
.VAR42 (VAR42),
.VAR45 (VAR45),
.VAR103 (VAR103),
.VAR186 (VAR186),
.VAR148 (VAR148),
.VAR34 (VAR34),
.VAR73 (VAR73),
.VAR197 (VAR197),
.VAR117 (VAR117),
.VAR27 (VAR27),
.VAR271 (VAR271),
.VAR66 (VAR66),
.VAR114 (VAR114),
.VAR77 (VAR77),
.VAR167 (VAR167),
.VAR78 (VAR78),
.VAR203 (VAR203),
.VAR93 (VAR93),
.VAR79 (VAR79),
.VAR130 (VAR130),
.VAR225 (VAR225),
.VAR262 (VAR262),
.VAR239 (VAR239),
.VAR269 (VAR269),
.VAR252 (VAR252),
.VAR172 (VAR172),
.VAR202 (VAR202),
.VAR157 (VAR157),
.VAR276 (VAR276),
.VAR199 (VAR199),
.VAR120 (VAR120),
.VAR113 (VAR113),
.VAR287 (VAR287),
.VAR97 (VAR97),
.VAR39 (VAR39),
.VAR2 (VAR2),
.VAR80 (VAR80),
.VAR107 (VAR107),
.VAR108 (VAR108),
.VAR17 (VAR17),
.VAR270 (VAR270),
.VAR131 (VAR131),
.VAR303 (VAR303),
.VAR20 (VAR20),
.VAR268 (VAR268),
.VAR216 (VAR216),
.VAR291 (VAR291),
.VAR4 (VAR4),
.VAR243 (VAR243),
.VAR204 (VAR204),
.VAR275 (VAR275),
.VAR169 (VAR169),
.VAR101 (VAR101),
.VAR139 (VAR139),
.VAR81 (VAR81),
.VAR88 (VAR88),
.VAR301 (VAR301),
.VAR164 (VAR164),
.VAR71 (VAR71)
)
VAR185
(
.clk (clk),
.VAR104 (VAR104),
.VAR100 (VAR100), .VAR215 (VAR215),
.VAR265 (VAR265),
.VAR235 (VAR235),
.rst (rst),
.VAR119 (VAR119),
.reset (reset),
.VAR155 (VAR155),
.VAR49 (VAR49),
.VAR234 (VAR234),
.VAR277 (VAR277),
.VAR266 (VAR266),
.VAR200 (VAR200),
.VAR294 (VAR294),
.VAR35 (VAR35),
.VAR41 (VAR41),
.VAR305 (VAR305),
.VAR163 (VAR163),
.VAR96 (VAR96),
.VAR74 (VAR74),
.VAR222 (VAR222),
.VAR223 (VAR223),
.VAR232 (VAR232),
.VAR136 (VAR136),
.VAR83 (VAR275),
.VAR115 (VAR169),
.VAR230 (VAR230),
.VAR181 (VAR181),
.VAR48 (VAR48),
.VAR210 (VAR210),
.VAR47 (VAR47),
.VAR132 (VAR132),
.VAR11 (VAR11),
.VAR183 (VAR183),
.VAR217 (VAR217),
.VAR236 (VAR236),
.VAR233 (VAR233),
.VAR290 (VAR290),
.VAR21 (VAR21),
.VAR55 (VAR55),
.VAR218 (VAR218),
.VAR196 (VAR196),
.VAR147 (VAR147),
.VAR174 (VAR174),
.VAR26 (VAR26),
.VAR304 (VAR304),
.VAR18 (VAR18),
.VAR70 (VAR70),
.VAR250 (VAR250),
.VAR245 (VAR245),
.VAR161 (VAR161),
.VAR211 (VAR211),
.VAR302 (VAR302),
.VAR238 (VAR238),
.VAR255 (VAR255),
.VAR59 (VAR237),
.VAR82 (VAR142),
.VAR36 (VAR127),
.VAR118 (VAR205),
.VAR95 (VAR30),
.VAR256 (VAR134),
.VAR249 (VAR249),
.VAR102 (VAR102),
.VAR188 (VAR188),
.VAR24 (VAR24),
.VAR298 (VAR298),
.VAR296 (VAR296),
.VAR84 (VAR84),
.VAR91 (VAR91),
.VAR98 (VAR98),
.VAR68 (VAR68),
.VAR40 (VAR40),
.VAR106 (VAR106),
.VAR25 (VAR25),
.VAR111 (VAR111),
.VAR178 (VAR178),
.VAR6 (VAR6),
.VAR162 (VAR162),
.VAR64 (VAR64),
.VAR153 (VAR153),
.VAR177 (VAR177),
.VAR170 (VAR170),
.VAR69 (VAR69),
.VAR128 (VAR128),
.VAR112 (VAR112),
.VAR192 (VAR192),
.VAR292 (VAR292),
.VAR260 (VAR260),
.VAR300 (VAR300),
.VAR76 (VAR76),
.VAR61 (VAR61),
.VAR86 (VAR86),
.VAR214 (VAR214),
.VAR85 (VAR85),
.VAR254 (VAR254),
.VAR105 (VAR105),
.VAR158 (VAR158),
.VAR273 (VAR273),
.VAR166 (VAR166),
.VAR8 (VAR8),
.VAR37 (VAR37),
.VAR173 (VAR173),
.VAR282 (VAR282),
.VAR90 (VAR90),
.VAR57 (VAR57),
.VAR133 (VAR133),
.VAR198 (VAR198),
.VAR92 (VAR92),
.VAR193 (VAR193),
.VAR201 (VAR201),
.VAR50 (VAR50),
.VAR15 (VAR15),
.VAR44 (VAR44),
.VAR58 (VAR58),
.VAR212 (VAR212),
.VAR219 (VAR219),
.VAR123 (VAR123),
.VAR159 (VAR159),
.VAR195 (VAR195),
.VAR176 (VAR176),
.VAR144 (VAR144),
.VAR297 (VAR297),
.VAR53 (VAR53),
.VAR242 (VAR242),
.VAR281 (VAR281),
.VAR140 (VAR140),
.VAR168 (VAR168)
);
VAR135 #
(
.VAR165 (VAR165),
.VAR190 (VAR190),
.VAR138 (VAR138),
.VAR244 (VAR244),
.VAR137 (VAR137),
.VAR279 (VAR279),
.VAR65 (VAR65),
.VAR251 (VAR251),
.VAR246 (VAR246),
.VAR122 (VAR122),
.VAR23 (VAR23),
.VAR42 (VAR42),
.VAR9 (VAR9),
.VAR103 (VAR103),
.VAR208 (VAR208)
)
VAR129
(
.VAR11 (VAR11[VAR138-1:0]),
.VAR132 (VAR132[VAR190-1:0]),
.VAR21 (VAR21),
.VAR290 (VAR290),
.VAR236 (VAR236),
.VAR217 (VAR217),
.VAR183 (VAR183),
.VAR233 (VAR233),
.VAR47 (VAR47),
.VAR210 (VAR210),
.VAR48 (VAR48),
.VAR181 (VAR181),
.VAR13 (VAR13),
.VAR207 (VAR207),
.VAR62 (VAR62),
.VAR22 (VAR22),
.VAR187 (VAR187),
.VAR295 (VAR295),
.VAR230 (VAR230),
.VAR211 (VAR211),
.VAR161 (VAR161),
.VAR245 (VAR245),
.rst (reset),
.VAR250 (VAR250),
.VAR70 (VAR70),
.VAR18 (VAR18),
.VAR304 (VAR304),
.VAR26 (VAR26[VAR190-1:0]),
.VAR147 (VAR147),
.clk (clk),
.VAR182 (VAR182),
.VAR278 (VAR278),
.VAR150 (VAR150),
.VAR175 (VAR175),
.VAR31 (1'b1),
.VAR264 (VAR264),
.VAR289 (VAR289),
.VAR32 (VAR32),
.VAR141 (VAR141),
.VAR299 (VAR299),
.VAR218 (VAR218),
.VAR55 (VAR55),
.VAR180 (VAR72),
.VAR59 (VAR59),
.VAR29 (VAR237),
.VAR14 (VAR142),
.VAR82 (VAR82),
.VAR36 (VAR36),
.VAR54 (VAR127),
.VAR46 (VAR205),
.VAR118 (VAR118),
.VAR95 (VAR95),
.VAR94 (VAR30),
.VAR89 (VAR134),
.VAR256 (VAR256)
);
endmodule
|
mit
|
hoglet67/CoPro6502
|
src/zet/zet/zet_core.v
| 6,545 |
module MODULE1 (
input clk,
input rst,
input VAR36,
output VAR59,
input VAR16,
output VAR40,
output [19:0] VAR49,
input [15:0] VAR8,
input [15:0] VAR56,
output [15:0] VAR82,
output VAR24,
input VAR28,
output VAR37,
output VAR84,
output VAR25,
output [19:0] VAR5 );
wire [VAR26-1:0] VAR21;
wire [15:0] VAR72;
wire [15:0] VAR44;
wire VAR22;
wire [15:0] VAR23;
wire [15:0] VAR96;
wire VAR71;
wire VAR68;
wire VAR78;
wire VAR67;
wire VAR85;
wire VAR91;
wire VAR66;
wire VAR87;
wire VAR75;
wire VAR55;
wire [19:0] VAR73;
wire VAR58;
wire VAR19;
wire [VAR77-1:0] VAR80;
wire [3:0] VAR34;
wire [3:0] VAR6;
wire [3:0] VAR3;
wire [3:0] VAR60;
wire [1:0] VAR20;
wire VAR4;
wire [2:0] VAR93;
wire VAR15;
wire [7:0] VAR65;
wire [7:0] VAR31;
wire VAR30;
wire VAR94;
wire VAR88;
wire [2:0] VAR13;
wire VAR9;
wire VAR33;
wire VAR69;
wire VAR39;
wire VAR47;
wire VAR42;
wire [15:0] VAR48;
wire [15:0] VAR54;
wire [15:0] VAR83;
wire [VAR26-1:0] VAR32;
wire [5:0] VAR43;
wire [15:0] VAR53;
wire VAR46;
wire VAR38;
wire VAR62;
wire VAR74;
reg VAR27;
reg VAR61;
reg VAR90;
reg VAR14;
reg VAR86;
wire VAR45;
VAR79 VAR76 (
.clk (clk),
.rst (rst),
.VAR65 (VAR65),
.VAR31 (VAR31),
.VAR30 (VAR30),
.VAR94 (VAR94),
.VAR88 (VAR88),
.VAR13 (VAR13),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR69 (VAR69),
.VAR39 (VAR39),
.VAR47 (VAR47),
.VAR42 (VAR42),
.VAR4 (VAR4),
.VAR48 (VAR48),
.VAR54 (VAR54),
.VAR43 (VAR43),
.VAR53 (VAR53),
.VAR22 (VAR22),
.VAR23 (VAR23),
.VAR96 (VAR96),
.VAR71 (VAR71),
.VAR68 (VAR68),
.VAR67 (VAR67),
.VAR91 (VAR91),
.VAR66 (VAR66),
.VAR75 (VAR75),
.VAR55 (VAR55),
.VAR57 (VAR56),
.VAR5 (VAR5),
.VAR58 (VAR58),
.VAR50 (VAR46),
.VAR36 (VAR36),
.VAR90 (VAR90),
.VAR45 (VAR45)
);
VAR12 VAR95 (
.clk (clk),
.rst (rst),
.VAR65 (VAR65),
.VAR31 (VAR31),
.VAR30 (VAR30),
.VAR50 (VAR46),
.VAR94 (VAR94),
.VAR55 (VAR55),
.VAR88 (VAR88),
.VAR15 (VAR15),
.VAR85 (VAR85),
.VAR91 (VAR91),
.VAR9 (VAR9),
.VAR33 (VAR33),
.VAR69 (VAR69),
.VAR39 (VAR39),
.VAR47 (VAR47),
.VAR13 (VAR13),
.VAR36 (VAR36),
.VAR78 (VAR78),
.VAR67 (VAR67),
.VAR59 (VAR59),
.VAR42 (VAR42),
.VAR90 (VAR90),
.VAR40 (VAR40),
.VAR87 (VAR87),
.VAR66 (VAR66),
.VAR80 (VAR80),
.VAR34 (VAR34),
.VAR6 (VAR6),
.VAR3 (VAR3),
.VAR60 (VAR60),
.VAR20 (VAR20),
.VAR63 (VAR93),
.VAR4 (VAR4),
.VAR45 (VAR45)
);
VAR52 VAR51 (
.VAR18 (VAR80),
.VAR35 (VAR48),
.VAR70 (VAR54),
.VAR34 (VAR34),
.VAR6 (VAR6),
.VAR3 (VAR3),
.VAR60 (VAR60),
.VAR20 (VAR20),
.VAR93 (VAR93),
.VAR15 (VAR15),
.VAR4 (VAR4),
.VAR21 (VAR32),
.VAR92 (VAR72),
.VAR89 (VAR83)
);
VAR7 VAR2 (
.clk (clk),
.rst (rst),
.VAR21 (VAR21),
.VAR72 (VAR72),
.VAR44 (VAR44),
.VAR22 (VAR22),
.VAR23 (VAR23),
.VAR96 (VAR96),
.VAR71 (VAR71),
.VAR68 (VAR68),
.VAR78 (VAR78),
.VAR85 (VAR85),
.VAR75 (VAR75),
.VAR55 (VAR55),
.VAR87 (VAR87),
.VAR29 (VAR8),
.VAR1 (VAR82),
.addr (VAR73),
.VAR10 (VAR25),
.VAR64 (VAR84),
.VAR41 (VAR19),
.VAR50 (VAR46)
);
assign VAR49 = VAR94 ? VAR73 : VAR5;
assign VAR24 = VAR94 ? VAR19 : VAR58;
assign VAR37 = VAR21[VAR81];
assign VAR21 = VAR94 ? VAR32 : VAR11;
assign VAR44 = VAR94 ? VAR83 : VAR53;
assign VAR43 = VAR32[28:23];
assign VAR38 = ((VAR65 == VAR17) && VAR94);
assign VAR62 = (VAR38 && !VAR27 && !VAR74);
assign VAR74 = (VAR36 & VAR78) | VAR90;
assign VAR46 = VAR28 | VAR61 | VAR62;
always @(posedge clk)
if (rst)
VAR27 <= 1'b0;
else
if (VAR38)
VAR27 <= 1'b1;
else
VAR27 <= 1'b0;
always @(posedge clk)
if (rst)
VAR61 <= 1'b0;
else
if (VAR62)
VAR61 <= 1'b1;
else if (VAR74)
VAR61 <= 1'b0;
always @(posedge clk)
if (rst)
begin
VAR90 <= 1'b0;
VAR14 <= 1'b0;
VAR86 <= 1'b0;
end
else
begin
VAR14 <= VAR16;
VAR86 <= VAR40;
if (VAR16 & ~VAR14)
VAR90 <= 1'b1;
end
else if (VAR86)
VAR90 <= 1'b0;
end
endmodule
|
gpl-3.0
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/BCHSharedKESforTiger4/src/d_parallel_FFM_gate_GF12.v
| 6,621 |
module MODULE1
(
input wire [11: 0] VAR3, input wire [11: 0] VAR4,
output wire [11: 0] VAR2 );
wire [11: 6] VAR14; wire [ 5: 0] VAR6;
wire [11: 6] VAR13; wire [ 5: 0] VAR7;
wire [16: 6] VAR9; wire [10: 0] VAR16; wire [22:12] VAR5; wire [16: 6] VAR8;
wire [22: 0] VAR12;
assign VAR14[11: 6] = VAR3[11: 6];
assign VAR6[ 5: 0] = VAR3[ 5: 0];
assign VAR13[11: 6] = VAR4[11: 6];
assign VAR7[ 5: 0] = VAR4[ 5: 0];
VAR1 VAR15 (
.VAR19(VAR14[11: 6]),
.VAR10(VAR7[ 5: 0]),
.or(VAR9[16: 6]));
VAR1 VAR17 (
.VAR19(VAR6[ 5: 0]),
.VAR10(VAR7[ 5: 0]),
.or(VAR16[10: 0]));
VAR1 VAR11 (
.VAR19(VAR14[11: 6]),
.VAR10(VAR13[11: 6]),
.or(VAR5[22:12]));
VAR1 VAR18 (
.VAR19(VAR6[ 5: 0]),
.VAR10(VAR13[11: 6]),
.or(VAR8[16: 6]));
assign VAR12[22:17] = VAR5[22:17];
assign VAR12[16:12] = VAR5[16:12] ^ VAR8[16:12] ^ VAR9[16:12];
assign VAR12[11] = VAR8[11] ^ VAR9[11];
assign VAR12[10: 6] = VAR8[10: 6] ^ VAR9[10: 6] ^ VAR16[10: 6];
assign VAR12[ 5: 0] = VAR16[ 5: 0];
assign VAR2[11] = VAR12[11] ^ VAR12[16] ^ VAR12[19] ^ VAR12[20] ^ VAR12[21];
assign VAR2[10] = VAR12[10] ^ VAR12[15] ^ VAR12[18] ^ VAR12[19] ^ VAR12[20] ^ VAR12[22];
assign VAR2[ 9] = VAR12[ 9] ^ VAR12[14] ^ VAR12[17] ^ VAR12[18] ^ VAR12[19] ^ VAR12[21];
assign VAR2[ 8] = VAR12[ 8] ^ VAR12[13] ^ VAR12[16] ^ VAR12[17] ^ VAR12[18] ^ VAR12[20];
assign VAR2[ 7] = VAR12[ 7] ^ VAR12[12] ^ VAR12[15] ^ VAR12[16] ^ VAR12[17] ^ VAR12[19] ^ VAR12[22];
assign VAR2[ 6] = VAR12[ 6] ^ VAR12[14] ^ VAR12[15] ^ VAR12[18] ^ VAR12[19] ^ VAR12[20] ^ VAR12[22];
assign VAR2[ 5] = VAR12[ 5] ^ VAR12[13] ^ VAR12[14] ^ VAR12[17] ^ VAR12[18] ^ VAR12[19] ^ VAR12[21] ^ VAR12[22];
assign VAR2[ 4] = VAR12[ 4] ^ VAR12[12] ^ VAR12[13] ^ VAR12[16] ^ VAR12[17] ^ VAR12[18] ^ VAR12[20] ^ VAR12[21];
assign VAR2[ 3] = VAR12[ 3] ^ VAR12[12] ^ VAR12[15] ^ VAR12[17] ^ VAR12[21] ^ VAR12[22];
assign VAR2[ 2] = VAR12[ 2] ^ VAR12[14] ^ VAR12[19] ^ VAR12[22];
assign VAR2[ 1] = VAR12[ 1] ^ VAR12[13] ^ VAR12[18] ^ VAR12[21] ^ VAR12[22];
assign VAR2[ 0] = VAR12[ 0] ^ VAR12[12] ^ VAR12[17] ^ VAR12[20] ^ VAR12[21] ^ VAR12[22];
endmodule
|
gpl-3.0
|
tdaede/daala_zynq
|
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axis_register_slice_0_0/axis_infrastructure_v1_1/hdl/verilog/axis_infrastructure_v1_1_clock_synchronizer.v
| 4,392 |
module MODULE1 # (
parameter integer VAR7 = 4
)
(
input wire clk,
input wire VAR1 ,
output wire VAR4
);
localparam integer VAR6 = (VAR7 > 0) ? VAR7 : 1;
reg [VAR6-1:0] VAR2 = 'b0;
generate
if (VAR7 > 0) begin : VAR9
genvar VAR3;
always @(posedge clk) begin
VAR2[0] <= VAR1;
end
for (VAR3 = 1; VAR3 < VAR7 ; VAR3 = VAR3 + 1) begin : VAR8
always @(posedge clk) begin
VAR2[VAR3] <= VAR2[VAR3-1];
end
end
assign VAR4 = VAR2[VAR7-1];
end
else begin : VAR5
assign VAR4 = VAR1;
end
endgenerate
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/xor3/sky130_fd_sc_hs__xor3_1.v
| 2,072 |
module MODULE2 (
VAR2 ,
VAR7 ,
VAR1 ,
VAR3 ,
VAR8,
VAR6
);
output VAR2 ;
input VAR7 ;
input VAR1 ;
input VAR3 ;
input VAR8;
input VAR6;
VAR5 VAR4 (
.VAR2(VAR2),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR2,
VAR7,
VAR1,
VAR3
);
output VAR2;
input VAR7;
input VAR1;
input VAR3;
supply1 VAR8;
supply0 VAR6;
VAR5 VAR4 (
.VAR2(VAR2),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
archlabo/Frix
|
common/ao486_rst_controller.v
| 1,932 |
module MODULE1
(
input wire VAR2,
input wire rst,
output reg VAR1,
input wire [1:0] address,
input wire write,
input wire [31:0] VAR3
);
always @(posedge VAR2) begin
if(rst) begin
VAR1 <= 1;
end else begin
if(write && VAR3[0] == 1'b0 && address == 4'b0000)
VAR1 <= 0;
end
else if(write && VAR3[0] == 1'b1 && address == 4'b0000)
VAR1 <= 1;
end
end
endmodule
|
bsd-2-clause
|
MeshSr/onetswitch30
|
ons30-app52-ref_ofshw/vivado/onets_7030_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/output_queue_reg_master.v
| 74,600 |
module MODULE1
(
input [31:0] VAR65 ,
input [31:0] VAR24 ,
input VAR32 ,
input VAR58 ,
output reg VAR50 ,
output reg[31:0] VAR11 ,
input clk,
input reset,
output reg[5:0]VAR52,
output reg[5:0]VAR44,
output reg[5:0]VAR4,
output reg[5:0]VAR51,
output reg[5:0]VAR67,
output reg[5:0]VAR9,
input [5:0]VAR40 ,
input [5:0]VAR21 ,
input [5:0]VAR61 ,
input [5:0]VAR64 ,
input [5:0]VAR33 ,
input [5:0]VAR59 ,
input [5:0]VAR34 ,
input [5:0]VAR31 ,
input [31:0]VAR22 ,
input [31:0]VAR48 ,
input [31:0]VAR41 ,
input [31:0]VAR62 ,
input [31:0]VAR1 ,
input [31:0]VAR35 ,
input [31:0]VAR38 ,
input [31:0]VAR25 ,
input [5:0]VAR18 ,
input [5:0]VAR68 ,
input [5:0]VAR13 ,
input [5:0]VAR53 ,
input [5:0]VAR37 ,
input [5:0]VAR54 ,
input [5:0]VAR14 ,
input [5:0]VAR16
);
begin
reg [31:0]VAR3[5:0];
reg [31:0]VAR7[5:0];
reg [31:0]VAR5[5:0];
reg [31:0]VAR15[5:0];
reg [31:0]VAR49[5:0];
reg [31:0]VAR23[5:0];
reg [31:0]VAR55[5:0];
reg [31:0]VAR28[5:0];
reg [31:0]VAR63[5:0];
reg [31:0]VAR2[5:0];
reg [31:0]VAR47[5:0];
reg [31:0]VAR57[5:0];
reg [31:0]VAR27[5:0];
reg [31:0]VAR66[5:0];
reg [31:0]VAR8[5:0];
reg [31:0]VAR10[5:0];
reg [31:0]VAR26[5:0];
reg [31:0]VAR56[5:0];
reg [31:0]VAR36[5:0];
reg [31:0]VAR17[5:0];
reg [31:0]VAR60[5:0];
reg [31:0]VAR19[5:0];
reg [31:0]VAR46[5:0];
reg [31:0]VAR29[5:0];
reg [31:0]VAR6[5:0];
reg [31:0]VAR43[5:0];
reg [31:0]VAR39[5:0];
reg [31:0]VAR12[5:0];
reg [31:0]VAR30[5:0];
reg [31:0]VAR20[5:0];
reg [31:0]VAR42[5:0];
reg [31:0]VAR45[5:0];
always@(posedge clk)
if(reset)
begin
VAR6[0]<=0;
VAR6[1]<=0;
VAR6[2]<=0;
VAR6[3]<=0;
VAR6[4]<=0;
VAR26[0]<=0;
VAR26[1]<=0;
VAR26[2]<=0;
VAR26[3]<=0;
VAR26[4]<=0;
VAR3[0]<=0;
VAR3[1]<=0;
VAR3[2]<=0;
VAR3[3]<=0;
VAR3[4]<=0;
VAR63[0]<=0;
VAR63[1]<=0;
VAR63[2]<=0;
VAR63[3]<=0;
VAR63[4]<=0;
end
else if(|VAR40)
begin
case(VAR40)
6'b000001:
begin
VAR6[0]<=VAR6[0]+1;
VAR26[0]<=VAR26[0]+VAR22;
end
6'b000010:
begin
VAR6[1]<=VAR6[1]+1;
VAR26[1]<=VAR26[1]+VAR22;
end
6'b000100:
begin
VAR6[2]<=VAR6[2]+1;
VAR26[2]<=VAR26[2]+VAR22;
end
6'b001000:
begin
VAR6[3]<=VAR6[3]+1;
VAR26[3]<=VAR26[3]+VAR22;
end
6'b010000:
begin
VAR6[4]<=VAR6[4]+1;
VAR26[4]<=VAR26[4]+VAR22;
end
6'b100000:
begin
VAR6[5]<=VAR6[5]+1;
VAR26[5]<=VAR26[5]+VAR22;
end
endcase
end
else if(|VAR18)
begin
case(VAR18)
6'b000001:
begin
VAR3[0]<=VAR3[0]+1;
VAR63[0]<=VAR63[0]+VAR22;
end
6'b000010:
begin
VAR3[1]<=VAR3[1]+1;
VAR63[1]<=VAR63[1]+VAR22;
end
6'b000100:
begin
VAR3[2]<=VAR3[2]+1;
VAR63[2]<=VAR63[2]+VAR22;
end
6'b001000:
begin
VAR3[3]<=VAR3[3]+1;
VAR63[3]<=VAR63[3]+VAR22;
end
6'b010000:
begin
VAR3[4]<=VAR3[4]+1;
VAR63[4]<=VAR63[4]+VAR22;
end
6'b100000:
begin
VAR3[5]<=VAR3[5]+1;
VAR63[5]<=VAR63[5]+VAR22;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR43[0]<=0;
VAR43[1]<=0;
VAR43[2]<=0;
VAR43[3]<=0;
VAR43[4]<=0;
VAR56[0]<=0;
VAR56[1]<=0;
VAR56[2]<=0;
VAR56[3]<=0;
VAR56[4]<=0;
VAR7[0]<=0;
VAR7[1]<=0;
VAR7[2]<=0;
VAR7[3]<=0;
VAR7[4]<=0;
VAR2[0]<=0;
VAR2[1]<=0;
VAR2[2]<=0;
VAR2[3]<=0;
VAR2[4]<=0;
end
else if(|VAR21)
begin
case(VAR21)
6'b000001:
begin
VAR43[0]<=VAR43[0]+1;
VAR56[0]<=VAR56[0]+VAR48;
end
6'b000010:
begin
VAR43[1]<=VAR43[1]+1;
VAR56[1]<=VAR56[1]+VAR48;
end
6'b000100:
begin
VAR43[2]<=VAR43[2]+1;
VAR56[2]<=VAR56[2]+VAR48;
end
6'b001000:
begin
VAR43[3]<=VAR43[3]+1;
VAR56[3]<=VAR56[3]+VAR48;
end
6'b010000:
begin
VAR43[4]<=VAR43[4]+1;
VAR56[4]<=VAR56[4]+VAR48;
end
6'b100000:
begin
VAR43[5]<=VAR43[5]+1;
VAR56[5]<=VAR56[5]+VAR48;
end
endcase
end
else if(|VAR68)
begin
case(VAR68)
6'b000001:
begin
VAR7[0]<=VAR7[0]+1;
VAR2[0]<=VAR2[0]+VAR48;
end
6'b000010:
begin
VAR7[1]<=VAR7[1]+1;
VAR2[1]<=VAR2[1]+VAR48;
end
6'b000100:
begin
VAR7[2]<=VAR7[2]+1;
VAR2[2]<=VAR2[2]+VAR48;
end
6'b001000:
begin
VAR7[3]<=VAR7[3]+1;
VAR2[3]<=VAR2[3]+VAR48;
end
6'b010000:
begin
VAR7[4]<=VAR7[4]+1;
VAR2[4]<=VAR2[4]+VAR48;
end
6'b100000:
begin
VAR7[5]<=VAR7[5]+1;
VAR2[5]<=VAR2[5]+VAR48;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR39[0]<=0;
VAR39[1]<=0;
VAR39[2]<=0;
VAR39[3]<=0;
VAR39[4]<=0;
VAR36[0]<=0;
VAR36[1]<=0;
VAR36[2]<=0;
VAR36[3]<=0;
VAR36[4]<=0;
VAR5[0]<=0;
VAR5[1]<=0;
VAR5[2]<=0;
VAR5[3]<=0;
VAR5[4]<=0;
VAR47[0]<=0;
VAR47[1]<=0;
VAR47[2]<=0;
VAR47[3]<=0;
VAR47[4]<=0;
end
else if(|VAR61)
begin
case(VAR61)
6'b000001:
begin
VAR39[0]<=VAR39[0]+1;
VAR36[0]<=VAR36[0]+VAR41;
end
6'b000010:
begin
VAR39[1]<=VAR39[1]+1;
VAR36[1]<=VAR36[1]+VAR41;
end
6'b000100:
begin
VAR39[2]<=VAR39[2]+1;
VAR36[2]<=VAR36[2]+VAR41;
end
6'b001000:
begin
VAR39[3]<=VAR39[3]+1;
VAR36[3]<=VAR36[3]+VAR41;
end
6'b010000:
begin
VAR39[4]<=VAR39[4]+1;
VAR36[4]<=VAR36[4]+VAR41;
end
6'b100000:
begin
VAR39[5]<=VAR39[5]+1;
VAR36[5]<=VAR36[5]+VAR41;
end
endcase
end
else if(|VAR13)
begin
case(VAR13)
6'b000001:
begin
VAR5[0]<=VAR5[0]+1;
VAR47[0]<=VAR47[0]+VAR41;
end
6'b000010:
begin
VAR5[1]<=VAR5[1]+1;
VAR47[1]<=VAR47[1]+VAR41;
end
6'b000100:
begin
VAR5[2]<=VAR5[2]+1;
VAR47[2]<=VAR47[2]+VAR41;
end
6'b001000:
begin
VAR5[3]<=VAR5[3]+1;
VAR47[3]<=VAR47[3]+VAR41;
end
6'b010000:
begin
VAR5[4]<=VAR5[4]+1;
VAR47[4]<=VAR47[4]+VAR41;
end
6'b100000:
begin
VAR5[5]<=VAR5[5]+1;
VAR47[5]<=VAR47[5]+VAR41;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR12[0]<=0;
VAR12[1]<=0;
VAR12[2]<=0;
VAR12[3]<=0;
VAR12[4]<=0;
VAR17[0]<=0;
VAR17[1]<=0;
VAR17[2]<=0;
VAR17[3]<=0;
VAR17[4]<=0;
VAR15[0]<=0;
VAR15[1]<=0;
VAR15[2]<=0;
VAR15[3]<=0;
VAR15[4]<=0;
VAR57[0]<=0;
VAR57[1]<=0;
VAR57[2]<=0;
VAR57[3]<=0;
VAR57[4]<=0;
end
else if(|VAR64)
begin
case(VAR64)
6'b000001:
begin
VAR12[0]<=VAR12[0]+1;
VAR17[0]<=VAR17[0]+VAR62;
end
6'b000010:
begin
VAR12[1]<=VAR12[1]+1;
VAR17[1]<=VAR17[1]+VAR62;
end
6'b000100:
begin
VAR12[2]<=VAR12[2]+1;
VAR17[2]<=VAR17[2]+VAR62;
end
6'b001000:
begin
VAR12[3]<=VAR12[3]+1;
VAR17[3]<=VAR17[3]+VAR62;
end
6'b010000:
begin
VAR12[4]<=VAR12[4]+1;
VAR17[4]<=VAR17[4]+VAR62;
end
6'b100000:
begin
VAR12[5]<=VAR12[5]+1;
VAR17[5]<=VAR17[5]+VAR62;
end
endcase
end
else if(|VAR53)
begin
case(VAR53)
6'b000001:
begin
VAR15[0]<=VAR15[0]+1;
VAR57[0]<=VAR57[0]+VAR62;
end
6'b000010:
begin
VAR15[1]<=VAR15[1]+1;
VAR57[1]<=VAR57[1]+VAR62;
end
6'b000100:
begin
VAR15[2]<=VAR15[2]+1;
VAR57[2]<=VAR57[2]+VAR62;
end
6'b001000:
begin
VAR15[3]<=VAR15[3]+1;
VAR57[3]<=VAR57[3]+VAR62;
end
6'b010000:
begin
VAR15[4]<=VAR15[4]+1;
VAR57[4]<=VAR57[4]+VAR62;
end
6'b100000:
begin
VAR15[5]<=VAR15[5]+1;
VAR57[5]<=VAR57[5]+VAR62;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR30[0]<=0;
VAR30[1]<=0;
VAR30[2]<=0;
VAR30[3]<=0;
VAR30[4]<=0;
VAR60[0]<=0;
VAR60[1]<=0;
VAR60[2]<=0;
VAR60[3]<=0;
VAR60[4]<=0;
VAR49[0]<=0;
VAR49[1]<=0;
VAR49[2]<=0;
VAR49[3]<=0;
VAR49[4]<=0;
VAR27[0]<=0;
VAR27[1]<=0;
VAR27[2]<=0;
VAR27[3]<=0;
VAR27[4]<=0;
end
else if(|VAR33)
begin
case(VAR33)
6'b000001:
begin
VAR30[0]<=VAR30[0]+1;
VAR60[0]<=VAR60[0]+VAR1;
end
6'b000010:
begin
VAR30[1]<=VAR30[1]+1;
VAR60[1]<=VAR60[1]+VAR1;
end
6'b000100:
begin
VAR30[2]<=VAR30[2]+1;
VAR60[2]<=VAR60[2]+VAR1;
end
6'b001000:
begin
VAR30[3]<=VAR30[3]+1;
VAR60[3]<=VAR60[3]+VAR1;
end
6'b010000:
begin
VAR30[4]<=VAR30[4]+1;
VAR60[4]<=VAR60[4]+VAR1;
end
6'b100000:
begin
VAR30[5]<=VAR30[5]+1;
VAR60[5]<=VAR60[5]+VAR1;
end
endcase
end
else if(|VAR37)
begin
case(VAR37)
6'b000001:
begin
VAR49[0]<=VAR49[0]+1;
VAR27[0]<=VAR27[0]+VAR1;
end
6'b000010:
begin
VAR49[1]<=VAR49[1]+1;
VAR27[1]<=VAR27[1]+VAR1;
end
6'b000100:
begin
VAR49[2]<=VAR49[2]+1;
VAR27[2]<=VAR27[2]+VAR1;
end
6'b001000:
begin
VAR49[3]<=VAR49[3]+1;
VAR27[3]<=VAR27[3]+VAR1;
end
6'b010000:
begin
VAR49[4]<=VAR49[4]+1;
VAR27[4]<=VAR27[4]+VAR1;
end
6'b100000:
begin
VAR49[5]<=VAR49[5]+1;
VAR27[5]<=VAR27[5]+VAR1;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR20[0]<=0;
VAR20[1]<=0;
VAR20[2]<=0;
VAR20[3]<=0;
VAR20[4]<=0;
VAR19[0]<=0;
VAR19[1]<=0;
VAR19[2]<=0;
VAR19[3]<=0;
VAR19[4]<=0;
VAR23[0]<=0;
VAR23[1]<=0;
VAR23[2]<=0;
VAR23[3]<=0;
VAR23[4]<=0;
VAR66[0]<=0;
VAR66[1]<=0;
VAR66[2]<=0;
VAR66[3]<=0;
VAR66[4]<=0;
end
else if(|VAR59)
begin
case(VAR59)
6'b000001:
begin
VAR20[0]<=VAR20[0]+1;
VAR19[0]<=VAR19[0]+VAR35;
end
6'b000010:
begin
VAR20[1]<=VAR20[1]+1;
VAR19[1]<=VAR19[1]+VAR35;
end
6'b000100:
begin
VAR20[2]<=VAR20[2]+1;
VAR19[2]<=VAR19[2]+VAR35;
end
6'b001000:
begin
VAR20[3]<=VAR20[3]+1;
VAR19[3]<=VAR19[3]+VAR35;
end
6'b010000:
begin
VAR20[4]<=VAR20[4]+1;
VAR19[4]<=VAR19[4]+VAR35;
end
6'b100000:
begin
VAR20[5]<=VAR20[5]+1;
VAR19[5]<=VAR19[5]+VAR35;
end
endcase
end
else if(|VAR54)
begin
case(VAR54)
6'b000001:
begin
VAR23[0]<=VAR23[0]+1;
VAR66[0]<=VAR66[0]+VAR35;
end
6'b000010:
begin
VAR23[1]<=VAR23[1]+1;
VAR66[1]<=VAR66[1]+VAR35;
end
6'b000100:
begin
VAR23[2]<=VAR23[2]+1;
VAR66[2]<=VAR66[2]+VAR35;
end
6'b001000:
begin
VAR23[3]<=VAR23[3]+1;
VAR66[3]<=VAR66[3]+VAR35;
end
6'b010000:
begin
VAR23[4]<=VAR23[4]+1;
VAR66[4]<=VAR66[4]+VAR35;
end
6'b100000:
begin
VAR23[5]<=VAR23[5]+1;
VAR66[5]<=VAR66[5]+VAR35;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR42[0]<=0;
VAR42[1]<=0;
VAR42[2]<=0;
VAR42[3]<=0;
VAR42[4]<=0;
VAR46[0]<=0;
VAR46[1]<=0;
VAR46[2]<=0;
VAR46[3]<=0;
VAR46[4]<=0;
VAR55[0]<=0;
VAR55[1]<=0;
VAR55[2]<=0;
VAR55[3]<=0;
VAR55[4]<=0;
VAR8[0]<=0;
VAR8[1]<=0;
VAR8[2]<=0;
VAR8[3]<=0;
VAR8[4]<=0;
end
else if(|VAR34)
begin
case(VAR34)
6'b000001:
begin
VAR42[0]<=VAR42[0]+1;
VAR46[0]<=VAR46[0]+VAR38;
end
6'b000010:
begin
VAR42[1]<=VAR42[1]+1;
VAR46[1]<=VAR46[1]+VAR38;
end
6'b000100:
begin
VAR42[2]<=VAR42[2]+1;
VAR46[2]<=VAR46[2]+VAR38;
end
6'b001000:
begin
VAR42[3]<=VAR42[3]+1;
VAR46[3]<=VAR46[3]+VAR38;
end
6'b010000:
begin
VAR42[4]<=VAR42[4]+1;
VAR46[4]<=VAR46[4]+VAR38;
end
6'b100000:
begin
VAR42[5]<=VAR42[5]+1;
VAR46[5]<=VAR46[5]+VAR38;
end
endcase
end
else if(|VAR14)
begin
case(VAR14)
6'b000001:
begin
VAR55[0]<=VAR55[0]+1;
VAR8[0]<=VAR8[0]+VAR38;
end
6'b000010:
begin
VAR55[1]<=VAR55[1]+1;
VAR8[1]<=VAR8[1]+VAR38;
end
6'b000100:
begin
VAR55[2]<=VAR55[2]+1;
VAR8[2]<=VAR8[2]+VAR38;
end
6'b001000:
begin
VAR55[3]<=VAR55[3]+1;
VAR8[3]<=VAR8[3]+VAR38;
end
6'b010000:
begin
VAR55[4]<=VAR55[4]+1;
VAR8[4]<=VAR8[4]+VAR38;
end
6'b100000:
begin
VAR55[5]<=VAR55[5]+1;
VAR8[5]<=VAR8[5]+VAR38;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR45[0]<=0;
VAR45[1]<=0;
VAR45[2]<=0;
VAR45[3]<=0;
VAR45[4]<=0;
VAR29[0]<=0;
VAR29[1]<=0;
VAR29[2]<=0;
VAR29[3]<=0;
VAR29[4]<=0;
VAR28[0]<=0;
VAR28[1]<=0;
VAR28[2]<=0;
VAR28[3]<=0;
VAR28[4]<=0;
VAR10[0]<=0;
VAR10[1]<=0;
VAR10[2]<=0;
VAR10[3]<=0;
VAR10[4]<=0;
end
else if(|VAR31)
begin
case(VAR31)
6'b000001:
begin
VAR45[0]<=VAR45[0]+1;
VAR29[0]<=VAR29[0]+VAR25;
end
6'b000010:
begin
VAR45[1]<=VAR45[1]+1;
VAR29[1]<=VAR29[1]+VAR25;
end
6'b000100:
begin
VAR45[2]<=VAR45[2]+1;
VAR29[2]<=VAR29[2]+VAR25;
end
6'b001000:
begin
VAR45[3]<=VAR45[3]+1;
VAR29[3]<=VAR29[3]+VAR25;
end
6'b010000:
begin
VAR45[4]<=VAR45[4]+1;
VAR29[4]<=VAR29[4]+VAR25;
end
6'b100000:
begin
VAR45[5]<=VAR45[5]+1;
VAR29[5]<=VAR29[5]+VAR25;
end
endcase
end
else if(|VAR16)
begin
case(VAR16)
6'b000001:
begin
VAR28[0]<=VAR28[0]+1;
VAR10[0]<=VAR10[0]+VAR25;
end
6'b000010:
begin
VAR28[1]<=VAR28[1]+1;
VAR10[1]<=VAR10[1]+VAR25;
end
6'b000100:
begin
VAR28[2]<=VAR28[2]+1;
VAR10[2]<=VAR10[2]+VAR25;
end
6'b001000:
begin
VAR28[3]<=VAR28[3]+1;
VAR10[3]<=VAR10[3]+VAR25;
end
6'b010000:
begin
VAR28[4]<=VAR28[4]+1;
VAR10[4]<=VAR10[4]+VAR25;
end
6'b100000:
begin
VAR28[5]<=VAR28[5]+1;
VAR10[5]<=VAR10[5]+VAR25;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR52<=1;
VAR44<=2;
VAR4<=3;
VAR51<=4;
VAR67<=5;
VAR9<=6;
VAR11<=32'hdeadbeef;
end
else if(VAR32 && VAR58==0)
case(VAR24[7:0])
0:VAR52<=VAR65;
4:VAR44<=VAR65;
8:VAR4<=VAR65;
16:VAR51<=VAR65;
20:VAR67<=VAR65;
24:VAR9<=VAR65;
endcase
end
else if(VAR32 && VAR58==1)
case(VAR24[7:0])
0:VAR11<=VAR52;
4:VAR11<=VAR44;
8:VAR11<=VAR4;
12:VAR11<=VAR51;
16:VAR11<=VAR67;
20:VAR11<=VAR9;
case(VAR24[19:16])
0:VAR11<=VAR6[VAR24[15:8]];
1:VAR11<=VAR43[VAR24[15:8]];
2:VAR11<=VAR39[VAR24[15:8]];
3:VAR11<=VAR12[VAR24[15:8]];
4:VAR11<=VAR30[VAR24[15:8]];
5:VAR11<=VAR20[VAR24[15:8]];
6:VAR11<=VAR42[VAR24[15:8]];
7:VAR11<=VAR45[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR26[VAR24[15:8]];
1:VAR11<=VAR56[VAR24[15:8]];
2:VAR11<=VAR36[VAR24[15:8]];
3:VAR11<=VAR17[VAR24[15:8]];
4:VAR11<=VAR60[VAR24[15:8]];
5:VAR11<=VAR19[VAR24[15:8]];
6:VAR11<=VAR46[VAR24[15:8]];
7:VAR11<=VAR29[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR3[VAR24[15:8]];
1:VAR11<=VAR7[VAR24[15:8]];
2:VAR11<=VAR5[VAR24[15:8]];
3:VAR11<=VAR15[VAR24[15:8]];
4:VAR11<=VAR49[VAR24[15:8]];
5:VAR11<=VAR23[VAR24[15:8]];
6:VAR11<=VAR55[VAR24[15:8]];
7:VAR11<=VAR28[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR63[VAR24[15:8]];
1:VAR11<=VAR2[VAR24[15:8]];
2:VAR11<=VAR47[VAR24[15:8]];
3:VAR11<=VAR57[VAR24[15:8]];
4:VAR11<=VAR27[VAR24[15:8]];
5:VAR11<=VAR66[VAR24[15:8]];
6:VAR11<=VAR8[VAR24[15:8]];
7:VAR11<=VAR10[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
default:VAR11<=32'hdeadbeef;
endcase
end
begin
reg [31:0]VAR3[5:0];
reg [31:0]VAR7[5:0];
reg [31:0]VAR5[5:0];
reg [31:0]VAR15[5:0];
reg [31:0]VAR49[5:0];
reg [31:0]VAR23[5:0];
reg [31:0]VAR55[5:0];
reg [31:0]VAR28[5:0];
reg [31:0]VAR63[5:0];
reg [31:0]VAR2[5:0];
reg [31:0]VAR47[5:0];
reg [31:0]VAR57[5:0];
reg [31:0]VAR27[5:0];
reg [31:0]VAR66[5:0];
reg [31:0]VAR8[5:0];
reg [31:0]VAR10[5:0];
reg [31:0]VAR26[5:0];
reg [31:0]VAR56[5:0];
reg [31:0]VAR36[5:0];
reg [31:0]VAR17[5:0];
reg [31:0]VAR60[5:0];
reg [31:0]VAR19[5:0];
reg [31:0]VAR46[5:0];
reg [31:0]VAR29[5:0];
reg [31:0]VAR6[5:0];
reg [31:0]VAR43[5:0];
reg [31:0]VAR39[5:0];
reg [31:0]VAR12[5:0];
reg [31:0]VAR30[5:0];
reg [31:0]VAR20[5:0];
reg [31:0]VAR42[5:0];
reg [31:0]VAR45[5:0];
always@(posedge clk)
if(reset)
begin
VAR6[0]<=0;
VAR6[1]<=0;
VAR6[2]<=0;
VAR6[3]<=0;
VAR6[4]<=0;
VAR26[0]<=0;
VAR26[1]<=0;
VAR26[2]<=0;
VAR26[3]<=0;
VAR26[4]<=0;
VAR3[0]<=0;
VAR3[1]<=0;
VAR3[2]<=0;
VAR3[3]<=0;
VAR3[4]<=0;
VAR63[0]<=0;
VAR63[1]<=0;
VAR63[2]<=0;
VAR63[3]<=0;
VAR63[4]<=0;
end
else if(|VAR40)
begin
case(VAR40)
6'b000001:
begin
VAR6[0]<=VAR6[0]+1;
VAR26[0]<=VAR26[0]+VAR22;
end
6'b000010:
begin
VAR6[1]<=VAR6[1]+1;
VAR26[1]<=VAR26[1]+VAR22;
end
6'b000100:
begin
VAR6[2]<=VAR6[2]+1;
VAR26[2]<=VAR26[2]+VAR22;
end
6'b001000:
begin
VAR6[3]<=VAR6[3]+1;
VAR26[3]<=VAR26[3]+VAR22;
end
6'b010000:
begin
VAR6[4]<=VAR6[4]+1;
VAR26[4]<=VAR26[4]+VAR22;
end
6'b100000:
begin
VAR6[5]<=VAR6[5]+1;
VAR26[5]<=VAR26[5]+VAR22;
end
endcase
end
else if(|VAR18)
begin
case(VAR18)
6'b000001:
begin
VAR3[0]<=VAR3[0]+1;
VAR63[0]<=VAR63[0]+VAR22;
end
6'b000010:
begin
VAR3[1]<=VAR3[1]+1;
VAR63[1]<=VAR63[1]+VAR22;
end
6'b000100:
begin
VAR3[2]<=VAR3[2]+1;
VAR63[2]<=VAR63[2]+VAR22;
end
6'b001000:
begin
VAR3[3]<=VAR3[3]+1;
VAR63[3]<=VAR63[3]+VAR22;
end
6'b010000:
begin
VAR3[4]<=VAR3[4]+1;
VAR63[4]<=VAR63[4]+VAR22;
end
6'b100000:
begin
VAR3[5]<=VAR3[5]+1;
VAR63[5]<=VAR63[5]+VAR22;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR43[0]<=0;
VAR43[1]<=0;
VAR43[2]<=0;
VAR43[3]<=0;
VAR43[4]<=0;
VAR56[0]<=0;
VAR56[1]<=0;
VAR56[2]<=0;
VAR56[3]<=0;
VAR56[4]<=0;
VAR7[0]<=0;
VAR7[1]<=0;
VAR7[2]<=0;
VAR7[3]<=0;
VAR7[4]<=0;
VAR2[0]<=0;
VAR2[1]<=0;
VAR2[2]<=0;
VAR2[3]<=0;
VAR2[4]<=0;
end
else if(|VAR21)
begin
case(VAR21)
6'b000001:
begin
VAR43[0]<=VAR43[0]+1;
VAR56[0]<=VAR56[0]+VAR48;
end
6'b000010:
begin
VAR43[1]<=VAR43[1]+1;
VAR56[1]<=VAR56[1]+VAR48;
end
6'b000100:
begin
VAR43[2]<=VAR43[2]+1;
VAR56[2]<=VAR56[2]+VAR48;
end
6'b001000:
begin
VAR43[3]<=VAR43[3]+1;
VAR56[3]<=VAR56[3]+VAR48;
end
6'b010000:
begin
VAR43[4]<=VAR43[4]+1;
VAR56[4]<=VAR56[4]+VAR48;
end
6'b100000:
begin
VAR43[5]<=VAR43[5]+1;
VAR56[5]<=VAR56[5]+VAR48;
end
endcase
end
else if(|VAR68)
begin
case(VAR68)
6'b000001:
begin
VAR7[0]<=VAR7[0]+1;
VAR2[0]<=VAR2[0]+VAR48;
end
6'b000010:
begin
VAR7[1]<=VAR7[1]+1;
VAR2[1]<=VAR2[1]+VAR48;
end
6'b000100:
begin
VAR7[2]<=VAR7[2]+1;
VAR2[2]<=VAR2[2]+VAR48;
end
6'b001000:
begin
VAR7[3]<=VAR7[3]+1;
VAR2[3]<=VAR2[3]+VAR48;
end
6'b010000:
begin
VAR7[4]<=VAR7[4]+1;
VAR2[4]<=VAR2[4]+VAR48;
end
6'b100000:
begin
VAR7[5]<=VAR7[5]+1;
VAR2[5]<=VAR2[5]+VAR48;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR39[0]<=0;
VAR39[1]<=0;
VAR39[2]<=0;
VAR39[3]<=0;
VAR39[4]<=0;
VAR36[0]<=0;
VAR36[1]<=0;
VAR36[2]<=0;
VAR36[3]<=0;
VAR36[4]<=0;
VAR5[0]<=0;
VAR5[1]<=0;
VAR5[2]<=0;
VAR5[3]<=0;
VAR5[4]<=0;
VAR47[0]<=0;
VAR47[1]<=0;
VAR47[2]<=0;
VAR47[3]<=0;
VAR47[4]<=0;
end
else if(|VAR61)
begin
case(VAR61)
6'b000001:
begin
VAR39[0]<=VAR39[0]+1;
VAR36[0]<=VAR36[0]+VAR41;
end
6'b000010:
begin
VAR39[1]<=VAR39[1]+1;
VAR36[1]<=VAR36[1]+VAR41;
end
6'b000100:
begin
VAR39[2]<=VAR39[2]+1;
VAR36[2]<=VAR36[2]+VAR41;
end
6'b001000:
begin
VAR39[3]<=VAR39[3]+1;
VAR36[3]<=VAR36[3]+VAR41;
end
6'b010000:
begin
VAR39[4]<=VAR39[4]+1;
VAR36[4]<=VAR36[4]+VAR41;
end
6'b100000:
begin
VAR39[5]<=VAR39[5]+1;
VAR36[5]<=VAR36[5]+VAR41;
end
endcase
end
else if(|VAR13)
begin
case(VAR13)
6'b000001:
begin
VAR5[0]<=VAR5[0]+1;
VAR47[0]<=VAR47[0]+VAR41;
end
6'b000010:
begin
VAR5[1]<=VAR5[1]+1;
VAR47[1]<=VAR47[1]+VAR41;
end
6'b000100:
begin
VAR5[2]<=VAR5[2]+1;
VAR47[2]<=VAR47[2]+VAR41;
end
6'b001000:
begin
VAR5[3]<=VAR5[3]+1;
VAR47[3]<=VAR47[3]+VAR41;
end
6'b010000:
begin
VAR5[4]<=VAR5[4]+1;
VAR47[4]<=VAR47[4]+VAR41;
end
6'b100000:
begin
VAR5[5]<=VAR5[5]+1;
VAR47[5]<=VAR47[5]+VAR41;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR12[0]<=0;
VAR12[1]<=0;
VAR12[2]<=0;
VAR12[3]<=0;
VAR12[4]<=0;
VAR17[0]<=0;
VAR17[1]<=0;
VAR17[2]<=0;
VAR17[3]<=0;
VAR17[4]<=0;
VAR15[0]<=0;
VAR15[1]<=0;
VAR15[2]<=0;
VAR15[3]<=0;
VAR15[4]<=0;
VAR57[0]<=0;
VAR57[1]<=0;
VAR57[2]<=0;
VAR57[3]<=0;
VAR57[4]<=0;
end
else if(|VAR64)
begin
case(VAR64)
6'b000001:
begin
VAR12[0]<=VAR12[0]+1;
VAR17[0]<=VAR17[0]+VAR62;
end
6'b000010:
begin
VAR12[1]<=VAR12[1]+1;
VAR17[1]<=VAR17[1]+VAR62;
end
6'b000100:
begin
VAR12[2]<=VAR12[2]+1;
VAR17[2]<=VAR17[2]+VAR62;
end
6'b001000:
begin
VAR12[3]<=VAR12[3]+1;
VAR17[3]<=VAR17[3]+VAR62;
end
6'b010000:
begin
VAR12[4]<=VAR12[4]+1;
VAR17[4]<=VAR17[4]+VAR62;
end
6'b100000:
begin
VAR12[5]<=VAR12[5]+1;
VAR17[5]<=VAR17[5]+VAR62;
end
endcase
end
else if(|VAR53)
begin
case(VAR53)
6'b000001:
begin
VAR15[0]<=VAR15[0]+1;
VAR57[0]<=VAR57[0]+VAR62;
end
6'b000010:
begin
VAR15[1]<=VAR15[1]+1;
VAR57[1]<=VAR57[1]+VAR62;
end
6'b000100:
begin
VAR15[2]<=VAR15[2]+1;
VAR57[2]<=VAR57[2]+VAR62;
end
6'b001000:
begin
VAR15[3]<=VAR15[3]+1;
VAR57[3]<=VAR57[3]+VAR62;
end
6'b010000:
begin
VAR15[4]<=VAR15[4]+1;
VAR57[4]<=VAR57[4]+VAR62;
end
6'b100000:
begin
VAR15[5]<=VAR15[5]+1;
VAR57[5]<=VAR57[5]+VAR62;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR30[0]<=0;
VAR30[1]<=0;
VAR30[2]<=0;
VAR30[3]<=0;
VAR30[4]<=0;
VAR60[0]<=0;
VAR60[1]<=0;
VAR60[2]<=0;
VAR60[3]<=0;
VAR60[4]<=0;
VAR49[0]<=0;
VAR49[1]<=0;
VAR49[2]<=0;
VAR49[3]<=0;
VAR49[4]<=0;
VAR27[0]<=0;
VAR27[1]<=0;
VAR27[2]<=0;
VAR27[3]<=0;
VAR27[4]<=0;
end
else if(|VAR33)
begin
case(VAR33)
6'b000001:
begin
VAR30[0]<=VAR30[0]+1;
VAR60[0]<=VAR60[0]+VAR1;
end
6'b000010:
begin
VAR30[1]<=VAR30[1]+1;
VAR60[1]<=VAR60[1]+VAR1;
end
6'b000100:
begin
VAR30[2]<=VAR30[2]+1;
VAR60[2]<=VAR60[2]+VAR1;
end
6'b001000:
begin
VAR30[3]<=VAR30[3]+1;
VAR60[3]<=VAR60[3]+VAR1;
end
6'b010000:
begin
VAR30[4]<=VAR30[4]+1;
VAR60[4]<=VAR60[4]+VAR1;
end
6'b100000:
begin
VAR30[5]<=VAR30[5]+1;
VAR60[5]<=VAR60[5]+VAR1;
end
endcase
end
else if(|VAR37)
begin
case(VAR37)
6'b000001:
begin
VAR49[0]<=VAR49[0]+1;
VAR27[0]<=VAR27[0]+VAR1;
end
6'b000010:
begin
VAR49[1]<=VAR49[1]+1;
VAR27[1]<=VAR27[1]+VAR1;
end
6'b000100:
begin
VAR49[2]<=VAR49[2]+1;
VAR27[2]<=VAR27[2]+VAR1;
end
6'b001000:
begin
VAR49[3]<=VAR49[3]+1;
VAR27[3]<=VAR27[3]+VAR1;
end
6'b010000:
begin
VAR49[4]<=VAR49[4]+1;
VAR27[4]<=VAR27[4]+VAR1;
end
6'b100000:
begin
VAR49[5]<=VAR49[5]+1;
VAR27[5]<=VAR27[5]+VAR1;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR20[0]<=0;
VAR20[1]<=0;
VAR20[2]<=0;
VAR20[3]<=0;
VAR20[4]<=0;
VAR19[0]<=0;
VAR19[1]<=0;
VAR19[2]<=0;
VAR19[3]<=0;
VAR19[4]<=0;
VAR23[0]<=0;
VAR23[1]<=0;
VAR23[2]<=0;
VAR23[3]<=0;
VAR23[4]<=0;
VAR66[0]<=0;
VAR66[1]<=0;
VAR66[2]<=0;
VAR66[3]<=0;
VAR66[4]<=0;
end
else if(|VAR59)
begin
case(VAR59)
6'b000001:
begin
VAR20[0]<=VAR20[0]+1;
VAR19[0]<=VAR19[0]+VAR35;
end
6'b000010:
begin
VAR20[1]<=VAR20[1]+1;
VAR19[1]<=VAR19[1]+VAR35;
end
6'b000100:
begin
VAR20[2]<=VAR20[2]+1;
VAR19[2]<=VAR19[2]+VAR35;
end
6'b001000:
begin
VAR20[3]<=VAR20[3]+1;
VAR19[3]<=VAR19[3]+VAR35;
end
6'b010000:
begin
VAR20[4]<=VAR20[4]+1;
VAR19[4]<=VAR19[4]+VAR35;
end
6'b100000:
begin
VAR20[5]<=VAR20[5]+1;
VAR19[5]<=VAR19[5]+VAR35;
end
endcase
end
else if(|VAR54)
begin
case(VAR54)
6'b000001:
begin
VAR23[0]<=VAR23[0]+1;
VAR66[0]<=VAR66[0]+VAR35;
end
6'b000010:
begin
VAR23[1]<=VAR23[1]+1;
VAR66[1]<=VAR66[1]+VAR35;
end
6'b000100:
begin
VAR23[2]<=VAR23[2]+1;
VAR66[2]<=VAR66[2]+VAR35;
end
6'b001000:
begin
VAR23[3]<=VAR23[3]+1;
VAR66[3]<=VAR66[3]+VAR35;
end
6'b010000:
begin
VAR23[4]<=VAR23[4]+1;
VAR66[4]<=VAR66[4]+VAR35;
end
6'b100000:
begin
VAR23[5]<=VAR23[5]+1;
VAR66[5]<=VAR66[5]+VAR35;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR42[0]<=0;
VAR42[1]<=0;
VAR42[2]<=0;
VAR42[3]<=0;
VAR42[4]<=0;
VAR46[0]<=0;
VAR46[1]<=0;
VAR46[2]<=0;
VAR46[3]<=0;
VAR46[4]<=0;
VAR55[0]<=0;
VAR55[1]<=0;
VAR55[2]<=0;
VAR55[3]<=0;
VAR55[4]<=0;
VAR8[0]<=0;
VAR8[1]<=0;
VAR8[2]<=0;
VAR8[3]<=0;
VAR8[4]<=0;
end
else if(|VAR34)
begin
case(VAR34)
6'b000001:
begin
VAR42[0]<=VAR42[0]+1;
VAR46[0]<=VAR46[0]+VAR38;
end
6'b000010:
begin
VAR42[1]<=VAR42[1]+1;
VAR46[1]<=VAR46[1]+VAR38;
end
6'b000100:
begin
VAR42[2]<=VAR42[2]+1;
VAR46[2]<=VAR46[2]+VAR38;
end
6'b001000:
begin
VAR42[3]<=VAR42[3]+1;
VAR46[3]<=VAR46[3]+VAR38;
end
6'b010000:
begin
VAR42[4]<=VAR42[4]+1;
VAR46[4]<=VAR46[4]+VAR38;
end
6'b100000:
begin
VAR42[5]<=VAR42[5]+1;
VAR46[5]<=VAR46[5]+VAR38;
end
endcase
end
else if(|VAR14)
begin
case(VAR14)
6'b000001:
begin
VAR55[0]<=VAR55[0]+1;
VAR8[0]<=VAR8[0]+VAR38;
end
6'b000010:
begin
VAR55[1]<=VAR55[1]+1;
VAR8[1]<=VAR8[1]+VAR38;
end
6'b000100:
begin
VAR55[2]<=VAR55[2]+1;
VAR8[2]<=VAR8[2]+VAR38;
end
6'b001000:
begin
VAR55[3]<=VAR55[3]+1;
VAR8[3]<=VAR8[3]+VAR38;
end
6'b010000:
begin
VAR55[4]<=VAR55[4]+1;
VAR8[4]<=VAR8[4]+VAR38;
end
6'b100000:
begin
VAR55[5]<=VAR55[5]+1;
VAR8[5]<=VAR8[5]+VAR38;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR45[0]<=0;
VAR45[1]<=0;
VAR45[2]<=0;
VAR45[3]<=0;
VAR45[4]<=0;
VAR29[0]<=0;
VAR29[1]<=0;
VAR29[2]<=0;
VAR29[3]<=0;
VAR29[4]<=0;
VAR28[0]<=0;
VAR28[1]<=0;
VAR28[2]<=0;
VAR28[3]<=0;
VAR28[4]<=0;
VAR10[0]<=0;
VAR10[1]<=0;
VAR10[2]<=0;
VAR10[3]<=0;
VAR10[4]<=0;
end
else if(|VAR31)
begin
case(VAR31)
6'b000001:
begin
VAR45[0]<=VAR45[0]+1;
VAR29[0]<=VAR29[0]+VAR25;
end
6'b000010:
begin
VAR45[1]<=VAR45[1]+1;
VAR29[1]<=VAR29[1]+VAR25;
end
6'b000100:
begin
VAR45[2]<=VAR45[2]+1;
VAR29[2]<=VAR29[2]+VAR25;
end
6'b001000:
begin
VAR45[3]<=VAR45[3]+1;
VAR29[3]<=VAR29[3]+VAR25;
end
6'b010000:
begin
VAR45[4]<=VAR45[4]+1;
VAR29[4]<=VAR29[4]+VAR25;
end
6'b100000:
begin
VAR45[5]<=VAR45[5]+1;
VAR29[5]<=VAR29[5]+VAR25;
end
endcase
end
else if(|VAR16)
begin
case(VAR16)
6'b000001:
begin
VAR28[0]<=VAR28[0]+1;
VAR10[0]<=VAR10[0]+VAR25;
end
6'b000010:
begin
VAR28[1]<=VAR28[1]+1;
VAR10[1]<=VAR10[1]+VAR25;
end
6'b000100:
begin
VAR28[2]<=VAR28[2]+1;
VAR10[2]<=VAR10[2]+VAR25;
end
6'b001000:
begin
VAR28[3]<=VAR28[3]+1;
VAR10[3]<=VAR10[3]+VAR25;
end
6'b010000:
begin
VAR28[4]<=VAR28[4]+1;
VAR10[4]<=VAR10[4]+VAR25;
end
6'b100000:
begin
VAR28[5]<=VAR28[5]+1;
VAR10[5]<=VAR10[5]+VAR25;
end
endcase
end
always@(posedge clk)
if(reset)
begin
VAR52<=1;
VAR44<=2;
VAR4<=3;
VAR51<=4;
VAR67<=5;
VAR9<=6;
VAR11<=32'hdeadbeef;
end
else if(VAR32 && VAR58==0)
case(VAR24[7:0])
0:VAR52<=VAR65;
4:VAR44<=VAR65;
8:VAR4<=VAR65;
16:VAR51<=VAR65;
20:VAR67<=VAR65;
24:VAR9<=VAR65;
endcase
end
else if(VAR32 && VAR58==1)
case(VAR24[7:0])
0:VAR11<=VAR52;
4:VAR11<=VAR44;
8:VAR11<=VAR4;
12:VAR11<=VAR51;
16:VAR11<=VAR67;
20:VAR11<=VAR9;
case(VAR24[19:16])
0:VAR11<=VAR6[VAR24[15:8]];
1:VAR11<=VAR43[VAR24[15:8]];
2:VAR11<=VAR39[VAR24[15:8]];
3:VAR11<=VAR12[VAR24[15:8]];
4:VAR11<=VAR30[VAR24[15:8]];
5:VAR11<=VAR20[VAR24[15:8]];
6:VAR11<=VAR42[VAR24[15:8]];
7:VAR11<=VAR45[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR26[VAR24[15:8]];
1:VAR11<=VAR56[VAR24[15:8]];
2:VAR11<=VAR36[VAR24[15:8]];
3:VAR11<=VAR17[VAR24[15:8]];
4:VAR11<=VAR60[VAR24[15:8]];
5:VAR11<=VAR19[VAR24[15:8]];
6:VAR11<=VAR46[VAR24[15:8]];
7:VAR11<=VAR29[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR3[VAR24[15:8]];
1:VAR11<=VAR7[VAR24[15:8]];
2:VAR11<=VAR5[VAR24[15:8]];
3:VAR11<=VAR15[VAR24[15:8]];
4:VAR11<=VAR49[VAR24[15:8]];
5:VAR11<=VAR23[VAR24[15:8]];
6:VAR11<=VAR55[VAR24[15:8]];
7:VAR11<=VAR28[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
case(VAR24[19:16])
0:VAR11<=VAR63[VAR24[15:8]];
1:VAR11<=VAR2[VAR24[15:8]];
2:VAR11<=VAR47[VAR24[15:8]];
3:VAR11<=VAR57[VAR24[15:8]];
4:VAR11<=VAR27[VAR24[15:8]];
5:VAR11<=VAR66[VAR24[15:8]];
6:VAR11<=VAR8[VAR24[15:8]];
7:VAR11<=VAR10[VAR24[15:8]];
default:VAR11<=32'hdeadbeef;
endcase
default:VAR11<=32'hdeadbeef;
endcase
end
begin
always@(posedge clk)
if(reset)
begin
VAR52<=1;
VAR44<=2;
VAR4<=3;
VAR51<=4;
VAR67<=5;
VAR9<=6;
VAR11<=32'hdeadbeef;
end
else if(VAR32 && VAR58==0)
case(VAR24[7:0])
0:VAR52<=VAR65;
4:VAR44<=VAR65;
8:VAR4<=VAR65;
16:VAR51<=VAR65;
20:VAR67<=VAR65;
24:VAR9<=VAR65;
endcase
end
else if(VAR32 && VAR58==1)
case(VAR24[7:0])
0:VAR11<=VAR52;
4:VAR11<=VAR44;
8:VAR11<=VAR4;
12:VAR11<=VAR51;
16:VAR11<=VAR67;
20:VAR11<=VAR9;
default:VAR11<=32'hdeadbeef;
endcase
end
always@(posedge clk)
if(reset)
VAR50<=0;
else if(VAR32 && VAR58==1)
VAR50<=1;
else if(VAR32 && VAR58==0)
VAR50<=1;
else VAR50<=0;
endmodule
|
lgpl-2.1
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dfbbn/sky130_fd_sc_lp__dfbbn.pp.blackbox.v
| 1,481 |
module MODULE1 (
VAR4 ,
VAR6 ,
VAR8 ,
VAR1 ,
VAR7 ,
VAR9,
VAR2 ,
VAR5 ,
VAR3 ,
VAR10
);
output VAR4 ;
output VAR6 ;
input VAR8 ;
input VAR1 ;
input VAR7 ;
input VAR9;
input VAR2 ;
input VAR5 ;
input VAR3 ;
input VAR10 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/clkinvlp/sky130_fd_sc_hd__clkinvlp.behavioral.pp.v
| 1,796 |
module MODULE1 (
VAR9 ,
VAR4 ,
VAR2,
VAR10,
VAR7 ,
VAR1
);
output VAR9 ;
input VAR4 ;
input VAR2;
input VAR10;
input VAR7 ;
input VAR1 ;
wire VAR5 ;
wire VAR12;
not VAR6 (VAR5 , VAR4 );
VAR11 VAR3 (VAR12, VAR5, VAR2, VAR10);
buf VAR8 (VAR9 , VAR12 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/and2b/sky130_fd_sc_ls__and2b.blackbox.v
| 1,270 |
module MODULE1 (
VAR4 ,
VAR5,
VAR3
);
output VAR4 ;
input VAR5;
input VAR3 ;
supply1 VAR7;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a31oi/sky130_fd_sc_hd__a31oi.behavioral.v
| 1,544 |
module MODULE1 (
VAR8 ,
VAR7,
VAR12,
VAR4,
VAR3
);
output VAR8 ;
input VAR7;
input VAR12;
input VAR4;
input VAR3;
supply1 VAR10;
supply0 VAR2;
supply1 VAR13 ;
supply0 VAR6 ;
wire VAR5 ;
wire VAR1;
and VAR11 (VAR5 , VAR4, VAR7, VAR12 );
nor VAR9 (VAR1, VAR3, VAR5 );
buf VAR14 (VAR8 , VAR1 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/dlyb/gf180mcu_fd_sc_mcu7t5v0__dlyb_4.behavioral.pp.v
| 1,164 |
module MODULE1( VAR2, VAR7, VAR5, VAR6 );
input VAR2;
inout VAR5, VAR6;
output VAR7;
VAR3 VAR4(.VAR2(VAR2),.VAR7(VAR7),.VAR5(VAR5),.VAR6(VAR6));
VAR3 VAR1(.VAR2(VAR2),.VAR7(VAR7),.VAR5(VAR5),.VAR6(VAR6));
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/jbi_mout/rtl/jbi_sctrdq.v
| 6,494 |
module MODULE1 (
VAR32, VAR11, VAR7, VAR21,
VAR2, VAR51, VAR28, VAR43, VAR23, VAR46, VAR4, VAR42, clk, VAR25,
VAR26, VAR14, VAR12, VAR34
);
input VAR2;
input [9:0] VAR51;
input [31:0] VAR28;
input VAR43;
output VAR32;
input VAR23;
input VAR46;
input VAR4;
input VAR42;
output [127:0] VAR11;
output [9:0] VAR7;
output VAR21;
input clk;
input VAR25;
input VAR26;
input VAR14; input VAR12;
input VAR34;
wire [31:0] VAR40, VAR50, VAR49, VAR35;
wire [1:0] state;
reg VAR18, VAR17, VAR15, VAR52;
reg [1:0] VAR30;
parameter VAR37 = 2'b00;
parameter VAR6 = 2'b01;
parameter VAR44 = 2'b10;
parameter VAR16 = 2'b11;
parameter VAR24 = 2'VAR8;
VAR38 #(2) VAR10 (.din(VAR30), .VAR45(state), .VAR25(VAR4), .clk(VAR23));
always @(VAR18 or VAR17 or VAR15 or VAR2
or VAR52 or VAR43 or VAR30 or state) begin
casex ({ state, VAR2, VAR43 })
{ VAR37, VAR39, VAR29 }: out = { VAR37, VAR29, VAR29, VAR29, VAR39 };
{ VAR37, VAR22, VAR39 }: out = { VAR6, VAR22, VAR29, VAR29, VAR39 };
{ VAR37, VAR22, VAR22 }: out = { VAR37, VAR29, VAR29, VAR29, VAR22 };
{ VAR6, VAR39, VAR29 }: out = { VAR6, VAR39, VAR29, VAR29, VAR39 };
{ VAR6, VAR22, VAR39 }: out = { VAR44, VAR39, VAR22, VAR29, VAR39 };
{ VAR6, VAR22, VAR22 }: out = { VAR37, VAR29, VAR29, VAR29, VAR22 };
{ VAR44, VAR39, VAR29 }: out = { VAR44, VAR39, VAR39, VAR29, VAR39 };
{ VAR44, VAR22, VAR39 }: out = { VAR16, VAR39, VAR39, VAR22, VAR39 };
{ VAR44, VAR22, VAR22 }: out = { VAR37, VAR29, VAR29, VAR29, VAR22 };
{ VAR16, VAR39, VAR29 }: out = { VAR16, VAR39, VAR39, VAR39, VAR39 };
{ VAR16, VAR22, VAR29 }: out = { VAR37, VAR29, VAR29, VAR29, VAR22 };
default: out = { VAR24, VAR1, VAR1, VAR1, VAR1 };
endcase
end
VAR20 #(32) VAR53 (.din(VAR28), .en(VAR18), .VAR45(VAR40), .clk(VAR23));
VAR20 #(32) VAR13 (.din(VAR28), .en(VAR17), .VAR45(VAR50), .clk(VAR23));
VAR20 #(32) VAR47 (.din(VAR28), .en(VAR15), .VAR45(VAR49), .clk(VAR23));
assign VAR35 = VAR28;
VAR9 VAR3 (
.VAR2 (VAR52),
.din ({ VAR51, VAR40, VAR50, VAR49, VAR35 }),
.VAR32 (VAR32),
.VAR23 (VAR23),
.VAR46 (VAR46),
.VAR4 (VAR4),
.VAR42 (VAR42),
.dout ({ VAR7, VAR11 }),
.VAR21 (VAR21),
.clk (clk),
.VAR25 (VAR25),
.VAR26 (VAR26),
.VAR14 (VAR14),
.VAR12 (VAR12),
.VAR34 (VAR34)
);
always @(posedge clk) begin
if (VAR30 === VAR24) begin
VAR27 ("VAR36", 49, "%VAR19 %VAR5: VAR31 - VAR48 state VAR33! (state=%VAR41)", , state);
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o21a/sky130_fd_sc_lp__o21a.functional.pp.v
| 1,998 |
module MODULE1 (
VAR3 ,
VAR8 ,
VAR12 ,
VAR15 ,
VAR16,
VAR6,
VAR2 ,
VAR1
);
output VAR3 ;
input VAR8 ;
input VAR12 ;
input VAR15 ;
input VAR16;
input VAR6;
input VAR2 ;
input VAR1 ;
wire VAR4 ;
wire VAR11 ;
wire VAR13;
or VAR7 (VAR4 , VAR12, VAR8 );
and VAR9 (VAR11 , VAR4, VAR15 );
VAR10 VAR5 (VAR13, VAR11, VAR16, VAR6);
buf VAR14 (VAR3 , VAR13 );
endmodule
|
apache-2.0
|
eecsninja/duinocube-core
|
altera/collision_table_256x16.v
| 11,410 |
module MODULE1 (
VAR20,
VAR8,
VAR60,
VAR30,
VAR7,
VAR23,
VAR40,
VAR22,
VAR39,
VAR59,
VAR47);
input [8:0] VAR20;
input [8:0] VAR8;
input [1:0] VAR60;
input [1:0] VAR30;
input VAR7;
input [15:0] VAR23;
input [15:0] VAR40;
input VAR22;
input VAR39;
output [15:0] VAR59;
output [15:0] VAR47;
tri1 [1:0] VAR60;
tri1 [1:0] VAR30;
tri1 VAR7;
tri0 VAR22;
tri0 VAR39;
wire [15:0] VAR68;
wire [15:0] VAR18;
wire [15:0] VAR59 = VAR68[15:0];
wire [15:0] VAR47 = VAR18[15:0];
VAR45 VAR25 (
.VAR60 (VAR60),
.VAR19 (VAR7),
.VAR22 (VAR22),
.VAR8 (VAR8),
.VAR30 (VAR30),
.VAR40 (VAR40),
.VAR39 (VAR39),
.VAR20 (VAR20),
.VAR23 (VAR23),
.VAR59 (VAR68),
.VAR47 (VAR18),
.VAR38 (1'b0),
.VAR21 (1'b0),
.VAR49 (1'b0),
.VAR52 (1'b0),
.VAR4 (1'b1),
.VAR10 (1'b1),
.VAR34 (1'b1),
.VAR1 (1'b1),
.VAR12 (1'b1),
.VAR64 (),
.VAR42 (1'b1),
.VAR62 (1'b1));
VAR25.VAR15 = "VAR14",
VAR25.VAR27 = "VAR14",
VAR25.VAR33 = 8,
VAR25.VAR63 = "VAR44",
VAR25.VAR36 = "VAR44",
VAR25.VAR67 = "VAR44",
VAR25.VAR16 = "VAR44",
VAR25.VAR43 = "VAR14",
VAR25.VAR58 = "VAR29 VAR61 VAR9",
VAR25.VAR28 = "VAR45",
VAR25.VAR11 = 512,
VAR25.VAR6 = 512,
VAR25.VAR17 = "VAR37",
VAR25.VAR41 = "VAR56",
VAR25.VAR54 = "VAR56",
VAR25.VAR48 = "VAR65",
VAR25.VAR3 = "VAR65",
VAR25.VAR31 = "VAR13",
VAR25.VAR53 = "VAR57",
VAR25.VAR55 = "VAR66",
VAR25.VAR46 = "VAR66",
VAR25.VAR26 = "VAR66",
VAR25.VAR5 = 9,
VAR25.VAR35 = 9,
VAR25.VAR32 = 16,
VAR25.VAR24 = 16,
VAR25.VAR51 = 2,
VAR25.VAR50 = 2,
VAR25.VAR2 = "VAR14";
endmodule
|
gpl-3.0
|
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
|
projects/BeMicro/src/fpgaminer_top.v
| 5,034 |
module MODULE1 (VAR25);
parameter VAR10 = VAR17;
parameter VAR10 = 0;
localparam [5:0] VAR7 = (6'd1 << VAR10);
localparam [31:0] VAR3 = (32'd1 << (7 - VAR10)) + 32'd1;
input VAR25;
reg [255:0] state = 0;
reg [511:0] VAR21 = 0;
reg [31:0] VAR8 = 32'h00000000;
wire VAR9;
VAR24 VAR41 (VAR25, VAR9);
assign VAR9 = VAR25;
wire [255:0] VAR22, VAR32;
reg [5:0] VAR14 = 6'd0;
reg VAR23 = 1'b0;
VAR31 #(.VAR7(VAR7)) VAR43 (
.clk(VAR9),
.VAR23(VAR23),
.VAR14(VAR14),
.VAR6(state),
.VAR28(VAR21),
.VAR40(VAR22)
);
VAR31 #(.VAR7(VAR7)) VAR29 (
.clk(VAR9),
.VAR23(VAR23),
.VAR14(VAR14),
.VAR6(256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667),
.VAR28({256'h0000010000000000000000000000000000000000000000000000000080000000, VAR22}),
.VAR40(VAR32)
);
reg [255:0] VAR1 = 0, VAR18 = 0;
wire [255:0] VAR30, VAR4;
VAR16 # (.VAR38(0), .VAR36(256), .VAR12("VAR11")) VAR20(.VAR42(), .VAR19(VAR30));
VAR16 # (.VAR38(0), .VAR36(256), .VAR12("VAR2")) VAR35(.VAR42(), .VAR19(VAR4));
reg [31:0] VAR37 = 0;
VAR16 # (.VAR38(32), .VAR36(0), .VAR12("VAR26")) VAR13 (.VAR42(VAR37), .VAR19());
reg VAR27 = 1'b0;
reg VAR5 = 1'b1;
wire [5:0] VAR33;
wire [31:0] VAR39;
wire VAR15;
wire reset;
assign reset = 1'b0;
reg reset = 1'b0; VAR34
assign VAR33 = reset ? 6'd0 : (VAR7 == 1) ? 6'd0 : (VAR14 + 6'd1) & (VAR7-1);
assign VAR15 = (VAR7 == 1) ? 1'b0 : (VAR33 != {(VAR10){1'b0}});
assign VAR39 =
reset ? 32'd0 :
VAR15 ? VAR8 : (VAR8 + 32'd1);
always @ (posedge VAR9)
begin
VAR1 <= VAR30;
VAR18 <= VAR4;
VAR14 <= VAR33;
VAR23 <= VAR15;
VAR5 <= VAR23;
state <= VAR1;
VAR21 <= {384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR39, VAR18[95:0]};
VAR8 <= VAR39;
VAR27 <= (VAR32[255:224] == 32'h00000000) && !VAR5;
if(VAR27)
begin
if (VAR7 == 1)
VAR37 <= VAR8 - 32'd131;
end
else if (VAR7 == 2)
VAR37 <= VAR8 - 32'd66;
end
else
VAR37 <= VAR8 - VAR3;
end
if (!VAR5)
end
endmodule
|
gpl-3.0
|
sorgelig/ZX_Spectrum-128K_MIST
|
divmmc.v
| 2,409 |
module MODULE1
(
input VAR16,
input [1:0] VAR14,
input VAR4,
input VAR25,
input VAR13,
input VAR1,
input VAR8,
input [15:0] addr,
input [7:0] din,
output [7:0] dout,
input enable,
output VAR26,
output reg VAR23,
output VAR19,
input VAR7,
output VAR2
);
assign VAR26 = VAR22;
wire VAR3 = ~VAR1 & ~VAR4 & VAR8;
wire VAR12 = ~VAR1 & ~VAR25 & VAR8;
wire VAR20 = ((VAR14 == 2'b01) && (addr[7:0] == 8'hE7)) ||
((VAR14 == 2'b10) && (addr[7:0] == 8'h1F));
wire VAR22 = ((VAR14 == 2'b01) && (addr[7:0] == 8'hEB)) ||
((VAR14 == 2'b10) && (addr[7:0] == 8'h3F));
reg VAR18;
reg VAR17;
always @(posedge VAR16) begin
reg VAR24, VAR21, VAR6;
reg VAR5;
VAR17 <= 0;
VAR18 <= 0;
if(enable) begin
VAR24 <= VAR3;
VAR21 <= VAR12;
if(VAR3 & ~VAR24) begin
if (VAR20) VAR23 <= din[0]; if (VAR22) VAR18 <= 1'b1; end
if(VAR12 & ~VAR21 & VAR22) VAR17 <= 1;
end else begin
VAR23 <= 1;
end
end
MODULE2 MODULE2
(
.VAR16(VAR16),
.VAR9(VAR18),
.VAR11(VAR17),
.din(din),
.dout(dout),
.VAR19(VAR19),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule
module MODULE2
(
input VAR16,
input VAR9, input VAR11, input [7:0] din,
output [7:0] dout,
output VAR19,
input VAR7,
output VAR2
);
assign VAR19 = counter[0];
assign VAR2 = VAR15[7]; assign dout = VAR10;
reg [4:0] counter = 5'b10000; reg [7:0] VAR15, VAR10;
always @(negedge VAR16) begin
if(counter[4]) begin
if(VAR11 | VAR9) begin
counter <= 0;
VAR10 <= VAR15;
VAR15 <= VAR9 ? din : 8'hff;
end
end else begin
if(VAR19) VAR15 <= { VAR15[6:0], VAR7 };
counter <= counter + 2'd1;
end
end
endmodule
|
gpl-2.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ip/design_SWandHW_standalone_auto_pc_0/synth/design_SWandHW_standalone_auto_pc_0.v
| 13,204 |
module MODULE1 (
VAR80,
VAR34,
VAR42,
VAR59,
VAR39,
VAR12,
VAR21,
VAR13,
VAR105,
VAR48,
VAR97,
VAR5,
VAR64,
VAR46,
VAR30,
VAR44,
VAR20,
VAR49,
VAR95,
VAR1,
VAR17,
VAR92,
VAR26,
VAR31,
VAR101,
VAR75,
VAR82,
VAR35,
VAR99,
VAR71,
VAR61,
VAR4,
VAR108,
VAR51,
VAR2,
VAR98,
VAR102,
VAR45,
VAR11,
VAR96,
VAR89,
VAR78,
VAR27,
VAR77,
VAR104,
VAR83,
VAR8,
VAR7,
VAR43,
VAR114,
VAR87,
VAR69,
VAR19,
VAR54,
VAR37,
VAR9,
VAR56,
VAR86,
VAR10
);
input wire VAR80;
input wire VAR34;
input wire [11 : 0] VAR42;
input wire [31 : 0] VAR59;
input wire [3 : 0] VAR39;
input wire [2 : 0] VAR12;
input wire [1 : 0] VAR21;
input wire [1 : 0] VAR13;
input wire [3 : 0] VAR105;
input wire [2 : 0] VAR48;
input wire [3 : 0] VAR97;
input wire VAR5;
output wire VAR64;
input wire [11 : 0] VAR46;
input wire [31 : 0] VAR30;
input wire [3 : 0] VAR44;
input wire VAR20;
input wire VAR49;
output wire VAR95;
output wire [11 : 0] VAR1;
output wire [1 : 0] VAR17;
output wire VAR92;
input wire VAR26;
input wire [11 : 0] VAR31;
input wire [31 : 0] VAR101;
input wire [3 : 0] VAR75;
input wire [2 : 0] VAR82;
input wire [1 : 0] VAR35;
input wire [1 : 0] VAR99;
input wire [3 : 0] VAR71;
input wire [2 : 0] VAR61;
input wire [3 : 0] VAR4;
input wire VAR108;
output wire VAR51;
output wire [11 : 0] VAR2;
output wire [31 : 0] VAR98;
output wire [1 : 0] VAR102;
output wire VAR45;
output wire VAR11;
input wire VAR96;
output wire [31 : 0] VAR89;
output wire [2 : 0] VAR78;
output wire VAR27;
input wire VAR77;
output wire [31 : 0] VAR104;
output wire [3 : 0] VAR83;
output wire VAR8;
input wire VAR7;
input wire [1 : 0] VAR43;
input wire VAR114;
output wire VAR87;
output wire [31 : 0] VAR69;
output wire [2 : 0] VAR19;
output wire VAR54;
input wire VAR37;
input wire [31 : 0] VAR9;
input wire [1 : 0] VAR56;
input wire VAR86;
output wire VAR10;
VAR112 #(
.VAR76("VAR41"),
.VAR94(2),
.VAR103(1),
.VAR100(0),
.VAR50(12),
.VAR63(32),
.VAR53(32),
.VAR33(1),
.VAR68(1),
.VAR23(0),
.VAR85(1),
.VAR66(1),
.VAR93(1),
.VAR16(1),
.VAR15(1),
.VAR113(2)
) VAR88 (
.VAR80(VAR80),
.VAR34(VAR34),
.VAR42(VAR42),
.VAR59(VAR59),
.VAR39(VAR39),
.VAR12(VAR12),
.VAR21(VAR21),
.VAR13(VAR13),
.VAR105(VAR105),
.VAR48(VAR48),
.VAR62(4'VAR65),
.VAR97(VAR97),
.VAR57(1'VAR65),
.VAR5(VAR5),
.VAR64(VAR64),
.VAR46(VAR46),
.VAR30(VAR30),
.VAR44(VAR44),
.VAR20(VAR20),
.VAR60(1'VAR65),
.VAR49(VAR49),
.VAR95(VAR95),
.VAR1(VAR1),
.VAR17(VAR17),
.VAR47(),
.VAR92(VAR92),
.VAR26(VAR26),
.VAR31(VAR31),
.VAR101(VAR101),
.VAR75(VAR75),
.VAR82(VAR82),
.VAR35(VAR35),
.VAR99(VAR99),
.VAR71(VAR71),
.VAR61(VAR61),
.VAR29(4'VAR65),
.VAR4(VAR4),
.VAR106(1'VAR65),
.VAR108(VAR108),
.VAR51(VAR51),
.VAR2(VAR2),
.VAR98(VAR98),
.VAR102(VAR102),
.VAR45(VAR45),
.VAR36(),
.VAR11(VAR11),
.VAR96(VAR96),
.VAR22(),
.VAR89(VAR89),
.VAR90(),
.VAR18(),
.VAR72(),
.VAR6(),
.VAR81(),
.VAR78(VAR78),
.VAR55(),
.VAR67(),
.VAR109(),
.VAR27(VAR27),
.VAR77(VAR77),
.VAR79(),
.VAR104(VAR104),
.VAR83(VAR83),
.VAR28(),
.VAR25(),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR91(12'VAR74),
.VAR43(VAR43),
.VAR84(1'VAR65),
.VAR114(VAR114),
.VAR87(VAR87),
.VAR70(),
.VAR69(VAR69),
.VAR107(),
.VAR32(),
.VAR38(),
.VAR52(),
.VAR3(),
.VAR19(VAR19),
.VAR73(),
.VAR58(),
.VAR24(),
.VAR54(VAR54),
.VAR37(VAR37),
.VAR110(12'VAR74),
.VAR9(VAR9),
.VAR56(VAR56),
.VAR111(1'VAR14),
.VAR40(1'VAR65),
.VAR86(VAR86),
.VAR10(VAR10)
);
endmodule
|
gpl-3.0
|
sirchuckalot/zet
|
cores/vga/rtl/vga_char_rom.v
| 1,169 |
module MODULE1 (
input clk,
input [11:0] addr,
output reg [ 7:0] VAR1
);
reg [7:0] VAR2[0:4095];
always @(posedge clk) VAR1 <= VAR2[addr];
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/icgtn/gf180mcu_fd_sc_mcu7t5v0__icgtn_1.functional.pp.v
| 1,081 |
module MODULE1( VAR12, VAR9, VAR7, VAR6, VAR4, VAR13, VAR1 );
input VAR7, VAR9, VAR12, VAR4, VAR13, VAR1;
output VAR6;
or VAR3( VAR10, VAR9, VAR12 );
VAR11( VAR8, 1'b0, 1'b0, VAR7, VAR10, VAR1 );
wire VAR5;
not VAR14( VAR5, VAR8 );
or VAR2( VAR6, VAR7, VAR5 );
endmodule
|
apache-2.0
|
alexforencich/verilog-ethernet
|
rtl/ssio_ddr_in.v
| 3,617 |
module MODULE1 #
(
parameter VAR31 = "VAR13",
parameter VAR25 = "VAR26",
parameter VAR24 = "VAR7",
parameter VAR16 = 1
)
(
input wire VAR28,
input wire [VAR16-1:0] VAR11,
output wire VAR21,
output wire [VAR16-1:0] VAR12,
output wire [VAR16-1:0] VAR2
);
wire VAR9;
wire VAR20;
generate
if (VAR31 == "VAR22") begin
if (VAR24 == "VAR7") begin
VAR7
VAR5 (
.VAR4(VAR28),
.VAR17(VAR9)
);
assign VAR20 = VAR9;
assign VAR21 = VAR9;
end else if (VAR24 == "VAR1") begin
assign VAR9 = VAR28;
VAR27
VAR10 (
.VAR4(VAR9),
.VAR17(VAR20)
);
VAR1 #(
.VAR6("VAR29")
)
VAR19 (
.VAR4(VAR9),
.VAR17(VAR21),
.VAR23(1'b1),
.VAR30(1'b0)
);
end else if (VAR24 == "VAR27") begin
assign VAR9 = VAR28;
VAR27
VAR10 (
.VAR4(VAR9),
.VAR17(VAR20)
);
VAR7
VAR5 (
.VAR4(VAR9),
.VAR17(VAR21)
);
end
end else begin
assign VAR20 = VAR28;
assign VAR9 = VAR28;
assign VAR21 = VAR9;
end
endgenerate
VAR15 #(
.VAR31(VAR31),
.VAR25(VAR25),
.VAR16(VAR16)
)
VAR14 (
.clk(VAR20),
.VAR8(VAR11),
.VAR3(VAR12),
.VAR18(VAR2)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dlxtn/sky130_fd_sc_ms__dlxtn.pp.blackbox.v
| 1,323 |
module MODULE1 (
VAR5 ,
VAR4 ,
VAR2,
VAR6 ,
VAR7 ,
VAR3 ,
VAR1
);
output VAR5 ;
input VAR4 ;
input VAR2;
input VAR6 ;
input VAR7 ;
input VAR3 ;
input VAR1 ;
endmodule
|
apache-2.0
|
Fabeltranm/FPGA-Game-D1
|
HW/RTL/06PCM-AUDIO-MICROFONO/Version_02/J1_soc-master/hdl/j1soc.v
| 3,578 |
module MODULE1#(
parameter VAR18 = "../VAR16/VAR35/VAR15.VAR20" )(
VAR32, VAR9,
VAR19, VAR11, VAR28, VAR40, VAR5,VAR29, VAR1, VAR10, VAR34
);
input VAR19, VAR11,VAR40;
output VAR32, VAR5, VAR29, VAR28;
output VAR9;
input VAR1; output VAR10, VAR34;
wire VAR6; wire VAR4; wire [15:0] VAR27; reg [15:0] VAR8; wire [15:0] VAR31;
reg [1:5]VAR7; wire [15:0] VAR22;
wire [15:0] VAR17;
wire [15:0] VAR30;
wire [15:0] VAR14;
wire VAR3; wire [15:0] VAR33;
VAR15 #(VAR18) VAR41(VAR19, VAR11, VAR8, VAR6, VAR4, VAR27, VAR31);
VAR37 VAR38 (.clk(VAR19), .rst(VAR11), .din(VAR31), .VAR7(VAR7[1]), .addr(VAR27[3:0]), .rd(VAR6), .wr(VAR4), .dout(VAR30) );
VAR13 VAR24 (.clk(VAR19), .rst(VAR11), .din(VAR31), .VAR7(VAR7[2]), .addr(VAR27[3:0]), .rd(VAR6), .wr(VAR4), .dout(VAR14));
VAR12 VAR21 (.clk(VAR19), .rst(VAR11), .din(VAR31), .VAR7(VAR7[3]), .addr(VAR27[3:0]), .rd(VAR6), .wr(VAR4), .dout(VAR3), .VAR32(VAR32), .VAR9(VAR9));
VAR25 VAR36(.clk(VAR19), .din(VAR31), .VAR7(VAR7[4]), .addr(VAR27[7:0]), .rd(VAR6), .wr(VAR4), .dout(VAR33));
VAR26 VAR39(.clk(VAR19), .reset(VAR11) , .din(VAR31) , .VAR7(VAR7[5]) , .addr(VAR27[3:0]) , .rd(VAR6) , .wr(VAR4), .dout(VAR22), .VAR28(VAR28), .VAR5(VAR5), .VAR40(VAR40), .VAR29(VAR29) );
VAR23 VAR2(.clk(VAR19), .reset(VAR11) , .din(VAR31) , .VAR7(VAR7==5'b00011) , .addr(VAR27[3:0]) , .rd(VAR6) , .wr(VAR4), .dout(VAR17), .VAR10(VAR10), .VAR34(VAR34), .VAR1(VAR1) );
always @*
begin
case (VAR27[15:8]) 8'h67: VAR7= 5'b10000; 8'h68: VAR7= 5'b01000; 8'h69: VAR7= 5'b00100; 8'h70: VAR7= 5'b00010; 8'h71: VAR7= 5'b00001; 8'h71: VAR7= 5'b00011; default: VAR7= 3'b000;
endcase
end
always @*
begin
case (VAR7)
5'b10000: VAR8 = VAR30;
5'b01000: VAR8 = VAR14;
5'b00100: VAR8 = VAR3;
5'b00010: VAR8 = VAR33;
5'b00001: VAR8 = VAR22;
5'b00011: VAR8 = VAR17;
default: VAR8 = 16'h0666;
endcase
end
endmodule
|
gpl-3.0
|
FAST-Switch/fast
|
lib/hardware/platform/NetMagic08/ddr2/ddr2.v
| 30,025 |
module MODULE1 (
VAR59,
VAR34,
VAR70,
VAR77,
VAR33,
VAR37,
VAR21,
VAR35,
VAR47,
VAR52,
VAR73,
VAR27,
VAR30,
VAR6,
VAR5,
VAR86,
VAR25,
VAR8,
VAR87,
VAR9,
VAR76,
VAR107,
VAR101,
VAR91,
VAR49,
VAR11,
VAR31,
VAR84,
VAR32,
VAR61,
VAR105,
VAR50,
VAR43,
VAR44,
VAR53,
VAR80,
VAR92);
input [23:0] VAR59;
input VAR34;
input VAR70;
input VAR77;
input [31:0] VAR33;
input [3:0] VAR37;
input [3:0] VAR21;
input VAR35;
input VAR47;
input VAR52;
output VAR73;
output [31:0] VAR27;
output VAR30;
output VAR6;
output [0:0] VAR5;
output [0:0] VAR86;
output [0:0] VAR25;
output [12:0] VAR8;
output [1:0] VAR87;
output VAR9;
output VAR76;
output VAR107;
output [1:0] VAR101;
output VAR91;
output VAR49;
output VAR11;
output VAR31;
output VAR84;
output [5:0] VAR32;
output VAR61;
output VAR105;
output VAR50;
inout [0:0] VAR43;
inout [0:0] VAR44;
inout [15:0] VAR53;
inout [1:0] VAR80;
inout [1:0] VAR92;
wire VAR96 = 1'b0;
wire [13:0] VAR4 = 14'b0;
wire [13:0] VAR99 = 14'b0;
wire [5:0] VAR82 = 6'b0;
wire [5:0] VAR29 = 6'b0;
wire VAR106 = 1'b0;
wire [15:0] VAR46 = 16'b0;
wire [1:0] VAR65 = 2'b0;
wire [1:0] VAR42 = 2'b0;
wire [1:0] VAR16 = 2'b0;
wire [1:0] VAR104 = 2'b0;
wire [1:0] VAR3 = 2'b0;
wire VAR39 = 1'b0;
wire VAR66 = 1'b0;
wire VAR88 = 1'b0;
wire VAR13 = 1'b0;
wire [3:0] VAR18 = 4'b0;
wire [2:0] VAR24 = 3'b0;
wire VAR7 = 1'b0;
wire [8:0] VAR28 = 9'b0;
wire [3:0] VAR71 = 4'b0;
wire VAR10 = 1'b0;
wire VAR63 = 1'b0;
wire VAR90 = 1'b0;
wire VAR100 = 1'b0;
wire VAR36 = 1'b0;
wire VAR62 = 1'b0;
wire VAR51 = 1'b0;
VAR72 VAR78(
.VAR59(VAR59),
.VAR34(VAR34),
.VAR70(VAR70),
.VAR77(VAR77),
.VAR33(VAR33),
.VAR37(VAR37),
.VAR21(VAR21),
.VAR93(VAR96),
.VAR81(VAR4),
.VAR69(VAR99),
.VAR60(VAR82),
.VAR57(VAR29),
.VAR55(VAR106),
.VAR75(VAR46),
.VAR68(VAR65),
.VAR98(VAR42),
.VAR102(VAR16),
.VAR22(VAR104),
.VAR89(VAR3),
.VAR79(VAR39),
.VAR2(VAR66),
.VAR64(VAR88),
.VAR17(VAR13),
.VAR58(VAR18),
.VAR23(VAR24),
.VAR38(VAR7),
.VAR83(VAR28),
.VAR15(VAR71),
.VAR67(VAR10),
.VAR95(VAR63),
.VAR12(VAR90),
.VAR35(VAR35),
.VAR103(VAR100),
.VAR14(VAR36),
.VAR48(VAR62),
.VAR20(VAR51),
.VAR47(VAR47),
.VAR52(VAR52),
.VAR73(VAR73),
.VAR27(VAR27),
.VAR30(VAR30),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR86(VAR86),
.VAR25(VAR25),
.VAR8(VAR8),
.VAR87(VAR87),
.VAR9(VAR9),
.VAR76(VAR76),
.VAR107(VAR107),
.VAR101(VAR101),
.VAR97(),
.VAR91(VAR91),
.VAR49(VAR49),
.VAR11(VAR11),
.VAR31(VAR31),
.VAR45(),
.VAR84(VAR84),
.VAR32(VAR32),
.VAR56(),
.VAR54(),
.VAR74(),
.VAR85(),
.VAR40(),
.VAR41(),
.VAR1(),
.VAR94(),
.VAR26(),
.VAR19(),
.VAR61(VAR61),
.VAR105(VAR105),
.VAR50(VAR50),
.VAR43(VAR43),
.VAR44(VAR44),
.VAR53(VAR53),
.VAR80(VAR80),
.VAR92(VAR92));
endmodule
|
apache-2.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/memory_if/mig_7series_v1_8_rank_mach.v
| 12,347 |
module MODULE1 #
(
parameter VAR53 = "8",
parameter VAR52 = 4,
parameter VAR62 = "VAR4",
parameter VAR57 = 40,
parameter VAR46 = 4,
parameter VAR27 = 4,
parameter VAR61 = 2,
parameter VAR25 = 5,
parameter VAR18 = 5,
parameter VAR17 = 2,
parameter VAR58 = 30,
parameter VAR42 = 8,
parameter VAR14 = 4,
parameter VAR32 = 4,
parameter VAR41 = 20,
parameter VAR43 = 16,
parameter VAR26 = 2,
parameter VAR44 = 4,
parameter VAR19 = 39,
parameter VAR16 = 640000
)
(
VAR31, VAR51, VAR8, VAR56, VAR59,
VAR1, VAR15, VAR10, VAR6, VAR2, VAR54,
VAR7, VAR55, VAR50, VAR37,
VAR39, VAR22, VAR11, VAR30,
VAR33, rst, VAR38, VAR36, VAR24,
VAR45, VAR29, VAR28, clk, VAR34,
VAR23, VAR12, VAR5, VAR49
);
input [VAR43-1:0] VAR49; input VAR5; input VAR12; input VAR34; input VAR23; input clk; input VAR50; input VAR28; input VAR29; input VAR45; input VAR24; input [(VAR44*VAR46)-1:0] VAR36; input [VAR43-1:0] VAR38; input rst; input [VAR46-1:0] VAR33; input [VAR46-1:0] VAR30; input [7:0] VAR11; input [7:0] VAR22; input [VAR43-1:0] VAR39;
output VAR8; output VAR51; output [VAR26-1:0] VAR31;
wire VAR40; wire VAR63;
output [VAR44-1:0] VAR56;
output [VAR44-1:0] VAR59;
output [VAR44-1:0] VAR1;
output [VAR26-1:0] VAR15;
output VAR10;
output VAR6;
output VAR2;
output VAR54;
output VAR7;
output VAR55;
output VAR37;
wire [VAR44-1:0] VAR3;
wire [VAR44-1:0] VAR9;
wire [VAR44-1:0] VAR20;
genvar VAR35;
generate
for (VAR35=0; VAR35<VAR44; VAR35=VAR35+1) begin:VAR47
VAR21 #
(
.VAR53 (VAR53),
.VAR35 (VAR35),
.VAR46 (VAR46),
.VAR61 (VAR61),
.VAR25 (VAR25),
.VAR18 (VAR18),
.VAR17 (VAR17),
.VAR58 (VAR58),
.VAR42 (VAR42),
.VAR14 (VAR14),
.VAR32 (VAR32),
.VAR41 (VAR41),
.VAR43 (VAR43),
.VAR26 (VAR26),
.VAR44 (VAR44),
.VAR19 (VAR19))
VAR48
(.VAR20 (VAR20[VAR35]),
.VAR56 (VAR56[VAR35]),
.VAR59 (VAR59[VAR35]),
.VAR1 (VAR1[VAR35]),
.VAR9 (VAR9[VAR35]),
.VAR3 (VAR3[VAR35]),
.clk (clk),
.rst (rst),
.VAR50 (VAR50),
.VAR30 (VAR30[VAR46-1:0]),
.VAR49 (VAR49[VAR43-1:0]),
.VAR33 (VAR33[VAR46-1:0]),
.VAR39 (VAR39[VAR43-1:0]),
.VAR12 (VAR12),
.VAR28 (VAR28),
.VAR36 (VAR36[(VAR44*VAR46)-1:0]),
.VAR63 (VAR63),
.VAR29 (VAR29),
.VAR10 (VAR10),
.VAR6 (VAR6),
.VAR2 (VAR2),
.VAR15 (VAR15[VAR26-1:0]),
.VAR5 (VAR5),
.VAR40 (VAR40),
.VAR38 (VAR38[VAR43-1:0]));
end
endgenerate
VAR60 #
(
.VAR62 (VAR62),
.VAR57 (VAR57),
.VAR46 (VAR46),
.VAR27 (VAR27),
.VAR61 (VAR61),
.VAR41 (VAR41),
.VAR26 (VAR26),
.VAR44 (VAR44),
.VAR19 (VAR19),
.VAR16 (VAR16))
VAR13
(.VAR20 (VAR20[VAR44-1:0]),
.VAR40 (VAR40),
.VAR63 (VAR63),
.VAR10 (VAR10),
.VAR6 (VAR6),
.VAR2 (VAR2),
.VAR8 (VAR8),
.VAR15 (VAR15[VAR26-1:0]),
.VAR37 (VAR37),
.VAR51 (VAR51),
.VAR31 (VAR31[VAR26-1:0]),
.clk (clk),
.rst (rst),
.VAR28 (VAR28),
.VAR12 (VAR12),
.VAR7 (VAR7),
.VAR34 (VAR34),
.VAR55 (VAR55),
.VAR23 (VAR23),
.VAR54 (VAR54),
.VAR29 (VAR29),
.VAR3 (VAR3[VAR44-1:0]),
.VAR45 (VAR45),
.VAR11 (VAR11[7:0]),
.VAR22 (VAR22[7:0]),
.VAR9 (VAR9[VAR44-1:0]),
.VAR24 (VAR24));
endmodule
|
mit
|
eda-globetrotter/PicenoDecoders
|
final/src/alu.v
| 176,826 |
module MODULE1 (VAR22,VAR2,VAR33,VAR14,VAR27);
output [0:127] VAR27;
input [0:127] VAR22;
input [0:127] VAR2;
input [0:1] VAR33;
input [0:4] VAR14;
parameter VAR18 = 128'hffffffffffffffffffffffffffffffff;
reg [0:127] VAR27;
reg [0:127] VAR28;
reg [0:15] VAR36;
reg [0:15] VAR12;
reg [0:15] VAR13;
reg [0:15] VAR15;
reg [0:15] VAR17;
reg [0:15] VAR1;
reg [0:15] VAR30;
reg [0:15] VAR37;
reg [0:15] VAR4;
reg [0:15] VAR3;
reg [0:15] VAR10;
reg [0:15] VAR20;
reg [0:15] VAR7;
reg [0:15] VAR35;
reg [0:15] VAR21;
reg [0:15] VAR8;
reg [0:31] VAR29;
reg [0:31] VAR9;
reg [0:31] VAR6;
reg [0:31] VAR19;
reg [0:31] VAR34;
reg [0:31] VAR23;
reg [0:31] VAR32;
reg [0:31] VAR26;
reg [0:31] VAR24;
integer VAR11;
integer VAR25;
integer VAR5;
always @(VAR22 or VAR2 or VAR33 or VAR14)
begin
VAR28=128'd0;
VAR36=16'd0;
VAR12=16'd0;
VAR13=16'd0;
VAR15=16'd0;
VAR17=16'd0;
VAR1=16'd0;
VAR30=16'd0;
VAR37=16'd0;
VAR4=16'd0;
VAR3=16'd0;
VAR10=16'd0;
VAR20=16'd0;
VAR7=16'd0;
VAR35=16'd0;
VAR21=16'd0;
VAR8=16'd0;
VAR29=32'd0;
VAR9=32'd0;
VAR19=32'd0;
VAR34=32'd0;
VAR23=32'd0;
VAR32=32'd0;
VAR26=32'd0;
VAR24=32'd0;
case(VAR14)
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]<<VAR2[5:7];
VAR27[8:15]<=VAR22[8:15]<<VAR2[13:15];
VAR27[16:23]<=VAR22[16:23]<<VAR2[21:23];
VAR27[24:31]<=VAR22[24:31]<<VAR2[29:31];
VAR27[32:39]<=VAR22[32:39]<<VAR2[37:39];
VAR27[40:47]<=VAR22[40:47]<<VAR2[45:47];
VAR27[48:55]<=VAR22[48:55]<<VAR2[53:55];
VAR27[56:63]<=VAR22[56:63]<<VAR2[61:63];
VAR27[64:71]<=VAR22[64:71]<<VAR2[69:71];
VAR27[72:79]<=VAR22[72:79]<<VAR2[77:79];
VAR27[80:87]<=VAR22[80:87]<<VAR2[85:87];
VAR27[88:95]<=VAR22[88:95]<<VAR2[93:95];
VAR27[96:103]<=VAR22[96:103]<<VAR2[101:103];
VAR27[104:111]<=VAR22[104:111]<<VAR2[109:111];
VAR27[112:119]<=VAR22[112:119]<<VAR2[117:119];
VAR27[120:127]<=VAR22[120:127]<<VAR2[125:127];
end
VAR27[0:15]<=VAR22[0:15]<<VAR2[12:15];
VAR27[16:31]<=VAR22[16:31]<<VAR2[28:31];
VAR27[32:47]<=VAR22[32:47]<<VAR2[44:47];
VAR27[48:63]<=VAR22[48:63]<<VAR2[60:63];
VAR27[64:79]<=VAR22[64:79]<<VAR2[76:79];
VAR27[80:95]<=VAR22[80:95]<<VAR2[92:95];
VAR27[96:111]<=VAR22[96:111]<<VAR2[108:111];
VAR27[112:127]<=VAR22[112:127]<<VAR2[124:127];
end
VAR27[0:31]<=VAR22[0:31]<<VAR2[27:31];
VAR27[32:63]<=VAR22[32:63]<<VAR2[59:63];
VAR27[64:95]<=VAR22[64:95]<<VAR2[91:95];
VAR27[96:127]<=VAR22[96:127]<<VAR2[123:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]>>VAR2[5:7];
VAR27[8:15]<=VAR22[8:15]>>VAR2[13:15];
VAR27[16:23]<=VAR22[16:23]>>VAR2[21:23];
VAR27[24:31]<=VAR22[24:31]>>VAR2[29:31];
VAR27[32:39]<=VAR22[32:39]>>VAR2[37:39];
VAR27[40:47]<=VAR22[40:47]>>VAR2[45:47];
VAR27[48:55]<=VAR22[48:55]>>VAR2[53:55];
VAR27[56:63]<=VAR22[56:63]>>VAR2[61:63];
VAR27[64:71]<=VAR22[64:71]>>VAR2[69:71];
VAR27[72:79]<=VAR22[72:79]>>VAR2[77:79];
VAR27[80:87]<=VAR22[80:87]>>VAR2[85:87];
VAR27[88:95]<=VAR22[88:95]>>VAR2[93:95];
VAR27[96:103]<=VAR22[96:103]>>VAR2[101:103];
VAR27[104:111]<=VAR22[104:111]>>VAR2[109:111];
VAR27[112:119]<=VAR22[112:119]>>VAR2[117:119];
VAR27[120:127]<=VAR22[120:127]>>VAR2[125:127];
end
VAR27[0:15]<=VAR22[0:15]>>VAR2[12:15];
VAR27[16:31]<=VAR22[16:31]>>VAR2[28:31];
VAR27[32:47]<=VAR22[32:47]>>VAR2[44:47];
VAR27[48:63]<=VAR22[48:63]>>VAR2[60:63];
VAR27[64:79]<=VAR22[64:79]>>VAR2[76:79];
VAR27[80:95]<=VAR22[80:95]>>VAR2[92:95];
VAR27[96:111]<=VAR22[96:111]>>VAR2[108:111];
VAR27[112:127]<=VAR22[112:127]>>VAR2[124:127];
end
VAR27[0:31]<=VAR22[0:31]>>VAR2[27:31];
VAR27[32:63]<=VAR22[32:63]>>VAR2[59:63];
VAR27[64:95]<=VAR22[64:95]>>VAR2[91:95];
VAR27[96:127]<=VAR22[96:127]>>VAR2[123:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]+VAR2[0:7];
VAR27[8:15]<=VAR22[8:15]+VAR2[8:15];
VAR27[16:23]<=VAR22[16:23]+VAR2[16:23];
VAR27[24:31]<=VAR22[24:31]+VAR2[24:31];
VAR27[32:39]<=VAR22[32:39]+VAR2[32:39];
VAR27[40:47]<=VAR22[40:47]+VAR2[40:47];
VAR27[48:55]<=VAR22[48:55]+VAR2[48:55];
VAR27[56:63]<=VAR22[56:63]+VAR2[56:63];
VAR27[64:71]<=VAR22[64:71]+VAR2[64:71];
VAR27[72:79]<=VAR22[72:79]+VAR2[72:79];
VAR27[80:87]<=VAR22[80:87]+VAR2[80:87];
VAR27[88:95]<=VAR22[88:95]+VAR2[88:95];
VAR27[96:103]<=VAR22[96:103]+VAR2[96:103];
VAR27[104:111]<=VAR22[104:111]+VAR2[104:111];
VAR27[112:119]<=VAR22[112:119]+VAR2[112:119];
VAR27[120:127]<=VAR22[120:127]+VAR2[120:127];
end
VAR27[0:15]<=VAR22[0:15]+VAR2[0:15];
VAR27[16:31]<=VAR22[16:31]+VAR2[16:31];
VAR27[32:47]<=VAR22[32:47]+VAR2[32:47];
VAR27[48:63]<=VAR22[48:63]+VAR2[48:63];
VAR27[64:79]<=VAR22[64:79]+VAR2[64:79];
VAR27[80:95]<=VAR22[80:95]+VAR2[80:95];
VAR27[96:111]<=VAR22[96:111]+VAR2[96:111];
VAR27[112:127]<=VAR22[112:127]+VAR2[112:127];
end
VAR27[0:31]<=VAR22[0:31]+VAR2[0:31];
VAR27[32:63]<=VAR22[32:63]+VAR2[32:63];
VAR27[64:95]<=VAR22[64:95]+VAR2[64:95];
VAR27[96:127]<=VAR22[96:127]+VAR2[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]&VAR2[0:7];
VAR27[8:15]<=VAR22[8:15]&VAR2[8:15];
VAR27[16:23]<=VAR22[16:23]&VAR2[16:23];
VAR27[24:31]<=VAR22[24:31]&VAR2[24:31];
VAR27[32:39]<=VAR22[32:39]&VAR2[32:39];
VAR27[40:47]<=VAR22[40:47]&VAR2[40:47];
VAR27[48:55]<=VAR22[48:55]&VAR2[48:55];
VAR27[56:63]<=VAR22[56:63]&VAR2[56:63];
VAR27[64:71]<=VAR22[64:71]&VAR2[64:71];
VAR27[72:79]<=VAR22[72:79]&VAR2[72:79];
VAR27[80:87]<=VAR22[80:87]&VAR2[80:87];
VAR27[88:95]<=VAR22[88:95]&VAR2[88:95];
VAR27[96:103]<=VAR22[96:103]&VAR2[96:103];
VAR27[104:111]<=VAR22[104:111]&VAR2[104:111];
VAR27[112:119]<=VAR22[112:119]&VAR2[112:119];
VAR27[120:127]<=VAR22[120:127]&VAR2[120:127];
end
VAR27[0:15]<=VAR22[0:15]&VAR2[0:15];
VAR27[16:31]<=VAR22[16:31]&VAR2[16:31];
VAR27[32:47]<=VAR22[32:47]&VAR2[32:47];
VAR27[48:63]<=VAR22[48:63]&VAR2[48:63];
VAR27[64:79]<=VAR22[64:79]&VAR2[64:79];
VAR27[80:95]<=VAR22[80:95]&VAR2[80:95];
VAR27[96:111]<=VAR22[96:111]&VAR2[96:111];
VAR27[112:127]<=VAR22[112:127]&VAR2[112:127];
end
VAR27[0:31]<=VAR22[0:31]&VAR2[0:31];
VAR27[32:63]<=VAR22[32:63]&VAR2[32:63];
VAR27[64:95]<=VAR22[64:95]&VAR2[64:95];
VAR27[96:127]<=VAR22[96:127]&VAR2[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=~VAR22[0:7];
VAR27[8:15]<=~VAR22[8:15];
VAR27[16:23]<=~VAR22[16:23];
VAR27[24:31]<=~VAR22[24:31];
VAR27[32:39]<=~VAR22[32:39];
VAR27[40:47]<=~VAR22[40:47];
VAR27[48:55]<=~VAR22[48:55];
VAR27[56:63]<=~VAR22[56:63];
VAR27[64:71]<=~VAR22[64:71];
VAR27[72:79]<=~VAR22[72:79];
VAR27[80:87]<=~VAR22[80:87];
VAR27[88:95]<=~VAR22[88:95];
VAR27[96:103]<=~VAR22[96:103];
VAR27[104:111]<=~VAR22[104:111];
VAR27[112:119]<=~VAR22[112:119];
VAR27[120:127]<=~VAR22[120:127];
end
VAR27[0:15]<=~VAR22[0:15];
VAR27[16:31]<=~VAR22[16:31];
VAR27[32:47]<=~VAR22[32:47];
VAR27[48:63]<=~VAR22[48:63];
VAR27[64:79]<=~VAR22[64:79];
VAR27[80:95]<=~VAR22[80:95];
VAR27[96:111]<=~VAR22[96:111];
VAR27[112:127]<=~VAR22[112:127];
end
VAR27[0:31]<=~VAR22[0:31];
VAR27[32:63]<=~VAR22[32:63];
VAR27[64:95]<=~VAR22[64:95];
VAR27[96:127]<=~VAR22[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]|VAR2[0:7];
VAR27[8:15]<=VAR22[8:15]|VAR2[8:15];
VAR27[16:23]<=VAR22[16:23]|VAR2[16:23];
VAR27[24:31]<=VAR22[24:31]|VAR2[24:31];
VAR27[32:39]<=VAR22[32:39]|VAR2[32:39];
VAR27[40:47]<=VAR22[40:47]|VAR2[40:47];
VAR27[48:55]<=VAR22[48:55]|VAR2[48:55];
VAR27[56:63]<=VAR22[56:63]|VAR2[56:63];
VAR27[64:71]<=VAR22[64:71]|VAR2[64:71];
VAR27[72:79]<=VAR22[72:79]|VAR2[72:79];
VAR27[80:87]<=VAR22[80:87]|VAR2[80:87];
VAR27[88:95]<=VAR22[88:95]|VAR2[88:95];
VAR27[96:103]<=VAR22[96:103]|VAR2[96:103];
VAR27[104:111]<=VAR22[104:111]|VAR2[104:111];
VAR27[112:119]<=VAR22[112:119]|VAR2[112:119];
VAR27[120:127]<=VAR22[120:127]|VAR2[120:127];
end
VAR27[0:15]<=VAR22[0:15]|VAR2[0:15];
VAR27[16:31]<=VAR22[16:31]|VAR2[16:31];
VAR27[32:47]<=VAR22[32:47]|VAR2[32:47];
VAR27[48:63]<=VAR22[48:63]|VAR2[48:63];
VAR27[64:79]<=VAR22[64:79]|VAR2[64:79];
VAR27[80:95]<=VAR22[80:95]|VAR2[80:95];
VAR27[96:111]<=VAR22[96:111]|VAR2[96:111];
VAR27[112:127]<=VAR22[112:127]|VAR2[112:127];
end
VAR27[0:31]<=VAR22[0:31]|VAR2[0:31];
VAR27[32:63]<=VAR22[32:63]|VAR2[32:63];
VAR27[64:95]<=VAR22[64:95]|VAR2[64:95];
VAR27[96:127]<=VAR22[96:127]|VAR2[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]^VAR2[0:7];
VAR27[8:15]<=VAR22[8:15]^VAR2[8:15];
VAR27[16:23]<=VAR22[16:23]^VAR2[16:23];
VAR27[24:31]<=VAR22[24:31]^VAR2[24:31];
VAR27[32:39]<=VAR22[32:39]^VAR2[32:39];
VAR27[40:47]<=VAR22[40:47]^VAR2[40:47];
VAR27[48:55]<=VAR22[48:55]^VAR2[48:55];
VAR27[56:63]<=VAR22[56:63]^VAR2[56:63];
VAR27[64:71]<=VAR22[64:71]^VAR2[64:71];
VAR27[72:79]<=VAR22[72:79]^VAR2[72:79];
VAR27[80:87]<=VAR22[80:87]^VAR2[80:87];
VAR27[88:95]<=VAR22[88:95]^VAR2[88:95];
VAR27[96:103]<=VAR22[96:103]^VAR2[96:103];
VAR27[104:111]<=VAR22[104:111]^VAR2[104:111];
VAR27[112:119]<=VAR22[112:119]^VAR2[112:119];
VAR27[120:127]<=VAR22[120:127]^VAR2[120:127];
end
VAR27[0:15]<=VAR22[0:15]^VAR2[0:15];
VAR27[16:31]<=VAR22[16:31]^VAR2[16:31];
VAR27[32:47]<=VAR22[32:47]^VAR2[32:47];
VAR27[48:63]<=VAR22[48:63]^VAR2[48:63];
VAR27[64:79]<=VAR22[64:79]^VAR2[64:79];
VAR27[80:95]<=VAR22[80:95]^VAR2[80:95];
VAR27[96:111]<=VAR22[96:111]^VAR2[96:111];
VAR27[112:127]<=VAR22[112:127]^VAR2[112:127];
end
VAR27[0:31]<=VAR22[0:31]^VAR2[0:31];
VAR27[32:63]<=VAR22[32:63]^VAR2[32:63];
VAR27[64:95]<=VAR22[64:95]^VAR2[64:95];
VAR27[96:127]<=VAR22[96:127]^VAR2[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
VAR27[0:7]<=VAR22[0:7]-VAR2[0:7];
VAR27[8:15]<=VAR22[8:15]-VAR2[8:15];
VAR27[16:23]<=VAR22[16:23]-VAR2[16:23];
VAR27[24:31]<=VAR22[24:31]-VAR2[24:31];
VAR27[32:39]<=VAR22[32:39]-VAR2[32:39];
VAR27[40:47]<=VAR22[40:47]-VAR2[40:47];
VAR27[48:55]<=VAR22[48:55]-VAR2[48:55];
VAR27[56:63]<=VAR22[56:63]-VAR2[56:63];
VAR27[64:71]<=VAR22[64:71]-VAR2[64:71];
VAR27[72:79]<=VAR22[72:79]-VAR2[72:79];
VAR27[80:87]<=VAR22[80:87]-VAR2[80:87];
VAR27[88:95]<=VAR22[88:95]-VAR2[88:95];
VAR27[96:103]<=VAR22[96:103]-VAR2[96:103];
VAR27[104:111]<=VAR22[104:111]-VAR2[104:111];
VAR27[112:119]<=VAR22[112:119]-VAR2[112:119];
VAR27[120:127]<=VAR22[120:127]-VAR2[120:127];
end
VAR27[0:15]<=VAR22[0:15]-VAR2[0:15];
VAR27[16:31]<=VAR22[16:31]-VAR2[16:31];
VAR27[32:47]<=VAR22[32:47]-VAR2[32:47];
VAR27[48:63]<=VAR22[48:63]-VAR2[48:63];
VAR27[64:79]<=VAR22[64:79]-VAR2[64:79];
VAR27[80:95]<=VAR22[80:95]-VAR2[80:95];
VAR27[96:111]<=VAR22[96:111]-VAR2[96:111];
VAR27[112:127]<=VAR22[112:127]-VAR2[112:127];
end
VAR27[0:31]<=VAR22[0:31]-VAR2[0:31];
VAR27[32:63]<=VAR22[32:63]-VAR2[32:63];
VAR27[64:95]<=VAR22[64:95]-VAR2[64:95];
VAR27[96:127]<=VAR22[96:127]-VAR2[96:127];
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR2[4:7]) 4'd0:
VAR27[0:7]<=VAR22[0:7];
4'd1:
VAR27[0:7]<=VAR22[8:15];
4'd2:
VAR27[0:7]<=VAR22[16:23];
4'd3:
VAR27[0:7]<=VAR22[24:31];
4'd4:
VAR27[0:7]<=VAR22[32:39];
4'd5:
VAR27[0:7]<=VAR22[40:47];
4'd6:
VAR27[0:7]<=VAR22[48:55];
4'd7:
VAR27[0:7]<=VAR22[56:63];
4'd8:
VAR27[0:7]<=VAR22[64:71];
4'd9:
VAR27[0:7]<=VAR22[72:79];
4'd10:
VAR27[0:7]<=VAR22[80:87];
4'd11:
VAR27[0:7]<=VAR22[88:95];
4'd12:
VAR27[0:7]<=VAR22[96:103];
4'd13:
VAR27[0:7]<=VAR22[104:111];
4'd14:
VAR27[0:7]<=VAR22[112:119];
4'd15:
VAR27[0:7]<=VAR22[120:127];
endcase
case(VAR2[12:15]) 4'd0:
VAR27[8:15]<=VAR22[0:7];
4'd1:
VAR27[8:15]<=VAR22[8:15];
4'd2:
VAR27[8:15]<=VAR22[16:23];
4'd3:
VAR27[8:15]<=VAR22[24:31];
4'd4:
VAR27[8:15]<=VAR22[32:39];
4'd5:
VAR27[8:15]<=VAR22[40:47];
4'd6:
VAR27[8:15]<=VAR22[48:55];
4'd7:
VAR27[8:15]<=VAR22[56:63];
4'd8:
VAR27[8:15]<=VAR22[64:71];
4'd9:
VAR27[8:15]<=VAR22[72:79];
4'd10:
VAR27[8:15]<=VAR22[80:87];
4'd11:
VAR27[8:15]<=VAR22[88:95];
4'd12:
VAR27[8:15]<=VAR22[96:103];
4'd13:
VAR27[8:15]<=VAR22[104:111];
4'd14:
VAR27[8:15]<=VAR22[112:119];
4'd15:
VAR27[8:15]<=VAR22[120:127];
endcase
case(VAR2[20:23]) 4'd0:
VAR27[16:23]<=VAR22[0:7];
4'd1:
VAR27[16:23]<=VAR22[8:15];
4'd2:
VAR27[16:23]<=VAR22[16:23];
4'd3:
VAR27[16:23]<=VAR22[24:31];
4'd4:
VAR27[16:23]<=VAR22[32:39];
4'd5:
VAR27[16:23]<=VAR22[40:47];
4'd6:
VAR27[16:23]<=VAR22[48:55];
4'd7:
VAR27[16:23]<=VAR22[56:63];
4'd8:
VAR27[16:23]<=VAR22[64:71];
4'd9:
VAR27[16:23]<=VAR22[72:79];
4'd10:
VAR27[16:23]<=VAR22[80:87];
4'd11:
VAR27[16:23]<=VAR22[88:95];
4'd12:
VAR27[16:23]<=VAR22[96:103];
4'd13:
VAR27[16:23]<=VAR22[104:111];
4'd14:
VAR27[16:23]<=VAR22[112:119];
4'd15:
VAR27[16:23]<=VAR22[120:127];
endcase
case(VAR2[28:31]) 4'd0:
VAR27[24:31]<=VAR22[0:7];
4'd1:
VAR27[24:31]<=VAR22[8:15];
4'd2:
VAR27[24:31]<=VAR22[16:23];
4'd3:
VAR27[24:31]<=VAR22[24:31];
4'd4:
VAR27[24:31]<=VAR22[32:39];
4'd5:
VAR27[24:31]<=VAR22[40:47];
4'd6:
VAR27[24:31]<=VAR22[48:55];
4'd7:
VAR27[24:31]<=VAR22[56:63];
4'd8:
VAR27[24:31]<=VAR22[64:71];
4'd9:
VAR27[24:31]<=VAR22[72:79];
4'd10:
VAR27[24:31]<=VAR22[80:87];
4'd11:
VAR27[24:31]<=VAR22[88:95];
4'd12:
VAR27[24:31]<=VAR22[96:103];
4'd13:
VAR27[24:31]<=VAR22[104:111];
4'd14:
VAR27[24:31]<=VAR22[112:119];
4'd15:
VAR27[24:31]<=VAR22[120:127];
endcase
case(VAR2[36:39]) 4'd0:
VAR27[32:39]<=VAR22[0:7];
4'd1:
VAR27[32:39]<=VAR22[8:15];
4'd2:
VAR27[32:39]<=VAR22[16:23];
4'd3:
VAR27[32:39]<=VAR22[24:31];
4'd4:
VAR27[32:39]<=VAR22[32:39];
4'd5:
VAR27[32:39]<=VAR22[40:47];
4'd6:
VAR27[32:39]<=VAR22[48:55];
4'd7:
VAR27[32:39]<=VAR22[56:63];
4'd8:
VAR27[32:39]<=VAR22[64:71];
4'd9:
VAR27[32:39]<=VAR22[72:79];
4'd10:
VAR27[32:39]<=VAR22[80:87];
4'd11:
VAR27[32:39]<=VAR22[88:95];
4'd12:
VAR27[32:39]<=VAR22[96:103];
4'd13:
VAR27[32:39]<=VAR22[104:111];
4'd14:
VAR27[32:39]<=VAR22[112:119];
4'd15:
VAR27[32:39]<=VAR22[120:127];
endcase
case(VAR2[44:47]) 4'd0:
VAR27[40:47]<=VAR22[0:7];
4'd1:
VAR27[40:47]<=VAR22[8:15];
4'd2:
VAR27[40:47]<=VAR22[16:23];
4'd3:
VAR27[40:47]<=VAR22[24:31];
4'd4:
VAR27[40:47]<=VAR22[32:39];
4'd5:
VAR27[40:47]<=VAR22[40:47];
4'd6:
VAR27[40:47]<=VAR22[48:55];
4'd7:
VAR27[40:47]<=VAR22[56:63];
4'd8:
VAR27[40:47]<=VAR22[64:71];
4'd9:
VAR27[40:47]<=VAR22[72:79];
4'd10:
VAR27[40:47]<=VAR22[80:87];
4'd11:
VAR27[40:47]<=VAR22[88:95];
4'd12:
VAR27[40:47]<=VAR22[96:103];
4'd13:
VAR27[40:47]<=VAR22[104:111];
4'd14:
VAR27[40:47]<=VAR22[112:119];
4'd15:
VAR27[40:47]<=VAR22[120:127];
endcase
case(VAR2[52:55]) 4'd0:
VAR27[48:55]<=VAR22[0:7];
4'd1:
VAR27[48:55]<=VAR22[8:15];
4'd2:
VAR27[48:55]<=VAR22[16:23];
4'd3:
VAR27[48:55]<=VAR22[24:31];
4'd4:
VAR27[48:55]<=VAR22[32:39];
4'd5:
VAR27[48:55]<=VAR22[40:47];
4'd6:
VAR27[48:55]<=VAR22[48:55];
4'd7:
VAR27[48:55]<=VAR22[56:63];
4'd8:
VAR27[48:55]<=VAR22[64:71];
4'd9:
VAR27[48:55]<=VAR22[72:79];
4'd10:
VAR27[48:55]<=VAR22[80:87];
4'd11:
VAR27[48:55]<=VAR22[88:95];
4'd12:
VAR27[48:55]<=VAR22[96:103];
4'd13:
VAR27[48:55]<=VAR22[104:111];
4'd14:
VAR27[48:55]<=VAR22[112:119];
4'd15:
VAR27[48:55]<=VAR22[120:127];
endcase
case(VAR2[60:63]) 4'd0:
VAR27[56:63]<=VAR22[0:7];
4'd1:
VAR27[56:63]<=VAR22[8:15];
4'd2:
VAR27[56:63]<=VAR22[16:23];
4'd3:
VAR27[56:63]<=VAR22[24:31];
4'd4:
VAR27[56:63]<=VAR22[32:39];
4'd5:
VAR27[56:63]<=VAR22[40:47];
4'd6:
VAR27[56:63]<=VAR22[48:55];
4'd7:
VAR27[56:63]<=VAR22[56:63];
4'd8:
VAR27[56:63]<=VAR22[64:71];
4'd9:
VAR27[56:63]<=VAR22[72:79];
4'd10:
VAR27[56:63]<=VAR22[80:87];
4'd11:
VAR27[56:63]<=VAR22[88:95];
4'd12:
VAR27[56:63]<=VAR22[96:103];
4'd13:
VAR27[56:63]<=VAR22[104:111];
4'd14:
VAR27[56:63]<=VAR22[112:119];
4'd15:
VAR27[56:63]<=VAR22[120:127];
endcase
case(VAR2[68:71]) 4'd0:
VAR27[64:71]<=VAR22[0:7];
4'd1:
VAR27[64:71]<=VAR22[8:15];
4'd2:
VAR27[64:71]<=VAR22[16:23];
4'd3:
VAR27[64:71]<=VAR22[24:31];
4'd4:
VAR27[64:71]<=VAR22[32:39];
4'd5:
VAR27[64:71]<=VAR22[40:47];
4'd6:
VAR27[64:71]<=VAR22[48:55];
4'd7:
VAR27[64:71]<=VAR22[56:63];
4'd8:
VAR27[64:71]<=VAR22[64:71];
4'd9:
VAR27[64:71]<=VAR22[72:79];
4'd10:
VAR27[64:71]<=VAR22[80:87];
4'd11:
VAR27[64:71]<=VAR22[88:95];
4'd12:
VAR27[64:71]<=VAR22[96:103];
4'd13:
VAR27[64:71]<=VAR22[104:111];
4'd14:
VAR27[64:71]<=VAR22[112:119];
4'd15:
VAR27[64:71]<=VAR22[120:127];
endcase
case(VAR2[76:79]) 4'd0:
VAR27[72:79]<=VAR22[0:7];
4'd1:
VAR27[72:79]<=VAR22[8:15];
4'd2:
VAR27[72:79]<=VAR22[16:23];
4'd3:
VAR27[72:79]<=VAR22[24:31];
4'd4:
VAR27[72:79]<=VAR22[32:39];
4'd5:
VAR27[72:79]<=VAR22[40:47];
4'd6:
VAR27[72:79]<=VAR22[48:55];
4'd7:
VAR27[72:79]<=VAR22[56:63];
4'd8:
VAR27[72:79]<=VAR22[64:71];
4'd9:
VAR27[72:79]<=VAR22[72:79];
4'd10:
VAR27[72:79]<=VAR22[80:87];
4'd11:
VAR27[72:79]<=VAR22[88:95];
4'd12:
VAR27[72:79]<=VAR22[96:103];
4'd13:
VAR27[72:79]<=VAR22[104:111];
4'd14:
VAR27[72:79]<=VAR22[112:119];
4'd15:
VAR27[72:79]<=VAR22[120:127];
endcase
case(VAR2[84:87]) 4'd0:
VAR27[80:87]<=VAR22[0:7];
4'd1:
VAR27[80:87]<=VAR22[8:15];
4'd2:
VAR27[80:87]<=VAR22[16:23];
4'd3:
VAR27[80:87]<=VAR22[24:31];
4'd4:
VAR27[80:87]<=VAR22[32:39];
4'd5:
VAR27[80:87]<=VAR22[40:47];
4'd6:
VAR27[80:87]<=VAR22[48:55];
4'd7:
VAR27[80:87]<=VAR22[56:63];
4'd8:
VAR27[80:87]<=VAR22[64:71];
4'd9:
VAR27[80:87]<=VAR22[72:79];
4'd10:
VAR27[80:87]<=VAR22[80:87];
4'd11:
VAR27[80:87]<=VAR22[88:95];
4'd12:
VAR27[80:87]<=VAR22[96:103];
4'd13:
VAR27[80:87]<=VAR22[104:111];
4'd14:
VAR27[80:87]<=VAR22[112:119];
4'd15:
VAR27[80:87]<=VAR22[120:127];
endcase
case(VAR2[92:95]) 4'd0:
VAR27[88:95]<=VAR22[0:7];
4'd1:
VAR27[88:95]<=VAR22[8:15];
4'd2:
VAR27[88:95]<=VAR22[16:23];
4'd3:
VAR27[88:95]<=VAR22[24:31];
4'd4:
VAR27[88:95]<=VAR22[32:39];
4'd5:
VAR27[88:95]<=VAR22[40:47];
4'd6:
VAR27[88:95]<=VAR22[48:55];
4'd7:
VAR27[88:95]<=VAR22[56:63];
4'd8:
VAR27[88:95]<=VAR22[64:71];
4'd9:
VAR27[88:95]<=VAR22[72:79];
4'd10:
VAR27[88:95]<=VAR22[80:87];
4'd11:
VAR27[88:95]<=VAR22[88:95];
4'd12:
VAR27[88:95]<=VAR22[96:103];
4'd13:
VAR27[88:95]<=VAR22[104:111];
4'd14:
VAR27[88:95]<=VAR22[112:119];
4'd15:
VAR27[88:95]<=VAR22[120:127];
endcase
case(VAR2[100:103]) 4'd0:
VAR27[96:103]<=VAR22[0:7];
4'd1:
VAR27[96:103]<=VAR22[8:15];
4'd2:
VAR27[96:103]<=VAR22[16:23];
4'd3:
VAR27[96:103]<=VAR22[24:31];
4'd4:
VAR27[96:103]<=VAR22[32:39];
4'd5:
VAR27[96:103]<=VAR22[40:47];
4'd6:
VAR27[96:103]<=VAR22[48:55];
4'd7:
VAR27[96:103]<=VAR22[56:63];
4'd8:
VAR27[96:103]<=VAR22[64:71];
4'd9:
VAR27[96:103]<=VAR22[72:79];
4'd10:
VAR27[96:103]<=VAR22[80:87];
4'd11:
VAR27[96:103]<=VAR22[88:95];
4'd12:
VAR27[96:103]<=VAR22[96:103];
4'd13:
VAR27[96:103]<=VAR22[104:111];
4'd14:
VAR27[96:103]<=VAR22[112:119];
4'd15:
VAR27[96:103]<=VAR22[120:127];
endcase
case(VAR2[108:111]) 4'd0:
VAR27[104:111]<=VAR22[0:7];
4'd1:
VAR27[104:111]<=VAR22[8:15];
4'd2:
VAR27[104:111]<=VAR22[16:23];
4'd3:
VAR27[104:111]<=VAR22[24:31];
4'd4:
VAR27[104:111]<=VAR22[32:39];
4'd5:
VAR27[104:111]<=VAR22[40:47];
4'd6:
VAR27[104:111]<=VAR22[48:55];
4'd7:
VAR27[104:111]<=VAR22[56:63];
4'd8:
VAR27[104:111]<=VAR22[64:71];
4'd9:
VAR27[104:111]<=VAR22[72:79];
4'd10:
VAR27[104:111]<=VAR22[80:87];
4'd11:
VAR27[104:111]<=VAR22[88:95];
4'd12:
VAR27[104:111]<=VAR22[96:103];
4'd13:
VAR27[104:111]<=VAR22[104:111];
4'd14:
VAR27[104:111]<=VAR22[112:119];
4'd15:
VAR27[104:111]<=VAR22[120:127];
endcase
case(VAR2[116:119]) 4'd0:
VAR27[112:119]<=VAR22[112:119];
4'd1:
VAR27[112:119]<=VAR22[8:15];
4'd2:
VAR27[112:119]<=VAR22[16:23];
4'd3:
VAR27[112:119]<=VAR22[24:31];
4'd4:
VAR27[112:119]<=VAR22[32:39];
4'd5:
VAR27[112:119]<=VAR22[40:47];
4'd6:
VAR27[112:119]<=VAR22[48:55];
4'd7:
VAR27[112:119]<=VAR22[56:63];
4'd8:
VAR27[112:119]<=VAR22[64:71];
4'd9:
VAR27[112:119]<=VAR22[72:79];
4'd10:
VAR27[112:119]<=VAR22[80:87];
4'd11:
VAR27[112:119]<=VAR22[88:95];
4'd12:
VAR27[112:119]<=VAR22[96:103];
4'd13:
VAR27[112:119]<=VAR22[104:111];
4'd14:
VAR27[112:119]<=VAR22[112:119];
4'd15:
VAR27[112:119]<=VAR22[120:127];
endcase
case(VAR2[124:127]) 4'd0:
VAR27[120:127]<=VAR22[0:7];
4'd1:
VAR27[120:127]<=VAR22[8:15];
4'd2:
VAR27[120:127]<=VAR22[16:23];
4'd3:
VAR27[120:127]<=VAR22[24:31];
4'd4:
VAR27[120:127]<=VAR22[32:39];
4'd5:
VAR27[120:127]<=VAR22[40:47];
4'd6:
VAR27[120:127]<=VAR22[48:55];
4'd7:
VAR27[120:127]<=VAR22[56:63];
4'd8:
VAR27[120:127]<=VAR22[64:71];
4'd9:
VAR27[120:127]<=VAR22[72:79];
4'd10:
VAR27[120:127]<=VAR22[80:87];
4'd11:
VAR27[120:127]<=VAR22[88:95];
4'd12:
VAR27[120:127]<=VAR22[96:103];
4'd13:
VAR27[120:127]<=VAR22[104:111];
4'd14:
VAR27[120:127]<=VAR22[112:119];
4'd15:
VAR27[120:127]<=VAR22[120:127];
endcase
end
begin
case(VAR33)
begin
case(VAR2[2:4])
3'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
3'd1:
begin
VAR27[0:7]<={VAR22[1:7],{1'b0}};
VAR27[8:15]<={VAR22[9:15],{1'b0}};
VAR27[16:23]<={VAR22[17:23],{1'b0}};
VAR27[24:31]<={VAR22[25:31],{1'b0}};
VAR27[32:39]<={VAR22[33:39],{1'b0}};
VAR27[40:47]<={VAR22[41:47],{1'b0}};
VAR27[48:55]<={VAR22[49:55],{1'b0}};
VAR27[56:63]<={VAR22[57:63],{1'b0}};
VAR27[64:71]<={VAR22[65:71],{1'b0}};
VAR27[72:79]<={VAR22[73:79],{1'b0}};
VAR27[80:87]<={VAR22[81:87],{1'b0}};
VAR27[88:95]<={VAR22[89:95],{1'b0}};
VAR27[96:103]<={VAR22[97:103],{1'b0}};
VAR27[104:111]<={VAR22[105:111],{1'b0}};
VAR27[112:119]<={VAR22[113:119],{1'b0}};
VAR27[120:127]<={VAR22[121:127],{1'b0}};
end
3'd2:
begin
VAR27[0:7]<={VAR22[2:7],{2{1'b0}}};
VAR27[8:15]<={VAR22[10:15],{2{1'b0}}};
VAR27[16:23]<={VAR22[18:23],{2{1'b0}}};
VAR27[24:31]<={VAR22[26:31],{2{1'b0}}};
VAR27[32:39]<={VAR22[34:39],{2{1'b0}}};
VAR27[40:47]<={VAR22[42:47],{2{1'b0}}};
VAR27[48:55]<={VAR22[50:55],{2{1'b0}}};
VAR27[56:63]<={VAR22[58:63],{2{1'b0}}};
VAR27[64:71]<={VAR22[66:71],{2{1'b0}}};
VAR27[72:79]<={VAR22[74:79],{2{1'b0}}};
VAR27[80:87]<={VAR22[82:87],{2{1'b0}}};
VAR27[88:95]<={VAR22[90:95],{2{1'b0}}};
VAR27[96:103]<={VAR22[98:103],{2{1'b0}}};
VAR27[104:111]<={VAR22[106:111],{2{1'b0}}};
VAR27[112:119]<={VAR22[114:119],{2{1'b0}}};
VAR27[120:127]<={VAR22[122:127],{2{1'b0}}};
end
3'd3:
begin
VAR27[0:7]<={VAR22[3:7],{3{1'b0}}};
VAR27[8:15]<={VAR22[11:15],{3{1'b0}}};
VAR27[16:23]<={VAR22[19:23],{3{1'b0}}};
VAR27[24:31]<={VAR22[27:31],{3{1'b0}}};
VAR27[32:39]<={VAR22[35:39],{3{1'b0}}};
VAR27[40:47]<={VAR22[43:47],{3{1'b0}}};
VAR27[48:55]<={VAR22[51:55],{3{1'b0}}};
VAR27[56:63]<={VAR22[59:63],{3{1'b0}}};
VAR27[64:71]<={VAR22[67:71],{3{1'b0}}};
VAR27[72:79]<={VAR22[75:79],{3{1'b0}}};
VAR27[80:87]<={VAR22[83:87],{3{1'b0}}};
VAR27[88:95]<={VAR22[91:95],{3{1'b0}}};
VAR27[96:103]<={VAR22[99:103],{3{1'b0}}};
VAR27[104:111]<={VAR22[107:111],{3{1'b0}}};
VAR27[112:119]<={VAR22[115:119],{3{1'b0}}};
VAR27[120:127]<={VAR22[123:127],{3{1'b0}}};
end
3'd4:
begin
VAR27[0:7]<={VAR22[4:7],{4{1'b0}}};
VAR27[8:15]<={VAR22[12:15],{4{1'b0}}};
VAR27[16:23]<={VAR22[20:23],{4{1'b0}}};
VAR27[24:31]<={VAR22[28:31],{4{1'b0}}};
VAR27[32:39]<={VAR22[36:39],{4{1'b0}}};
VAR27[40:47]<={VAR22[44:47],{4{1'b0}}};
VAR27[48:55]<={VAR22[52:55],{4{1'b0}}};
VAR27[56:63]<={VAR22[60:63],{4{1'b0}}};
VAR27[64:71]<={VAR22[68:71],{4{1'b0}}};
VAR27[72:79]<={VAR22[76:79],{4{1'b0}}};
VAR27[80:87]<={VAR22[84:87],{4{1'b0}}};
VAR27[88:95]<={VAR22[92:95],{4{1'b0}}};
VAR27[96:103]<={VAR22[100:103],{4{1'b0}}};
VAR27[104:111]<={VAR22[108:111],{4{1'b0}}};
VAR27[112:119]<={VAR22[116:119],{4{1'b0}}};
VAR27[120:127]<={VAR22[124:127],{4{1'b0}}};
end
3'd5:
begin
VAR27[0:7]<={VAR22[5:7],{5{1'b0}}};
VAR27[8:15]<={VAR22[13:15],{5{1'b0}}};
VAR27[16:23]<={VAR22[21:23],{5{1'b0}}};
VAR27[24:31]<={VAR22[29:31],{5{1'b0}}};
VAR27[32:39]<={VAR22[37:39],{5{1'b0}}};
VAR27[40:47]<={VAR22[45:47],{5{1'b0}}};
VAR27[48:55]<={VAR22[53:55],{5{1'b0}}};
VAR27[56:63]<={VAR22[61:63],{5{1'b0}}};
VAR27[64:71]<={VAR22[69:71],{5{1'b0}}};
VAR27[72:79]<={VAR22[77:79],{5{1'b0}}};
VAR27[80:87]<={VAR22[85:87],{5{1'b0}}};
VAR27[88:95]<={VAR22[93:95],{5{1'b0}}};
VAR27[96:103]<={VAR22[101:103],{5{1'b0}}};
VAR27[104:111]<={VAR22[109:111],{5{1'b0}}};
VAR27[112:119]<={VAR22[117:119],{5{1'b0}}};
VAR27[120:127]<={VAR22[125:127],{5{1'b0}}};
end
3'd6:
begin
VAR27[0:7]<={VAR22[6:7],{6{1'b0}}};
VAR27[8:15]<={VAR22[14:15],{6{1'b0}}};
VAR27[16:23]<={VAR22[22:23],{6{1'b0}}};
VAR27[24:31]<={VAR22[30:31],{6{1'b0}}};
VAR27[32:39]<={VAR22[38:39],{6{1'b0}}};
VAR27[40:47]<={VAR22[46:47],{6{1'b0}}};
VAR27[48:55]<={VAR22[54:55],{6{1'b0}}};
VAR27[56:63]<={VAR22[62:63],{6{1'b0}}};
VAR27[64:71]<={VAR22[70:71],{6{1'b0}}};
VAR27[72:79]<={VAR22[78:79],{6{1'b0}}};
VAR27[80:87]<={VAR22[86:87],{6{1'b0}}};
VAR27[88:95]<={VAR22[94:95],{6{1'b0}}};
VAR27[96:103]<={VAR22[102:103],{6{1'b0}}};
VAR27[104:111]<={VAR22[110:111],{6{1'b0}}};
VAR27[112:119]<={VAR22[118:119],{6{1'b0}}};
VAR27[120:127]<={VAR22[126:127],{6{1'b0}}};
end
3'd7:
begin
VAR27[0:7]<={VAR22[7],{7{1'b0}}};
VAR27[8:15]<={VAR22[15],{7{1'b0}}};
VAR27[16:23]<={VAR22[23],{7{1'b0}}};
VAR27[24:31]<={VAR22[31],{7{1'b0}}};
VAR27[32:39]<={VAR22[39],{7{1'b0}}};
VAR27[40:47]<={VAR22[47],{7{1'b0}}};
VAR27[48:55]<={VAR22[55],{7{1'b0}}};
VAR27[56:63]<={VAR22[63],{7{1'b0}}};
VAR27[64:71]<={VAR22[71],{7{1'b0}}};
VAR27[72:79]<={VAR22[79],{7{1'b0}}};
VAR27[80:87]<={VAR22[87],{7{1'b0}}};
VAR27[88:95]<={VAR22[95],{7{1'b0}}};
VAR27[96:103]<={VAR22[103],{7{1'b0}}};
VAR27[104:111]<={VAR22[111],{7{1'b0}}};
VAR27[112:119]<={VAR22[119],{7{1'b0}}};
VAR27[120:127]<={VAR22[127],{7{1'b0}}};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[1:4])
4'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
4'd1:
begin
VAR27[0:15]<={VAR22[1:15],{1'b0}};
VAR27[16:31]<={VAR22[17:31],{1'b0}};
VAR27[32:47]<={VAR22[33:47],{1'b0}};
VAR27[48:63]<={VAR22[49:63],{1'b0}};
VAR27[64:79]<={VAR22[65:79],{1'b0}};
VAR27[80:95]<={VAR22[81:95],{1'b0}};
VAR27[96:111]<={VAR22[97:111],{1'b0}};
VAR27[112:127]<={VAR22[113:127],{1'b0}};
end
4'd2:
begin
VAR27[0:15]<={VAR22[2:15],{2{1'b0}}};
VAR27[16:31]<={VAR22[18:31],{2{1'b0}}};
VAR27[32:47]<={VAR22[34:47],{2{1'b0}}};
VAR27[48:63]<={VAR22[50:63],{2{1'b0}}};
VAR27[64:79]<={VAR22[66:79],{2{1'b0}}};
VAR27[80:95]<={VAR22[82:95],{2{1'b0}}};
VAR27[96:111]<={VAR22[98:111],{2{1'b0}}};
VAR27[112:127]<={VAR22[114:127],{2{1'b0}}};
end
4'd3:
begin
VAR27[0:15]<={VAR22[3:15],{3{1'b0}}};
VAR27[16:31]<={VAR22[19:31],{3{1'b0}}};
VAR27[32:47]<={VAR22[35:47],{3{1'b0}}};
VAR27[48:63]<={VAR22[51:63],{3{1'b0}}};
VAR27[64:79]<={VAR22[67:79],{3{1'b0}}};
VAR27[80:95]<={VAR22[83:95],{3{1'b0}}};
VAR27[96:111]<={VAR22[99:111],{3{1'b0}}};
VAR27[112:127]<={VAR22[115:127],{3{1'b0}}};
end
4'd4:
begin
VAR27[0:15]<={VAR22[4:15],{4{1'b0}}};
VAR27[16:31]<={VAR22[20:31],{4{1'b0}}};
VAR27[32:47]<={VAR22[36:47],{4{1'b0}}};
VAR27[48:63]<={VAR22[52:63],{4{1'b0}}};
VAR27[64:79]<={VAR22[68:79],{4{1'b0}}};
VAR27[80:95]<={VAR22[84:95],{4{1'b0}}};
VAR27[96:111]<={VAR22[100:111],{4{1'b0}}};
VAR27[112:127]<={VAR22[116:127],{4{1'b0}}};
end
4'd5:
begin
VAR27[0:15]<={VAR22[5:15],{5{1'b0}}};
VAR27[16:31]<={VAR22[21:31],{5{1'b0}}};
VAR27[32:47]<={VAR22[37:47],{5{1'b0}}};
VAR27[48:63]<={VAR22[52:63],{5{1'b0}}};
VAR27[64:79]<={VAR22[69:79],{5{1'b0}}};
VAR27[80:95]<={VAR22[85:95],{5{1'b0}}};
VAR27[96:111]<={VAR22[101:111],{5{1'b0}}};
VAR27[112:127]<={VAR22[117:127],{5{1'b0}}};
end
4'd6:
begin
VAR27[0:15]<={VAR22[6:15],{6{1'b0}}};
VAR27[16:31]<={VAR22[22:31],{6{1'b0}}};
VAR27[32:47]<={VAR22[38:47],{6{1'b0}}};
VAR27[48:63]<={VAR22[53:63],{6{1'b0}}};
VAR27[64:79]<={VAR22[70:79],{6{1'b0}}};
VAR27[80:95]<={VAR22[86:95],{6{1'b0}}};
VAR27[96:111]<={VAR22[102:111],{6{1'b0}}};
VAR27[112:127]<={VAR22[118:127],{6{1'b0}}};
end
4'd7:
begin
VAR27[0:15]<={VAR22[7:15],{7{1'b0}}};
VAR27[16:31]<={VAR22[23:31],{7{1'b0}}};
VAR27[32:47]<={VAR22[39:47],{7{1'b0}}};
VAR27[48:63]<={VAR22[54:63],{7{1'b0}}};
VAR27[64:79]<={VAR22[71:79],{7{1'b0}}};
VAR27[80:95]<={VAR22[87:95],{7{1'b0}}};
VAR27[96:111]<={VAR22[103:111],{7{1'b0}}};
VAR27[112:127]<={VAR22[119:127],{7{1'b0}}};
end
4'd8:
begin
VAR27[0:15]<={VAR22[8:15],{8{1'b0}}};
VAR27[16:31]<={VAR22[24:31],{8{1'b0}}};
VAR27[32:47]<={VAR22[40:47],{8{1'b0}}};
VAR27[48:63]<={VAR22[55:63],{8{1'b0}}};
VAR27[64:79]<={VAR22[72:79],{8{1'b0}}};
VAR27[80:95]<={VAR22[88:95],{8{1'b0}}};
VAR27[96:111]<={VAR22[104:111],{8{1'b0}}};
VAR27[112:127]<={VAR22[120:127],{8{1'b0}}};
end
4'd9:
begin
VAR27[0:15]<={VAR22[9:15],{9{1'b0}}};
VAR27[16:31]<={VAR22[25:31],{9{1'b0}}};
VAR27[32:47]<={VAR22[41:47],{9{1'b0}}};
VAR27[48:63]<={VAR22[56:63],{9{1'b0}}};
VAR27[64:79]<={VAR22[73:79],{9{1'b0}}};
VAR27[80:95]<={VAR22[89:95],{9{1'b0}}};
VAR27[96:111]<={VAR22[105:111],{9{1'b0}}};
VAR27[112:127]<={VAR22[121:127],{9{1'b0}}};
end
4'd10:
begin
VAR27[0:15]<={VAR22[10:15],{10{1'b0}}};
VAR27[16:31]<={VAR22[26:31],{10{1'b0}}};
VAR27[32:47]<={VAR22[42:47],{10{1'b0}}};
VAR27[48:63]<={VAR22[58:63],{10{1'b0}}};
VAR27[64:79]<={VAR22[74:79],{10{1'b0}}};
VAR27[80:95]<={VAR22[90:95],{10{1'b0}}};
VAR27[96:111]<={VAR22[106:111],{10{1'b0}}};
VAR27[112:127]<={VAR22[122:127],{10{1'b0}}};
end
4'd11:
begin
VAR27[0:15]<={VAR22[11:15],{11{1'b0}}};
VAR27[16:31]<={VAR22[27:31],{11{1'b0}}};
VAR27[32:47]<={VAR22[43:47],{11{1'b0}}};
VAR27[48:63]<={VAR22[59:63],{11{1'b0}}};
VAR27[64:79]<={VAR22[75:79],{11{1'b0}}};
VAR27[80:95]<={VAR22[91:95],{11{1'b0}}};
VAR27[96:111]<={VAR22[107:111],{11{1'b0}}};
VAR27[112:127]<={VAR22[123:127],{11{1'b0}}};
end
4'd12:
begin
VAR27[0:15]<={VAR22[12:15],{12{1'b0}}};
VAR27[16:31]<={VAR22[28:31],{12{1'b0}}};
VAR27[32:47]<={VAR22[44:47],{12{1'b0}}};
VAR27[48:63]<={VAR22[60:63],{12{1'b0}}};
VAR27[64:79]<={VAR22[76:79],{12{1'b0}}};
VAR27[80:95]<={VAR22[92:95],{12{1'b0}}};
VAR27[96:111]<={VAR22[108:111],{12{1'b0}}};
VAR27[112:127]<={VAR22[124:127],{12{1'b0}}};
end
4'd13:
begin
VAR27[0:15]<={VAR22[13:15],{13{1'b0}}};
VAR27[16:31]<={VAR22[29:31],{13{1'b0}}};
VAR27[32:47]<={VAR22[45:47],{13{1'b0}}};
VAR27[48:63]<={VAR22[61:63],{13{1'b0}}};
VAR27[64:79]<={VAR22[77:79],{13{1'b0}}};
VAR27[80:95]<={VAR22[93:95],{13{1'b0}}};
VAR27[96:111]<={VAR22[109:111],{13{1'b0}}};
VAR27[112:127]<={VAR22[125:127],{13{1'b0}}};
end
4'd14:
begin
VAR27[0:15]<={VAR22[14:15],{14{1'b0}}};
VAR27[16:31]<={VAR22[30:31],{14{1'b0}}};
VAR27[32:47]<={VAR22[46:47],{14{1'b0}}};
VAR27[48:63]<={VAR22[62:63],{14{1'b0}}};
VAR27[64:79]<={VAR22[78:79],{14{1'b0}}};
VAR27[80:95]<={VAR22[94:95],{14{1'b0}}};
VAR27[96:111]<={VAR22[110:111],{14{1'b0}}};
VAR27[112:127]<={VAR22[126:127],{14{1'b0}}};
end
4'd15:
begin
VAR27[0:15]<={VAR22[15],{15{1'b0}}};
VAR27[16:31]<={VAR22[31],{15{1'b0}}};
VAR27[32:47]<={VAR22[47],{15{1'b0}}};
VAR27[48:63]<={VAR22[63],{15{1'b0}}};
VAR27[64:79]<={VAR22[79],{15{1'b0}}};
VAR27[80:95]<={VAR22[95],{15{1'b0}}};
VAR27[96:111]<={VAR22[111],{15{1'b0}}};
VAR27[112:127]<={VAR22[127],{15{1'b0}}};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[0:4])
5'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
5'd1:
begin
VAR27[0:31]<={VAR22[1:31],{1'b0}};
VAR27[32:63]<={VAR22[33:63],{1'b0}};
VAR27[64:95]<={VAR22[65:95],{1'b0}};
VAR27[96:127]<={VAR22[97:127],{1'b0}};
end
5'd2:
begin
VAR27[0:31]<={VAR22[2:31],{2{1'b0}}};
VAR27[32:63]<={VAR22[34:63],{2{1'b0}}};
VAR27[64:95]<={VAR22[66:95],{2{1'b0}}};
VAR27[96:127]<={VAR22[98:127],{2{1'b0}}};
end
5'd3:
begin
VAR27[0:31]<={VAR22[3:31],{3{1'b0}}};
VAR27[32:63]<={VAR22[35:63],{3{1'b0}}};
VAR27[64:95]<={VAR22[67:95],{3{1'b0}}};
VAR27[96:127]<={VAR22[99:127],{3{1'b0}}};
end
5'd4:
begin
VAR27[0:31]<={VAR22[4:31],{4{1'b0}}};
VAR27[32:63]<={VAR22[36:63],{4{1'b0}}};
VAR27[64:95]<={VAR22[68:95],{4{1'b0}}};
VAR27[96:127]<={VAR22[100:127],{4{1'b0}}};
end
5'd5:
begin
VAR27[0:31]<={VAR22[5:31],{5{1'b0}}};
VAR27[32:63]<={VAR22[37:63],{5{1'b0}}};
VAR27[64:95]<={VAR22[69:95],{5{1'b0}}};
VAR27[96:127]<={VAR22[101:127],{5{1'b0}}};
end
5'd6:
begin
VAR27[0:31]<={VAR22[6:31],{6{1'b0}}};
VAR27[32:63]<={VAR22[38:63],{6{1'b0}}};
VAR27[64:95]<={VAR22[70:95],{6{1'b0}}};
VAR27[96:127]<={VAR22[102:127],{6{1'b0}}};
end
5'd7:
begin
VAR27[0:31]<={VAR22[7:31],{7{1'b0}}};
VAR27[32:63]<={VAR22[39:63],{7{1'b0}}};
VAR27[64:95]<={VAR22[71:95],{7{1'b0}}};
VAR27[96:127]<={VAR22[103:127],{7{1'b0}}};
end
5'd8:
begin
VAR27[0:31]<={VAR22[8:31],{8{1'b0}}};
VAR27[32:63]<={VAR22[40:63],{8{1'b0}}};
VAR27[64:95]<={VAR22[72:95],{8{1'b0}}};
VAR27[96:127]<={VAR22[104:127],{8{1'b0}}};
end
5'd9:
begin
VAR27[0:31]<={VAR22[9:31],{9{1'b0}}};
VAR27[32:63]<={VAR22[41:63],{9{1'b0}}};
VAR27[64:95]<={VAR22[73:95],{9{1'b0}}};
VAR27[96:127]<={VAR22[105:127],{9{1'b0}}};
end
5'd10:
begin
VAR27[0:31]<={VAR22[10:31],{10{1'b0}}};
VAR27[32:63]<={VAR22[42:63],{10{1'b0}}};
VAR27[64:95]<={VAR22[74:95],{10{1'b0}}};
VAR27[96:127]<={VAR22[106:127],{10{1'b0}}};
end
5'd11:
begin
VAR27[0:31]<={VAR22[11:31],{11{1'b0}}};
VAR27[32:63]<={VAR22[43:63],{11{1'b0}}};
VAR27[64:95]<={VAR22[75:95],{11{1'b0}}};
VAR27[96:127]<={VAR22[107:127],{11{1'b0}}};
end
5'd12:
begin
VAR27[0:31]<={VAR22[12:31],{12{1'b0}}};
VAR27[32:63]<={VAR22[44:63],{12{1'b0}}};
VAR27[64:95]<={VAR22[76:95],{12{1'b0}}};
VAR27[96:127]<={VAR22[108:127],{12{1'b0}}};
end
5'd13:
begin
VAR27[0:31]<={VAR22[13:31],{13{1'b0}}};
VAR27[32:63]<={VAR22[45:63],{13{1'b0}}};
VAR27[64:95]<={VAR22[77:95],{13{1'b0}}};
VAR27[96:127]<={VAR22[109:127],{13{1'b0}}};
end
5'd14:
begin
VAR27[0:31]<={VAR22[14:31],{14{1'b0}}};
VAR27[32:63]<={VAR22[46:63],{14{1'b0}}};
VAR27[64:95]<={VAR22[78:95],{14{1'b0}}};
VAR27[96:127]<={VAR22[110:127],{14{1'b0}}};
end
5'd15:
begin
VAR27[0:31]<={VAR22[15:31],{15{1'b0}}};
VAR27[32:63]<={VAR22[47:63],{15{1'b0}}};
VAR27[64:95]<={VAR22[79:95],{15{1'b0}}};
VAR27[96:127]<={VAR22[111:127],{15{1'b0}}};
end
5'd16:
begin
VAR27[0:31]<={VAR22[16:31],{16{1'b0}}};
VAR27[32:63]<={VAR22[48:63],{16{1'b0}}};
VAR27[64:95]<={VAR22[80:95],{16{1'b0}}};
VAR27[96:127]<={VAR22[112:127],{16{1'b0}}};
end
5'd17:
begin
VAR27[0:31]<={VAR22[17:31],{17{1'b0}}};
VAR27[32:63]<={VAR22[49:63],{17{1'b0}}};
VAR27[64:95]<={VAR22[81:95],{17{1'b0}}};
VAR27[96:127]<={VAR22[113:127],{17{1'b0}}};
end
5'd18:
begin
VAR27[0:31]<={VAR22[18:31],{18{1'b0}}};
VAR27[32:63]<={VAR22[50:63],{18{1'b0}}};
VAR27[64:95]<={VAR22[82:95],{18{1'b0}}};
VAR27[96:127]<={VAR22[114:127],{18{1'b0}}};
end
5'd19:
begin
VAR27[0:31]<={VAR22[19:31],{19{1'b0}}};
VAR27[32:63]<={VAR22[51:63],{19{1'b0}}};
VAR27[64:95]<={VAR22[83:95],{19{1'b0}}};
VAR27[96:127]<={VAR22[115:127],{19{1'b0}}};
end
5'd20:
begin
VAR27[0:31]<={VAR22[20:31],{20{1'b0}}};
VAR27[32:63]<={VAR22[52:63],{20{1'b0}}};
VAR27[64:95]<={VAR22[84:95],{20{1'b0}}};
VAR27[96:127]<={VAR22[116:127],{20{1'b0}}};
end
5'd21:
begin
VAR27[0:31]<={VAR22[21:31],{21{1'b0}}};
VAR27[32:63]<={VAR22[53:63],{21{1'b0}}};
VAR27[64:95]<={VAR22[85:95],{21{1'b0}}};
VAR27[96:127]<={VAR22[117:127],{21{1'b0}}};
end
5'd22:
begin
VAR27[0:31]<={VAR22[22:31],{22{1'b0}}};
VAR27[32:63]<={VAR22[54:63],{22{1'b0}}};
VAR27[64:95]<={VAR22[86:95],{22{1'b0}}};
VAR27[96:127]<={VAR22[118:127],{22{1'b0}}};
end
5'd23:
begin
VAR27[0:31]<={VAR22[23:31],{23{1'b0}}};
VAR27[32:63]<={VAR22[55:63],{23{1'b0}}};
VAR27[64:95]<={VAR22[87:95],{23{1'b0}}};
VAR27[96:127]<={VAR22[119:127],{23{1'b0}}};
end
5'd24:
begin
VAR27[0:31]<={VAR22[24:31],{24{1'b0}}};
VAR27[32:63]<={VAR22[56:63],{24{1'b0}}};
VAR27[64:95]<={VAR22[88:95],{24{1'b0}}};
VAR27[96:127]<={VAR22[120:127],{24{1'b0}}};
end
5'd25:
begin
VAR27[0:31]<={VAR22[25:31],{25{1'b0}}};
VAR27[32:63]<={VAR22[57:63],{25{1'b0}}};
VAR27[64:95]<={VAR22[89:95],{25{1'b0}}};
VAR27[96:127]<={VAR22[121:127],{25{1'b0}}};
end
5'd26:
begin
VAR27[0:31]<={VAR22[26:31],{26{1'b0}}};
VAR27[32:63]<={VAR22[58:63],{26{1'b0}}};
VAR27[64:95]<={VAR22[90:95],{26{1'b0}}};
VAR27[96:127]<={VAR22[122:127],{26{1'b0}}};
end
5'd27:
begin
VAR27[0:31]<={VAR22[27:31],{27{1'b0}}};
VAR27[32:63]<={VAR22[59:63],{27{1'b0}}};
VAR27[64:95]<={VAR22[91:95],{27{1'b0}}};
VAR27[96:127]<={VAR22[123:127],{27{1'b0}}};
end
5'd28:
begin
VAR27[0:31]<={VAR22[28:31],{28{1'b0}}};
VAR27[32:63]<={VAR22[60:63],{28{1'b0}}};
VAR27[64:95]<={VAR22[92:95],{28{1'b0}}};
VAR27[96:127]<={VAR22[124:127],{28{1'b0}}};
end
5'd29:
begin
VAR27[0:31]<={VAR22[29:31],{29{1'b0}}};
VAR27[32:63]<={VAR22[61:63],{29{1'b0}}};
VAR27[64:95]<={VAR22[93:95],{29{1'b0}}};
VAR27[96:127]<={VAR22[125:127],{29{1'b0}}};
end
5'd30:
begin
VAR27[0:31]<={VAR22[30:31],{30{1'b0}}};
VAR27[32:63]<={VAR22[62:63],{30{1'b0}}};
VAR27[64:95]<={VAR22[94:95],{30{1'b0}}};
VAR27[96:127]<={VAR22[126:127],{30{1'b0}}};
end
5'd31:
begin
VAR27[0:31]<={VAR22[31],{31{1'b0}}};
VAR27[32:63]<={VAR22[63],{31{1'b0}}};
VAR27[64:95]<={VAR22[95],{31{1'b0}}};
VAR27[96:127]<={VAR22[127],{31{1'b0}}};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
default:
VAR27<=128'b0;
endcase
end
begin
case(VAR33)
begin
case(VAR2[2:4])
3'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
3'd1:
begin
VAR27[0:7]<={{1'b0},VAR22[0:6]};
VAR27[8:15]<={{1'b0},VAR22[8:14]};
VAR27[16:23]<={{1'b0},VAR22[16:22]};
VAR27[24:31]<={{1'b0},VAR22[24:30]};
VAR27[32:39]<={{1'b0},VAR22[32:38]};
VAR27[40:47]<={{1'b0},VAR22[40:46]};
VAR27[48:55]<={{1'b0},VAR22[48:54]};
VAR27[56:63]<={{1'b0},VAR22[56:62]};
VAR27[64:71]<={{1'b0},VAR22[64:70]};
VAR27[72:79]<={{1'b0},VAR22[72:78]};
VAR27[80:87]<={{1'b0},VAR22[80:86]};
VAR27[88:95]<={{1'b0},VAR22[88:94]};
VAR27[96:103]<={{1'b0},VAR22[96:102]};
VAR27[104:111]<={{1'b0},VAR22[104:110]};
VAR27[112:119]<={{1'b0},VAR22[112:118]};
VAR27[120:127]<={{1'b0},VAR22[120:126]};
end
3'd2:
begin
VAR27[0:7]<={{2{1'b0}},VAR22[0:5]};
VAR27[8:15]<={{2{1'b0}},VAR22[8:13]};
VAR27[16:23]<={{2{1'b0}},VAR22[16:21]};
VAR27[24:31]<={{2{1'b0}},VAR22[24:29]};
VAR27[32:39]<={{2{1'b0}},VAR22[32:37]};
VAR27[40:47]<={{2{1'b0}},VAR22[40:45]};
VAR27[48:55]<={{2{1'b0}},VAR22[48:53]};
VAR27[56:63]<={{2{1'b0}},VAR22[56:61]};
VAR27[64:71]<={{2{1'b0}},VAR22[64:69]};
VAR27[72:79]<={{2{1'b0}},VAR22[72:77]};
VAR27[80:87]<={{2{1'b0}},VAR22[80:85]};
VAR27[88:95]<={{2{1'b0}},VAR22[88:93]};
VAR27[96:103]<={{2{1'b0}},VAR22[96:101]};
VAR27[104:111]<={{2{1'b0}},VAR22[104:109]};
VAR27[112:119]<={{2{1'b0}},VAR22[112:117]};
VAR27[120:127]<={{2{1'b0}},VAR22[120:125]};
end
3'd3:
begin
VAR27[0:7]<={{3{1'b0}},VAR22[0:4]};
VAR27[8:15]<={{3{1'b0}},VAR22[8:12]};
VAR27[16:23]<={{3{1'b0}},VAR22[16:20]};
VAR27[24:31]<={{3{1'b0}},VAR22[24:28]};
VAR27[32:39]<={{3{1'b0}},VAR22[32:36]};
VAR27[40:47]<={{3{1'b0}},VAR22[40:44]};
VAR27[48:55]<={{3{1'b0}},VAR22[48:52]};
VAR27[56:63]<={{3{1'b0}},VAR22[56:60]};
VAR27[64:71]<={{3{1'b0}},VAR22[64:68]};
VAR27[72:79]<={{3{1'b0}},VAR22[72:76]};
VAR27[80:87]<={{3{1'b0}},VAR22[80:84]};
VAR27[88:95]<={{3{1'b0}},VAR22[88:92]};
VAR27[96:103]<={{3{1'b0}},VAR22[96:100]};
VAR27[104:111]<={{3{1'b0}},VAR22[104:108]};
VAR27[112:119]<={{3{1'b0}},VAR22[112:116]};
VAR27[120:127]<={{3{1'b0}},VAR22[120:124]};
end
3'd4:
begin
VAR27[0:7]<={{4{1'b0}},VAR22[0:3]};
VAR27[8:15]<={{4{1'b0}},VAR22[8:11]};
VAR27[16:23]<={{4{1'b0}},VAR22[16:19]};
VAR27[24:31]<={{4{1'b0}},VAR22[24:27]};
VAR27[32:39]<={{4{1'b0}},VAR22[32:35]};
VAR27[40:47]<={{4{1'b0}},VAR22[40:43]};
VAR27[48:55]<={{4{1'b0}},VAR22[48:51]};
VAR27[56:63]<={{4{1'b0}},VAR22[56:69]};
VAR27[64:71]<={{4{1'b0}},VAR22[64:67]};
VAR27[72:79]<={{4{1'b0}},VAR22[72:75]};
VAR27[80:87]<={{4{1'b0}},VAR22[80:83]};
VAR27[88:95]<={{4{1'b0}},VAR22[88:91]};
VAR27[96:103]<={{4{1'b0}},VAR22[96:99]};
VAR27[104:111]<={{4{1'b0}},VAR22[104:107]};
VAR27[112:119]<={{4{1'b0}},VAR22[112:115]};
VAR27[120:127]<={{4{1'b0}},VAR22[120:123]};
end
3'd5:
begin
VAR27[0:7]<={{5{1'b0}},VAR22[0:2]};
VAR27[8:15]<={{5{1'b0}},VAR22[8:10]};
VAR27[16:23]<={{5{1'b0}},VAR22[16:18]};
VAR27[24:31]<={{5{1'b0}},VAR22[24:26]};
VAR27[32:39]<={{5{1'b0}},VAR22[32:34]};
VAR27[40:47]<={{5{1'b0}},VAR22[40:42]};
VAR27[48:55]<={{5{1'b0}},VAR22[48:50]};
VAR27[56:63]<={{5{1'b0}},VAR22[56:68]};
VAR27[64:71]<={{5{1'b0}},VAR22[64:66]};
VAR27[72:79]<={{5{1'b0}},VAR22[72:74]};
VAR27[80:87]<={{5{1'b0}},VAR22[80:82]};
VAR27[88:95]<={{5{1'b0}},VAR22[88:90]};
VAR27[96:103]<={{5{1'b0}},VAR22[96:98]};
VAR27[104:111]<={{5{1'b0}},VAR22[104:106]};
VAR27[112:119]<={{5{1'b0}},VAR22[112:114]};
VAR27[120:127]<={{5{1'b0}},VAR22[120:122]};
end
3'd6:
begin
VAR27[0:7]<={{6{1'b0}},VAR22[0:1]};
VAR27[8:15]<={{6{1'b0}},VAR22[8:9]};
VAR27[16:23]<={{6{1'b0}},VAR22[16:17]};
VAR27[24:31]<={{6{1'b0}},VAR22[24:25]};
VAR27[32:39]<={{6{1'b0}},VAR22[32:33]};
VAR27[40:47]<={{6{1'b0}},VAR22[40:41]};
VAR27[48:55]<={{6{1'b0}},VAR22[48:49]};
VAR27[56:63]<={{6{1'b0}},VAR22[56:67]};
VAR27[64:71]<={{6{1'b0}},VAR22[64:65]};
VAR27[72:79]<={{6{1'b0}},VAR22[72:73]};
VAR27[80:87]<={{6{1'b0}},VAR22[80:81]};
VAR27[88:95]<={{6{1'b0}},VAR22[88:89]};
VAR27[96:103]<={{6{1'b0}},VAR22[96:97]};
VAR27[104:111]<={{6{1'b0}},VAR22[104:105]};
VAR27[112:119]<={{6{1'b0}},VAR22[112:113]};
VAR27[120:127]<={{6{1'b0}},VAR22[120:121]};
end
3'd7:
begin
VAR27[0:7]<={{7{1'b0}},VAR22[0]};
VAR27[8:15]<={{7{1'b0}},VAR22[8]};
VAR27[16:23]<={{7{1'b0}},VAR22[16]};
VAR27[24:31]<={{7{1'b0}},VAR22[24]};
VAR27[32:39]<={{7{1'b0}},VAR22[32]};
VAR27[40:47]<={{7{1'b0}},VAR22[40]};
VAR27[48:55]<={{7{1'b0}},VAR22[48]};
VAR27[56:63]<={{7{1'b0}},VAR22[56]};
VAR27[64:71]<={{7{1'b0}},VAR22[64]};
VAR27[72:79]<={{7{1'b0}},VAR22[72]};
VAR27[80:87]<={{7{1'b0}},VAR22[80]};
VAR27[88:95]<={{7{1'b0}},VAR22[88]};
VAR27[96:103]<={{7{1'b0}},VAR22[96]};
VAR27[104:111]<={{7{1'b0}},VAR22[104]};
VAR27[112:119]<={{7{1'b0}},VAR22[112]};
VAR27[120:127]<={{7{1'b0}},VAR22[120]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[1:4])
4'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
4'd1:
begin
VAR27[0:15]<={{1'b0},VAR22[0:14]};
VAR27[16:31]<={{1'b0},VAR22[16:30]};
VAR27[32:47]<={{1'b0},VAR22[32:46]};
VAR27[48:63]<={{1'b0},VAR22[48:62]};
VAR27[64:79]<={{1'b0},VAR22[64:78]};
VAR27[80:95]<={{1'b0},VAR22[80:94]};
VAR27[96:111]<={{1'b0},VAR22[96:110]};
VAR27[112:127]<={{1'b0},VAR22[112:126]};
end
4'd2:
begin
VAR27[0:15]<={{2{1'b0}},VAR22[0:13]};
VAR27[16:31]<={{2{1'b0}},VAR22[16:29]};
VAR27[32:47]<={{2{1'b0}},VAR22[32:45]};
VAR27[48:63]<={{2{1'b0}},VAR22[48:61]};
VAR27[64:79]<={{2{1'b0}},VAR22[64:77]};
VAR27[80:95]<={{2{1'b0}},VAR22[80:93]};
VAR27[96:111]<={{2{1'b0}},VAR22[96:109]};
VAR27[112:127]<={{2{1'b0}},VAR22[112:125]};
end
4'd3:
begin
VAR27[0:15]<={{3{1'b0}},VAR22[0:12]};
VAR27[16:31]<={{3{1'b0}},VAR22[16:28]};
VAR27[32:47]<={{3{1'b0}},VAR22[32:44]};
VAR27[48:63]<={{3{1'b0}},VAR22[48:60]};
VAR27[64:79]<={{3{1'b0}},VAR22[64:76]};
VAR27[80:95]<={{3{1'b0}},VAR22[80:92]};
VAR27[96:111]<={{3{1'b0}},VAR22[96:108]};
VAR27[112:127]<={{3{1'b0}},VAR22[112:124]};
end
4'd4:
begin
VAR27[0:15]<={{4{1'b0}},VAR22[0:11]};
VAR27[16:31]<={{4{1'b0}},VAR22[16:27]};
VAR27[32:47]<={{4{1'b0}},VAR22[32:43]};
VAR27[48:63]<={{4{1'b0}},VAR22[48:59]};
VAR27[64:79]<={{4{1'b0}},VAR22[64:75]};
VAR27[80:95]<={{4{1'b0}},VAR22[80:91]};
VAR27[96:111]<={{4{1'b0}},VAR22[96:107]};
VAR27[112:127]<={{4{1'b0}},VAR22[112:123]};
end
4'd5:
begin
VAR27[0:15]<={{5{1'b0}},VAR22[0:10]};
VAR27[16:31]<={{5{1'b0}},VAR22[16:26]};
VAR27[32:47]<={{5{1'b0}},VAR22[32:42]};
VAR27[48:63]<={{5{1'b0}},VAR22[48:58]};
VAR27[64:79]<={{5{1'b0}},VAR22[64:74]};
VAR27[80:95]<={{5{1'b0}},VAR22[80:90]};
VAR27[96:111]<={{5{1'b0}},VAR22[96:106]};
VAR27[112:127]<={{5{1'b0}},VAR22[112:122]};
end
4'd6:
begin
VAR27[0:15]<={{6{1'b0}},VAR22[0:9]};
VAR27[16:31]<={{6{1'b0}},VAR22[16:25]};
VAR27[32:47]<={{6{1'b0}},VAR22[32:41]};
VAR27[48:63]<={{6{1'b0}},VAR22[48:57]};
VAR27[64:79]<={{6{1'b0}},VAR22[64:73]};
VAR27[80:95]<={{6{1'b0}},VAR22[80:89]};
VAR27[96:111]<={{6{1'b0}},VAR22[96:105]};
VAR27[112:127]<={{6{1'b0}},VAR22[112:121]};
end
4'd7:
begin
VAR27[0:15]<={{7{1'b0}},VAR22[0:8]};
VAR27[16:31]<={{7{1'b0}},VAR22[16:24]};
VAR27[32:47]<={{7{1'b0}},VAR22[32:40]};
VAR27[48:63]<={{7{1'b0}},VAR22[48:56]};
VAR27[64:79]<={{7{1'b0}},VAR22[64:72]};
VAR27[80:95]<={{7{1'b0}},VAR22[80:88]};
VAR27[96:111]<={{7{1'b0}},VAR22[96:104]};
VAR27[112:127]<={{7{1'b0}},VAR22[112:120]};
end
4'd8:
begin
VAR27[0:15]<={{8{1'b0}},VAR22[0:7]};
VAR27[16:31]<={{8{1'b0}},VAR22[16:23]};
VAR27[32:47]<={{8{1'b0}},VAR22[32:39]};
VAR27[48:63]<={{8{1'b0}},VAR22[48:55]};
VAR27[64:79]<={{8{1'b0}},VAR22[64:71]};
VAR27[80:95]<={{8{1'b0}},VAR22[80:87]};
VAR27[96:111]<={{8{1'b0}},VAR22[96:103]};
VAR27[112:127]<={{8{1'b0}},VAR22[112:119]};
end
4'd9:
begin
VAR27[0:15]<={{9{1'b0}},VAR22[0:6]};
VAR27[16:31]<={{9{1'b0}},VAR22[16:22]};
VAR27[32:47]<={{9{1'b0}},VAR22[32:38]};
VAR27[48:63]<={{9{1'b0}},VAR22[48:54]};
VAR27[64:79]<={{9{1'b0}},VAR22[64:70]};
VAR27[80:95]<={{9{1'b0}},VAR22[80:86]};
VAR27[96:111]<={{9{1'b0}},VAR22[96:102]};
VAR27[112:127]<={{9{1'b0}},VAR22[112:118]};
end
4'd10:
begin
VAR27[0:15]<={{10{1'b0}},VAR22[0:5]};
VAR27[16:31]<={{10{1'b0}},VAR22[16:21]};
VAR27[32:47]<={{10{1'b0}},VAR22[32:37]};
VAR27[48:63]<={{10{1'b0}},VAR22[48:53]};
VAR27[64:79]<={{10{1'b0}},VAR22[64:69]};
VAR27[80:95]<={{10{1'b0}},VAR22[80:85]};
VAR27[96:111]<={{10{1'b0}},VAR22[96:101]};
VAR27[112:127]<={{10{1'b0}},VAR22[112:117]};
end
4'd11:
begin
VAR27[0:15]<={{11{1'b0}},VAR22[0:4]};
VAR27[16:31]<={{11{1'b0}},VAR22[16:20]};
VAR27[32:47]<={{11{1'b0}},VAR22[32:36]};
VAR27[48:63]<={{11{1'b0}},VAR22[48:52]};
VAR27[64:79]<={{11{1'b0}},VAR22[64:68]};
VAR27[80:95]<={{11{1'b0}},VAR22[80:84]};
VAR27[96:111]<={{11{1'b0}},VAR22[96:100]};
VAR27[112:127]<={{11{1'b0}},VAR22[112:116]};
end
4'd12:
begin
VAR27[0:15]<={{12{1'b0}},VAR22[0:3]};
VAR27[16:31]<={{12{1'b0}},VAR22[16:19]};
VAR27[32:47]<={{12{1'b0}},VAR22[32:35]};
VAR27[48:63]<={{12{1'b0}},VAR22[48:51]};
VAR27[64:79]<={{12{1'b0}},VAR22[64:67]};
VAR27[80:95]<={{12{1'b0}},VAR22[80:83]};
VAR27[96:111]<={{12{1'b0}},VAR22[96:99]};
VAR27[112:127]<={{12{1'b0}},VAR22[112:115]};
end
4'd13:
begin
VAR27[0:15]<={{13{1'b0}},VAR22[0:2]};
VAR27[16:31]<={{13{1'b0}},VAR22[16:18]};
VAR27[32:47]<={{13{1'b0}},VAR22[32:34]};
VAR27[48:63]<={{13{1'b0}},VAR22[48:50]};
VAR27[64:79]<={{13{1'b0}},VAR22[64:66]};
VAR27[80:95]<={{13{1'b0}},VAR22[80:82]};
VAR27[96:111]<={{13{1'b0}},VAR22[96:98]};
VAR27[112:127]<={{13{1'b0}},VAR22[112:114]};
end
4'd14:
begin
VAR27[0:15]<={{14{1'b0}},VAR22[0:1]};
VAR27[16:31]<={{14{1'b0}},VAR22[16:17]};
VAR27[32:47]<={{14{1'b0}},VAR22[32:33]};
VAR27[48:63]<={{14{1'b0}},VAR22[48:49]};
VAR27[64:79]<={{14{1'b0}},VAR22[64:65]};
VAR27[80:95]<={{14{1'b0}},VAR22[80:81]};
VAR27[96:111]<={{14{1'b0}},VAR22[96:97]};
VAR27[112:127]<={{14{1'b0}},VAR22[112:113]};
end
4'd15:
begin
VAR27[0:15]<={{15{1'b0}},VAR22[0]};
VAR27[16:31]<={{15{1'b0}},VAR22[16]};
VAR27[32:47]<={{15{1'b0}},VAR22[32]};
VAR27[48:63]<={{15{1'b0}},VAR22[48]};
VAR27[64:79]<={{15{1'b0}},VAR22[64]};
VAR27[80:95]<={{15{1'b0}},VAR22[80]};
VAR27[96:111]<={{15{1'b0}},VAR22[96]};
VAR27[112:127]<={{15{1'b0}},VAR22[112]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[0:4])
5'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
5'd1:
begin
VAR27[0:31]<={{1'b0},VAR22[0:30]};
VAR27[32:63]<={{1'b0},VAR22[32:62]};
VAR27[64:95]<={{1'b0},VAR22[64:94]};
VAR27[96:127]<={{1'b0},VAR22[96:126]};
end
5'd2:
begin
VAR27[0:31]<={{2{1'b0}},VAR22[0:29]};
VAR27[32:63]<={{2{1'b0}},VAR22[32:61]};
VAR27[64:95]<={{2{1'b0}},VAR22[64:93]};
VAR27[96:127]<={{2{1'b0}},VAR22[96:125]};
end
5'd3:
begin
VAR27[0:31]<={{3{1'b0}},VAR22[0:28]};
VAR27[32:63]<={{3{1'b0}},VAR22[32:60]};
VAR27[64:95]<={{3{1'b0}},VAR22[64:92]};
VAR27[96:127]<={{3{1'b0}},VAR22[96:124]};
end
5'd4:
begin
VAR27[0:31]<={{4{1'b0}},VAR22[0:27]};
VAR27[32:63]<={{4{1'b0}},VAR22[32:59]};
VAR27[64:95]<={{4{1'b0}},VAR22[64:91]};
VAR27[96:127]<={{4{1'b0}},VAR22[96:123]};
end
5'd5:
begin
VAR27[0:31]<={{5{1'b0}},VAR22[0:26]};
VAR27[32:63]<={{5{1'b0}},VAR22[32:58]};
VAR27[64:95]<={{5{1'b0}},VAR22[64:90]};
VAR27[96:127]<={{5{1'b0}},VAR22[96:122]};
end
5'd6:
begin
VAR27[0:31]<={{6{1'b0}},VAR22[0:25]};
VAR27[32:63]<={{6{1'b0}},VAR22[32:57]};
VAR27[64:95]<={{6{1'b0}},VAR22[64:89]};
VAR27[96:127]<={{6{1'b0}},VAR22[96:121]};
end
5'd7:
begin
VAR27[0:31]<={{7{1'b0}},VAR22[0:24]};
VAR27[32:63]<={{7{1'b0}},VAR22[32:56]};
VAR27[64:95]<={{7{1'b0}},VAR22[64:88]};
VAR27[96:127]<={{7{1'b0}},VAR22[96:120]};
end
5'd8:
begin
VAR27[0:31]<={{8{1'b0}},VAR22[0:23]};
VAR27[32:63]<={{8{1'b0}},VAR22[32:55]};
VAR27[64:95]<={{8{1'b0}},VAR22[64:87]};
VAR27[96:127]<={{8{1'b0}},VAR22[96:119]};
end
5'd9:
begin
VAR27[0:31]<={{9{1'b0}},VAR22[0:22]};
VAR27[32:63]<={{9{1'b0}},VAR22[32:54]};
VAR27[64:95]<={{9{1'b0}},VAR22[64:86]};
VAR27[96:127]<={{9{1'b0}},VAR22[96:118]};
end
5'd10:
begin
VAR27[0:31]<={{10{1'b0}},VAR22[0:21]};
VAR27[32:63]<={{10{1'b0}},VAR22[32:53]};
VAR27[64:95]<={{10{1'b0}},VAR22[64:85]};
VAR27[96:127]<={{10{1'b0}},VAR22[96:117]};
end
5'd11:
begin
VAR27[0:31]<={{11{1'b0}},VAR22[0:20]};
VAR27[32:63]<={{11{1'b0}},VAR22[32:52]};
VAR27[64:95]<={{11{1'b0}},VAR22[64:84]};
VAR27[96:127]<={{11{1'b0}},VAR22[96:116]};
end
5'd12:
begin
VAR27[0:31]<={{12{1'b0}},VAR22[0:19]};
VAR27[32:63]<={{12{1'b0}},VAR22[32:51]};
VAR27[64:95]<={{12{1'b0}},VAR22[64:83]};
VAR27[96:127]<={{12{1'b0}},VAR22[96:115]};
end
5'd13:
begin
VAR27[0:31]<={{13{1'b0}},VAR22[0:18]};
VAR27[32:63]<={{13{1'b0}},VAR22[32:50]};
VAR27[64:95]<={{13{1'b0}},VAR22[64:82]};
VAR27[96:127]<={{13{1'b0}},VAR22[96:114]};
end
5'd14:
begin
VAR27[0:31]<={{14{1'b0}},VAR22[0:17]};
VAR27[32:63]<={{14{1'b0}},VAR22[32:49]};
VAR27[64:95]<={{14{1'b0}},VAR22[64:81]};
VAR27[96:127]<={{14{1'b0}},VAR22[96:113]};
end
5'd15:
begin
VAR27[0:31]<={{15{1'b0}},VAR22[0:16]};
VAR27[32:63]<={{15{1'b0}},VAR22[32:48]};
VAR27[64:95]<={{15{1'b0}},VAR22[64:80]};
VAR27[96:127]<={{15{1'b0}},VAR22[96:112]};
end
5'd16:
begin
VAR27[0:31]<={{16{1'b0}},VAR22[0:15]};
VAR27[32:63]<={{16{1'b0}},VAR22[32:47]};
VAR27[64:95]<={{16{1'b0}},VAR22[64:79]};
VAR27[96:127]<={{16{1'b0}},VAR22[96:111]};
end
5'd17:
begin
VAR27[0:31]<={{17{1'b0}},VAR22[0:14]};
VAR27[32:63]<={{17{1'b0}},VAR22[32:46]};
VAR27[64:95]<={{17{1'b0}},VAR22[64:78]};
VAR27[96:127]<={{17{1'b0}},VAR22[96:110]};
end
5'd18:
begin
VAR27[0:31]<={{18{1'b0}},VAR22[0:13]};
VAR27[32:63]<={{18{1'b0}},VAR22[32:45]};
VAR27[64:95]<={{18{1'b0}},VAR22[64:77]};
VAR27[96:127]<={{18{1'b0}},VAR22[96:109]};
end
5'd19:
begin
VAR27[0:31]<={{19{1'b0}},VAR22[0:12]};
VAR27[32:63]<={{19{1'b0}},VAR22[32:44]};
VAR27[64:95]<={{19{1'b0}},VAR22[64:76]};
VAR27[96:127]<={{19{1'b0}},VAR22[96:108]};
end
5'd20:
begin
VAR27[0:31]<={{20{1'b0}},VAR22[0:11]};
VAR27[32:63]<={{20{1'b0}},VAR22[32:43]};
VAR27[64:95]<={{20{1'b0}},VAR22[64:75]};
VAR27[96:127]<={{20{1'b0}},VAR22[96:107]};
end
5'd21:
begin
VAR27[0:31]<={{21{1'b0}},VAR22[0:10]};
VAR27[32:63]<={{21{1'b0}},VAR22[32:42]};
VAR27[64:95]<={{21{1'b0}},VAR22[64:74]};
VAR27[96:127]<={{21{1'b0}},VAR22[96:106]};
end
5'd22:
begin
VAR27[0:31]<={{22{1'b0}},VAR22[0:9]};
VAR27[32:63]<={{22{1'b0}},VAR22[32:41]};
VAR27[64:95]<={{22{1'b0}},VAR22[64:73]};
VAR27[96:127]<={{22{1'b0}},VAR22[96:105]};
end
5'd23:
begin
VAR27[0:31]<={{23{1'b0}},VAR22[0:8]};
VAR27[32:63]<={{23{1'b0}},VAR22[32:40]};
VAR27[64:95]<={{23{1'b0}},VAR22[64:72]};
VAR27[96:127]<={{23{1'b0}},VAR22[96:104]};
end
5'd24:
begin
VAR27[0:31]<={{24{1'b0}},VAR22[0:7]};
VAR27[32:63]<={{24{1'b0}},VAR22[32:39]};
VAR27[64:95]<={{24{1'b0}},VAR22[64:71]};
VAR27[96:127]<={{24{1'b0}},VAR22[96:103]};
end
5'd25:
begin
VAR27[0:31]<={{25{1'b0}},VAR22[0:6]};
VAR27[32:63]<={{25{1'b0}},VAR22[32:38]};
VAR27[64:95]<={{25{1'b0}},VAR22[64:70]};
VAR27[96:127]<={{25{1'b0}},VAR22[96:102]};
end
5'd26:
begin
VAR27[0:31]<={{26{1'b0}},VAR22[0:5]};
VAR27[32:63]<={{26{1'b0}},VAR22[32:37]};
VAR27[64:95]<={{26{1'b0}},VAR22[64:69]};
VAR27[96:127]<={{26{1'b0}},VAR22[96:101]};
end
5'd27:
begin
VAR27[0:31]<={{27{1'b0}},VAR22[0:4]};
VAR27[32:63]<={{27{1'b0}},VAR22[32:36]};
VAR27[64:95]<={{27{1'b0}},VAR22[64:68]};
VAR27[96:127]<={{27{1'b0}},VAR22[96:100]};
end
5'd28:
begin
VAR27[0:31]<={{28{1'b0}},VAR22[0:3]};
VAR27[32:63]<={{28{1'b0}},VAR22[32:35]};
VAR27[64:95]<={{28{1'b0}},VAR22[64:67]};
VAR27[96:127]<={{28{1'b0}},VAR22[96:99]};
end
5'd29:
begin
VAR27[0:31]<={{29{1'b0}},VAR22[0:2]};
VAR27[32:63]<={{29{1'b0}},VAR22[32:34]};
VAR27[64:95]<={{29{1'b0}},VAR22[64:66]};
VAR27[96:127]<={{29{1'b0}},VAR22[96:98]};
end
5'd30:
begin
VAR27[0:31]<={{30{1'b0}},VAR22[0:1]};
VAR27[32:63]<={{30{1'b0}},VAR22[32:33]};
VAR27[64:95]<={{30{1'b0}},VAR22[64:65]};
VAR27[96:127]<={{30{1'b0}},VAR22[96:97]};
end
5'd31:
begin
VAR27[0:31]<={{31{1'b0}},VAR22[0]};
VAR27[32:63]<={{31{1'b0}},VAR22[32]};
VAR27[64:95]<={{31{1'b0}},VAR22[64]};
VAR27[96:127]<={{31{1'b0}},VAR22[96]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR33)
begin
case(VAR2[2:4])
3'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
3'd1:
begin
VAR27[0:7]<={{VAR22[0]},VAR22[0:6]};
VAR27[8:15]<={{VAR22[8]},VAR22[8:14]};
VAR27[16:23]<={{VAR22[16]},VAR22[16:22]};
VAR27[24:31]<={{VAR22[24]},VAR22[24:30]};
VAR27[32:39]<={{VAR22[32]},VAR22[32:38]};
VAR27[40:47]<={{VAR22[40]},VAR22[40:46]};
VAR27[48:55]<={{VAR22[48]},VAR22[48:54]};
VAR27[56:63]<={{VAR22[56]},VAR22[56:62]};
VAR27[64:71]<={{VAR22[64]},VAR22[64:70]};
VAR27[72:79]<={{VAR22[72]},VAR22[72:78]};
VAR27[80:87]<={{VAR22[80]},VAR22[80:86]};
VAR27[88:95]<={{VAR22[88]},VAR22[88:94]};
VAR27[96:103]<={{VAR22[96]},VAR22[96:102]};
VAR27[104:111]<={{VAR22[104]},VAR22[104:110]};
VAR27[112:119]<={{VAR22[112]},VAR22[112:118]};
VAR27[120:127]<={{VAR22[120]},VAR22[120:126]};
end
3'd2:
begin
VAR27[0:7]<={{2{VAR22[0]}},VAR22[0:5]};
VAR27[8:15]<={{2{VAR22[8]}},VAR22[8:13]};
VAR27[16:23]<={{2{VAR22[16]}},VAR22[16:21]};
VAR27[24:31]<={{2{VAR22[24]}},VAR22[24:29]};
VAR27[32:39]<={{2{VAR22[32]}},VAR22[32:37]};
VAR27[40:47]<={{2{VAR22[40]}},VAR22[40:45]};
VAR27[48:55]<={{2{VAR22[48]}},VAR22[48:53]};
VAR27[56:63]<={{2{VAR22[56]}},VAR22[56:61]};
VAR27[64:71]<={{2{VAR22[64]}},VAR22[64:69]};
VAR27[72:79]<={{2{VAR22[72]}},VAR22[72:77]};
VAR27[80:87]<={{2{VAR22[80]}},VAR22[80:85]};
VAR27[88:95]<={{2{VAR22[88]}},VAR22[88:93]};
VAR27[96:103]<={{2{VAR22[96]}},VAR22[96:101]};
VAR27[104:111]<={{2{VAR22[104]}},VAR22[104:109]};
VAR27[112:119]<={{2{VAR22[112]}},VAR22[112:117]};
VAR27[120:127]<={{2{VAR22[120]}},VAR22[120:125]};
end
3'd3:
begin
VAR27[0:7]<={{3{VAR22[0]}},VAR22[0:4]};
VAR27[8:15]<={{3{VAR22[8]}},VAR22[8:12]};
VAR27[16:23]<={{3{VAR22[16]}},VAR22[16:20]};
VAR27[24:31]<={{3{VAR22[24]}},VAR22[24:28]};
VAR27[32:39]<={{3{VAR22[32]}},VAR22[32:36]};
VAR27[40:47]<={{3{VAR22[40]}},VAR22[40:44]};
VAR27[48:55]<={{3{VAR22[48]}},VAR22[48:52]};
VAR27[56:63]<={{3{VAR22[56]}},VAR22[56:60]};
VAR27[64:71]<={{3{VAR22[64]}},VAR22[64:68]};
VAR27[72:79]<={{3{VAR22[72]}},VAR22[72:76]};
VAR27[80:87]<={{3{VAR22[80]}},VAR22[80:84]};
VAR27[88:95]<={{3{VAR22[88]}},VAR22[88:92]};
VAR27[96:103]<={{3{VAR22[96]}},VAR22[96:100]};
VAR27[104:111]<={{3{VAR22[104]}},VAR22[104:108]};
VAR27[112:119]<={{3{VAR22[112]}},VAR22[112:116]};
VAR27[120:127]<={{3{VAR22[120]}},VAR22[120:124]};
end
3'd4:
begin
VAR27[0:7]<={{4{VAR22[0]}},VAR22[0:3]};
VAR27[8:15]<={{4{VAR22[8]}},VAR22[8:11]};
VAR27[16:23]<={{4{VAR22[16]}},VAR22[16:19]};
VAR27[24:31]<={{4{VAR22[24]}},VAR22[24:27]};
VAR27[32:39]<={{4{VAR22[32]}},VAR22[32:35]};
VAR27[40:47]<={{4{VAR22[40]}},VAR22[40:43]};
VAR27[48:55]<={{4{VAR22[48]}},VAR22[48:51]};
VAR27[56:63]<={{4{VAR22[56]}},VAR22[56:69]};
VAR27[64:71]<={{4{VAR22[64]}},VAR22[64:67]};
VAR27[72:79]<={{4{VAR22[72]}},VAR22[72:75]};
VAR27[80:87]<={{4{VAR22[80]}},VAR22[80:83]};
VAR27[88:95]<={{4{VAR22[88]}},VAR22[88:91]};
VAR27[96:103]<={{4{VAR22[96]}},VAR22[96:99]};
VAR27[104:111]<={{4{VAR22[104]}},VAR22[104:107]};
VAR27[112:119]<={{4{VAR22[112]}},VAR22[112:115]};
VAR27[120:127]<={{4{VAR22[120]}},VAR22[120:123]};
end
3'd5:
begin
VAR27[0:7]<={{5{VAR22[0]}},VAR22[0:2]};
VAR27[8:15]<={{5{VAR22[8]}},VAR22[8:10]};
VAR27[16:23]<={{5{VAR22[16]}},VAR22[16:18]};
VAR27[24:31]<={{5{VAR22[24]}},VAR22[24:26]};
VAR27[32:39]<={{5{VAR22[32]}},VAR22[32:34]};
VAR27[40:47]<={{5{VAR22[40]}},VAR22[40:42]};
VAR27[48:55]<={{5{VAR22[48]}},VAR22[48:50]};
VAR27[56:63]<={{5{VAR22[56]}},VAR22[56:68]};
VAR27[64:71]<={{5{VAR22[64]}},VAR22[64:66]};
VAR27[72:79]<={{5{VAR22[72]}},VAR22[72:74]};
VAR27[80:87]<={{5{VAR22[80]}},VAR22[80:82]};
VAR27[88:95]<={{5{VAR22[88]}},VAR22[88:90]};
VAR27[96:103]<={{5{VAR22[96]}},VAR22[96:98]};
VAR27[104:111]<={{5{VAR22[104]}},VAR22[104:106]};
VAR27[112:119]<={{5{VAR22[112]}},VAR22[112:114]};
VAR27[120:127]<={{5{VAR22[120]}},VAR22[120:122]};
end
3'd6:
begin
VAR27[0:7]<={{6{VAR22[0]}},VAR22[0:1]};
VAR27[8:15]<={{6{VAR22[8]}},VAR22[8:9]};
VAR27[16:23]<={{6{VAR22[16]}},VAR22[16:17]};
VAR27[24:31]<={{6{VAR22[24]}},VAR22[24:25]};
VAR27[32:39]<={{6{VAR22[32]}},VAR22[32:33]};
VAR27[40:47]<={{6{VAR22[40]}},VAR22[40:41]};
VAR27[48:55]<={{6{VAR22[48]}},VAR22[48:49]};
VAR27[56:63]<={{6{VAR22[56]}},VAR22[56:67]};
VAR27[64:71]<={{6{VAR22[64]}},VAR22[64:65]};
VAR27[72:79]<={{6{VAR22[72]}},VAR22[72:73]};
VAR27[80:87]<={{6{VAR22[80]}},VAR22[80:81]};
VAR27[88:95]<={{6{VAR22[88]}},VAR22[88:89]};
VAR27[96:103]<={{6{VAR22[96]}},VAR22[96:97]};
VAR27[104:111]<={{6{VAR22[104]}},VAR22[104:105]};
VAR27[112:119]<={{6{VAR22[112]}},VAR22[112:113]};
VAR27[120:127]<={{6{VAR22[120]}},VAR22[120:121]};
end
3'd7:
begin
VAR27[0:7]<={{7{VAR22[0]}},VAR22[0]};
VAR27[8:15]<={{7{VAR22[8]}},VAR22[8]};
VAR27[16:23]<={{7{VAR22[16]}},VAR22[16]};
VAR27[24:31]<={{7{VAR22[24]}},VAR22[24]};
VAR27[32:39]<={{7{VAR22[32]}},VAR22[32]};
VAR27[40:47]<={{7{VAR22[40]}},VAR22[40]};
VAR27[48:55]<={{7{VAR22[48]}},VAR22[48]};
VAR27[56:63]<={{7{VAR22[56]}},VAR22[56]};
VAR27[64:71]<={{7{VAR22[64]}},VAR22[64]};
VAR27[72:79]<={{7{VAR22[72]}},VAR22[72]};
VAR27[80:87]<={{7{VAR22[80]}},VAR22[80]};
VAR27[88:95]<={{7{VAR22[88]}},VAR22[88]};
VAR27[96:103]<={{7{VAR22[96]}},VAR22[96]};
VAR27[104:111]<={{7{VAR22[104]}},VAR22[104]};
VAR27[112:119]<={{7{VAR22[112]}},VAR22[112]};
VAR27[120:127]<={{7{VAR22[120]}},VAR22[120]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[1:4])
4'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
4'd1:
begin
VAR27[0:15]<={{VAR22[0]},VAR22[0:14]};
VAR27[16:31]<={{VAR22[16]},VAR22[16:30]};
VAR27[32:47]<={{VAR22[32]},VAR22[32:46]};
VAR27[48:63]<={{VAR22[48]},VAR22[48:62]};
VAR27[64:79]<={{VAR22[64]},VAR22[64:78]};
VAR27[80:95]<={{VAR22[80]},VAR22[80:94]};
VAR27[96:111]<={{VAR22[96]},VAR22[96:110]};
VAR27[112:127]<={{VAR22[112]},VAR22[112:126]};
end
4'd2:
begin
VAR27[0:15]<={{2{VAR22[0]}},VAR22[0:13]};
VAR27[16:31]<={{2{VAR22[16]}},VAR22[16:29]};
VAR27[32:47]<={{2{VAR22[32]}},VAR22[32:45]};
VAR27[48:63]<={{2{VAR22[48]}},VAR22[48:61]};
VAR27[64:79]<={{2{VAR22[64]}},VAR22[64:77]};
VAR27[80:95]<={{2{VAR22[80]}},VAR22[80:93]};
VAR27[96:111]<={{2{VAR22[96]}},VAR22[96:109]};
VAR27[112:127]<={{2{VAR22[112]}},VAR22[112:125]};
end
4'd3:
begin
VAR27[0:15]<={{3{VAR22[0]}},VAR22[0:12]};
VAR27[16:31]<={{3{VAR22[16]}},VAR22[16:28]};
VAR27[32:47]<={{3{VAR22[32]}},VAR22[32:44]};
VAR27[48:63]<={{3{VAR22[48]}},VAR22[48:60]};
VAR27[64:79]<={{3{VAR22[64]}},VAR22[64:76]};
VAR27[80:95]<={{3{VAR22[80]}},VAR22[80:92]};
VAR27[96:111]<={{3{VAR22[96]}},VAR22[96:108]};
VAR27[112:127]<={{3{VAR22[112]}},VAR22[112:124]};
end
4'd4:
begin
VAR27[0:15]<={{4{VAR22[0]}},VAR22[0:11]};
VAR27[16:31]<={{4{VAR22[8]}},VAR22[16:27]};
VAR27[32:47]<={{4{VAR22[16]}},VAR22[32:43]};
VAR27[48:63]<={{4{VAR22[32]}},VAR22[48:59]};
VAR27[64:79]<={{4{VAR22[48]}},VAR22[64:75]};
VAR27[80:95]<={{4{VAR22[64]}},VAR22[80:91]};
VAR27[96:111]<={{4{VAR22[80]}},VAR22[96:107]};
VAR27[112:127]<={{4{VAR22[112]}},VAR22[112:123]};
end
4'd5:
begin
VAR27[0:15]<={{5{VAR22[0]}},VAR22[0:10]};
VAR27[16:31]<={{5{VAR22[16]}},VAR22[16:26]};
VAR27[32:47]<={{5{VAR22[32]}},VAR22[32:42]};
VAR27[48:63]<={{5{VAR22[48]}},VAR22[48:58]};
VAR27[64:79]<={{5{VAR22[64]}},VAR22[64:74]};
VAR27[80:95]<={{5{VAR22[80]}},VAR22[80:90]};
VAR27[96:111]<={{5{VAR22[96]}},VAR22[96:106]};
VAR27[112:127]<={{5{VAR22[112]}},VAR22[112:122]};
end
4'd6:
begin
VAR27[0:15]<={{6{VAR22[0]}},VAR22[0:9]};
VAR27[16:31]<={{6{VAR22[16]}},VAR22[16:25]};
VAR27[32:47]<={{6{VAR22[32]}},VAR22[32:41]};
VAR27[48:63]<={{6{VAR22[48]}},VAR22[48:57]};
VAR27[64:79]<={{6{VAR22[64]}},VAR22[64:73]};
VAR27[80:95]<={{6{VAR22[80]}},VAR22[80:89]};
VAR27[96:111]<={{6{VAR22[96]}},VAR22[96:105]};
VAR27[112:127]<={{6{VAR22[112]}},VAR22[112:121]};
end
4'd7:
begin
VAR27[0:15]<={{7{VAR22[0]}},VAR22[0:8]};
VAR27[16:31]<={{7{VAR22[16]}},VAR22[16:24]};
VAR27[32:47]<={{7{VAR22[32]}},VAR22[32:40]};
VAR27[48:63]<={{7{VAR22[48]}},VAR22[48:56]};
VAR27[64:79]<={{7{VAR22[64]}},VAR22[64:72]};
VAR27[80:95]<={{7{VAR22[80]}},VAR22[80:88]};
VAR27[96:111]<={{7{VAR22[96]}},VAR22[96:104]};
VAR27[112:127]<={{7{VAR22[112]}},VAR22[112:120]};
end
4'd8:
begin
VAR27[0:15]<={{8{VAR22[0]}},VAR22[0:7]};
VAR27[16:31]<={{8{VAR22[16]}},VAR22[16:23]};
VAR27[32:47]<={{8{VAR22[32]}},VAR22[32:39]};
VAR27[48:63]<={{8{VAR22[48]}},VAR22[48:55]};
VAR27[64:79]<={{8{VAR22[64]}},VAR22[64:71]};
VAR27[80:95]<={{8{VAR22[80]}},VAR22[80:87]};
VAR27[96:111]<={{8{VAR22[96]}},VAR22[96:103]};
VAR27[112:127]<={{8{VAR22[112]}},VAR22[112:119]};
end
4'd9:
begin
VAR27[0:15]<={{9{VAR22[0]}},VAR22[0:6]};
VAR27[16:31]<={{9{VAR22[16]}},VAR22[16:22]};
VAR27[32:47]<={{9{VAR22[32]}},VAR22[32:38]};
VAR27[48:63]<={{9{VAR22[48]}},VAR22[48:54]};
VAR27[64:79]<={{9{VAR22[64]}},VAR22[64:70]};
VAR27[80:95]<={{9{VAR22[80]}},VAR22[80:86]};
VAR27[96:111]<={{9{VAR22[96]}},VAR22[96:102]};
VAR27[112:127]<={{9{VAR22[112]}},VAR22[112:118]};
end
4'd10:
begin
VAR27[0:15]<={{10{VAR22[0]}},VAR22[0:5]};
VAR27[16:31]<={{10{VAR22[16]}},VAR22[16:21]};
VAR27[32:47]<={{10{VAR22[32]}},VAR22[32:37]};
VAR27[48:63]<={{10{VAR22[48]}},VAR22[48:53]};
VAR27[64:79]<={{10{VAR22[64]}},VAR22[64:69]};
VAR27[80:95]<={{10{VAR22[80]}},VAR22[80:85]};
VAR27[96:111]<={{10{VAR22[96]}},VAR22[96:101]};
VAR27[112:127]<={{10{VAR22[112]}},VAR22[112:117]};
end
4'd11:
begin
VAR27[0:15]<={{11{VAR22[0]}},VAR22[0:4]};
VAR27[16:31]<={{11{VAR22[16]}},VAR22[16:20]};
VAR27[32:47]<={{11{VAR22[32]}},VAR22[32:36]};
VAR27[48:63]<={{11{VAR22[48]}},VAR22[48:52]};
VAR27[64:79]<={{11{VAR22[64]}},VAR22[64:68]};
VAR27[80:95]<={{11{VAR22[80]}},VAR22[80:84]};
VAR27[96:111]<={{11{VAR22[96]}},VAR22[96:100]};
VAR27[112:127]<={{11{VAR22[112]}},VAR22[112:116]};
end
4'd12:
begin
VAR27[0:15]<={{12{VAR22[0]}},VAR22[0:3]};
VAR27[16:31]<={{12{VAR22[16]}},VAR22[16:19]};
VAR27[32:47]<={{12{VAR22[32]}},VAR22[32:35]};
VAR27[48:63]<={{12{VAR22[48]}},VAR22[48:51]};
VAR27[64:79]<={{12{VAR22[64]}},VAR22[64:67]};
VAR27[80:95]<={{12{VAR22[80]}},VAR22[80:83]};
VAR27[96:111]<={{12{VAR22[96]}},VAR22[96:99]};
VAR27[112:127]<={{12{VAR22[112]}},VAR22[112:115]};
end
4'd13:
begin
VAR27[0:15]<={{13{VAR22[0]}},VAR22[0:2]};
VAR27[16:31]<={{13{VAR22[16]}},VAR22[16:18]};
VAR27[32:47]<={{13{VAR22[32]}},VAR22[32:34]};
VAR27[48:63]<={{13{VAR22[48]}},VAR22[48:50]};
VAR27[64:79]<={{13{VAR22[64]}},VAR22[64:66]};
VAR27[80:95]<={{13{VAR22[80]}},VAR22[80:82]};
VAR27[96:111]<={{13{VAR22[96]}},VAR22[96:98]};
VAR27[112:127]<={{13{VAR22[112]}},VAR22[112:114]};
end
4'd14:
begin
VAR27[0:15]<={{14{VAR22[0]}},VAR22[0:1]};
VAR27[16:31]<={{14{VAR22[16]}},VAR22[16:17]};
VAR27[32:47]<={{14{VAR22[32]}},VAR22[32:33]};
VAR27[48:63]<={{14{VAR22[48]}},VAR22[48:49]};
VAR27[64:79]<={{14{VAR22[64]}},VAR22[64:65]};
VAR27[80:95]<={{14{VAR22[80]}},VAR22[80:81]};
VAR27[96:111]<={{14{VAR22[96]}},VAR22[96:97]};
VAR27[112:127]<={{14{VAR22[112]}},VAR22[112:113]};
end
4'd15:
begin
VAR27[0:15]<={{15{VAR22[0]}},VAR22[0]};
VAR27[16:31]<={{15{VAR22[16]}},VAR22[16]};
VAR27[32:47]<={{15{VAR22[32]}},VAR22[32]};
VAR27[48:63]<={{15{VAR22[48]}},VAR22[48]};
VAR27[64:79]<={{15{VAR22[64]}},VAR22[64]};
VAR27[80:95]<={{15{VAR22[80]}},VAR22[80]};
VAR27[96:111]<={{15{VAR22[96]}},VAR22[96]};
VAR27[112:127]<={{15{VAR22[112]}},VAR22[112]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR2[0:4])
5'd0:
begin
VAR27[0:127]<=VAR22[0:127];
end
5'd1:
begin
VAR27[0:31]<={{VAR22[0]},VAR22[0:30]};
VAR27[32:63]<={{VAR22[32]},VAR22[32:62]};
VAR27[64:95]<={{VAR22[64]},VAR22[64:94]};
VAR27[96:127]<={{VAR22[96]},VAR22[96:126]};
end
5'd2:
begin
VAR27[0:31]<={{2{VAR22[0]}},VAR22[0:29]};
VAR27[32:63]<={{2{VAR22[32]}},VAR22[32:61]};
VAR27[64:95]<={{2{VAR22[64]}},VAR22[64:93]};
VAR27[96:127]<={{2{VAR22[96]}},VAR22[96:125]};
end
5'd3:
begin
VAR27[0:31]<={{3{VAR22[0]}},VAR22[0:28]};
VAR27[32:63]<={{3{VAR22[32]}},VAR22[32:60]};
VAR27[64:95]<={{3{VAR22[64]}},VAR22[64:92]};
VAR27[96:127]<={{3{VAR22[96]}},VAR22[96:124]};
end
5'd4:
begin
VAR27[0:31]<={{4{VAR22[0]}},VAR22[0:27]};
VAR27[32:63]<={{4{VAR22[32]}},VAR22[32:59]};
VAR27[64:95]<={{4{VAR22[64]}},VAR22[64:91]};
VAR27[96:127]<={{4{VAR22[96]}},VAR22[96:123]};
end
5'd5:
begin
VAR27[0:31]<={{5{VAR22[0]}},VAR22[0:26]};
VAR27[32:63]<={{5{VAR22[32]}},VAR22[32:58]};
VAR27[64:95]<={{5{VAR22[64]}},VAR22[64:90]};
VAR27[96:127]<={{5{VAR22[96]}},VAR22[96:122]};
end
5'd6:
begin
VAR27[0:31]<={{6{VAR22[0]}},VAR22[0:25]};
VAR27[32:63]<={{6{VAR22[32]}},VAR22[32:57]};
VAR27[64:95]<={{6{VAR22[64]}},VAR22[64:89]};
VAR27[96:127]<={{6{VAR22[96]}},VAR22[96:121]};
end
5'd7:
begin
VAR27[0:31]<={{7{VAR22[0]}},VAR22[0:24]};
VAR27[32:63]<={{7{VAR22[32]}},VAR22[32:56]};
VAR27[64:95]<={{7{VAR22[64]}},VAR22[64:88]};
VAR27[96:127]<={{7{VAR22[96]}},VAR22[96:120]};
end
5'd8:
begin
VAR27[0:31]<={{8{VAR22[0]}},VAR22[0:23]};
VAR27[32:63]<={{8{VAR22[32]}},VAR22[32:55]};
VAR27[64:95]<={{8{VAR22[64]}},VAR22[64:87]};
VAR27[96:127]<={{8{VAR22[96]}},VAR22[96:119]};
end
5'd9:
begin
VAR27[0:31]<={{9{VAR22[0]}},VAR22[0:22]};
VAR27[32:63]<={{9{VAR22[32]}},VAR22[32:54]};
VAR27[64:95]<={{9{VAR22[64]}},VAR22[64:86]};
VAR27[96:127]<={{9{VAR22[96]}},VAR22[96:118]};
end
5'd10:
begin
VAR27[0:31]<={{10{VAR22[0]}},VAR22[0:21]};
VAR27[32:63]<={{10{VAR22[32]}},VAR22[32:53]};
VAR27[64:95]<={{10{VAR22[64]}},VAR22[64:85]};
VAR27[96:127]<={{10{VAR22[96]}},VAR22[96:117]};
end
5'd11:
begin
VAR27[0:31]<={{11{VAR22[0]}},VAR22[0:20]};
VAR27[32:63]<={{11{VAR22[32]}},VAR22[32:52]};
VAR27[64:95]<={{11{VAR22[64]}},VAR22[64:84]};
VAR27[96:127]<={{11{VAR22[96]}},VAR22[96:116]};
end
5'd12:
begin
VAR27[0:31]<={{12{VAR22[0]}},VAR22[0:19]};
VAR27[32:63]<={{12{VAR22[32]}},VAR22[32:51]};
VAR27[64:95]<={{12{VAR22[64]}},VAR22[64:83]};
VAR27[96:127]<={{12{VAR22[96]}},VAR22[96:115]};
end
5'd13:
begin
VAR27[0:31]<={{13{VAR22[0]}},VAR22[0:18]};
VAR27[32:63]<={{13{VAR22[32]}},VAR22[32:50]};
VAR27[64:95]<={{13{VAR22[64]}},VAR22[64:82]};
VAR27[96:127]<={{13{VAR22[96]}},VAR22[96:114]};
end
5'd14:
begin
VAR27[0:31]<={{14{VAR22[0]}},VAR22[0:17]};
VAR27[32:63]<={{14{VAR22[32]}},VAR22[32:49]};
VAR27[64:95]<={{14{VAR22[64]}},VAR22[64:81]};
VAR27[96:127]<={{14{VAR22[96]}},VAR22[96:113]};
end
5'd15:
begin
VAR27[0:31]<={{15{VAR22[0]}},VAR22[0:16]};
VAR27[32:63]<={{15{VAR22[32]}},VAR22[32:48]};
VAR27[64:95]<={{15{VAR22[64]}},VAR22[64:80]};
VAR27[96:127]<={{15{VAR22[96]}},VAR22[96:112]};
end
5'd16:
begin
VAR27[0:31]<={{16{VAR22[0]}},VAR22[0:15]};
VAR27[32:63]<={{16{VAR22[32]}},VAR22[32:47]};
VAR27[64:95]<={{16{VAR22[64]}},VAR22[64:79]};
VAR27[96:127]<={{16{VAR22[96]}},VAR22[96:111]};
end
5'd17:
begin
VAR27[0:31]<={{17{VAR22[0]}},VAR22[0:14]};
VAR27[32:63]<={{17{VAR22[32]}},VAR22[32:46]};
VAR27[64:95]<={{17{VAR22[64]}},VAR22[64:78]};
VAR27[96:127]<={{17{VAR22[96]}},VAR22[96:110]};
end
5'd18:
begin
VAR27[0:31]<={{18{VAR22[0]}},VAR22[0:13]};
VAR27[32:63]<={{18{VAR22[32]}},VAR22[32:45]};
VAR27[64:95]<={{18{VAR22[64]}},VAR22[64:77]};
VAR27[96:127]<={{18{VAR22[96]}},VAR22[96:109]};
end
5'd19:
begin
VAR27[0:31]<={{19{VAR22[0]}},VAR22[0:12]};
VAR27[32:63]<={{19{VAR22[32]}},VAR22[32:44]};
VAR27[64:95]<={{19{VAR22[64]}},VAR22[64:76]};
VAR27[96:127]<={{19{VAR22[96]}},VAR22[96:108]};
end
5'd20:
begin
VAR27[0:31]<={{20{VAR22[0]}},VAR22[0:11]};
VAR27[32:63]<={{20{VAR22[32]}},VAR22[32:43]};
VAR27[64:95]<={{20{VAR22[64]}},VAR22[64:75]};
VAR27[96:127]<={{20{VAR22[96]}},VAR22[96:107]};
end
5'd21:
begin
VAR27[0:31]<={{21{VAR22[0]}},VAR22[0:10]};
VAR27[32:63]<={{21{VAR22[32]}},VAR22[32:42]};
VAR27[64:95]<={{21{VAR22[64]}},VAR22[64:74]};
VAR27[96:127]<={{21{VAR22[96]}},VAR22[96:106]};
end
5'd22:
begin
VAR27[0:31]<={{22{VAR22[0]}},VAR22[0:9]};
VAR27[32:63]<={{22{VAR22[32]}},VAR22[32:41]};
VAR27[64:95]<={{22{VAR22[64]}},VAR22[64:73]};
VAR27[96:127]<={{22{VAR22[96]}},VAR22[96:105]};
end
5'd23:
begin
VAR27[0:31]<={{23{VAR22[0]}},VAR22[0:8]};
VAR27[32:63]<={{23{VAR22[32]}},VAR22[32:40]};
VAR27[64:95]<={{23{VAR22[64]}},VAR22[64:72]};
VAR27[96:127]<={{23{VAR22[96]}},VAR22[96:104]};
end
5'd24:
begin
VAR27[0:31]<={{24{VAR22[0]}},VAR22[0:7]};
VAR27[32:63]<={{24{VAR22[32]}},VAR22[32:39]};
VAR27[64:95]<={{24{VAR22[64]}},VAR22[64:71]};
VAR27[96:127]<={{24{VAR22[96]}},VAR22[96:103]};
end
5'd25:
begin
VAR27[0:31]<={{25{VAR22[0]}},VAR22[0:6]};
VAR27[32:63]<={{25{VAR22[32]}},VAR22[32:38]};
VAR27[64:95]<={{25{VAR22[64]}},VAR22[64:70]};
VAR27[96:127]<={{25{VAR22[96]}},VAR22[96:102]};
end
5'd26:
begin
VAR27[0:31]<={{26{VAR22[0]}},VAR22[0:5]};
VAR27[32:63]<={{26{VAR22[32]}},VAR22[32:37]};
VAR27[64:95]<={{26{VAR22[64]}},VAR22[64:69]};
VAR27[96:127]<={{26{VAR22[96]}},VAR22[96:101]};
end
5'd27:
begin
VAR27[0:31]<={{27{VAR22[0]}},VAR22[0:4]};
VAR27[32:63]<={{27{VAR22[32]}},VAR22[32:36]};
VAR27[64:95]<={{27{VAR22[64]}},VAR22[64:68]};
VAR27[96:127]<={{27{VAR22[96]}},VAR22[96:100]};
end
5'd28:
begin
VAR27[0:31]<={{28{VAR22[0]}},VAR22[0:3]};
VAR27[32:63]<={{28{VAR22[32]}},VAR22[32:35]};
VAR27[64:95]<={{28{VAR22[64]}},VAR22[64:67]};
VAR27[96:127]<={{28{VAR22[96]}},VAR22[96:99]};
end
5'd29:
begin
VAR27[0:31]<={{29{VAR22[0]}},VAR22[0:2]};
VAR27[32:63]<={{29{VAR22[32]}},VAR22[32:34]};
VAR27[64:95]<={{29{VAR22[64]}},VAR22[64:66]};
VAR27[96:127]<={{29{VAR22[96]}},VAR22[96:98]};
end
5'd30:
begin
VAR27[0:31]<={{30{VAR22[0]}},VAR22[0:1]};
VAR27[32:63]<={{30{VAR22[32]}},VAR22[32:33]};
VAR27[64:95]<={{30{VAR22[64]}},VAR22[64:65]};
VAR27[96:127]<={{30{VAR22[96]}},VAR22[96:97]};
end
5'd31:
begin
VAR27[0:31]<={{31{VAR22[0]}},VAR22[0]};
VAR27[32:63]<={{31{VAR22[32]}},VAR22[32]};
VAR27[64:95]<={{31{VAR22[64]}},VAR22[64]};
VAR27[96:127]<={{31{VAR22[96]}},VAR22[96]};
end
default:
begin
VAR27<=128'b0;
end
endcase
end
default:
begin
VAR27<=128'b0;
end
endcase
end
begin
case(VAR33)
begin
case(VAR2[5:7]) 3'd0:
VAR27[0:7]<=VAR22[0:7];
3'd1:
VAR27[0:7]<={{1{VAR22[0]}},VAR22[0:6]};
3'd2:
VAR27[0:7]<={{2{VAR22[0]}},VAR22[0:5]};
3'd3:
VAR27[0:7]<={{3{VAR22[0]}},VAR22[0:4]};
3'd4:
VAR27[0:7]<={{4{VAR22[0]}},VAR22[0:3]};
3'd5:
VAR27[0:7]<={{5{VAR22[0]}},VAR22[0:2]};
3'd6:
VAR27[0:7]<={{6{VAR22[0]}},VAR22[0:1]};
3'd7:
VAR27[0:7]<={{7{VAR22[0]}},VAR22[0]};
default:
VAR27[0:7]<=8'b0;
endcase
case(VAR2[13:15]) 3'd0:
VAR27[8:15]<=VAR22[8:15];
3'd1:
VAR27[8:15]<={{1{VAR22[8]}},VAR22[8:14]};
3'd2:
VAR27[8:15]<={{2{VAR22[8]}},VAR22[8:13]};
3'd3:
VAR27[8:15]<={{3{VAR22[8]}},VAR22[8:12]};
3'd4:
VAR27[8:15]<={{4{VAR22[8]}},VAR22[8:11]};
3'd5:
VAR27[8:15]<={{5{VAR22[8]}},VAR22[8:10]};
3'd6:
VAR27[8:15]<={{6{VAR22[8]}},VAR22[8:9]};
3'd7:
VAR27[8:15]<={{7{VAR22[8]}},VAR22[8]};
default:
VAR27[8:15]<=8'b0;
endcase
case(VAR2[21:23]) 3'd0:
VAR27[16:23]<=VAR22[16:23];
3'd1:
VAR27[16:23]<={{1{VAR22[16]}},VAR22[16:22]};
3'd2:
VAR27[16:23]<={{2{VAR22[16]}},VAR22[16:21]};
3'd3:
VAR27[16:23]<={{3{VAR22[16]}},VAR22[16:20]};
3'd4:
VAR27[16:23]<={{4{VAR22[16]}},VAR22[16:19]};
3'd5:
VAR27[16:23]<={{5{VAR22[16]}},VAR22[16:18]};
3'd6:
VAR27[16:23]<={{6{VAR22[16]}},VAR22[16:17]};
3'd7:
VAR27[16:23]<={{7{VAR22[16]}},VAR22[16]};
default:
VAR27[16:23]<=8'b0;
endcase
case(VAR2[29:31]) 3'd0:
VAR27[24:31]<=VAR22[24:31];
3'd1:
VAR27[24:31]<={{1{VAR22[24]}},VAR22[24:30]};
3'd2:
VAR27[24:31]<={{2{VAR22[24]}},VAR22[24:29]};
3'd3:
VAR27[24:31]<={{3{VAR22[24]}},VAR22[24:28]};
3'd4:
VAR27[24:31]<={{4{VAR22[24]}},VAR22[24:27]};
3'd5:
VAR27[24:31]<={{5{VAR22[24]}},VAR22[24:26]};
3'd6:
VAR27[24:31]<={{6{VAR22[24]}},VAR22[24:25]};
3'd7:
VAR27[24:31]<={{7{VAR22[24]}},VAR22[24]};
default:
VAR27[24:31]<=8'b0;
endcase
case(VAR2[37:39]) 3'd0:
VAR27[32:39]<=VAR22[32:39];
3'd1:
VAR27[32:39]<={{1{VAR22[32]}},VAR22[32:38]};
3'd2:
VAR27[32:39]<={{2{VAR22[32]}},VAR22[32:37]};
3'd3:
VAR27[32:39]<={{3{VAR22[32]}},VAR22[32:36]};
3'd4:
VAR27[32:39]<={{4{VAR22[32]}},VAR22[32:35]};
3'd5:
VAR27[32:39]<={{5{VAR22[32]}},VAR22[32:34]};
3'd6:
VAR27[32:39]<={{6{VAR22[32]}},VAR22[32:33]};
3'd7:
VAR27[32:39]<={{7{VAR22[32]}},VAR22[32]};
default:
VAR27[32:39]<=8'b0;
endcase
case(VAR2[45:47]) 3'd0:
VAR27[40:47]<=VAR22[40:47];
3'd1:
VAR27[40:47]<={{1{VAR22[40]}},VAR22[40:46]};
3'd2:
VAR27[40:47]<={{2{VAR22[40]}},VAR22[40:45]};
3'd3:
VAR27[40:47]<={{3{VAR22[40]}},VAR22[40:44]};
3'd4:
VAR27[40:47]<={{4{VAR22[40]}},VAR22[40:43]};
3'd5:
VAR27[40:47]<={{5{VAR22[40]}},VAR22[40:42]};
3'd6:
VAR27[40:47]<={{6{VAR22[40]}},VAR22[40:41]};
3'd7:
VAR27[40:47]<={{7{VAR22[40]}},VAR22[40]};
default:
VAR27[40:47]<=8'b0;
endcase
case(VAR2[53:55]) 3'd0:
VAR27[48:55]<=VAR22[48:55];
3'd1:
VAR27[48:55]<={{1{VAR22[48]}},VAR22[48:54]};
3'd2:
VAR27[48:55]<={{2{VAR22[48]}},VAR22[48:53]};
3'd3:
VAR27[48:55]<={{3{VAR22[48]}},VAR22[48:52]};
3'd4:
VAR27[48:55]<={{4{VAR22[48]}},VAR22[48:51]};
3'd5:
VAR27[48:55]<={{5{VAR22[48]}},VAR22[48:50]};
3'd6:
VAR27[48:55]<={{6{VAR22[48]}},VAR22[48:49]};
3'd7:
VAR27[48:55]<={{7{VAR22[48]}},VAR22[48]};
default:
VAR27[48:55]<=8'b0;
endcase
case(VAR2[61:63]) 3'd0:
VAR27[56:63]<=VAR22[56:63];
3'd1:
VAR27[56:63]<={{1{VAR22[56]}},VAR22[56:62]};
3'd2:
VAR27[56:63]<={{2{VAR22[56]}},VAR22[56:61]};
3'd3:
VAR27[56:63]<={{3{VAR22[56]}},VAR22[56:60]};
3'd4:
VAR27[56:63]<={{4{VAR22[56]}},VAR22[56:59]};
3'd5:
VAR27[56:63]<={{5{VAR22[56]}},VAR22[56:58]};
3'd6:
VAR27[56:63]<={{6{VAR22[56]}},VAR22[56:57]};
3'd7:
VAR27[56:63]<={{7{VAR22[56]}},VAR22[56]};
default:
VAR27[56:63]<=8'b0;
endcase
case(VAR2[69:71]) 3'd0:
VAR27[64:71]<=VAR22[64:71];
3'd1:
VAR27[64:71]<={{1{VAR22[64]}},VAR22[64:70]};
3'd2:
VAR27[64:71]<={{2{VAR22[64]}},VAR22[64:69]};
3'd3:
VAR27[64:71]<={{3{VAR22[64]}},VAR22[64:68]};
3'd4:
VAR27[64:71]<={{4{VAR22[64]}},VAR22[64:67]};
3'd5:
VAR27[64:71]<={{5{VAR22[64]}},VAR22[64:66]};
3'd6:
VAR27[64:71]<={{6{VAR22[64]}},VAR22[64:65]};
3'd7:
VAR27[64:71]<={{7{VAR22[64]}},VAR22[64]};
default:
VAR27[64:71]<=8'b0;
endcase
case(VAR2[77:79]) 3'd0:
VAR27[72:79]<=VAR22[72:79];
3'd1:
VAR27[72:79]<={{1{VAR22[72]}},VAR22[72:78]};
3'd2:
VAR27[72:79]<={{2{VAR22[72]}},VAR22[72:77]};
3'd3:
VAR27[72:79]<={{3{VAR22[72]}},VAR22[72:76]};
3'd4:
VAR27[72:79]<={{4{VAR22[72]}},VAR22[72:75]};
3'd5:
VAR27[72:79]<={{5{VAR22[72]}},VAR22[72:74]};
3'd6:
VAR27[72:79]<={{6{VAR22[72]}},VAR22[72:73]};
3'd7:
VAR27[72:79]<={{7{VAR22[72]}},VAR22[72]};
default:
VAR27[72:79]<=8'b0;
endcase
case(VAR2[85:87]) 3'd0:
VAR27[80:87]<=VAR22[80:87];
3'd1:
VAR27[80:87]<={{1{VAR22[80]}},VAR22[80:86]};
3'd2:
VAR27[80:87]<={{2{VAR22[80]}},VAR22[80:85]};
3'd3:
VAR27[80:87]<={{3{VAR22[80]}},VAR22[80:84]};
3'd4:
VAR27[80:87]<={{4{VAR22[80]}},VAR22[80:83]};
3'd5:
VAR27[80:87]<={{5{VAR22[80]}},VAR22[80:82]};
3'd6:
VAR27[80:87]<={{6{VAR22[80]}},VAR22[80:81]};
3'd7:
VAR27[80:87]<={{7{VAR22[80]}},VAR22[80]};
default:
VAR27[80:87]<=8'b0;
endcase
case(VAR2[93:95]) 3'd0:
VAR27[88:95]<=VAR22[88:95];
3'd1:
VAR27[88:95]<={{1{VAR22[88]}},VAR22[88:94]};
3'd2:
VAR27[88:95]<={{2{VAR22[88]}},VAR22[88:93]};
3'd3:
VAR27[88:95]<={{3{VAR22[88]}},VAR22[88:92]};
3'd4:
VAR27[88:95]<={{4{VAR22[88]}},VAR22[88:91]};
3'd5:
VAR27[88:95]<={{5{VAR22[88]}},VAR22[88:90]};
3'd6:
VAR27[88:95]<={{6{VAR22[88]}},VAR22[88:89]};
3'd7:
VAR27[88:95]<={{7{VAR22[88]}},VAR22[88]};
default:
VAR27[88:95]<=8'b0;
endcase
case(VAR2[101:103]) 3'd0:
VAR27[96:103]<=VAR22[96:103];
3'd1:
VAR27[96:103]<={{1{VAR22[96]}},VAR22[96:102]};
3'd2:
VAR27[96:103]<={{2{VAR22[96]}},VAR22[96:101]};
3'd3:
VAR27[96:103]<={{3{VAR22[96]}},VAR22[96:100]};
3'd4:
VAR27[96:103]<={{4{VAR22[96]}},VAR22[96:99]};
3'd5:
VAR27[96:103]<={{5{VAR22[96]}},VAR22[96:98]};
3'd6:
VAR27[96:103]<={{6{VAR22[96]}},VAR22[96:97]};
3'd7:
VAR27[96:103]<={{7{VAR22[96]}},VAR22[96]};
default:
VAR27[96:103]<=8'b0;
endcase
case(VAR2[109:111]) 3'd0:
VAR27[104:111]<=VAR22[104:111];
3'd1:
VAR27[104:111]<={{1{VAR22[104]}},VAR22[104:110]};
3'd2:
VAR27[104:111]<={{2{VAR22[104]}},VAR22[104:109]};
3'd3:
VAR27[104:111]<={{3{VAR22[104]}},VAR22[104:108]};
3'd4:
VAR27[104:111]<={{4{VAR22[104]}},VAR22[104:107]};
3'd5:
VAR27[104:111]<={{5{VAR22[104]}},VAR22[104:106]};
3'd6:
VAR27[104:111]<={{6{VAR22[104]}},VAR22[104:105]};
3'd7:
VAR27[104:111]<={{7{VAR22[104]}},VAR22[104]};
default:
VAR27[104:111]<=8'b0;
endcase
case(VAR2[117:119]) 3'd0:
VAR27[112:119]<=VAR22[112:119];
3'd1:
VAR27[112:119]<={{1{VAR22[112]}},VAR22[112:118]};
3'd2:
VAR27[112:119]<={{2{VAR22[112]}},VAR22[112:117]};
3'd3:
VAR27[112:119]<={{3{VAR22[112]}},VAR22[112:116]};
3'd4:
VAR27[112:119]<={{4{VAR22[112]}},VAR22[112:115]};
3'd5:
VAR27[112:119]<={{5{VAR22[112]}},VAR22[112:114]};
3'd6:
VAR27[112:119]<={{6{VAR22[112]}},VAR22[112:113]};
3'd7:
VAR27[112:119]<={{7{VAR22[112]}},VAR22[112]};
default:
VAR27[112:119]<=8'b0;
endcase
case(VAR2[125:127]) 3'd0:
VAR27[120:127]<=VAR22[120:127];
3'd1:
VAR27[120:127]<={{1{VAR22[120]}},VAR22[120:126]};
3'd2:
VAR27[120:127]<={{2{VAR22[120]}},VAR22[120:125]};
3'd3:
VAR27[120:127]<={{3{VAR22[120]}},VAR22[120:124]};
3'd4:
VAR27[120:127]<={{4{VAR22[120]}},VAR22[120:123]};
3'd5:
VAR27[120:127]<={{5{VAR22[120]}},VAR22[120:122]};
3'd6:
VAR27[120:127]<={{6{VAR22[120]}},VAR22[120:121]};
3'd7:
VAR27[120:127]<={{7{VAR22[120]}},VAR22[120]};
default:
VAR27[120:127]<=8'b0;
endcase
end
begin
case(VAR2[12:15]) 4'd0:
VAR27[0:15]<=VAR22[0:15];
4'd1:
VAR27[0:15]<={{1{VAR22[0]}},VAR22[0:14]};
4'd2:
VAR27[0:15]<={{2{VAR22[0]}},VAR22[0:13]};
4'd3:
VAR27[0:15]<={{3{VAR22[0]}},VAR22[0:12]};
4'd4:
VAR27[0:15]<={{4{VAR22[0]}},VAR22[0:11]};
4'd5:
VAR27[0:15]<={{5{VAR22[0]}},VAR22[0:10]};
4'd6:
VAR27[0:15]<={{6{VAR22[0]}},VAR22[0:9]};
4'd7:
VAR27[0:15]<={{7{VAR22[0]}},VAR22[0:8]};
4'd8:
VAR27[0:15]<={{8{VAR22[0]}},VAR22[0:7]};
4'd9:
VAR27[0:15]<={{9{VAR22[0]}},VAR22[0:6]};
4'd10:
VAR27[0:15]<={{10{VAR22[0]}},VAR22[0:5]};
4'd11:
VAR27[0:15]<={{11{VAR22[0]}},VAR22[0:4]};
4'd12:
VAR27[0:15]<={{12{VAR22[0]}},VAR22[0:3]};
4'd13:
VAR27[0:15]<={{13{VAR22[0]}},VAR22[0:2]};
4'd14:
VAR27[0:15]<={{14{VAR22[0]}},VAR22[0:1]};
4'd15:
VAR27[0:15]<={{15{VAR22[0]}},VAR22[0]};
default:
VAR27[0:15]<=16'b0;
endcase
case(VAR2[28:31]) 4'd0:
VAR27[16:31]<=VAR22[16:31];
4'd1:
VAR27[16:31]<={{1{VAR22[16]}},VAR22[16:30]};
4'd2:
VAR27[16:31]<={{2{VAR22[16]}},VAR22[16:29]};
4'd3:
VAR27[16:31]<={{3{VAR22[16]}},VAR22[16:28]};
4'd4:
VAR27[16:31]<={{4{VAR22[16]}},VAR22[16:27]};
4'd5:
VAR27[16:31]<={{5{VAR22[16]}},VAR22[16:26]};
4'd6:
VAR27[16:31]<={{6{VAR22[16]}},VAR22[16:25]};
4'd7:
VAR27[16:31]<={{7{VAR22[16]}},VAR22[16:24]};
4'd8:
VAR27[16:31]<={{8{VAR22[16]}},VAR22[16:23]};
4'd9:
VAR27[16:31]<={{9{VAR22[16]}},VAR22[16:22]};
4'd10:
VAR27[16:31]<={{10{VAR22[16]}},VAR22[16:21]};
4'd11:
VAR27[16:31]<={{11{VAR22[16]}},VAR22[16:20]};
4'd12:
VAR27[16:31]<={{12{VAR22[16]}},VAR22[16:19]};
4'd13:
VAR27[16:31]<={{13{VAR22[16]}},VAR22[16:18]};
4'd14:
VAR27[16:31]<={{14{VAR22[16]}},VAR22[16:17]};
4'd15:
VAR27[16:31]<={{15{VAR22[16]}},VAR22[16]};
default:
VAR27[16:31]<=16'b0;
endcase
case(VAR2[44:47]) 4'd0:
VAR27[32:47]<=VAR22[32:47];
4'd1:
VAR27[32:47]<={{1{VAR22[32]}},VAR22[32:46]};
4'd2:
VAR27[32:47]<={{2{VAR22[32]}},VAR22[32:45]};
4'd3:
VAR27[32:47]<={{3{VAR22[32]}},VAR22[32:44]};
4'd4:
VAR27[32:47]<={{4{VAR22[32]}},VAR22[32:43]};
4'd5:
VAR27[32:47]<={{5{VAR22[32]}},VAR22[32:42]};
4'd6:
VAR27[32:47]<={{6{VAR22[32]}},VAR22[32:41]};
4'd7:
VAR27[32:47]<={{7{VAR22[32]}},VAR22[32:40]};
4'd8:
VAR27[32:47]<={{8{VAR22[32]}},VAR22[32:39]};
4'd9:
VAR27[32:47]<={{9{VAR22[32]}},VAR22[32:38]};
4'd10:
VAR27[32:47]<={{10{VAR22[32]}},VAR22[32:37]};
4'd11:
VAR27[32:47]<={{11{VAR22[32]}},VAR22[32:36]};
4'd12:
VAR27[32:47]<={{12{VAR22[32]}},VAR22[32:35]};
4'd13:
VAR27[32:47]<={{13{VAR22[32]}},VAR22[32:34]};
4'd14:
VAR27[32:47]<={{14{VAR22[32]}},VAR22[32:33]};
4'd15:
VAR27[32:47]<={{15{VAR22[32]}},VAR22[32]};
endcase
case(VAR2[60:63]) 4'd0:
VAR27[48:63]<=VAR22[48:63];
4'd1:
VAR27[48:63]<={{1{VAR22[48]}},VAR22[48:62]};
4'd2:
VAR27[48:63]<={{2{VAR22[48]}},VAR22[48:61]};
4'd3:
VAR27[48:63]<={{3{VAR22[48]}},VAR22[48:60]};
4'd4:
VAR27[48:63]<={{4{VAR22[48]}},VAR22[48:59]};
4'd5:
VAR27[48:63]<={{5{VAR22[48]}},VAR22[48:58]};
4'd6:
VAR27[48:63]<={{6{VAR22[48]}},VAR22[48:57]};
4'd7:
VAR27[48:63]<={{7{VAR22[48]}},VAR22[48:56]};
4'd8:
VAR27[48:63]<={{8{VAR22[48]}},VAR22[48:55]};
4'd9:
VAR27[48:63]<={{9{VAR22[48]}},VAR22[48:54]};
4'd10:
VAR27[48:63]<={{10{VAR22[48]}},VAR22[48:53]};
4'd11:
VAR27[48:63]<={{11{VAR22[48]}},VAR22[48:52]};
4'd12:
VAR27[48:63]<={{12{VAR22[48]}},VAR22[48:51]};
4'd13:
VAR27[48:63]<={{13{VAR22[48]}},VAR22[48:50]};
4'd14:
VAR27[48:63]<={{14{VAR22[48]}},VAR22[48:49]};
4'd15:
VAR27[48:63]<={{15{VAR22[48]}},VAR22[48]};
default:
VAR27[48:63]<=16'b0;
endcase
case(VAR2[76:79]) 4'd0:
VAR27[64:79]<=VAR22[64:79];
4'd1:
VAR27[64:79]<={{1{VAR22[64]}},VAR22[64:78]};
4'd2:
VAR27[64:79]<={{2{VAR22[64]}},VAR22[64:77]};
4'd3:
VAR27[64:79]<={{3{VAR22[64]}},VAR22[64:76]};
4'd4:
VAR27[64:79]<={{4{VAR22[64]}},VAR22[64:75]};
4'd5:
VAR27[64:79]<={{5{VAR22[64]}},VAR22[64:74]};
4'd6:
VAR27[64:79]<={{6{VAR22[64]}},VAR22[64:73]};
4'd7:
VAR27[64:79]<={{7{VAR22[64]}},VAR22[64:72]};
4'd8:
VAR27[64:79]<={{8{VAR22[64]}},VAR22[64:71]};
4'd9:
VAR27[64:79]<={{9{VAR22[64]}},VAR22[64:70]};
4'd10:
VAR27[64:79]<={{10{VAR22[64]}},VAR22[64:69]};
4'd11:
VAR27[64:79]<={{11{VAR22[64]}},VAR22[64:68]};
4'd12:
VAR27[64:79]<={{12{VAR22[64]}},VAR22[64:67]};
4'd13:
VAR27[64:79]<={{13{VAR22[64]}},VAR22[64:66]};
4'd14:
VAR27[64:79]<={{14{VAR22[64]}},VAR22[64:65]};
4'd15:
VAR27[64:79]<={{15{VAR22[64]}},VAR22[64]};
default:
VAR27[64:79]<=16'b0;
endcase
case(VAR2[92:95]) 4'd0:
VAR27[80:95]<=VAR22[80:95];
4'd1:
VAR27[80:95]<={{1{VAR22[80]}},VAR22[80:94]};
4'd2:
VAR27[80:95]<={{2{VAR22[80]}},VAR22[80:93]};
4'd3:
VAR27[80:95]<={{3{VAR22[80]}},VAR22[80:92]};
4'd4:
VAR27[80:95]<={{4{VAR22[80]}},VAR22[80:91]};
4'd5:
VAR27[80:95]<={{5{VAR22[80]}},VAR22[80:90]};
4'd6:
VAR27[80:95]<={{6{VAR22[80]}},VAR22[80:89]};
4'd7:
VAR27[80:95]<={{7{VAR22[80]}},VAR22[80:88]};
4'd8:
VAR27[80:95]<={{8{VAR22[80]}},VAR22[80:87]};
4'd9:
VAR27[80:95]<={{9{VAR22[80]}},VAR22[80:86]};
4'd10:
VAR27[80:95]<={{10{VAR22[80]}},VAR22[80:85]};
4'd11:
VAR27[80:95]<={{11{VAR22[80]}},VAR22[80:84]};
4'd12:
VAR27[80:95]<={{12{VAR22[80]}},VAR22[80:83]};
4'd13:
VAR27[80:95]<={{13{VAR22[80]}},VAR22[80:82]};
4'd14:
VAR27[80:95]<={{14{VAR22[80]}},VAR22[80:81]};
4'd15:
VAR27[80:95]<={{15{VAR22[80]}},VAR22[80]};
default:
VAR27[80:95]<=16'b0;
endcase
case(VAR2[92:111]) 4'd0:
VAR27[96:111]<=VAR22[96:111];
4'd1:
VAR27[96:111]<={{1{VAR22[96]}},VAR22[96:110]};
4'd2:
VAR27[96:111]<={{2{VAR22[96]}},VAR22[96:109]};
4'd3:
VAR27[96:111]<={{3{VAR22[96]}},VAR22[96:108]};
4'd4:
VAR27[96:111]<={{4{VAR22[96]}},VAR22[96:107]};
4'd5:
VAR27[96:111]<={{5{VAR22[96]}},VAR22[96:106]};
4'd6:
VAR27[96:111]<={{6{VAR22[96]}},VAR22[96:105]};
4'd7:
VAR27[96:111]<={{7{VAR22[96]}},VAR22[96:104]};
4'd8:
VAR27[96:111]<={{8{VAR22[96]}},VAR22[96:103]};
4'd9:
VAR27[96:111]<={{9{VAR22[96]}},VAR22[96:102]};
4'd10:
VAR27[96:111]<={{10{VAR22[96]}},VAR22[96:101]};
4'd11:
VAR27[96:111]<={{11{VAR22[96]}},VAR22[96:100]};
4'd12:
VAR27[96:111]<={{12{VAR22[96]}},VAR22[96:99]};
4'd13:
VAR27[96:111]<={{13{VAR22[96]}},VAR22[96:98]};
4'd14:
VAR27[96:111]<={{14{VAR22[96]}},VAR22[96:97]};
4'd15:
VAR27[96:111]<={{15{VAR22[96]}},VAR22[96]};
default:
VAR27[96:111]<=16'b0;
endcase
case(VAR2[92:127]) 4'd0:
VAR27[112:127]<=VAR22[112:127];
4'd1:
VAR27[112:127]<={{1{VAR22[112]}},VAR22[112:126]};
4'd2:
VAR27[112:127]<={{2{VAR22[112]}},VAR22[112:125]};
4'd3:
VAR27[112:127]<={{3{VAR22[112]}},VAR22[112:124]};
4'd4:
VAR27[112:127]<={{4{VAR22[112]}},VAR22[112:123]};
4'd5:
VAR27[112:127]<={{5{VAR22[112]}},VAR22[112:122]};
4'd6:
VAR27[112:127]<={{6{VAR22[112]}},VAR22[112:121]};
4'd7:
VAR27[112:127]<={{7{VAR22[112]}},VAR22[112:120]};
4'd8:
VAR27[112:127]<={{8{VAR22[112]}},VAR22[112:119]};
4'd9:
VAR27[112:127]<={{9{VAR22[112]}},VAR22[112:118]};
4'd10:
VAR27[112:127]<={{10{VAR22[112]}},VAR22[112:117]};
4'd11:
VAR27[112:127]<={{11{VAR22[112]}},VAR22[112:116]};
4'd12:
VAR27[112:127]<={{12{VAR22[112]}},VAR22[112:115]};
4'd13:
VAR27[112:127]<={{13{VAR22[112]}},VAR22[112:114]};
4'd14:
VAR27[112:127]<={{14{VAR22[112]}},VAR22[112:113]};
4'd15:
VAR27[112:127]<={{15{VAR22[112]}},VAR22[112]};
default:
VAR27[112:127]<=16'b0;
endcase
end
begin
case(VAR2[27:31])
5'd0:
VAR27[0:31]<=VAR22[0:31];
5'd1:
VAR27[0:31]<={{1{VAR22[0]}},VAR22[0:30]};
5'd2:
VAR27[0:31]<={{2{VAR22[0]}},VAR22[0:29]};
5'd3:
VAR27[0:31]<={{3{VAR22[0]}},VAR22[0:28]};
5'd4:
VAR27[0:31]<={{4{VAR22[0]}},VAR22[0:27]};
5'd5:
VAR27[0:31]<={{5{VAR22[0]}},VAR22[0:26]};
5'd6:
VAR27[0:31]<={{6{VAR22[0]}},VAR22[0:25]};
5'd7:
VAR27[0:31]<={{7{VAR22[0]}},VAR22[0:24]};
5'd8:
VAR27[0:31]<={{8{VAR22[0]}},VAR22[0:23]};
5'd9:
VAR27[0:31]<={{9{VAR22[0]}},VAR22[0:22]};
5'd10:
VAR27[0:31]<={{10{VAR22[0]}},VAR22[0:21]};
5'd11:
VAR27[0:31]<={{11{VAR22[0]}},VAR22[0:20]};
5'd12:
VAR27[0:31]<={{12{VAR22[0]}},VAR22[0:19]};
5'd13:
VAR27[0:31]<={{13{VAR22[0]}},VAR22[0:18]};
5'd14:
VAR27[0:31]<={{14{VAR22[0]}},VAR22[0:17]};
5'd15:
VAR27[0:31]<={{15{VAR22[0]}},VAR22[0:16]};
5'd16:
VAR27[0:31]<={{16{VAR22[0]}},VAR22[0:15]};
5'd17:
VAR27[0:31]<={{17{VAR22[0]}},VAR22[0:14]};
5'd18:
VAR27[0:31]<={{18{VAR22[0]}},VAR22[0:13]};
5'd19:
VAR27[0:31]<={{19{VAR22[0]}},VAR22[0:12]};
5'd20:
VAR27[0:31]<={{20{VAR22[0]}},VAR22[0:11]};
5'd21:
VAR27[0:31]<={{21{VAR22[0]}},VAR22[0:10]};
5'd22:
VAR27[0:31]<={{22{VAR22[0]}},VAR22[0:9]};
5'd23:
VAR27[0:31]<={{23{VAR22[0]}},VAR22[0:8]};
5'd24:
VAR27[0:31]<={{24{VAR22[0]}},VAR22[0:7]};
5'd25:
VAR27[0:31]<={{25{VAR22[0]}},VAR22[0:6]};
5'd26:
VAR27[0:31]<={{26{VAR22[0]}},VAR22[0:5]};
5'd27:
VAR27[0:31]<={{27{VAR22[0]}},VAR22[0:4]};
5'd28:
VAR27[0:31]<={{28{VAR22[0]}},VAR22[0:3]};
5'd29:
VAR27[0:31]<={{29{VAR22[0]}},VAR22[0:2]};
5'd30:
VAR27[0:31]<={{30{VAR22[0]}},VAR22[0:1]};
5'd31:
VAR27[0:31]<={{31{VAR22[0]}},VAR22[0]};
default:
VAR27[0:31]<=32'b0;
endcase
case(VAR2[59:63])
5'd0:
VAR27[32:63]<=VAR22[32:63];
5'd1:
VAR27[32:63]<={{1{VAR22[32]}},VAR22[32:62]};
5'd2:
VAR27[32:63]<={{2{VAR22[32]}},VAR22[32:61]};
5'd3:
VAR27[32:63]<={{3{VAR22[32]}},VAR22[32:60]};
5'd4:
VAR27[32:63]<={{4{VAR22[32]}},VAR22[32:59]};
5'd5:
VAR27[32:63]<={{5{VAR22[32]}},VAR22[32:58]};
5'd6:
VAR27[32:63]<={{6{VAR22[32]}},VAR22[32:57]};
5'd7:
VAR27[32:63]<={{7{VAR22[32]}},VAR22[32:56]};
5'd8:
VAR27[32:63]<={{8{VAR22[32]}},VAR22[32:55]};
5'd9:
VAR27[32:63]<={{9{VAR22[32]}},VAR22[32:54]};
5'd10:
VAR27[32:63]<={{10{VAR22[32]}},VAR22[32:53]};
5'd11:
VAR27[32:63]<={{11{VAR22[32]}},VAR22[32:52]};
5'd12:
VAR27[32:63]<={{12{VAR22[32]}},VAR22[32:51]};
5'd13:
VAR27[32:63]<={{13{VAR22[32]}},VAR22[32:50]};
5'd14:
VAR27[32:63]<={{14{VAR22[32]}},VAR22[32:49]};
5'd15:
VAR27[32:63]<={{15{VAR22[32]}},VAR22[32:48]};
5'd16:
VAR27[32:63]<={{16{VAR22[32]}},VAR22[32:47]};
5'd17:
VAR27[32:63]<={{17{VAR22[32]}},VAR22[32:46]};
5'd18:
VAR27[32:63]<={{18{VAR22[32]}},VAR22[32:45]};
5'd19:
VAR27[32:63]<={{19{VAR22[32]}},VAR22[32:44]};
5'd20:
VAR27[32:63]<={{20{VAR22[32]}},VAR22[32:43]};
5'd21:
VAR27[32:63]<={{21{VAR22[32]}},VAR22[32:42]};
5'd22:
VAR27[32:63]<={{22{VAR22[32]}},VAR22[32:41]};
5'd23:
VAR27[32:63]<={{23{VAR22[32]}},VAR22[32:40]};
5'd24:
VAR27[32:63]<={{24{VAR22[32]}},VAR22[32:39]};
5'd25:
VAR27[32:63]<={{25{VAR22[32]}},VAR22[32:38]};
5'd26:
VAR27[32:63]<={{26{VAR22[32]}},VAR22[32:37]};
5'd27:
VAR27[32:63]<={{27{VAR22[32]}},VAR22[32:36]};
5'd28:
VAR27[32:63]<={{28{VAR22[32]}},VAR22[32:35]};
5'd29:
VAR27[32:63]<={{29{VAR22[32]}},VAR22[32:34]};
5'd30:
VAR27[32:63]<={{30{VAR22[32]}},VAR22[32:33]};
5'd31:
VAR27[32:63]<={{31{VAR22[32]}},VAR22[32]};
default:
VAR27[32:63]<=32'b0;
endcase
case(VAR2[91:95])
5'd0:
VAR27[64:95]<=VAR22[64:95];
5'd1:
VAR27[64:95]<={{1{VAR22[64]}},VAR22[64:94]};
5'd2:
VAR27[64:95]<={{2{VAR22[64]}},VAR22[64:93]};
5'd3:
VAR27[64:95]<={{3{VAR22[64]}},VAR22[64:92]};
5'd4:
VAR27[64:95]<={{4{VAR22[64]}},VAR22[64:91]};
5'd5:
VAR27[64:95]<={{5{VAR22[64]}},VAR22[64:90]};
5'd6:
VAR27[64:95]<={{6{VAR22[64]}},VAR22[64:89]};
5'd7:
VAR27[64:95]<={{7{VAR22[64]}},VAR22[64:88]};
5'd8:
VAR27[64:95]<={{8{VAR22[64]}},VAR22[64:87]};
5'd9:
VAR27[64:95]<={{9{VAR22[64]}},VAR22[64:86]};
5'd10:
VAR27[64:95]<={{10{VAR22[64]}},VAR22[64:85]};
5'd11:
VAR27[64:95]<={{11{VAR22[64]}},VAR22[64:84]};
5'd12:
VAR27[64:95]<={{12{VAR22[64]}},VAR22[64:83]};
5'd13:
VAR27[64:95]<={{13{VAR22[64]}},VAR22[64:82]};
5'd14:
VAR27[64:95]<={{14{VAR22[64]}},VAR22[64:81]};
5'd15:
VAR27[64:95]<={{15{VAR22[64]}},VAR22[64:80]};
5'd16:
VAR27[64:95]<={{16{VAR22[64]}},VAR22[64:79]};
5'd17:
VAR27[64:95]<={{17{VAR22[64]}},VAR22[64:78]};
5'd18:
VAR27[64:95]<={{18{VAR22[64]}},VAR22[64:77]};
5'd19:
VAR27[64:95]<={{19{VAR22[64]}},VAR22[64:76]};
5'd20:
VAR27[64:95]<={{20{VAR22[64]}},VAR22[64:75]};
5'd21:
VAR27[64:95]<={{21{VAR22[64]}},VAR22[64:74]};
5'd22:
VAR27[64:95]<={{22{VAR22[64]}},VAR22[64:73]};
5'd23:
VAR27[64:95]<={{23{VAR22[64]}},VAR22[64:72]};
5'd24:
VAR27[64:95]<={{24{VAR22[64]}},VAR22[64:71]};
5'd25:
VAR27[64:95]<={{25{VAR22[64]}},VAR22[64:70]};
5'd26:
VAR27[64:95]<={{26{VAR22[64]}},VAR22[64:69]};
5'd27:
VAR27[64:95]<={{27{VAR22[64]}},VAR22[64:68]};
5'd28:
VAR27[64:95]<={{28{VAR22[64]}},VAR22[64:67]};
5'd29:
VAR27[64:95]<={{29{VAR22[64]}},VAR22[64:66]};
5'd30:
VAR27[64:95]<={{30{VAR22[64]}},VAR22[64:65]};
5'd31:
VAR27[64:95]<={{31{VAR22[64]}},VAR22[64]};
default:
VAR27[64:95]<=32'b0;
endcase
case(VAR2[123:127])
5'd0:
VAR27[96:127]<=VAR22[96:127];
5'd1:
VAR27[96:127]<={{1{VAR22[96]}},VAR22[96:126]};
5'd2:
VAR27[96:127]<={{2{VAR22[96]}},VAR22[96:125]};
5'd3:
VAR27[96:127]<={{3{VAR22[96]}},VAR22[96:124]};
5'd4:
VAR27[96:127]<={{4{VAR22[96]}},VAR22[96:123]};
5'd5:
VAR27[96:127]<={{5{VAR22[96]}},VAR22[96:122]};
5'd6:
VAR27[96:127]<={{6{VAR22[96]}},VAR22[96:121]};
5'd7:
VAR27[96:127]<={{7{VAR22[96]}},VAR22[96:120]};
5'd8:
VAR27[96:127]<={{8{VAR22[96]}},VAR22[96:119]};
5'd9:
VAR27[96:127]<={{9{VAR22[96]}},VAR22[96:118]};
5'd10:
VAR27[96:127]<={{10{VAR22[96]}},VAR22[96:117]};
5'd11:
VAR27[96:127]<={{11{VAR22[96]}},VAR22[96:116]};
5'd12:
VAR27[96:127]<={{12{VAR22[96]}},VAR22[96:115]};
5'd13:
VAR27[96:127]<={{13{VAR22[96]}},VAR22[96:114]};
5'd14:
VAR27[96:127]<={{14{VAR22[96]}},VAR22[96:113]};
5'd15:
VAR27[96:127]<={{15{VAR22[96]}},VAR22[96:112]};
5'd16:
VAR27[96:127]<={{16{VAR22[96]}},VAR22[96:111]};
5'd17:
VAR27[96:127]<={{17{VAR22[96]}},VAR22[96:110]};
5'd18:
VAR27[96:127]<={{18{VAR22[96]}},VAR22[96:109]};
5'd19:
VAR27[96:127]<={{19{VAR22[96]}},VAR22[96:108]};
5'd20:
VAR27[96:127]<={{20{VAR22[96]}},VAR22[96:107]};
5'd21:
VAR27[96:127]<={{21{VAR22[96]}},VAR22[96:106]};
5'd22:
VAR27[96:127]<={{22{VAR22[96]}},VAR22[96:105]};
5'd23:
VAR27[96:127]<={{23{VAR22[96]}},VAR22[96:104]};
5'd24:
VAR27[96:127]<={{24{VAR22[96]}},VAR22[96:103]};
5'd25:
VAR27[96:127]<={{25{VAR22[96]}},VAR22[96:102]};
5'd26:
VAR27[96:127]<={{26{VAR22[96]}},VAR22[96:101]};
5'd27:
VAR27[96:127]<={{27{VAR22[96]}},VAR22[96:100]};
5'd28:
VAR27[96:127]<={{28{VAR22[96]}},VAR22[96:99]};
5'd29:
VAR27[96:127]<={{29{VAR22[96]}},VAR22[96:98]};
5'd30:
VAR27[96:127]<={{30{VAR22[96]}},VAR22[96:97]};
5'd31:
VAR27[96:127]<={{31{VAR22[96]}},VAR22[96]};
default:
VAR27[96:127]<=32'b0;
endcase
end
default
VAR27<=128'b0;
endcase
end
begin
case(VAR33)
(VAR16+2'b1): begin
VAR12[8:15]=VAR2[0:7];
VAR12[0:7]=8'd0;
if(VAR22[0]==1'd1)
begin
VAR36[8:15]=1+~VAR22[0:7];
if(VAR2[0]==1'd1)
begin
VAR12[8:15]=1+~VAR2[0:7];
end
else
begin
VAR12[8:15]=VAR2[0:7];
end
end
else
begin
VAR36[8:15]=VAR22[0:7];
end
VAR36[0:7]=8'd0;
if(VAR12[15]==1'd1)
begin
VAR28[0:15]=VAR28[0:15] - VAR36[0:15];
end
else
begin
VAR28[0:15]=VAR28[0:15]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR12[VAR11]==1'b1) && (VAR12[VAR11+1]==1'b0))
begin
VAR28[0:15]=VAR28[0:15]-(VAR36<<(7-(VAR11%8)));
end
else if((VAR12[VAR11]==1'b0) && (VAR12[VAR11+1]==1'b1))
begin
VAR28[0:15]=VAR28[0:15]+(VAR36<<(7-(VAR11%8)));
end
else
begin
VAR28[0:15]=VAR28[0:15]+0;
end
end
if(VAR36[8]==1'd1)
begin
VAR27[0:15]<=1+~VAR28[0:15];
end
else
begin
VAR27[0:15]<=VAR28[0:15];
end
VAR15[8:15]=VAR2[16:23];
VAR15[0:7]=8'd0;
if(VAR22[16]==1'd1)
begin
VAR13[8:15]=1+~VAR22[16:23];
if(VAR2[16]==1'd1)
begin
VAR15[8:15]=1+~VAR2[16:23];
end
else
begin
VAR15[8:15]=VAR2[16:23];
end
end
else
begin
VAR13[8:15]=VAR22[16:23];
end
VAR13[0:7]=8'd0;
if(VAR15[15]==1'd1)
begin
VAR28[16:31]=VAR28[16:31] - VAR13[0:15];
end
else
begin
VAR28[16:31]=VAR28[16:31]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR15[VAR11]==1'b1) && (VAR15[VAR11+1]==1'b0))
begin
VAR28[16:31]=VAR28[16:31]-(VAR13<<(7-(VAR11%8)));
end
else if((VAR15[VAR11]==1'b0) && (VAR15[VAR11+1]==1'b1))
begin
VAR28[16:31]=VAR28[16:31]+(VAR13<<(7-(VAR11%8)));
end
else
begin
VAR28[16:31]=VAR28[16:31]+0;
end
end
if(VAR13[8]==1'd1)
begin
VAR27[16:31]<=1+~VAR28[16:31];
end
else
begin
VAR27[16:31]<=VAR28[16:31];
end
VAR1[8:15]=VAR2[32:39];
VAR1[0:7]=8'd0;
if(VAR22[32]==1'd1)
begin
VAR17[8:15]=1+~VAR22[32:39];
if(VAR2[32]==1'd1)
begin
VAR1[8:15]=1+~VAR2[32:39];
end
else
begin
VAR1[8:15]=VAR2[32:39];
end
end
else
begin
VAR17[8:15]=VAR22[32:39];
end
VAR17[0:7]=8'd0;
if(VAR1[15]==1'd1)
begin
VAR28[32:47]=VAR28[32:47] - VAR17[0:15];
end
else
begin
VAR28[32:47]=VAR28[32:47]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR1[VAR11]==1'b1) && (VAR1[VAR11+1]==1'b0))
begin
VAR28[32:47]=VAR28[32:47]-(VAR17<<(7-(VAR11%8)));
end
else if((VAR1[VAR11]==1'b0) && (VAR1[VAR11+1]==1'b1))
begin
VAR28[32:47]=VAR28[32:47]+(VAR17<<(7-(VAR11%8)));
end
else
begin
VAR28[32:47]=VAR28[32:47]+0;
end
end
if(VAR17[8]==1'd1)
begin
VAR27[32:47]<=1+~VAR28[32:47];
end
else
begin
VAR27[32:47]<=VAR28[32:47];
end
VAR37[8:15]=VAR2[48:55];
VAR37[0:7]=8'd0;
if(VAR22[48]==1'd1)
begin
VAR30[8:15]=1+~VAR22[48:55];
if(VAR2[48]==1'd1)
begin
VAR37[8:15]=1+~VAR2[48:55];
end
else
begin
VAR37[8:15]=VAR2[48:55];
end
end
else
begin
VAR30[8:15]=VAR22[48:55];
end
VAR30[0:7]=8'd0;
if(VAR37[15]==1'd1)
begin
VAR28[48:63]=VAR28[48:63] - VAR30[0:15];
end
else
begin
VAR28[48:63]=VAR28[48:63]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR37[VAR11]==1'b1) && (VAR37[VAR11+1]==1'b0))
begin
VAR28[48:63]=VAR28[48:63]-(VAR30<<(7-(VAR11%8)));
end
else if((VAR37[VAR11]==1'b0) && (VAR37[VAR11+1]==1'b1))
begin
VAR28[48:63]=VAR28[48:63]+(VAR30<<(7-(VAR11%8)));
end
else
begin
VAR28[48:63]=VAR28[48:63]+0;
end
end
if(VAR30[8]==1'd1)
begin
VAR27[48:63]<=1+~VAR28[48:63];
end
else
begin
VAR27[48:63]<=VAR28[48:63];
end
VAR3[8:15]=VAR2[64:71];
VAR3[0:7]=8'd0;
if(VAR22[64]==1'd1)
begin
VAR4[8:15]=1+~VAR22[64:71];
if(VAR2[64]==1'd1)
begin
VAR3[8:15]=1+~VAR2[64:71];
end
else
begin
VAR3[8:15]=VAR2[64:71];
end
end
else
begin
VAR4[8:15]=VAR22[64:71];
end
VAR4[0:7]=8'd0;
if(VAR3[15]==1'd1)
begin
VAR28[64:79]=VAR28[64:79] - VAR4[0:15];
end
else
begin
VAR28[64:79]=VAR28[64:79]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR3[VAR11]==1'b1) && (VAR3[VAR11+1]==1'b0))
begin
VAR28[64:79]=VAR28[64:79]-(VAR4<<(7-(VAR11%8)));
end
else if((VAR3[VAR11]==1'b0) && (VAR3[VAR11+1]==1'b1))
begin
VAR28[64:79]=VAR28[64:79]+(VAR4<<(7-(VAR11%8)));
end
else
begin
VAR28[64:79]=VAR28[64:79]+0;
end
end
if(VAR4[8]==1'd1)
begin
VAR27[64:79]<=1+~VAR28[64:79];
end
else
begin
VAR27[64:79]<=VAR28[64:79];
end
VAR20[8:15]=VAR2[80:87];
VAR20[0:7]=8'd0;
if(VAR22[80]==1'd1)
begin
VAR10[8:15]=1+~VAR22[80:87];
if(VAR2[80]==1'd1)
begin
VAR20[8:15]=1+~VAR2[80:87];
end
else
begin
VAR20[8:15]=VAR2[80:87];
end
end
else
begin
VAR10[8:15]=VAR22[80:87];
end
VAR10[0:7]=8'd0;
if(VAR20[15]==1'd1)
begin
VAR28[80:95]=VAR28[80:95] - VAR10[0:15];
end
else
begin
VAR28[80:95]=VAR28[80:95]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR20[VAR11]==1'b1) && (VAR20[VAR11+1]==1'b0))
begin
VAR28[80:95]=VAR28[80:95]-(VAR10<<(7-(VAR11%8)));
end
else if((VAR20[VAR11]==1'b0) && (VAR20[VAR11+1]==1'b1))
begin
VAR28[80:95]=VAR28[80:95]+(VAR10<<(7-(VAR11%8)));
end
else
begin
VAR28[80:95]=VAR28[80:95]+0;
end
end
if(VAR10[8]==1'd1)
begin
VAR27[80:95]<=1+~VAR28[80:95];
end
else
begin
VAR27[80:95]<=VAR28[80:95];
end
VAR35[8:15]=VAR2[96:103];
VAR35[0:7]=8'd0;
if(VAR22[96]==1'd1)
begin
VAR7[8:15]=1+~VAR22[96:103];
if(VAR2[96]==1'd1)
begin
VAR35[8:15]=1+~VAR2[96:103];
end
else
begin
VAR35[8:15]=VAR2[96:103];
end
end
else
begin
VAR7[8:15]=VAR22[96:103];
end
VAR7[0:7]=8'd0;
if(VAR35[15]==1'd1)
begin
VAR28[96:111]=VAR28[96:111] - VAR7[0:15];
end
else
begin
VAR28[96:111]=VAR28[96:111]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR35[VAR11]==1'b1) && (VAR35[VAR11+1]==1'b0))
begin
VAR28[96:111]=VAR28[96:111]-(VAR7<<(7-(VAR11%8)));
end
else if((VAR35[VAR11]==1'b0) && (VAR35[VAR11+1]==1'b1))
begin
VAR28[96:111]=VAR28[96:111]+(VAR7<<(7-(VAR11%8)));
end
else
begin
VAR28[96:111]=VAR28[96:111]+0;
end
end
if(VAR7[8]==1'd1)
begin
VAR27[96:111]<=1+~VAR28[96:111];
end
else
begin
VAR27[96:111]<=VAR28[96:111];
end
VAR8[8:15]=VAR2[112:119];
VAR8[0:7]=8'd0;
if(VAR22[112]==1'd1)
begin
VAR21[8:15]=1+~VAR22[112:119];
if(VAR2[112]==1'd1)
begin
VAR8[8:15]=1+~VAR2[112:119];
end
else
begin
VAR8[8:15]=VAR2[112:119];
end
end
else
begin
VAR21[8:15]=VAR22[112:119];
end
VAR21[0:7]=8'd0;
if(VAR8[15]==1'd1)
begin
VAR28[112:127]=VAR28[112:127] - VAR21[0:15];
end
else
begin
VAR28[112:127]=VAR28[112:127]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR8[VAR11]==1'b1) && (VAR8[VAR11+1]==1'b0))
begin
VAR28[112:127]=VAR28[112:127]-(VAR21<<(7-(VAR11%8)));
end
else if((VAR8[VAR11]==1'b0) && (VAR8[VAR11+1]==1'b1))
begin
VAR28[112:127]=VAR28[112:127]+(VAR21<<(7-(VAR11%8)));
end
else
begin
VAR28[112:127]=VAR28[112:127]+0;
end
end
if(VAR21[8]==1'd1)
begin
VAR27[112:127]<=1+~VAR28[112:127];
end
else
begin
VAR27[112:127]<=VAR28[112:127];
end
end
(VAR31+2'b1): begin
VAR9[16:31]=VAR2[0:15];
VAR9[0:15]=16'd0;
if(VAR22[0]==1'd1)
begin
VAR29[16:31]=1+~VAR22[0:15];
if(VAR2[0]==1'd1)
begin
VAR9[16:31]=1+~VAR2[0:15];
end
else
begin
VAR9[16:31]=VAR2[0:15];
end
end
else
begin
VAR29[16:31]=VAR22[0:15];
end
VAR29[0:15]=16'd0;
if(VAR9[31]==1'd1)
begin
VAR28[0:31]=VAR28[0:31] - VAR29[0:31];
end
else
begin
VAR28[0:31]=VAR28[0:31]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR9[VAR11]==1'b1) && (VAR9[VAR11+1]==1'b0))
begin
VAR28[0:31]=VAR28[0:31]-(VAR29<<(15-(VAR11%16)));
end
else if((VAR9[VAR11]==1'b0) && (VAR9[VAR11+1]==1'b1))
begin
VAR28[0:31]=VAR28[0:31]+(VAR29<<(15-(VAR11%16)));
end
else
begin
VAR28[0:31]=VAR28[0:31]+0;
end
end
if(VAR29[16]==1'd1)
begin
VAR27[0:31]<=1+~VAR28[0:31];
end
else
begin
VAR27[0:31]<=VAR28[0:31];
end
VAR34[16:31]=VAR2[32:47];
VAR34[0:15]=16'd0;
if(VAR22[32]==1'd1)
begin
VAR19[16:31]=1+~VAR22[32:47];
if(VAR2[32]==1'd1)
begin
VAR34[16:31]=1+~VAR2[32:47];
end
else
begin
VAR34[16:31]=VAR2[32:47];
end
end
else
begin
VAR19[16:31]=VAR22[0:15];
end
VAR19[0:15]=16'd0;
if(VAR34[31]==1'd1)
begin
VAR28[32:63]=VAR28[32:63] - VAR19[0:31];
end
else
begin
VAR28[32:63]=VAR28[32:63]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR34[VAR11]==1'b1) && (VAR34[VAR11+1]==1'b0))
begin
VAR28[32:63]=VAR28[32:63]-(VAR19<<(15-(VAR11%16)));
end
else if((VAR34[VAR11]==1'b0) && (VAR34[VAR11+1]==1'b1))
begin
VAR28[32:63]=VAR28[32:63]+(VAR19<<(15-(VAR11%16)));
end
else
begin
VAR28[32:63]=VAR28[32:63]+0;
end
end
if(VAR19[16]==1'd1)
begin
VAR27[32:63]<=1+~VAR28[32:63];
end
else
begin
VAR27[32:63]<=VAR28[32:63];
end
VAR32[16:31]=VAR2[64:79];
VAR32[0:15]=16'd0;
if(VAR22[64]==1'd1)
begin
VAR23[16:31]=1+~VAR22[64:79];
if(VAR2[64]==1'd1)
begin
VAR32[16:31]=1+~VAR2[64:79];
end
else
begin
VAR32[16:31]=VAR2[64:79];
end
end
else
begin
VAR23[16:31]=VAR22[64:79];
end
VAR23[0:15]=16'd0;
if(VAR32[31]==1'd1)
begin
VAR28[64:95]=VAR28[64:95] - VAR23[0:31];
end
else
begin
VAR28[64:95]=VAR28[64:95]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR32[VAR11]==1'b1) && (VAR32[VAR11+1]==1'b0))
begin
VAR28[64:95]=VAR28[64:95]-(VAR23<<(15-(VAR11%16)));
end
else if((VAR32[VAR11]==1'b0) && (VAR32[VAR11+1]==1'b1))
begin
VAR28[64:95]=VAR28[64:95]+(VAR23<<(15-(VAR11%16)));
end
else
begin
VAR28[64:95]=VAR28[64:95]+0;
end
end
if(VAR23[16]==1'd1)
begin
VAR27[64:95]<=1+~VAR28[64:95];
end
else
begin
VAR27[64:95]<=VAR28[64:95];
end
VAR24[16:31]=VAR2[96:111];
VAR24[0:15]=16'd0;
if(VAR22[96]==1'd1)
begin
VAR26[16:31]=1+~VAR22[96:111];
if(VAR2[96]==1'd1)
begin
VAR24[16:31]=1+~VAR2[96:111];
end
else
begin
VAR24[16:31]=VAR2[96:111];
end
end
else
begin
VAR26[16:31]=VAR22[96:111];
end
VAR26[0:15]=16'd0;
if(VAR24[31]==1'd1)
begin
VAR28[96:127]=VAR28[96:127] - VAR26[0:31];
end
else
begin
VAR28[96:127]=VAR28[96:127]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR24[VAR11]==1'b1) && (VAR24[VAR11+1]==1'b0))
begin
VAR28[96:127]=VAR28[96:127]-(VAR26<<(15-(VAR11%16)));
end
else if((VAR24[VAR11]==1'b0) && (VAR24[VAR11+1]==1'b1))
begin
VAR28[96:127]=VAR28[96:127]+(VAR26<<(15-(VAR11%16)));
end
else
begin
VAR28[96:127]=VAR28[96:127]+0;
end
end
if(VAR26[16]==1'd1)
begin
VAR27[96:127]<=1+~VAR28[96:127];
end
else
begin
VAR27[96:127]<=VAR28[96:127];
end
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
(VAR16+2'b1): begin
VAR12[8:15]=VAR2[8:15];
VAR12[0:7]=8'd0;
if(VAR22[8]==1'd1)
begin
VAR36[8:15]=1+~VAR22[8:15];
if(VAR2[8]==1'd1)
begin
VAR12[8:15]=1+~VAR2[8:15];
end
else
begin
VAR12[8:15]=VAR2[8:15];
end
end
else
begin
VAR36[8:15]=VAR22[8:15];
end
VAR36[0:7]=8'd0;
if(VAR12[15]==1'd1)
begin
VAR28[0:15]=VAR28[0:15] - VAR36[0:15];
end
else
begin
VAR28[0:15]=VAR28[0:15]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR12[VAR11]==1'b1) && (VAR12[VAR11+1]==1'b0))
begin
VAR28[0:15]=VAR28[0:15]-(VAR36<<(7-(VAR11%8)));
end
else if((VAR12[VAR11]==1'b0) && (VAR12[VAR11+1]==1'b1))
begin
VAR28[0:15]=VAR28[0:15]+(VAR36<<(7-(VAR11%8)));
end
else
begin
VAR28[0:15]=VAR28[0:15]+0;
end
end
if(VAR36[8]==1'd1)
begin
VAR27[0:15]<=1+~VAR28[0:15];
end
else
begin
VAR27[0:15]<=VAR28[0:15];
end
VAR15[8:15]=VAR2[24:31];
VAR15[0:7]=8'd0;
if(VAR22[24]==1'd1)
begin
VAR13[8:15]=1+~VAR22[24:31];
if(VAR2[24]==1'd1)
begin
VAR15[8:15]=1+~VAR2[24:31];
end
else
begin
VAR15[8:15]=VAR2[24:31];
end
end
else
begin
VAR13[8:15]=VAR22[24:31];
end
VAR13[0:7]=8'd0;
if(VAR15[15]==1'd1)
begin
VAR28[16:31]=VAR28[16:31] - VAR13[0:15];
end
else
begin
VAR28[16:31]=VAR28[16:31]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR15[VAR11]==1'b1) && (VAR15[VAR11+1]==1'b0))
begin
VAR28[16:31]=VAR28[16:31]-(VAR13<<(7-(VAR11%8)));
end
else if((VAR15[VAR11]==1'b0) && (VAR15[VAR11+1]==1'b1))
begin
VAR28[16:31]=VAR28[16:31]+(VAR13<<(7-(VAR11%8)));
end
else
begin
VAR28[16:31]=VAR28[16:31]+0;
end
end
if(VAR13[8]==1'd1)
begin
VAR27[16:31]<=1+~VAR28[16:31];
end
else
begin
VAR27[16:31]<=VAR28[16:31];
end
VAR1[8:15]=VAR2[40:47];
VAR1[0:7]=8'd0;
if(VAR22[40]==1'd1)
begin
VAR17[8:15]=1+~VAR22[40:47];
if(VAR2[40]==1'd1)
begin
VAR1[8:15]=1+~VAR2[40:47];
end
else
begin
VAR1[8:15]=VAR2[40:47];
end
end
else
begin
VAR17[8:15]=VAR22[40:47];
end
VAR17[0:7]=8'd0;
if(VAR1[15]==1'd1)
begin
VAR28[32:47]=VAR28[32:47] - VAR17[0:15];
end
else
begin
VAR28[32:47]=VAR28[32:47]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR1[VAR11]==1'b1) && (VAR1[VAR11+1]==1'b0))
begin
VAR28[32:47]=VAR28[32:47]-(VAR17<<(7-(VAR11%8)));
end
else if((VAR1[VAR11]==1'b0) && (VAR1[VAR11+1]==1'b1))
begin
VAR28[32:47]=VAR28[32:47]+(VAR17<<(7-(VAR11%8)));
end
else
begin
VAR28[32:47]=VAR28[32:47]+0;
end
end
if(VAR17[8]==1'd1)
begin
VAR27[32:47]<=1+~VAR28[32:47];
end
else
begin
VAR27[32:47]<=VAR28[32:47];
end
VAR37[8:15]=VAR2[56:63];
VAR37[0:7]=8'd0;
if(VAR22[56]==1'd1)
begin
VAR30[8:15]=1+~VAR22[56:63];
if(VAR2[56]==1'd1)
begin
VAR37[8:15]=1+~VAR2[56:63];
end
else
begin
VAR37[8:15]=VAR2[56:63];
end
end
else
begin
VAR30[8:15]=VAR22[56:63];
end
VAR30[0:7]=8'd0;
if(VAR37[15]==1'd1)
begin
VAR28[48:63]=VAR28[48:63] - VAR30[0:15];
end
else
begin
VAR28[48:63]=VAR28[48:63]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR37[VAR11]==1'b1) && (VAR37[VAR11+1]==1'b0))
begin
VAR28[48:63]=VAR28[48:63]-(VAR30<<(7-(VAR11%8)));
end
else if((VAR37[VAR11]==1'b0) && (VAR37[VAR11+1]==1'b1))
begin
VAR28[48:63]=VAR28[48:63]+(VAR30<<(7-(VAR11%8)));
end
else
begin
VAR28[48:63]=VAR28[48:63]+0;
end
end
if(VAR30[8]==1'd1)
begin
VAR27[48:63]<=1+~VAR28[48:63];
end
else
begin
VAR27[48:63]<=VAR28[48:63];
end
VAR3[8:15]=VAR2[72:79];
VAR3[0:7]=8'd0;
if(VAR22[72]==1'd1)
begin
VAR4[8:15]=1+~VAR22[72:79];
if(VAR2[72]==1'd1)
begin
VAR3[8:15]=1+~VAR2[72:79];
end
else
begin
VAR3[8:15]=VAR2[72:79];
end
end
else
begin
VAR4[8:15]=VAR22[72:79];
end
VAR4[0:7]=8'd0;
if(VAR3[15]==1'd1)
begin
VAR28[64:79]=VAR28[64:79] - VAR4[0:15];
end
else
begin
VAR28[64:79]=VAR28[64:79]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR3[VAR11]==1'b1) && (VAR3[VAR11+1]==1'b0))
begin
VAR28[64:79]=VAR28[64:79]-(VAR4<<(7-(VAR11%8)));
end
else if((VAR3[VAR11]==1'b0) && (VAR3[VAR11+1]==1'b1))
begin
VAR28[64:79]=VAR28[64:79]+(VAR4<<(7-(VAR11%8)));
end
else
begin
VAR28[64:79]=VAR28[64:79]+0;
end
end
if(VAR4[8]==1'd1)
begin
VAR27[64:79]<=1+~VAR28[64:79];
end
else
begin
VAR27[64:79]<=VAR28[64:79];
end
VAR20[8:15]=VAR2[88:95];
VAR20[0:7]=8'd0;
if(VAR22[88]==1'd1)
begin
VAR10[8:15]=1+~VAR22[88:95];
if(VAR2[88]==1'd1)
begin
VAR20[8:15]=1+~VAR2[88:95];
end
else
begin
VAR20[8:15]=VAR2[88:95];
end
end
else
begin
VAR10[8:15]=VAR22[88:95];
end
VAR10[0:7]=8'd0;
if(VAR20[15]==1'd1)
begin
VAR28[80:95]=VAR28[80:95] - VAR10[0:15];
end
else
begin
VAR28[80:95]=VAR28[80:95]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR20[VAR11]==1'b1) && (VAR20[VAR11+1]==1'b0))
begin
VAR28[80:95]=VAR28[80:95]-(VAR10<<(7-(VAR11%8)));
end
else if((VAR20[VAR11]==1'b0) && (VAR20[VAR11+1]==1'b1))
begin
VAR28[80:95]=VAR28[80:95]+(VAR10<<(7-(VAR11%8)));
end
else
begin
VAR28[80:95]=VAR28[80:95]+0;
end
end
if(VAR10[8]==1'd1)
begin
VAR27[80:95]<=1+~VAR28[80:95];
end
else
begin
VAR27[80:95]<=VAR28[80:95];
end
VAR35[8:15]=VAR2[104:111];
VAR35[0:7]=8'd0;
if(VAR22[104]==1'd1)
begin
VAR7[8:15]=1+~VAR22[104:111];
if(VAR2[104]==1'd1)
begin
VAR35[8:15]=1+~VAR2[104:111];
end
else
begin
VAR35[8:15]=VAR2[104:111];
end
end
else
begin
VAR7[8:15]=VAR22[104:111];
end
VAR7[0:7]=8'd0;
if(VAR35[15]==1'd1)
begin
VAR28[96:111]=VAR28[96:111] - VAR7[0:15];
end
else
begin
VAR28[96:111]=VAR28[96:111]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR35[VAR11]==1'b1) && (VAR35[VAR11+1]==1'b0))
begin
VAR28[96:111]=VAR28[96:111]-(VAR7<<(7-(VAR11%8)));
end
else if((VAR35[VAR11]==1'b0) && (VAR35[VAR11+1]==1'b1))
begin
VAR28[96:111]=VAR28[96:111]+(VAR7<<(7-(VAR11%8)));
end
else
begin
VAR28[96:111]=VAR28[96:111]+0;
end
end
if(VAR7[8]==1'd1)
begin
VAR27[96:111]<=1+~VAR28[96:111];
end
else
begin
VAR27[96:111]<=VAR28[96:111];
end
VAR8[8:15]=VAR2[120:127];
VAR8[0:7]=8'd0;
if(VAR22[120]==1'd1)
begin
VAR21[8:15]=1+~VAR22[120:127];
if(VAR2[120]==1'd1)
begin
VAR8[8:15]=1+~VAR2[120:127];
end
else
begin
VAR8[8:15]=VAR2[120:127];
end
end
else
begin
VAR21[8:15]=VAR22[120:127];
end
VAR21[0:7]=8'd0;
if(VAR8[15]==1'd1)
begin
VAR28[112:127]=VAR28[112:127] - VAR21[0:15];
end
else
begin
VAR28[112:127]=VAR28[112:127]+0;
end
for(VAR11=14; VAR11>=8; VAR11=VAR11-1)
begin
if((VAR8[VAR11]==1'b1) && (VAR8[VAR11+1]==1'b0))
begin
VAR28[112:127]=VAR28[112:127]-(VAR21<<(7-(VAR11%8)));
end
else if((VAR8[VAR11]==1'b0) && (VAR8[VAR11+1]==1'b1))
begin
VAR28[112:127]=VAR28[112:127]+(VAR21<<(7-(VAR11%8)));
end
else
begin
VAR28[112:127]=VAR28[112:127]+0;
end
end
if(VAR21[8]==1'd1)
begin
VAR27[112:127]<=1+~VAR28[112:127];
end
else
begin
VAR27[112:127]<=VAR28[112:127];
end
end
(VAR31+2'b1): begin
VAR9[16:31]=VAR2[16:31];
VAR9[0:15]=16'd0;
if(VAR22[16]==1'd1)
begin
VAR29[16:31]=1+~VAR22[16:31];
if(VAR2[16]==1'd1)
begin
VAR9[16:31]=1+~VAR2[16:31];
end
else
begin
VAR9[16:31]=VAR2[16:31];
end
end
else
begin
VAR29[16:31]=VAR22[16:31];
end
VAR29[0:15]=16'd0;
if(VAR9[31]==1'd1)
begin
VAR28[0:31]=VAR28[0:31] - VAR29[0:31];
end
else
begin
VAR28[0:31]=VAR28[0:31]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR9[VAR11]==1'b1) && (VAR9[VAR11+1]==1'b0))
begin
VAR28[0:31]=VAR28[0:31]-(VAR29<<(15-(VAR11%16)));
end
else if((VAR9[VAR11]==1'b0) && (VAR9[VAR11+1]==1'b1))
begin
VAR28[0:31]=VAR28[0:31]+(VAR29<<(15-(VAR11%16)));
end
else
begin
VAR28[0:31]=VAR28[0:31]+0;
end
end
if(VAR29[16]==1'd1)
begin
VAR27[0:31]<=1+~VAR28[0:31];
end
else
begin
VAR27[0:31]<=VAR28[0:31];
end
VAR34[16:31]=VAR2[48:63];
VAR34[0:15]=16'd0;
if(VAR22[48]==1'd1)
begin
VAR19[16:31]=1+~VAR22[48:63];
if(VAR2[48]==1'd1)
begin
VAR34[16:31]=1+~VAR2[48:63];
end
else
begin
VAR34[16:31]=VAR2[48:63];
end
end
else
begin
VAR19[16:31]=VAR22[48:63];
end
VAR19[0:15]=16'd0;
if(VAR34[31]==1'd1)
begin
VAR28[32:63]=VAR28[32:63] - VAR19[0:31];
end
else
begin
VAR28[32:63]=VAR28[32:63]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR34[VAR11]==1'b1) && (VAR34[VAR11+1]==1'b0))
begin
VAR28[32:63]=VAR28[32:63]-(VAR19<<(15-(VAR11%16)));
end
else if((VAR34[VAR11]==1'b0) && (VAR34[VAR11+1]==1'b1))
begin
VAR28[32:63]=VAR28[32:63]+(VAR19<<(15-(VAR11%16)));
end
else
begin
VAR28[32:63]=VAR28[32:63]+0;
end
end
if(VAR19[16]==1'd1)
begin
VAR27[32:63]<=1+~VAR28[32:63];
end
else
begin
VAR27[32:63]<=VAR28[32:63];
end
VAR32[16:31]=VAR2[80:95];
VAR32[0:15]=16'd0;
if(VAR22[80]==1'd1)
begin
VAR23[16:31]=1+~VAR22[80:95];
if(VAR2[80]==1'd1)
begin
VAR32[16:31]=1+~VAR2[80:95];
end
else
begin
VAR32[16:31]=VAR2[80:95];
end
end
else
begin
VAR23[16:31]=VAR22[80:95];
end
VAR23[0:15]=16'd0;
if(VAR32[31]==1'd1)
begin
VAR28[64:95]=VAR28[64:95] - VAR23[0:31];
end
else
begin
VAR28[64:95]=VAR28[64:95]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR32[VAR11]==1'b1) && (VAR32[VAR11+1]==1'b0))
begin
VAR28[64:95]=VAR28[64:95]-(VAR23<<(15-(VAR11%16)));
end
else if((VAR32[VAR11]==1'b0) && (VAR32[VAR11+1]==1'b1))
begin
VAR28[64:95]=VAR28[64:95]+(VAR23<<(15-(VAR11%16)));
end
else
begin
VAR28[64:95]=VAR28[64:95]+0;
end
end
if(VAR23[16]==1'd1)
begin
VAR27[64:95]<=1+~VAR28[64:95];
end
else
begin
VAR27[64:95]<=VAR28[64:95];
end
VAR24[16:31]=VAR2[112:127];
VAR24[0:15]=16'd0;
if(VAR22[112]==1'd1)
begin
VAR26[16:31]=1+~VAR22[112:127];
if(VAR2[112]==1'd1)
begin
VAR24[16:31]=1+~VAR2[112:127];
end
else
begin
VAR24[16:31]=VAR2[112:127];
end
end
else
begin
VAR26[16:31]=VAR22[112:127];
end
VAR26[0:15]=16'd0;
if(VAR24[31]==1'd1)
begin
VAR28[96:127]=VAR28[96:127] - VAR26[0:31];
end
else
begin
VAR28[96:127]=VAR28[96:127]+0;
end
for(VAR11=30; VAR11>=16; VAR11=VAR11-1)
begin
if((VAR24[VAR11]==1'b1) && (VAR24[VAR11+1]==1'b0))
begin
VAR28[96:127]=VAR28[96:127]-(VAR26<<(15-(VAR11%16)));
end
else if((VAR24[VAR11]==1'b0) && (VAR24[VAR11+1]==1'b1))
begin
VAR28[96:127]=VAR28[96:127]+(VAR26<<(15-(VAR11%16)));
end
else
begin
VAR28[96:127]=VAR28[96:127]+0;
end
end
if(VAR26[16]==1'd1)
begin
VAR27[96:127]<=1+~VAR28[96:127];
end
else
begin
VAR27[96:127]<=VAR28[96:127];
end
end
default: begin
VAR27<=128'd0;
end
endcase
end
begin
case(VAR33)
(VAR16+2'b1):
begin
VAR12={{8{1'b0}},VAR2[0+(16*0):7+(16*0)]};
VAR36={{8{1'b0}},VAR22[0+(16*0):7+(16*0)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*0):15+(16*0)]=VAR28[0+(16*0):15+(16*0)]
+ (VAR36[VAR25]?(VAR12<<(8'd15-VAR25)):16'b0);
VAR15={{8{1'b0}},VAR2[0+(16*1):7+(16*1)]};
VAR13={{8{1'b0}},VAR22[0+(16*1):7+(16*1)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*1):15+(16*1)]=VAR28[0+(16*1):15+(16*1)]
+ (VAR13[VAR25]?(VAR15<<(8'd15-VAR25)):16'b0);
VAR1={{8{1'b0}},VAR2[0+(16*2):7+(16*2)]};
VAR17={{8{1'b0}},VAR22[0+(16*2):7+(16*2)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*2):15+(16*2)]=VAR28[0+(16*2):15+(16*2)]
+ (VAR17[VAR25]?(VAR1<<(8'd15-VAR25)):16'b0);
VAR37={{8{1'b0}},VAR2[0+(16*3):7+(16*3)]};
VAR30={{8{1'b0}},VAR22[0+(16*3):7+(16*3)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*3):15+(16*3)]=VAR28[0+(16*3):15+(16*3)]
+ (VAR30[VAR25]?(VAR37<<(8'd15-VAR25)):16'b0);
VAR3={{8{1'b0}},VAR2[0+(16*4):7+(16*4)]};
VAR4={{8{1'b0}},VAR22[0+(16*4):7+(16*4)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*4):15+(16*4)]=VAR28[0+(16*4):15+(16*4)]
+ (VAR4[VAR25]?(VAR3<<(8'd15-VAR25)):16'b0);
VAR20={{8{1'b0}},VAR2[0+(16*5):7+(16*5)]};
VAR10={{8{1'b0}},VAR22[0+(16*5):7+(16*5)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*5):15+(16*5)]=VAR28[0+(16*5):15+(16*5)]
+ (VAR10[VAR25]?(VAR20<<(8'd15-VAR25)):16'b0);
VAR35={{8{1'b0}},VAR2[0+(16*6):7+(16*6)]};
VAR7={{8{1'b0}},VAR22[0+(16*6):7+(16*6)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*6):15+(16*6)]=VAR28[0+(16*6):15+(16*6)]
+ (VAR7[VAR25]?(VAR35<<(8'd15-VAR25)):16'b0);
VAR8={{8{1'b0}},VAR2[0+(16*7):7+(16*7)]};
VAR21={{8{1'b0}},VAR22[0+(16*7):7+(16*7)]};
for (VAR25=15; VAR25>7; VAR25=VAR25-1)
VAR28[0+(16*7):15+(16*7)]=VAR28[0+(16*7):15+(16*7)]
+ (VAR21[VAR25]?(VAR8<<(8'd15-VAR25)):16'b0);
VAR27<=VAR28;
end
(VAR31+2'b1):
begin
VAR9={{16{1'b0}},VAR2[0+(32*0):15+(32*0)]};
VAR29={{16{1'b0}},VAR22[0+(32*0):15+(32*0)]};
for (VAR25=31; VAR25>15; VAR25=VAR25-1)
VAR28[0+(32*0):31+(32*0)]=VAR28[0+(32*0):31+(32*0)]
+ (VAR29[VAR25]?(VAR9<<(8'd31-VAR25)):32'b0);
VAR34={{16{1'b0}},VAR2[0+(32*1):15+(32*1)]};
VAR19={{16{1'b0}},VAR22[0+(32*1):15+(32*1)]};
for (VAR25=31; VAR25>15; VAR25=VAR25-1)
VAR28[0+(32*1):31+(32*1)]=VAR28[0+(32*1):31+(32*1)]
+ (VAR19[VAR25]?(VAR34<<(8'd31-VAR25)):32'b0);
VAR32={{16{1'b0}},VAR2[0+(32*2):15+(32*2)]};
VAR23={{16{1'b0}},VAR22[0+(32*2):15+(32*2)]};
for (VAR25=31; VAR25>15; VAR25=VAR25-1)
VAR28[0+(32*2):31+(32*2)]=VAR28[0+(32*2):31+(32*2)]
+ (VAR23[VAR25]?(VAR32<<(8'd31-VAR25)):32'b0);
VAR24={{16{1'b0}},VAR2[0+(32*3):15+(32*3)]};
VAR26={{16{1'b0}},VAR22[0+(32*3):15+(32*3)]};
for (VAR25=31; VAR25>15; VAR25=VAR25-1)
VAR28[0+(32*3):31+(32*3)]=VAR28[0+(32*3):31+(32*3)]
+ (VAR26[VAR25]?(VAR24<<(8'd31-VAR25)):32'b0);
VAR27<=VAR28;
end
default:
begin
VAR27<=128'd0;
end
endcase end
begin
case(VAR33)
(VAR16+2'd1): begin
VAR36[8:15]=VAR22[8:15];
VAR36[0:7]=8'd0;
VAR12[0:15]={{8{1'b0}},VAR2[8:15]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[0:15]=VAR28[0:15]+((VAR36[VAR11]==1'd1)?(VAR12<<(8'd15-VAR11)):16'b0);
end
VAR13[8:15]=VAR22[24:31];
VAR13[0:7]=8'd0;
VAR15[0:15]={{8{1'b0}},VAR2[24:31]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[16:31]=VAR28[16:31]+((VAR13[VAR11]==1'd1)?(VAR15<<(8'd15-VAR11)):16'b0);
end
VAR17[8:15]=VAR22[40:47];
VAR17[0:7]=8'd0;
VAR1[0:15]={{8{1'b0}},VAR2[40:47]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[32:47]=VAR28[32:47]+((VAR17[VAR11]==1'd1)?(VAR1<<(8'd15-VAR11)):16'b0);
end
VAR30[8:15]=VAR22[56:63];
VAR30[0:7]=8'd0;
VAR37[0:15]={{8{1'b0}},VAR2[56:63]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[48:63]=VAR28[48:63]+((VAR30[VAR11]==1'd1)?(VAR37<<(8'd15-VAR11)):16'b0);
end
VAR4[8:15]=VAR22[72:79];
VAR4[0:7]=8'd0;
VAR3[0:15]={{8{1'b0}},VAR2[72:79]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[64:79]=VAR28[64:79]+((VAR4[VAR11]==1'd1)?(VAR3<<(8'd15-VAR11)):16'b0);
end
VAR10[8:15]=VAR22[88:95];
VAR10[0:7]=8'd0;
VAR20[0:15]={{8{1'b0}},VAR2[88:95]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[80:95]=VAR28[80:95]+((VAR10[VAR11]==1'd1)?(VAR20<<(8'd15-VAR11)):16'b0);
end
VAR7[8:15]=VAR22[104:111];
VAR7[0:7]=8'd0;
VAR35[0:15]={{8{1'b0}},VAR2[104:111]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[96:111]=VAR28[96:111]+((VAR7[VAR11]==1'd1)?(VAR35<<(8'd15-VAR11)):16'b0);
end
VAR21[8:15]=VAR22[120:127];
VAR21[0:7]=8'd0;
VAR8[0:15]={{8{1'b0}},VAR2[120:127]};
for(VAR11=15; VAR11>=8; VAR11=VAR11-1)
begin
VAR28[112:127]=VAR28[112:127]+((VAR21[VAR11]==1'd1)?(VAR8<<(8'd15-VAR11)):16'b0);
end
VAR27<=VAR28;
end
(VAR31+2'b01): begin
VAR29[0:31]={{16{1'b0}},VAR2[16:31]};
VAR9[0:31]={{16{1'b0}},VAR22[16:31]};
VAR19[0:31]={{16{1'b0}},VAR2[48:63]};
VAR34[0:31]={{16{1'b0}},VAR22[48:63]};
VAR23[0:31]={{16{1'b0}},VAR2[80:95]};
VAR32[0:31]={{16{1'b0}},VAR22[80:95]};
VAR26[0:31]={{16{1'b0}},VAR2[112:127]};
VAR24[0:31]={{16{1'b0}},VAR22[112:127]};
for(VAR11=31; VAR11>=16; VAR11=VAR11-1)
begin
VAR28[0:31]=VAR28[0:31]+((VAR29[VAR11]==1'd1)?(VAR9<<(16'd31-VAR11)):32'd0);
VAR28[32:63]=VAR28[32:63]+((VAR19[VAR11]==1'd1)?(VAR34<<(16'd31-VAR11)):32'd0);
VAR28[64:95]=VAR28[64:95]+((VAR23[VAR11]==1'd1)?(VAR32<<(16'd31-VAR11)):32'd0);
VAR28[96:127]=VAR28[96:127]+((VAR26[VAR11]==1'd1)?(VAR24<<(16'd31-VAR11)):32'd0);
end
VAR27<=VAR28;
end
default: begin
VAR27<=128'd0;
end
endcase
end
default:
begin
VAR27<=128'd0;
end
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdlclkp/sky130_fd_sc_ms__sdlclkp_2.v
| 2,262 |
module MODULE1 (
VAR2,
VAR8 ,
VAR10,
VAR7 ,
VAR3,
VAR4,
VAR1 ,
VAR5
);
output VAR2;
input VAR8 ;
input VAR10;
input VAR7 ;
input VAR3;
input VAR4;
input VAR1 ;
input VAR5 ;
VAR6 VAR9 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR2,
VAR8 ,
VAR10,
VAR7
);
output VAR2;
input VAR8 ;
input VAR10;
input VAR7 ;
supply1 VAR3;
supply0 VAR4;
supply1 VAR1 ;
supply0 VAR5 ;
VAR6 VAR9 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a211o/sky130_fd_sc_lp__a211o.pp.symbol.v
| 1,372 |
module MODULE1 (
input VAR4 ,
input VAR2 ,
input VAR9 ,
input VAR3 ,
output VAR8 ,
input VAR5 ,
input VAR7,
input VAR1,
input VAR6
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o22ai/sky130_fd_sc_hd__o22ai_1.v
| 2,352 |
module MODULE1 (
VAR6 ,
VAR5 ,
VAR8 ,
VAR10 ,
VAR4 ,
VAR9,
VAR7,
VAR11 ,
VAR3
);
output VAR6 ;
input VAR5 ;
input VAR8 ;
input VAR10 ;
input VAR4 ;
input VAR9;
input VAR7;
input VAR11 ;
input VAR3 ;
VAR1 VAR2 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR6 ,
VAR5,
VAR8,
VAR10,
VAR4
);
output VAR6 ;
input VAR5;
input VAR8;
input VAR10;
input VAR4;
supply1 VAR9;
supply0 VAR7;
supply1 VAR11 ;
supply0 VAR3 ;
VAR1 VAR2 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
sukinull/hls_stream
|
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/pixelq_op_v1_0/0d718de5/hdl/verilog/FIFO_pixelq_op_img_rows_V_channel3.v
| 3,003 |
module MODULE1 (
clk,
VAR17,
VAR4,
VAR3,
VAR22);
parameter VAR7 = 32'd12;
parameter VAR24 = 32'd2;
parameter VAR5 = 32'd3;
input clk;
input [VAR7-1:0] VAR17;
input VAR4;
input [VAR24-1:0] VAR3;
output [VAR7-1:0] VAR22;
reg[VAR7-1:0] VAR16 [0:VAR5-1];
integer VAR2;
always @ (posedge clk)
begin
if (VAR4)
begin
for (VAR2=0;VAR2<VAR5-1;VAR2=VAR2+1)
VAR16[VAR2+1] <= VAR16[VAR2];
VAR16[0] <= VAR17;
end
end
assign VAR22 = VAR16[VAR3];
endmodule
module MODULE2 (
clk,
reset,
VAR15,
VAR21,
VAR13,
VAR1,
VAR6,
VAR27,
VAR20,
VAR12);
parameter VAR14 = "VAR25";
parameter VAR7 = 32'd12;
parameter VAR24 = 32'd2;
parameter VAR5 = 32'd3;
input clk;
input reset;
output VAR15;
input VAR21;
input VAR13;
output[VAR7 - 1:0] VAR1;
output VAR6;
input VAR27;
input VAR20;
input[VAR7 - 1:0] VAR12;
wire[VAR24 - 1:0] VAR23 ;
wire[VAR7 - 1:0] VAR9, VAR19;
reg[VAR24:0] VAR18 = {(VAR24+1){1'b1}};
reg VAR11 = 0, VAR10 = 1;
assign VAR15 = VAR11;
assign VAR6 = VAR10;
assign VAR9 = VAR12;
assign VAR1 = VAR19;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR18 <= ~{VAR24+1{1'b0}};
VAR11 <= 1'b0;
VAR10 <= 1'b1;
end
else begin
if (((VAR13 & VAR21) == 1 & VAR11 == 1) &&
((VAR20 & VAR27) == 0 | VAR10 == 0))
begin
VAR18 <= VAR18 -1;
if (VAR18 == 0)
VAR11 <= 1'b0;
VAR10 <= 1'b1;
end
else if (((VAR13 & VAR21) == 0 | VAR11 == 0) &&
((VAR20 & VAR27) == 1 & VAR10 == 1))
begin
VAR18 <= VAR18 +1;
VAR11 <= 1'b1;
if (VAR18 == VAR5-2)
VAR10 <= 1'b0;
end
end
end
assign VAR23 = VAR18[VAR24] == 1'b0 ? VAR18[VAR24-1:0]:{VAR24{1'b0}};
assign VAR8 = (VAR20 & VAR27) & VAR10;
MODULE1
.VAR7(VAR7),
.VAR24(VAR24),
.VAR5(VAR5))
VAR26 (
.clk(clk),
.VAR17(VAR9),
.VAR4(VAR8),
.VAR3(VAR23),
.VAR22(VAR19));
endmodule
|
gpl-2.0
|
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
|
bin_Gaussian_Filter/system/synthesis/system.v
| 41,898 |
module MODULE1 (
input wire VAR58, input wire VAR238, output wire VAR240, output wire [14:0] VAR232, output wire [2:0] VAR48, output wire VAR200, output wire VAR129, output wire VAR222, output wire VAR127, output wire VAR51, output wire VAR37, output wire VAR89, output wire VAR143, inout wire [31:0] VAR38, inout wire [3:0] VAR199, inout wire [3:0] VAR34, output wire VAR221, output wire [3:0] VAR197, input wire VAR28, output wire VAR53, output wire VAR2, output wire VAR19, output wire VAR210, output wire VAR4, input wire VAR71, inout wire VAR239, output wire VAR151, input wire VAR15, output wire VAR36, input wire VAR186, input wire VAR130, input wire VAR229, input wire VAR213, inout wire VAR190, inout wire VAR139, inout wire VAR152, output wire VAR72, inout wire VAR217, inout wire VAR181, input wire VAR195, output wire VAR66, inout wire VAR122, inout wire VAR101, inout wire VAR95 );
wire VAR88; wire VAR137; wire VAR141; wire VAR205; wire [7:0] VAR22; wire [63:0] VAR10; wire VAR118; wire VAR132; wire [3:0] VAR25; wire VAR50; wire VAR79; wire [63:0] VAR92; wire [3:0] VAR208; wire VAR119; wire VAR61; wire [63:0] VAR109; wire VAR167; wire [7:0] VAR27; wire VAR67; wire [7:0] VAR164; wire [63:0] VAR87; wire VAR121; wire VAR35; wire [3:0] VAR3; wire VAR207; wire VAR60; wire [4:0] VAR13; wire [255:0] VAR113; wire [29:0] VAR86; wire VAR41; wire VAR133; wire [255:0] VAR80; wire VAR68; wire [31:0] VAR93; wire VAR46; wire [4:0] VAR55; wire [255:0] VAR31; wire [29:0] VAR134; wire VAR104; wire VAR116; wire [255:0] VAR237; wire VAR136; wire VAR225; wire [31:0] VAR163; wire [0:0] VAR9; wire VAR170; wire [29:0] VAR110; wire [63:0] VAR154; wire VAR162; wire VAR32; wire [63:0] VAR47; wire VAR227; wire [7:0] VAR192; wire VAR140; wire VAR160; wire [63:0] VAR102; wire [3:0] VAR169; wire VAR147; wire VAR112; wire [63:0] VAR150; wire VAR215; wire [7:0] VAR182; wire VAR175; wire [0:0] VAR201;
VAR177 VAR5 (
.VAR180 (VAR58), .VAR82 (VAR238), .VAR54 (VAR58), .VAR240 (VAR88), .VAR78 (VAR141), .VAR171 (VAR137), .VAR100 (VAR46), .VAR218 (VAR237), .VAR74 (VAR225), .VAR14 (VAR55), .VAR103 (VAR31), .VAR49 (VAR134), .VAR236 (VAR104), .VAR20 (VAR116), .VAR204 (VAR163), .VAR183 (VAR136), .VAR153 (), .VAR187 (VAR240), .VAR232 (VAR232), .VAR48 (VAR48), .VAR200 (VAR200), .VAR129 (VAR129), .VAR222 (VAR222), .VAR127 (VAR127), .VAR51 (VAR51), .VAR37 (VAR37), .VAR89 (VAR89), .VAR143 (VAR143), .VAR38 (VAR38), .VAR199 (VAR199), .VAR34 (VAR34), .VAR221 (VAR221), .VAR197 (VAR197), .VAR28 (VAR28), .VAR53 (VAR53), .VAR2 (VAR2), .VAR19 (VAR19), .VAR210 (VAR210), .VAR4 (VAR4), .VAR71 (VAR71), .VAR239 (VAR239), .VAR151 (VAR151), .VAR15 (VAR15), .VAR36 (VAR36), .VAR186 (VAR186), .VAR130 (VAR130), .VAR229 (VAR229), .VAR213 (VAR213), .VAR190 (VAR190), .VAR139 (VAR139), .VAR152 (VAR152), .VAR72 (VAR72), .VAR217 (VAR217), .VAR181 (VAR181), .VAR195 (VAR195), .VAR66 (VAR66), .VAR122 (VAR122), .VAR101 (VAR101), .VAR95 (VAR95), .VAR81 (), .VAR155 (VAR201), .VAR146 (VAR170), .VAR42 (VAR47), .VAR33 (VAR140), .VAR223 (VAR9), .VAR191 (VAR154), .VAR65 (VAR110), .VAR16 (VAR162), .VAR166 (VAR32), .VAR193 (VAR192), .VAR128 (VAR227), .VAR135 () );
VAR196 VAR131 (
.VAR8 (VAR88), .VAR82 (VAR141), .VAR145 (VAR137), .VAR18 (VAR61), .VAR94 (VAR119), .VAR29 (VAR208), .VAR64 (VAR92), .VAR179 (VAR27), .VAR158 (VAR79), .VAR105 (VAR109), .VAR108 (VAR167), .VAR52 (VAR175), .VAR157 (VAR86), .VAR209 (VAR133), .VAR138 (VAR41), .VAR165 (VAR13), .VAR91 (VAR113), .VAR97 (VAR93), .VAR73 (VAR80), .VAR202 (VAR60), .VAR235 (VAR68) );
VAR188 #(
.VAR159 (4),
.VAR99 (64),
.VAR234 (0)
) VAR44 (
.clk (VAR88), .VAR83 (VAR141), .VAR75 (VAR147), .VAR30 (VAR169), .VAR111 (VAR182), .VAR184 (VAR102), .VAR211 (VAR150), .VAR124 (VAR215), .VAR174 (VAR160), .VAR231 (VAR112), .VAR216 (VAR121), .VAR45 (VAR3), .VAR123 (VAR164), .VAR12 (VAR87), .VAR117 (VAR35), .VAR198 (VAR207), .VAR178 (VAR67), .VAR194 (VAR132), .VAR40 (VAR118), .VAR172 (VAR25), .VAR228 (VAR10), .VAR26 (VAR22), .VAR173 (VAR50), .VAR115 (VAR205) );
VAR70 #(
.VAR90 (4),
.VAR76 (4),
.VAR99 (64),
.VAR234 (0),
.VAR226 (32'b00000000000000000000000000000000)
) VAR120 (
.clk (VAR88), .VAR83 (VAR141), .VAR203 (VAR61), .VAR168 (VAR119), .VAR142 (VAR208), .VAR212 (VAR27), .VAR114 (VAR92), .VAR59 (VAR109), .VAR7 (VAR167), .VAR106 (VAR79), .VAR117 (VAR132), .VAR216 (VAR118), .VAR45 (VAR25), .VAR12 (VAR10), .VAR123 (VAR22), .VAR198 (VAR50), .VAR178 (VAR205), .VAR194 (VAR35), .VAR40 (VAR121), .VAR172 (VAR3), .VAR228 (VAR87), .VAR26 (VAR164), .VAR173 (VAR207), .VAR115 (VAR67) );
VAR125 VAR17 (
.VAR88 (VAR88), .VAR230 (~VAR141), .VAR6 (VAR86), .VAR96 (VAR60), .VAR77 (VAR13), .VAR39 (VAR93), .VAR107 (VAR133), .VAR84 (VAR80), .VAR57 (VAR68), .VAR98 (VAR41), .VAR1 (VAR113), .VAR63 (VAR134), .VAR21 (VAR104), .VAR69 (VAR116), .VAR23 (VAR237), .VAR156 (VAR31), .VAR206 (VAR55), .VAR176 (VAR163), .VAR149 (VAR225), .VAR24 (VAR46), .VAR233 (VAR136) );
VAR144 VAR224 (
.VAR88 (VAR88), .VAR220 (~VAR141), .VAR110 (VAR110), .VAR170 (VAR170), .VAR9 (VAR9), .VAR192 (VAR192), .VAR32 (VAR32), .VAR47 (VAR47), .VAR140 (VAR140), .VAR162 (VAR162), .VAR154 (VAR154), .VAR227 (VAR227), .VAR214 (VAR169), .VAR56 (VAR147), .VAR219 (VAR112), .VAR62 (VAR150), .VAR185 (VAR102), .VAR43 (VAR182), .VAR161 (VAR215), .VAR85 (VAR160) );
VAR11 VAR148 (
.clk (VAR88), .reset (~VAR141), .VAR126 (VAR175), .VAR189 (VAR201) );
endmodule
|
mit
|
hoangt/NOCulator
|
hring/hw/buffered/src/c_padder.v
| 2,673 |
module MODULE1
(VAR9, VAR7);
parameter VAR2 = 32;
parameter VAR5 = 0;
parameter VAR8 = 0;
parameter VAR4 = 0;
parameter VAR1 = 0;
localparam VAR10 = VAR5 + VAR2 + VAR4;
input [0:VAR2-1] VAR9;
output [0:VAR10-1] VAR7;
wire [0:VAR10-1] VAR7;
genvar VAR3;
generate
for(VAR3 = 0; VAR3 < VAR10; VAR3 = VAR3 + 1)
begin:VAR6
if(VAR3 < VAR5)
assign VAR7[VAR3] = VAR8;
end
else if(VAR3 >= (VAR10 - VAR4))
assign VAR7[VAR3] = VAR1;
else
assign VAR7[VAR3] = VAR9[VAR3 - VAR5];
end
endgenerate
endmodule
|
mit
|
ptracton/wb_soc_template
|
rtl/LM32/rtl/jtag_cores.v
| 2,432 |
module MODULE1 (
input [7:0] VAR4,
input [2:0] VAR9,
output VAR2,
output [7:0] VAR10,
output [2:0] VAR8,
output VAR5,
output VAR14
);
wire VAR1;
wire VAR6;
wire VAR3;
wire VAR13;
wire VAR11;
wire reset;
VAR7 VAR7 (
.VAR1(VAR1),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR13(VAR13),
.VAR11(VAR11),
.reset(reset)
);
reg [10:0] VAR15;
reg [10:0] VAR12;
always @(posedge VAR1 or posedge reset)
begin
if(reset)
VAR15 <= 11'b0;
end
else begin
if(VAR13)
VAR15 <= {VAR6, VAR15[10:1]};
end
else
VAR15 <= {VAR4, VAR9};
end
end
assign VAR3 = VAR15[0];
always @(posedge VAR2 or posedge reset)
begin
if(reset)
VAR12 <= 11'b0;
end
else
VAR12 <= VAR15;
end
assign VAR2 = VAR11;
assign VAR10 = VAR12[10:3];
assign VAR8 = VAR12[2:0];
assign VAR5 = VAR1;
assign VAR14 = ~reset;
endmodule
|
mit
|
Digilent/vivado-library
|
ip/hls_contrast_stretch_1_0/hdl/verilog/start_for_CvtColomb6.v
| 3,003 |
module MODULE2 (
clk,
VAR4,
VAR26,
VAR8,
VAR12);
parameter VAR20 = 32'd1;
parameter VAR18 = 32'd2;
parameter VAR14 = 32'd3;
input clk;
input [VAR20-1:0] VAR4;
input VAR26;
input [VAR18-1:0] VAR8;
output [VAR20-1:0] VAR12;
reg[VAR20-1:0] VAR7 [0:VAR14-1];
integer VAR13;
always @ (posedge clk)
begin
if (VAR26)
begin
for (VAR13=0;VAR13<VAR14-1;VAR13=VAR13+1)
VAR7[VAR13+1] <= VAR7[VAR13];
VAR7[0] <= VAR4;
end
end
assign VAR12 = VAR7[VAR8];
endmodule
module MODULE1 (
clk,
reset,
VAR15,
VAR19,
VAR6,
VAR11,
VAR22,
VAR21,
VAR17,
VAR1);
parameter VAR16 = "VAR5";
parameter VAR20 = 32'd1;
parameter VAR18 = 32'd2;
parameter VAR14 = 32'd3;
input clk;
input reset;
output VAR15;
input VAR19;
input VAR6;
output[VAR20 - 1:0] VAR11;
output VAR22;
input VAR21;
input VAR17;
input[VAR20 - 1:0] VAR1;
wire[VAR18 - 1:0] VAR10 ;
wire[VAR20 - 1:0] VAR9, VAR2;
wire VAR23;
reg[VAR18:0] VAR3 = {(VAR18+1){1'b1}};
reg VAR27 = 0, VAR24 = 1;
assign VAR15 = VAR27;
assign VAR22 = VAR24;
assign VAR9 = VAR1;
assign VAR11 = VAR2;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR3 <= ~{VAR18+1{1'b0}};
VAR27 <= 1'b0;
VAR24 <= 1'b1;
end
else begin
if (((VAR6 & VAR19) == 1 & VAR27 == 1) &&
((VAR17 & VAR21) == 0 | VAR24 == 0))
begin
VAR3 <= VAR3 - 1;
if (VAR3 == 0)
VAR27 <= 1'b0;
VAR24 <= 1'b1;
end
else if (((VAR6 & VAR19) == 0 | VAR27 == 0) &&
((VAR17 & VAR21) == 1 & VAR24 == 1))
begin
VAR3 <= VAR3 + 1;
VAR27 <= 1'b1;
if (VAR3 == VAR14 - 2)
VAR24 <= 1'b0;
end
end
end
assign VAR10 = VAR3[VAR18] == 1'b0 ? VAR3[VAR18-1:0]:{VAR18{1'b0}};
assign VAR23 = (VAR17 & VAR21) & VAR24;
MODULE2
.VAR20(VAR20),
.VAR18(VAR18),
.VAR14(VAR14))
VAR25 (
.clk(clk),
.VAR4(VAR9),
.VAR26(VAR23),
.VAR8(VAR10),
.VAR12(VAR2));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/decaphetap/sky130_fd_sc_ls__decaphetap.pp.blackbox.v
| 1,152 |
module MODULE1 (
VAR1,
VAR2,
VAR3
);
input VAR1;
input VAR2;
input VAR3 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/and2/sky130_fd_sc_ls__and2.behavioral.pp.v
| 1,783 |
module MODULE1 (
VAR3 ,
VAR2 ,
VAR9 ,
VAR11,
VAR1,
VAR12 ,
VAR13
);
output VAR3 ;
input VAR2 ;
input VAR9 ;
input VAR11;
input VAR1;
input VAR12 ;
input VAR13 ;
wire VAR6 ;
wire VAR8;
and VAR4 (VAR6 , VAR2, VAR9 );
VAR10 VAR7 (VAR8, VAR6, VAR11, VAR1);
buf VAR5 (VAR3 , VAR8 );
endmodule
|
apache-2.0
|
ptracton/pmodacl2
|
soc/wb_uart/uart_transmitter.v
| 13,057 |
module MODULE1 (clk, VAR1, VAR10, VAR11, VAR19, enable, VAR37, VAR20, VAR4, VAR30, VAR40);
input clk;
input VAR1;
input [7:0] VAR10;
input VAR11;
input [7:0] VAR19;
input enable;
input VAR30;
input VAR40; output VAR37;
output [2:0] VAR20;
output [VAR36-1:0] VAR4;
reg [2:0] VAR20;
reg [4:0] counter;
reg [2:0] VAR14; reg [6:0] VAR22; reg VAR33;
reg VAR18; reg VAR2;
reg VAR8;
wire [VAR21-1:0] VAR27;
wire [VAR21-1:0] VAR16;
wire VAR11;
wire VAR41;
wire [VAR36-1:0] VAR4;
assign VAR27 = VAR19;
VAR29 VAR23( .clk( clk ),
.VAR1( VAR1 ),
.VAR31( VAR27 ),
.VAR5( VAR16 ),
.VAR13( VAR11 ),
.VAR7( VAR2 ),
.VAR6( VAR41 ),
.VAR43( VAR4 ),
.VAR34( VAR30 ),
.VAR15(VAR40)
);
parameter VAR28 = 3'd0;
parameter VAR38 = 3'd1;
parameter VAR39 = 3'd2;
parameter VAR26 = 3'd3;
parameter VAR35 = 3'd4;
parameter VAR3 = 3'd5;
always @(posedge clk or posedge VAR1)
begin
if (VAR1)
begin
VAR20 <= VAR28;
VAR33 <= 1'b1;
counter <= 5'b0;
VAR22 <= 7'b0;
VAR8 <= 1'b0;
VAR18 <= 1'b0;
VAR2 <= 1'b0;
VAR14 <= 3'b0;
end
else
if (enable)
begin
case (VAR20)
VAR28 : if (~|VAR4) begin
VAR20 <= VAR28;
VAR33 <= 1'b1;
end
else
begin
VAR2 <= 1'b0;
VAR33 <= 1'b1;
VAR20 <= VAR3;
end
VAR3 : begin
VAR2 <= 1'b1;
case (VAR10[1:0]) 2'b00 : begin
VAR14 <= 3'b100;
VAR18 <= ^VAR16[4:0];
end
2'b01 : begin
VAR14 <= 3'b101;
VAR18 <= ^VAR16[5:0];
end
2'b10 : begin
VAR14 <= 3'b110;
VAR18 <= ^VAR16[6:0];
end
2'b11 : begin
VAR14 <= 3'b111;
VAR18 <= ^VAR16[7:0];
end
endcase
{VAR22[6:0], VAR8} <= VAR16;
VAR20 <= VAR38;
end
VAR38 : begin
VAR2 <= 1'b0;
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
counter <= 0;
VAR20 <= VAR39;
end
else
counter <= counter - 1'b1;
VAR33 <= 1'b0;
end
VAR39 : begin
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
if (VAR14 > 3'b0)
begin
VAR14 <= VAR14 - 1'b1;
{VAR22[5:0],VAR8 } <= {VAR22[6:1], VAR22[0]};
VAR20 <= VAR39;
end
else if (~VAR10[VAR12])
begin
VAR20 <= VAR35;
end
else
begin
case ({VAR10[VAR42],VAR10[VAR32]})
2'b00: VAR8 <= ~VAR18;
2'b01: VAR8 <= 1'b1;
2'b10: VAR8 <= VAR18;
2'b11: VAR8 <= 1'b0;
endcase
VAR20 <= VAR26;
end
counter <= 0;
end
else
counter <= counter - 1'b1;
VAR33 <= VAR8; end
VAR26 : begin
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
counter <= 4'b0;
VAR20 <= VAR35;
end
else
counter <= counter - 1'b1;
VAR33 <= VAR8;
end
VAR35 : begin
if (~|counter)
begin
casex ({VAR10[VAR24],VAR10[VAR17]})
3'VAR25: counter <= 5'b01101; 3'b100: counter <= 5'b10101; default: counter <= 5'b11101; endcase
end
else
if (counter == 5'b00001)
begin
counter <= 0;
VAR20 <= VAR28;
end
else
counter <= counter - 1'b1;
VAR33 <= 1'b1;
end
default : VAR20 <= VAR28;
endcase
end else
VAR2 <= 1'b0; end
assign VAR37 = VAR10[VAR9] ? 1'b0 : VAR33;
endmodule
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/seven_seg_pio.v
| 2,207 |
module MODULE1 (
address,
VAR2,
clk,
VAR1,
VAR9,
VAR7,
VAR4,
VAR6
)
;
output [ 15: 0] VAR4;
output [ 31: 0] VAR6;
input [ 1: 0] address;
input VAR2;
input clk;
input VAR1;
input VAR9;
input [ 31: 0] VAR7;
wire VAR5;
reg [ 15: 0] VAR3;
wire [ 15: 0] VAR4;
wire [ 15: 0] VAR8;
wire [ 31: 0] VAR6;
assign VAR5 = 1;
assign VAR8 = {16 {(address == 0)}} & VAR3;
always @(posedge clk or negedge VAR1)
begin
if (VAR1 == 0)
VAR3 <= 0;
end
else if (VAR2 && ~VAR9 && (address == 0))
VAR3 <= VAR7[15 : 0];
end
assign VAR6 = {{{32- 16}{1'b0}},VAR8};
assign VAR4 = VAR3;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/xnor3/sky130_fd_sc_hs__xnor3.functional.pp.v
| 1,725 |
module MODULE1 (
VAR10 ,
VAR7 ,
VAR1 ,
VAR8 ,
VAR5,
VAR4
);
output VAR10 ;
input VAR7 ;
input VAR1 ;
input VAR8 ;
input VAR5;
input VAR4;
wire VAR9 ;
wire VAR12;
xnor VAR2 (VAR9 , VAR7, VAR1, VAR8 );
VAR3 VAR11 (VAR12, VAR9, VAR5, VAR4);
buf VAR6 (VAR10 , VAR12 );
endmodule
|
apache-2.0
|
wallento/wb_interconnect
|
wb_interconnect_arb_rr.v
| 6,436 |
module MODULE1(
VAR4,
req, VAR7
);
parameter VAR5 = 2;
input [VAR5-1:0] req;
input [VAR5-1:0] VAR7;
output [VAR5-1:0] VAR4;
always @ begin : VAR6
integer VAR9,VAR2;
for (VAR9=0;VAR9<VAR5;VAR9=VAR9+1) begin
VAR3[VAR9] = {VAR5{1'b0}};
if(VAR9>0)
VAR3[VAR9][VAR9-1] = ~VAR7[VAR9-1];
end
else
VAR3[VAR9][VAR5-1] = ~VAR7[VAR5-1];
for (VAR2=2;VAR2<VAR5;VAR2=VAR2+1) begin
if (VAR9-VAR2>=0)
VAR3[VAR9][VAR9-VAR2] = VAR3[VAR9][VAR9-VAR2+1] & ~VAR7[VAR9-VAR2];
end
else if(VAR9-VAR2+1>=0)
VAR3[VAR9][VAR9-VAR2+VAR5] = VAR3[VAR9][VAR9-VAR2+1] & ~VAR7[VAR9-VAR2+VAR5];
end
else
VAR3[VAR9][VAR9-VAR2+VAR5] = VAR3[VAR9][VAR9-VAR2+VAR5+1] & ~VAR7[VAR9-VAR2+VAR5];
end
end
end
genvar VAR8;
generate
for (VAR8=0;VAR8<VAR5;VAR8=VAR8+1) begin : VAR1
assign VAR4[VAR8] = (~|(VAR3[VAR8] & req) & req[VAR8]) | (~|req & VAR7[VAR8]);
end
endgenerate
endmodule
|
lgpl-3.0
|
dhesant/elec4320
|
Lab2/main.v
| 1,559 |
module MODULE1( VAR4, VAR12, VAR1, VAR11 );
input [8:0] VAR4;
input VAR12;
output VAR1;
output [4:0] VAR11;
wire [4:0] VAR7;
wire [12:0] VAR17;
wire VAR9;
VAR5 encoder(
.VAR4(VAR4),
.VAR7(VAR7),
.clk(VAR12)
);
VAR15 VAR14 (
.VAR13(VAR7),
.VAR10(VAR17),
.VAR16(VAR12)
);
VAR2 VAR2(
.VAR12(VAR12),
.VAR9(VAR9)
);
VAR3 VAR18(
.clk(VAR9),
.VAR17(VAR17),
.VAR1(VAR1)
);
VAR6 VAR8(
.VAR4(VAR4[8:7]),
.VAR11(VAR11)
);
endmodule
|
mit
|
hydai/Verilog-Practice
|
DigitalDesign/hw4_FIFO/fifo_ctr.v
| 5,301 |
module MODULE1 (
input wire clk,
input wire VAR3,
input wire VAR7,
input wire VAR4,
output reg VAR21,
output reg VAR10,
output reg VAR24,
output reg VAR25,
output reg VAR5,
output reg VAR2,
output reg VAR6,
output reg VAR23,
output reg [4:0] addr
);
parameter VAR28 = 32;
parameter delay = 1.5;
parameter VAR22 = 2'b00;
parameter VAR20 = 2'b01;
parameter VAR8 = 2'b10;
parameter VAR26 = 2'b11;
reg [1:0] state;
reg [1:0] VAR16;
reg [4:0] head;
reg [4:0] VAR13;
reg [4:0] VAR12;
reg [4:0] VAR9;
reg VAR1;
reg VAR17;
reg VAR11;
reg VAR27;
wire VAR19;
wire VAR15;
wire VAR14;
wire VAR18;
always @(posedge clk or negedge VAR3) begin
if (VAR3 == 0) begin
state <= VAR22;
head <= 5'b0;
VAR12 <= 5'b0;
end else begin
state <= #(delay) VAR16;
head <= #(delay) VAR13;
VAR12 <= #(delay) VAR9;
end
end
always @ begin
if (VAR1) begin
VAR13 = (head + 1'b1)%VAR28;
end else begin
VAR13 = head;
end
if (VAR17) begin
VAR9 = (VAR12 + 1'b1)%VAR28;
end else begin
VAR9 = VAR12;
end
end
always @ begin
VAR21 = 1'b0;
VAR24 = 1'b0;
VAR5 = 1'b0;
VAR2 = 1'b0;
VAR6 = 1'b0;
addr = 5'b0;
VAR1 = 1'b0;
VAR17 = 1'b0;
VAR11 = 1'b0;
VAR27 = 1'b0;
VAR16 = state;
case (state)
VAR22: begin
if (VAR19 || VAR15 || VAR18) begin
VAR5 = (VAR15 | VAR18);
VAR16 = VAR22;
end else if (VAR14) begin
addr = head;
VAR1 = 1'b1;
VAR6 = 1'b0;
VAR16 = VAR20;
end
end
VAR20: begin
if (VAR14 && !VAR25) begin
addr = head;
VAR1 = 1'b1;
VAR6 = 1'b0;
VAR16 = VAR20;
end else if (VAR19 || VAR18) begin
VAR5 = VAR18;
VAR16 = VAR20;
end else if (VAR15) begin
addr = VAR12;
VAR16 = VAR8;
end else if (VAR14 && VAR25) begin
addr = head;
VAR1 = 1'b1;
VAR6 = 1'b0;
VAR16 = VAR26;
end
end
VAR8: begin
if (!VAR10) begin
VAR17 = 1'b1;
VAR5 = (VAR14 | VAR15);
VAR16 = VAR20;
end else begin
VAR17 = 1'b1;
VAR5 = (VAR14 | VAR15);
VAR16 = VAR22;
end
end
VAR26: begin
if (VAR15) begin
addr = VAR12;
VAR16 = VAR8;
end else if (VAR19 || VAR14 || VAR18) begin
VAR5 = (VAR14 | VAR18);
VAR16 = VAR26;
end
end
endcase
end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/latrnq/gf180mcu_fd_sc_mcu9t5v0__latrnq_2.behavioral.pp.v
| 2,914 |
module MODULE1( VAR27, VAR12, VAR4, VAR26, VAR1, VAR19 );
input VAR4, VAR27, VAR12;
inout VAR1, VAR19;
output VAR26;
reg VAR11;
VAR25 VAR21(.VAR27(VAR27),.VAR12(VAR12),.VAR4(VAR4),.VAR26(VAR26),.VAR1(VAR1),.VAR19(VAR19),.VAR11(VAR11));
VAR25 VAR2(.VAR27(VAR27),.VAR12(VAR12),.VAR4(VAR4),.VAR26(VAR26),.VAR1(VAR1),.VAR19(VAR19),.VAR11(VAR11));
buf VAR16(VAR15,VAR12);
not VAR9(VAR8,VAR4);
and VAR23(VAR20,VAR12,VAR8);
and VAR13(VAR18,VAR12,VAR4);
not VAR22(VAR10,VAR4);
not VAR28(VAR14,VAR27);
and VAR3(VAR24,VAR14,VAR10);
not VAR6(VAR17,VAR27);
and VAR7(VAR5,VAR17,VAR4);
|
apache-2.0
|
antmicro/yosys-symbiflow-plugins
|
ql-qlf-plugin/qlf_k6n10/brams_map.v
| 3,836 |
module \VAR4 (
output [31:0] VAR16,
input VAR28, VAR22,
input [8:0] VAR33,
input VAR15, VAR23,
input [8:0] VAR34,
input [31:0] VAR6,
input [31:0] VAR14
);
generate
VAR36 #()
VAR10 (
.dout(VAR16),
.VAR30 (VAR28 ),
.VAR9 (VAR15 ),
.VAR24 (VAR22 ),
.VAR8(VAR33),
.VAR7 (VAR23 ),
.VAR29(VAR34),
.VAR27 (VAR6 ),
.din (VAR14)
);
endgenerate
endmodule
module \VAR31 (VAR19, VAR13, VAR17, VAR35, VAR21, VAR32, VAR2);
parameter [4095:0] VAR12 = 4096'VAR20;
input VAR19;
input [8:0] VAR13;
output [31:0] VAR17;
input VAR35;
input [8:0] VAR21;
input [31:0] VAR32;
input VAR2;
wire [31:0] VAR6;
assign VAR6 = 32'hFFFFFFFF;
\VAR4 #()
VAR10 (
.VAR16(VAR17),
.VAR33(VAR13),
.VAR28 (VAR19 ),
.VAR22 (VAR35 ),
.VAR14(VAR32),
.VAR34(VAR21),
.VAR15 (VAR19 ),
.VAR23 (VAR2 ),
.VAR6 (VAR6 )
);
endmodule
module \VAR25 (VAR19, VAR13, VAR17, VAR35, VAR21, VAR32, VAR2);
parameter [4095:0] VAR12 = 4096'VAR20;
input VAR19;
input [9:0] VAR13;
output [31:0] VAR17;
input VAR35;
input [9:0] VAR21;
input [31:0] VAR32;
input VAR2;
wire [31:0] VAR6;
wire [31:0] VAR14;
generate
wire VAR5;
assign VAR5 = ~VAR13[0];
assign VAR14 = { {2{VAR32[15:0]}}};
endgenerate
assign VAR6 = { {16{VAR13[0]}} , {16{VAR5}}};
\VAR4 #()
VAR10 (
.VAR16(VAR17 ),
.VAR33(VAR13 ),
.VAR28 (VAR19 ),
.VAR22 (VAR35 ),
.VAR14(VAR14 ),
.VAR34(VAR21[9:1]),
.VAR15 (VAR19 ),
.VAR6 (VAR6 ),
.VAR23 (VAR2 )
);
endmodule
module \VAR18 (VAR19, VAR13, VAR17, VAR35, VAR21, VAR32, VAR2);
parameter [4095:0] VAR12 = 4096'VAR20;
input VAR19;
input [10:0] VAR13;
output [31:0] VAR17;
input VAR35;
input [10:0] VAR21;
input [7:0] VAR32;
input VAR2;
wire [31:0] VAR6;
wire [31:0] VAR14;
generate
wire VAR1, VAR3;
assign VAR1 = ~VAR13[0];
assign VAR3 = ~VAR13[1];
assign VAR14 = { {4{VAR32[7:0]}}};
endgenerate
assign VAR6 = { {8{VAR13[1]& VAR13[0]}},
{8{VAR13[1]& VAR1}} ,
{8{VAR3 & VAR13[0]}},
{8{VAR3 & VAR1}}} ;
\VAR4 #()
VAR10 (
.VAR16(VAR17 ),
.VAR33(VAR13 ),
.VAR28 (VAR19 ),
.VAR22 (VAR35 ),
.VAR14(VAR32 ),
.VAR34(VAR21[10:2]),
.VAR15 (VAR19 ),
.VAR6 (VAR6 ),
.VAR23 (VAR2 )
);
endmodule
module \VAR26 (VAR19, VAR13, VAR17, VAR35, VAR21, VAR32, VAR2);
parameter [4095:0] VAR12 = 4096'VAR20;
input VAR19;
input [11:0] VAR13;
output [31:0] VAR17;
input VAR35;
input [11:0] VAR21;
input [3:0] VAR32;
input VAR2;
wire [31:0] VAR6;
wire [31:0] VAR14;
generate
assign VAR14 = { {8{VAR32[3:0]}}};
wire VAR1, VAR3, VAR11;
assign VAR1 = ~VAR13[0];
assign VAR3 = ~VAR13[1];
assign VAR11 = ~VAR13[2];
endgenerate
assign VAR6 = { {4{VAR13[2] &VAR13[1] & VAR13[0]}},
{4{VAR13[2] &VAR13[1] & VAR1}} ,
{4{VAR13[2] &VAR3 & VAR13[0]}},
{4{VAR13[2] &VAR3 & VAR1}} ,
{4{VAR11 &VAR13[1] & VAR13[0]}},
{4{VAR11 &VAR13[1] & VAR1}} ,
{4{VAR11 &VAR3 & VAR13[0]}},
{4{VAR11 &VAR3 & VAR1}}} ;
\VAR4 #()
VAR10 (
.VAR16(VAR17 ),
.VAR33(VAR13 ),
.VAR28 (VAR19 ),
.VAR22 (VAR35 ),
.VAR14(VAR32 ),
.VAR34(VAR21[11:3]),
.VAR15 (VAR19 ),
.VAR6 (VAR6 ),
.VAR23 (VAR2 )
);
endmodule
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/mux4/sky130_fd_sc_lp__mux4.functional.pp.v
| 1,983 |
module MODULE1 (
VAR6 ,
VAR7 ,
VAR15 ,
VAR3 ,
VAR17 ,
VAR9 ,
VAR2 ,
VAR10,
VAR1,
VAR12 ,
VAR4
);
output VAR6 ;
input VAR7 ;
input VAR15 ;
input VAR3 ;
input VAR17 ;
input VAR9 ;
input VAR2 ;
input VAR10;
input VAR1;
input VAR12 ;
input VAR4 ;
wire VAR13 ;
wire VAR16;
VAR8 VAR5 (VAR13 , VAR7, VAR15, VAR3, VAR17, VAR9, VAR2 );
VAR14 VAR18 (VAR16, VAR13, VAR10, VAR1);
buf VAR11 (VAR6 , VAR16 );
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/ifu/rtl/sparc_ifu_lfsr5.v
| 2,433 |
module MODULE1 (
out,
VAR4, clk, VAR1, VAR8, VAR3, reset
);
input VAR4;
input clk, VAR1, VAR8, VAR3, reset;
output [1:0] out;
reg [4:0] VAR2;
wire [4:0] VAR7;
always @ (VAR4 or VAR7 or reset)
begin
if (reset)
VAR2 = 5'b11111;
end
else if (VAR4)
begin
VAR2[1] = VAR7[0];
VAR2[2] = VAR7[1];
VAR2[3] = VAR7[2];
VAR2[4] = VAR7[3];
VAR2[0] = VAR7[1] ^ VAR7[4];
end
else
VAR2 = VAR7;
end
assign out = {VAR7[0], VAR7[2]};
VAR5 #(5) VAR6(.din (VAR2),
.VAR7 (VAR7),
.clk (clk), .VAR1(VAR1), .VAR8(), .VAR3());
endmodule
|
gpl-2.0
|
freecores/tiny_tate_bilinear_pairing
|
group_size_is_697_bits/rtl/pairing.v
| 1,526 |
module MODULE1(clk, reset, sel, addr, VAR6, VAR1, ready, VAR10, VAR2, VAR7);
input clk;
input reset; input sel;
input [5:0] addr;
input VAR6;
input VAR1; input ready; input VAR10;
output VAR2;
output VAR7;
reg [VAR8:0] VAR3, VAR5;
wire [VAR8:0] out;
assign VAR2 = VAR5[0];
VAR9
VAR4 (clk, reset, sel, addr, VAR6, VAR3, out, VAR7);
always @ (posedge clk) if (VAR1) VAR3 <= 0;
else if (ready) VAR3 <= {VAR10,VAR3[VAR8:1]};
always @ (posedge clk) if (VAR1) VAR5 <= out;
else if (ready) VAR5 <= VAR5>>1;
endmodule
|
apache-2.0
|
vipinkmenon/scas
|
hw/fpga/source/pcie_if/gtx_rx_valid_filter_v6.v
| 11,837 |
module MODULE1 #(
parameter VAR51 = 28,
parameter VAR22 = 1
)
(
output [1:0] VAR54,
output [15:0] VAR23,
output VAR52,
output VAR45,
output [ 2:0] VAR66,
output VAR37,
input [1:0] VAR1,
input [15:0] VAR64,
input VAR14,
input VAR20,
input [ 2:0] VAR35,
input VAR24,
input VAR40,
input VAR57,
input VAR6,
input VAR3
);
localparam VAR29 = 5'b00001;
localparam VAR34 = 5'b00010;
localparam VAR67 = 5'b00100;
localparam VAR17 = 5'b01000;
localparam VAR70 = 5'b10000;
localparam VAR16 = 8'hBC;
localparam VAR2 = 8'h7C;
localparam VAR72 = 8'hBC;
localparam VAR18 = 8'h3C;
reg [4:0] VAR28;
wire [4:0] VAR11;
reg VAR47;
wire VAR15;
reg VAR73;
wire VAR41;
localparam VAR30 = 4'b0001;
localparam VAR4 = 4'b0010;
localparam VAR61 = 4'b0100;
localparam VAR43 = 4'b1000;
reg [3:0] VAR26;
wire [3:0] VAR58;
reg [4:0] VAR60;
wire [4:0] VAR10;
reg [3:0] VAR46;
wire [3:0] VAR75;
reg [1:0] VAR62;
reg [15:0] VAR9;
reg VAR8;
reg VAR39;
reg VAR71;
reg [ 2:0] VAR32;
reg VAR38;
reg VAR27;
reg VAR12;
always @(posedge VAR6) begin
if (VAR3) begin
end else begin
if (VAR1[0] && VAR64[7:0] == VAR18)
end
else
if (VAR1[1] && VAR64[15:8] == VAR18)
end
else
case ( VAR11 )
VAR29 : begin
if ((VAR62[0]) && (VAR9[7:0] == VAR16) &&
(VAR62[1]) && (VAR9[15:8] == VAR2)) begin
end else if ((VAR62[1]) && (VAR9[15:8] == VAR16))
end
else
end
VAR34 : begin
if ((VAR62[0] && (VAR9[7:0] == VAR2)) &&
(VAR62[1] && (VAR9[15:8] == VAR2)))
end
else
end
VAR67 : begin
if ((VAR62[0] && (VAR9[7:0] == VAR2)) &&
(VAR62[1] && (VAR9[15:8] == VAR2))) begin
end else
end
VAR17 : begin
if ((VAR62[0]) && (VAR9[7:0] == VAR2))
end
else
end
VAR70 : begin
end
endcase
end
end
assign VAR11 = VAR28;
assign VAR15 = VAR47;
assign VAR41 = VAR73;
always @(posedge VAR6) begin
if (VAR3) begin
end else begin
case ( VAR58 )
VAR30 : begin
if (VAR15)
end
else
end
VAR4 : begin
if (!VAR8)
end
else if (VAR75 == 4'b1111)
end
else
end
VAR61 : begin
if (VAR8)
end
else if (!VAR40)
else
end
VAR43 : begin
if (VAR10 > VAR51)
end
else
end
endcase
end
end
assign VAR58 = VAR26;
always @(posedge VAR6) begin
if (VAR3) begin
end else begin
if ((VAR8) && (VAR58 == VAR43))
end
else
end
end
assign VAR10 = VAR60;
always @(posedge VAR6) begin
if (VAR3) begin
end else begin
if (VAR58 == VAR4)
end
else
end
end
assign VAR75 = VAR46;
VAR19 #(.VAR55(0)) VAR31 (.VAR5(VAR45),
.VAR33(VAR39),
.VAR49(VAR6),
.VAR21(1'b1), .VAR48(1'b1),.VAR68(1'b1),.VAR53(1'b1),.VAR44(1'b1));
reg VAR25 = 1'b0;
reg VAR7 = 1'b0;
reg [3:0] VAR56 = 4'b0000;
wire VAR50 = VAR8 & (VAR62[0] && (VAR9[7:0] == VAR16));
wire VAR74 = VAR8 & (VAR62[1] && (VAR9[15:8] == VAR16));
wire VAR13 = (VAR50 || VAR74) && ~VAR7;
wire VAR69 = VAR25 && (VAR56[3:0] >= 4'hb);
wire VAR42 = (~VAR39 && VAR71) || VAR57;
wire VAR65 = VAR42 || (~VAR69 && VAR25);
wire [3:0] VAR59 = VAR56[3:0] + 4'b0001;
wire [3:0] VAR63 = (~VAR25) ? 4'b0000 :
(VAR42) ? 4'b0000 :
(VAR7) ? VAR59[3:0] :
VAR56[3:0];
wire VAR36 = ~VAR3;
always @(posedge VAR6) begin
end
assign VAR52 = ((VAR58 == VAR30) && ~VAR25) ? VAR8 : 1'b0;
assign VAR54[0] = VAR52 ? VAR62[0] : 1'b0;
assign VAR54[1] = (VAR52 && !VAR41) ? VAR62[1] : 1'b0;
assign VAR23[7:0] = (VAR27) ? VAR72 : VAR9[7:0];
assign VAR23[15:8] = (VAR12) ? VAR72 : VAR9[15:8];
assign VAR66 = (VAR58 == VAR30) ? VAR32 : 3'b000;
assign VAR37 = VAR38;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a222oi/sky130_fd_sc_ms__a222oi.pp.symbol.v
| 1,427 |
module MODULE1 (
input VAR6 ,
input VAR3 ,
input VAR9 ,
input VAR1 ,
input VAR10 ,
input VAR11 ,
output VAR7 ,
input VAR5 ,
input VAR2,
input VAR4,
input VAR8
);
endmodule
|
apache-2.0
|
Willster419/ELEC3725_vivado_projects
|
assignment_3/assignment_3.srcs/sources_1/new/regfile.v
| 1,616 |
module MODULE1(
input [31:0] VAR3, input [31:0] VAR6, input [31:0] VAR4, input [31:0] VAR7, output [31:0] VAR9, output [31:0] VAR1, input clk
);
assign VAR9 = VAR3[0] ? 32'b0 : 32'VAR5; assign VAR1 = VAR6[0] ? 32'b0 : 32'VAR5;
MODULE2 VAR2[30:0]( .VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4[31:1]),
.VAR6(VAR6[31:1]),
.VAR3(VAR3[31:1]),
.VAR1(VAR1),
.clk(clk)
);
endmodule
module MODULE2(VAR7, VAR9, VAR4, VAR6, VAR3, VAR1, clk);
input [31:0] VAR7;
input VAR4; input VAR6; input VAR3;
input clk;
output [31:0] VAR9;
output [31:0] VAR1;
reg [31:0] VAR8;
always @(negedge clk) begin
if(VAR4) begin
VAR8 = VAR7;
end
end
assign VAR9 = VAR3 ? VAR8 : 32'VAR5;
assign VAR1 = VAR6 ? VAR8 : 32'VAR5;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkinv/sky130_fd_sc_hs__clkinv_16.v
| 1,915 |
module MODULE1 (
VAR6 ,
VAR5 ,
VAR4,
VAR2
);
output VAR6 ;
input VAR5 ;
input VAR4;
input VAR2;
VAR1 VAR3 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR6,
VAR5
);
output VAR6;
input VAR5;
supply1 VAR4;
supply0 VAR2;
VAR1 VAR3 (
.VAR6(VAR6),
.VAR5(VAR5)
);
endmodule
|
apache-2.0
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/rtl/uart/uart_tx.v
| 3,601 |
module MODULE1 (
input wire clk,
input wire reset,
input wire VAR17,
input wire [VAR14] VAR15,
output wire VAR5,
output reg VAR7,
output reg VAR6
);
reg [VAR26] state;
reg [VAR21] VAR25;
reg [VAR10] VAR11;
reg [VAR14] VAR8;
assign VAR5 = (state == VAR13) ? VAR23 : VAR4;
always @(posedge clk or VAR3 reset) begin
if (reset == VAR1) begin
state <= VAR12;
VAR25 <= VAR19;
VAR11 <= VAR24;
VAR8 <= VAR16'h0;
VAR7 <= VAR4;
VAR6 <= VAR18;
end else begin
case (state)
if (VAR17 == VAR23) begin
state <= VAR13;
VAR8 <= VAR15;
VAR6 <= VAR22;
end
VAR7 <= VAR4;
end
if (VAR25 == {VAR9{1'b0}}) begin
case (VAR11)
VAR11 <= VAR20;
VAR6 <= VAR18;
end
state <= VAR12;
VAR11 <= VAR24;
VAR7 <= VAR23;
end
default : begin
VAR11 <= VAR11 + 1'b1;
VAR8 <= VAR8 >> 1'b1;
VAR6 <= VAR8[VAR2];
end
endcase
VAR25 <= VAR19;
end else begin
VAR25 <= VAR25 - 1'b1 ;
end
end
endcase
end
end
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/dram/rtl/dram_pt.v
| 7,106 |
module MODULE1(
VAR29, VAR11,
VAR18, VAR19, VAR20,
VAR35, VAR37,
clk, VAR38, VAR4,
VAR27, VAR23,
VAR12, VAR30,
VAR10, VAR46,
VAR36, VAR28, VAR3,
VAR1,
VAR24, VAR41,
VAR32
);
output VAR29;
output VAR11;
output [16:0] VAR18;
output [15:0] VAR19;
output VAR20;
output VAR35;
output [16:0] VAR37;
input clk;
input VAR38;
input VAR4;
input VAR27;
input VAR23;
input VAR12;
input VAR30;
input VAR10;
input [16:0] VAR46;
input [16:0] VAR36;
input VAR28;
input VAR3;
input VAR1;
input VAR24;
input VAR41;
input [16:0] VAR32;
wire [15:0] VAR34;
wire [15:0] VAR9;
wire VAR21 = VAR23;
wire VAR42 = VAR12;
assign VAR20 = VAR30 | VAR10;
assign VAR35 = VAR28 | VAR3;
assign VAR37 = VAR30 ? VAR46[16:0] :
VAR10 ? VAR36[16:0] :
VAR28 ? {1'b0, VAR46[15:0]} : {1'b0, VAR36[15:0]};
wire [16:0] VAR7;
assign VAR7 = ~VAR38 ? VAR18[16:0] :
VAR24 ? VAR32 :
VAR30 ? VAR46[16:0] :
VAR10 ? VAR36[16:0] :
VAR18[16:0];
VAR26 #(17) VAR8(
.din(VAR7[16:0]),
.VAR44(VAR18[16:0]),
.VAR13(VAR1),
.clk(clk));
wire VAR2;
wire [15:0] VAR47;
assign VAR2 = ~VAR38 | VAR28 | VAR3 | VAR41;
assign VAR47 = ~VAR38 ? VAR19[15:0] :
VAR41 ? VAR32[15:0] :
VAR28 ? VAR46[15:0] :
VAR3 ? VAR36[15:0] :
VAR19[15:0];
VAR26 #(16) VAR17(
.din(VAR47[15:0]),
.VAR44(VAR19[15:0]),
.VAR13(VAR1),
.clk(clk));
wire VAR14;
wire [16:0] VAR43;
wire [16:0] VAR25;
assign VAR14 = VAR4 | VAR27 |
VAR21 | VAR42;
assign VAR43 = VAR25[16:0] + {16'h0,VAR4} +
{16'h0, VAR27} +
{16'h0, VAR21} +
{16'h0, VAR42};
wire VAR6 = VAR38 & ~(VAR19[15:0] == VAR9[15:0]);
VAR45 #(17) VAR40(
.din(VAR43[16:0]),
.VAR44(VAR25[16:0]),
.VAR38(VAR6),
.en(VAR14),
.clk(clk));
assign VAR34[15:0] = VAR9[15:0] + 16'b1;
wire VAR39 = VAR38 & ~(VAR9[15:0] >= VAR19[15:0]);
VAR16 #(16) VAR22(
.din(VAR34[15:0]),
.VAR44(VAR9[15:0]),
.VAR38(VAR39),
.clk(clk));
wire VAR15 = (VAR43[16:0] >= VAR18[16:0]);
VAR33 #(1) VAR31(
.din(VAR15),
.VAR44(VAR5),
.clk(clk));
assign VAR29 = VAR5;
assign VAR11 = VAR5;
endmodule
|
gpl-2.0
|
sh-chris110/chris
|
FPGA/uCos/system/synthesis/submodules/system_nios2_gen2_0_cpu_debug_slave_wrapper.v
| 9,487 |
module MODULE1 (
VAR16,
VAR5,
clk,
VAR26,
VAR24,
VAR41,
VAR18,
VAR47,
VAR37,
VAR17,
VAR42,
VAR48,
VAR45,
VAR21,
VAR14,
VAR12,
VAR38,
VAR35,
VAR52,
VAR46,
VAR43,
VAR55,
VAR29,
VAR50,
VAR53,
VAR56,
VAR49,
VAR11,
VAR15,
VAR10,
VAR9,
VAR1,
VAR2
)
;
output [ 37: 0] VAR43;
output VAR55;
output VAR29;
output VAR50;
output VAR53;
output VAR56;
output VAR49;
output VAR11;
output VAR15;
output VAR10;
output VAR9;
output VAR1;
output VAR2;
input [ 31: 0] VAR16;
input [ 31: 0] VAR5;
input clk;
input VAR26;
input VAR24;
input VAR41;
input VAR18;
input VAR47;
input VAR37;
input VAR17;
input VAR42;
input VAR48;
input VAR45;
input [ 35: 0] VAR21;
input VAR14;
input [ 6: 0] VAR12;
input VAR38;
input VAR35;
input VAR52;
input VAR46;
wire [ 37: 0] VAR43;
wire VAR55;
wire [ 37: 0] VAR13;
wire VAR29;
wire VAR50;
wire VAR53;
wire VAR56;
wire VAR49;
wire VAR11;
wire VAR15;
wire VAR10;
wire VAR9;
wire VAR1;
wire VAR2;
wire VAR30;
wire [ 1: 0] VAR34;
wire [ 1: 0] VAR33;
wire VAR6;
wire VAR20;
wire VAR4;
wire VAR31;
wire VAR25;
wire VAR39;
wire VAR3;
VAR44 VAR7
(
.VAR16 (VAR16),
.VAR5 (VAR5),
.VAR26 (VAR26),
.VAR24 (VAR24),
.VAR41 (VAR41),
.VAR18 (VAR18),
.VAR47 (VAR47),
.VAR36 (VAR34),
.VAR57 (VAR33),
.VAR55 (VAR55),
.VAR22 (VAR6),
.VAR37 (VAR37),
.VAR17 (VAR17),
.VAR42 (VAR42),
.VAR48 (VAR48),
.VAR13 (VAR13),
.VAR29 (VAR29),
.VAR40 (VAR4),
.VAR23 (VAR31),
.VAR8 (VAR25),
.VAR45 (VAR45),
.VAR21 (VAR21),
.VAR14 (VAR14),
.VAR12 (VAR12),
.VAR38 (VAR38),
.VAR35 (VAR35),
.VAR52 (VAR52),
.VAR46 (VAR46),
.VAR27 (VAR30),
.VAR28 (VAR20),
.VAR51 (VAR3)
);
VAR54 VAR19
(
.clk (clk),
.VAR36 (VAR34),
.VAR43 (VAR43),
.VAR13 (VAR13),
.VAR50 (VAR50),
.VAR53 (VAR53),
.VAR56 (VAR56),
.VAR49 (VAR49),
.VAR11 (VAR11),
.VAR15 (VAR15),
.VAR10 (VAR10),
.VAR9 (VAR9),
.VAR1 (VAR1),
.VAR2 (VAR2),
.VAR32 (VAR39),
.VAR51 (VAR3)
);
assign VAR4 = 1'b0;
assign VAR31 = 1'b0;
assign VAR20 = 1'b0;
assign VAR30 = 1'b0;
assign VAR6 = 1'b0;
assign VAR3 = 1'b0;
assign VAR39 = 1'b0;
assign VAR34 = 2'b0;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/muxb16to1/sky130_fd_sc_hdll__muxb16to1_1.v
| 2,240 |
module MODULE2 (
VAR8 ,
VAR1 ,
VAR2 ,
VAR9,
VAR6,
VAR3 ,
VAR7
);
output VAR8 ;
input [15:0] VAR1 ;
input [15:0] VAR2 ;
input VAR9;
input VAR6;
input VAR3 ;
input VAR7 ;
VAR4 VAR5 (
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR8,
VAR1,
VAR2
);
output VAR8;
input [15:0] VAR1;
input [15:0] VAR2;
supply1 VAR9;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR7 ;
VAR4 VAR5 (
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or3/sky130_fd_sc_lp__or3.behavioral.pp.v
| 1,801 |
module MODULE1 (
VAR6 ,
VAR5 ,
VAR1 ,
VAR8 ,
VAR9,
VAR10,
VAR4 ,
VAR14
);
output VAR6 ;
input VAR5 ;
input VAR1 ;
input VAR8 ;
input VAR9;
input VAR10;
input VAR4 ;
input VAR14 ;
wire VAR2 ;
wire VAR3;
or VAR7 (VAR2 , VAR1, VAR5, VAR8 );
VAR12 VAR11 (VAR3, VAR2, VAR9, VAR10);
buf VAR13 (VAR6 , VAR3 );
endmodule
|
apache-2.0
|
omicronns/studies-sys-rek
|
de1-soc/v/Reset_Delay.v
| 2,663 |
module MODULE1(VAR2,VAR6,VAR8,VAR1,VAR3,VAR5,VAR7);
input VAR2;
input VAR6;
output reg VAR8;
output reg VAR1;
output reg VAR3;
output reg VAR5;
output reg VAR7;
reg [31:0] VAR4;
always@(posedge VAR2 or negedge VAR6)
begin
if(!VAR6)
begin
VAR4 <= 0;
VAR8 <= 0;
VAR1 <= 0;
VAR3 <= 0;
VAR5 <= 0;
VAR7 <= 0;
end
else
begin
if(VAR4!=32'h01FFFFFF)
VAR4 <= VAR4+1;
if(VAR4>=32'h001FFFFF)
VAR8 <= 1;
if(VAR4>=32'h002FFFFF)
VAR1 <= 1;
if(VAR4>=32'h011FFFFF)
VAR3 <= 1;
if(VAR4>=32'h016FFFFF)
VAR5 <= 1;
if(VAR4>=32'h01FFFFFF)
VAR7 <= 1;
end
end
endmodule
|
mit
|
bangonkali/quartus-sockit
|
soc_system/synthesis/submodules/alt_vipitc131_common_sync.v
| 1,037 |
module MODULE1
VAR3 = 0,
VAR1 = 1)
(
input wire rst,
input wire VAR2,
input wire [VAR1-1:0] VAR7,
output wire [VAR1-1:0] VAR5);
reg [VAR1-1:0] VAR6;
reg [VAR1-1:0] VAR4;
generate
if(VAR3)
assign VAR5 = VAR7;
else begin
always @ (posedge rst or posedge VAR2) begin
if(rst) begin
VAR6 <= {VAR1{1'b0}};
VAR4 <= {VAR1{1'b0}};
end else begin
VAR6 <= VAR7;
VAR4 <= VAR6;
end
end
assign VAR5 = VAR4;
end
endgenerate
endmodule
|
mit
|
monotone-RK/FACE
|
MCSoC-15/8-way_8-parallel/ise/ipcore_dir/dram/user_design/rtl/controller/mig_7series_v1_9_col_mach.v
| 16,676 |
module MODULE1 #
(
parameter VAR23 = 100,
parameter VAR6 = 3,
parameter VAR33 = "8",
parameter VAR58 = 12,
parameter VAR56 = 4,
parameter VAR9 = 8,
parameter VAR32 = 1,
parameter VAR17 = 0,
parameter VAR95 = 8,
parameter VAR88 = "VAR80",
parameter VAR20 = "VAR26",
parameter VAR41 = "VAR26",
parameter VAR105 = 31,
parameter VAR55 = 2,
parameter VAR77 = 0,
parameter VAR118 = 2,
parameter VAR82 = 16
)
(
VAR76, VAR108, VAR51, VAR1,
VAR111, VAR90, VAR54, VAR11, VAR69, VAR72,
VAR113, VAR15, VAR101, VAR85,
clk, rst, VAR60, VAR44, VAR61,
VAR39, VAR25, VAR97, VAR112,
VAR68, VAR87, VAR42, VAR109, VAR28
);
input clk;
input rst;
input VAR60;
input VAR68;
output reg VAR76 = 1'b0;
generate
if ((VAR55 == 1) && ((VAR33 == "8") || (VAR88 == "VAR80")))
begin : VAR71
reg [1:0] VAR37;
wire [1:0] VAR86 = {VAR60, VAR37[1]};
always @(VAR37 or VAR60)
VAR76 = VAR60 || |VAR37;
end
if (((VAR55 == 2) && ((VAR33 == "8") || (VAR88 == "VAR80")))
|| ((VAR55 == 1) && ((VAR33 == "4") || (VAR88 == "VAR78"))))
begin : VAR59
always @(VAR60) VAR76 = VAR60;
end
endgenerate
reg [1:0] VAR94 = 2'b0;
reg [1:0] VAR5 = 2'b0;
input VAR44;
wire VAR70;
generate
if(VAR55 == 4) begin : VAR74
assign VAR70 = 1'b1;
end
else begin
if(VAR32 == 2) begin : VAR107
always @(VAR44 or VAR94 or rst or VAR60) begin
if (rst) VAR5 = 2'b0;
end
else begin
VAR5 = VAR94;
if (VAR60) VAR5 = 2'b1;
end
else if (|VAR94 && (VAR94 != {VAR44, 1'b1}))
VAR5 = VAR94 + 2'b1;
end
else VAR5 = 2'b0;
end
end
assign VAR70 = VAR44 ? (VAR94 == 2'b11) : VAR94[0];
end
else begin : VAR75
always @(VAR44 or rst or VAR60)
VAR5[0] = rst ? 1'b0 : VAR60 && VAR44;
assign VAR70 = VAR44 ? VAR94[0] : 1'b1;
end
end
endgenerate
reg [VAR32-1:0] VAR99 = {VAR32{1'b0}};
reg [VAR32-1:0] VAR31 = {VAR32{1'b0}};
reg VAR46;
reg VAR91;
generate
if ((VAR77 >= 1) || (VAR17 == 1)) begin : VAR34
always @(posedge clk) VAR99 <=
end
if(VAR77 == 2) begin : VAR35
always @(posedge clk) VAR31 <=
end
endgenerate
output wire [VAR32-1:0] VAR108;
assign VAR108 = (VAR17 == 1)
? VAR99[VAR32-1:0]
: (VAR20 == "VAR26")
? VAR94[VAR32-1:0]
: VAR5[VAR32-1:0];
reg VAR114;
reg VAR16;
wire VAR1 = (VAR77 == 0) ?
(VAR60 || |VAR94) & ~VAR68 :
(VAR77 == 1) ?
(VAR114 || |VAR99) & ~VAR46 :
(VAR16 || |VAR31) & ~VAR91;
output wire VAR51;
assign VAR51 = VAR1;
output wire VAR1;
assign VAR1 = (VAR17 == 1)
? ((VAR114 || |VAR99) && ~VAR46)
: ((VAR60 || |VAR94) && ~VAR68);
input [VAR9-1:0] VAR61;
output wire [VAR9-1:0] VAR111;
generate
if (VAR17 == 1) begin : VAR93
reg [VAR9-1:0] VAR79;
always @(posedge clk) VAR79 <=
assign VAR111 = VAR79;
end
else begin : VAR83
assign VAR111 = VAR61;
end
endgenerate
wire VAR38 = (VAR60 || |VAR94) && VAR68;
function integer VAR53 (input integer VAR104); begin
VAR104 = VAR104 - 1;
for (VAR53=1; VAR104>1; VAR53=VAR53+1)
VAR104 = VAR104 >> 1;
end
endfunction
input VAR39;
output wire VAR90;
output reg [VAR105-1:0] VAR54;
output reg VAR11;
output reg VAR69;
output reg VAR72;
output reg [VAR9-1:0] VAR113;
output reg [VAR32-1:0] VAR15;
output reg VAR101 ;
output VAR85;
input VAR25;
input [VAR9-1:0] VAR97;
input VAR112;
input [VAR118-1:0] VAR87;
input [VAR6-1:0] VAR42;
input [VAR82-1:0] VAR109;
input [VAR82-1:0] VAR28;
wire [11:0] VAR84;
assign VAR84[10:0] = {VAR28[11], VAR28[9:0]};
generate
if (VAR82 >= 14) begin : VAR29
assign VAR84[11] = VAR28[13];
end else begin : VAR48
assign VAR84[11] = 0;
end
endgenerate
wire [VAR58-1:0] VAR40 = VAR84[VAR58-1:0];
localparam VAR92 = VAR105-VAR32;
localparam VAR73 = 1 +
1 +
VAR9 +
VAR32 +
((VAR41 == "VAR26") ? 0 : 1+VAR92);
localparam VAR27 = (VAR73/6);
localparam VAR3 = VAR73 % 6;
localparam VAR4 = VAR27 + ((VAR3 == 0 ) ? 0 : 1);
localparam VAR63 = (VAR4*6);
generate
begin : VAR10
wire [VAR92:0] VAR22;
if (VAR56 == 1)
assign VAR22 = {VAR112, VAR42, VAR109, VAR40};
end
else
assign VAR22 = {VAR112,
VAR87,
VAR42,
VAR109,
VAR40};
wire [VAR73-1:0] VAR100;
if (VAR41 == "VAR26")
assign VAR100 = {VAR70,
VAR25,
VAR97,
VAR94[VAR32-1:0]};
end
else
assign VAR100 = {VAR70,
VAR25,
VAR97,
VAR94[VAR32-1:0],
VAR22};
wire [VAR63-1:0] VAR66;
if (VAR3 == 0)
assign VAR66 = VAR100;
else
assign VAR66 = {{6-VAR3{1'b0}}, VAR100};
wire [VAR63-1:0] VAR30;
reg [4:0] VAR2;
wire [4:0] VAR7 = rst ? 5'b0 : VAR38
? (VAR2 + 5'b1)
: VAR2;
reg [4:0] VAR18;
wire [4:0] VAR98 = rst ? 5'b0 : VAR39
? (VAR18 + 5'b1)
: VAR18;
assign VAR85 = VAR2 == VAR18 ? 1'b1 : 1'b0;
genvar VAR116;
for (VAR116=0; VAR116<VAR4; VAR116=VAR116+1) begin : VAR47
VAR8
.VAR50(64'h0000000000000000),
.VAR43(64'h0000000000000000),
.VAR13(64'h0000000000000000)
) VAR117 (
.VAR24(VAR30[((VAR116*6)+4)+:2]),
.VAR36(VAR30[((VAR116*6)+2)+:2]),
.VAR19(VAR30[((VAR116*6)+0)+:2]),
.VAR14(),
.VAR67(VAR66[((VAR116*6)+4)+:2]),
.VAR52(VAR66[((VAR116*6)+2)+:2]),
.VAR12(VAR66[((VAR116*6)+0)+:2]),
.VAR45(2'b0),
.VAR49(VAR98),
.VAR96(VAR98),
.VAR106(VAR98),
.VAR102(VAR2),
.VAR57(1'b1),
.VAR115(clk)
);
end
reg [VAR63-1:0] VAR89;
if (VAR41 == "VAR26") begin
reg VAR65;
always @(VAR39 or VAR89) begin
{VAR72,
VAR65,
VAR113,
VAR15} = VAR89[VAR73-1:0];
VAR54 = {VAR105{1'b0}};
VAR101 = VAR39 && ~VAR65;
VAR11 = 1'b0;
VAR69 = 1'b0;
end
assign VAR90 = 1'b0;
end
else begin
wire VAR103;
wire VAR65;
wire [VAR9-1:0] VAR62;
wire [VAR32-1:0] VAR110;
wire [VAR105-1:0] VAR119;
assign {VAR103,
VAR65,
VAR62,
VAR110,
VAR90,
VAR119[VAR32+:VAR92]} =
{VAR89[VAR73-1:0]};
assign VAR119[0+:VAR32] = VAR110;
wire VAR81 = VAR39 && ~(VAR65 || VAR90);
always @(posedge clk) VAR101 <= VAR81;
wire VAR21 = VAR39 && ~VAR65;
wire VAR64 = VAR39 && ~VAR65 && VAR90;
end
end
endgenerate
endmodule
|
mit
|
olajep/oh
|
src/adi/hdl/library/common/ad_addsub.v
| 3,534 |
module MODULE1 #(
parameter VAR5 = 32,
parameter VAR9 = 32'h1,
parameter VAR10 = 0) (
input clk,
input [(VAR5-1):0] VAR1,
input [(VAR5-1):0] VAR11,
output reg [(VAR5-1):0] out,
input VAR8);
localparam VAR7 = 1;
localparam VAR14 = 0;
reg [VAR5:0] VAR3 = 'b0;
reg [VAR5:0] VAR13 = 'b0;
reg [(VAR5-1):0] VAR12 = 'b0;
reg [(VAR5-1):0] VAR6 = 'b0;
reg [(VAR5-1):0] VAR4 = 'b0;
reg [(VAR5-1):0] VAR2 = VAR9;
always @(posedge clk) begin
VAR12 <= VAR1;
VAR6 <= VAR11;
VAR4 <= VAR6;
end
always @(posedge clk) begin
if ( VAR10 == VAR7 ) begin
VAR3 <= VAR12 + VAR2;
end else begin
VAR3 <= VAR12 - VAR2;
end
end
always @(posedge clk) begin
if ( VAR10 == VAR7 ) begin
if ( VAR3 > VAR4 ) begin
VAR13 <= VAR3 - VAR4;
end else begin
VAR13 <= VAR3;
end
end else begin if ( VAR3[VAR5] == 1'b1 ) begin
VAR13 <= VAR4 + VAR3;
end else begin
VAR13 <= VAR3;
end
end
end
always @(posedge clk) begin
if ( VAR8 ) begin
out <= VAR13;
end else begin
out <= 'b0;
end
end
endmodule
|
mit
|
m-labs/milkymist
|
cores/pfpu/rtl/pfpu_dma.v
| 1,658 |
module MODULE1(
input VAR6,
input VAR17,
input VAR14,
input [28:0] VAR3,
input [6:0] VAR5,
input [6:0] VAR11,
input [31:0] VAR1,
input [31:0] VAR2,
output ack,
output VAR4,
output [31:0] VAR16,
output [31:0] VAR15,
output VAR10,
output reg VAR9,
input VAR13
);
reg VAR8;
reg [28:0] VAR12;
reg [31:0] VAR7;
reg [31:0] VAR18;
always @(posedge VAR6) begin
if(VAR17) begin
VAR12 <= 29'd0;
VAR8 <= 1'b0;
VAR9 <= 1'b0;
end else begin
if(VAR14) begin
VAR9 <= 1'b1;
VAR8 <= 1'b0;
VAR12 <= VAR3 + {VAR11, VAR5};
VAR7 <= VAR1;
VAR18 <= VAR2;
end
if(VAR13) begin
if(VAR8)
VAR9 <= 1'b0;
end
else
VAR8 <= ~VAR8;
end
end
end
assign VAR15 = {VAR12, VAR8, 2'b00};
assign VAR16 = VAR8 ? VAR18 : VAR7;
assign VAR10 = VAR9;
assign ack = ~VAR9;
assign VAR4 = VAR9;
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21bai/sky130_fd_sc_hdll__o21bai.functional.v
| 1,566 |
module MODULE1 (
VAR2 ,
VAR7 ,
VAR1 ,
VAR6
);
output VAR2 ;
input VAR7 ;
input VAR1 ;
input VAR6;
wire VAR10 ;
wire VAR5 ;
wire VAR9;
not VAR8 (VAR10 , VAR6 );
or VAR4 (VAR5 , VAR1, VAR7 );
nand VAR3 (VAR9, VAR10, VAR5 );
buf VAR11 (VAR2 , VAR9 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv3sd1/sky130_fd_sc_ls__clkdlyinv3sd1.behavioral.v
| 1,440 |
module MODULE1 (
VAR4,
VAR3
);
output VAR4;
input VAR3;
supply1 VAR6;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR9 ;
wire VAR2;
not VAR8 (VAR2, VAR3 );
buf VAR1 (VAR4 , VAR2 );
endmodule
|
apache-2.0
|
egyp7/mor1kx
|
rtl/verilog/pfpu32/pfpu32_addsub.v
| 13,306 |
module MODULE1
(
input clk,
input rst,
input VAR67, input VAR37, input VAR48, input VAR29, input VAR27,
input [9:0] VAR8,
input [23:0] VAR44,
input VAR33,
input VAR34,
input [9:0] VAR2,
input [23:0] VAR61,
input VAR28,
input VAR51,
input VAR54,
input VAR1,
input VAR20,
input VAR15,
output reg VAR65, output reg VAR71, output reg VAR30, output reg [4:0] VAR55, output reg [9:0] VAR60, output reg [9:0] VAR41, output reg [27:0] VAR19, output reg VAR10, output reg VAR53, output reg VAR50, output reg VAR11, output reg VAR59 );
wire VAR12 = VAR33 & VAR28 &
(VAR27 ^ (VAR29 ^ VAR34));
wire VAR35 = VAR33 | VAR28;
wire VAR58 = VAR27;
wire VAR47 = (VAR34 ^ VAR29);
wire [23:0] VAR66 =
VAR20 ? VAR44 : VAR61;
wire [23:0] VAR22 =
VAR20 ? VAR61 : VAR44;
wire [9:0] VAR17 =
VAR20 ? (VAR8 - VAR2) :
(VAR2 - VAR8);
wire [4:0] VAR70 = VAR17[4:0] | {5{|VAR17[9:5]}};
reg VAR69, VAR38,
VAR14, VAR57, VAR16;
reg VAR7;
reg [4:0] VAR31;
reg VAR32;
reg VAR45;
reg [9:0] VAR46;
reg [23:0] VAR26;
reg [23:0] VAR52;
always @(posedge clk) begin
if(VAR37) begin
VAR69 <= VAR12;
VAR38 <= VAR35;
VAR14 <= VAR51;
VAR57 <= VAR54;
VAR16 <= VAR1;
VAR7 <= VAR15;
VAR31 <= VAR70 & {5{~VAR35}};
VAR32 <= VAR20 ? VAR58 : VAR47;
VAR45 <= VAR58 ^ VAR47;
VAR46 <= VAR20 ? VAR8 : VAR2;
VAR26 <= VAR66 & {24{~VAR35}};
VAR52 <= VAR22 & {24{~VAR35}};
end end
reg VAR3;
always @(posedge clk VAR73) begin
if (rst)
VAR3 <= 0;
end
else if(VAR67)
VAR3 <= 0;
else if(VAR37)
VAR3 <= VAR48;
end
wire [25:0] VAR62 = {VAR52,2'd0};
wire [25:0] VAR24 = VAR62 >> VAR31;
reg VAR40;
always @(VAR31 or VAR52) begin
case(VAR31)
5'd0, 5'd1, 5'd2 : VAR40 = 1'b0; 5'd3 : VAR40 = VAR52[0];
5'd4 : VAR40 = |VAR52[1:0];
5'd5 : VAR40 = |VAR52[2:0];
5'd6 : VAR40 = |VAR52[3:0];
5'd7 : VAR40 = |VAR52[4:0];
5'd8 : VAR40 = |VAR52[5:0];
5'd9 : VAR40 = |VAR52[6:0];
5'd10: VAR40 = |VAR52[7:0];
5'd11: VAR40 = |VAR52[8:0];
5'd12: VAR40 = |VAR52[9:0];
5'd13: VAR40 = |VAR52[10:0];
5'd14: VAR40 = |VAR52[11:0];
5'd15: VAR40 = |VAR52[12:0];
5'd16: VAR40 = |VAR52[13:0];
5'd17: VAR40 = |VAR52[14:0];
5'd18: VAR40 = |VAR52[15:0];
5'd19: VAR40 = |VAR52[16:0];
5'd20: VAR40 = |VAR52[17:0];
5'd21: VAR40 = |VAR52[18:0];
5'd22: VAR40 = |VAR52[19:0];
5'd23: VAR40 = |VAR52[20:0];
5'd24: VAR40 = |VAR52[21:0];
5'd25: VAR40 = |VAR52[22:0];
default: VAR40 = |VAR52[23:0];
endcase
end
wire [27:0] VAR43 = {1'b0,VAR24,VAR40};
wire [27:0] VAR23 = {1'b0,VAR26,3'd0} +
(VAR43 ^ {28{VAR45}}) +
{27'd0,VAR45};
reg VAR42, VAR36,
VAR64, VAR49, VAR56;
reg VAR13;
reg [9:0] VAR39;
reg [26:0] VAR4;
reg VAR5; reg VAR18; always @(posedge clk) begin
if(VAR37) begin
VAR42 <= VAR69;
VAR36 <= VAR38;
VAR64 <= VAR14;
VAR49 <= VAR57;
VAR56 <= VAR16;
VAR13 <= VAR32;
VAR39 <= VAR46;
VAR4 <= VAR23[27:1];
VAR5 <= VAR7 & VAR45;
VAR18 <= VAR40;
end end
reg VAR25;
always @(posedge clk VAR73) begin
if (rst)
VAR25 <= 0;
end
else if(VAR67)
VAR25 <= 0;
else if(VAR37)
VAR25 <= VAR3;
end
reg [4:0] VAR21;
always @(VAR4) begin
casez(VAR4)
27'b1??????????????????????????: VAR21 <= 0; 27'b01?????????????????????????: VAR21 <= 0; 27'b001????????????????????????: VAR21 <= 1;
27'b0001???????????????????????: VAR21 <= 2;
27'b00001??????????????????????: VAR21 <= 3;
27'b000001?????????????????????: VAR21 <= 4;
27'b0000001????????????????????: VAR21 <= 5;
27'b00000001???????????????????: VAR21 <= 6;
27'b000000001??????????????????: VAR21 <= 7;
27'b0000000001?????????????????: VAR21 <= 8;
27'b00000000001????????????????: VAR21 <= 9;
27'b000000000001???????????????: VAR21 <= 10;
27'b0000000000001??????????????: VAR21 <= 11;
27'b00000000000001?????????????: VAR21 <= 12;
27'b000000000000001????????????: VAR21 <= 13;
27'b0000000000000001???????????: VAR21 <= 14;
27'b00000000000000001??????????: VAR21 <= 15;
27'b000000000000000001?????????: VAR21 <= 16;
27'b0000000000000000001????????: VAR21 <= 17;
27'b00000000000000000001???????: VAR21 <= 18;
27'b000000000000000000001??????: VAR21 <= 19;
27'b0000000000000000000001?????: VAR21 <= 20;
27'b00000000000000000000001????: VAR21 <= 21;
27'b000000000000000000000001???: VAR21 <= 22;
27'b0000000000000000000000001??: VAR21 <= 23;
27'b00000000000000000000000001?: VAR21 <= 24;
27'b000000000000000000000000001: VAR21 <= 25;
27'b000000000000000000000000000: VAR21 <= 0; endcase
end
wire [4:0] VAR9 = (VAR21 - 5'd1);
wire [9:0] VAR63 = VAR39 - 10'd1;
wire [9:0] VAR68 = VAR39 - {5'd0,VAR21};
wire [4:0] VAR6;
wire [9:0] VAR72;
assign {VAR6,VAR72} =
(~(|VAR21) | (VAR39 == 10'd1)) ?
{5'd0,VAR39} :
(VAR39 > VAR21) ? {VAR21,VAR68} :
(VAR39 == VAR21) ? {VAR9,10'd1} :
{VAR63[4:0],10'd1};
always @(posedge clk) begin
if(VAR37) begin
VAR10 <= VAR42;
VAR53 <= VAR36;
VAR50 <= VAR64;
VAR11 <= VAR49;
VAR59 <= VAR56;
VAR71 <= VAR13;
VAR30 <= VAR5;
VAR55 <= VAR6;
VAR60 <= VAR72;
VAR41 <= VAR39;
VAR19 <= {VAR4,VAR18};
end end
always @(posedge clk VAR73) begin
if (rst)
VAR65 <= 0;
end
else if(VAR67)
VAR65 <= 0;
else if(VAR37)
VAR65 <= VAR25;
end
endmodule
|
mpl-2.0
|
richsoap/ThreeGrade
|
数电实验/pre/exm7code/top_adder.v
| 1,308 |
module MODULE1(
input clk,
input VAR1,
output [1:0] VAR3,
output [3:0] VAR5
);
reg [3:0]VAR5;
reg [1:0]VAR3;
reg before;
wire VAR4;
wire [3:0]VAR6;
wire [3:0]VAR2;
wire [1:0]VAR7;
always@(posedge clk)
begin
if(~before&VAR1)
VAR3[1:0]<=VAR7[1:0];
end
else
VAR5[3:0]<=VAR2[3:0];
before<=VAR1;
end
assign VAR6[0]=~(VAR5[0]|VAR5[1]|VAR5[2]);
assign VAR6[1]=~(VAR5[3])|(VAR5[3]&~VAR5[2]&~VAR5[1]&VAR5[0]);
assign VAR6[2]=~(VAR5[3])|(VAR5[3]&VAR5[2]&~VAR5[1]&~VAR5[0]);
assign VAR6[3]=(VAR5[0]^VAR5[3])|(~VAR5[0]&~VAR5[1]&~VAR5[2]&~VAR5[3]);
assign VAR4=(VAR6[0]&~VAR3[0]&~VAR3[1])|(VAR6[1]&VAR3[0]&~VAR3[1])|(VAR6[2]&~VAR3[0]&VAR3[1])|(VAR6[3]&VAR3[0]&VAR3[1]);
assign VAR2[0]=VAR4;
assign VAR2[1]=VAR5[0];
assign VAR2[2]=VAR5[1];
assign VAR2[3]=VAR5[2];
assign VAR7[0]=~VAR3[0];
assign VAR7[1]=VAR3[0]^VAR3[1];
endmodule
|
gpl-3.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/ETAII_N16_Q4_syn.v
| 2,975 |
module MODULE1 ( VAR43, VAR32, VAR21 );
input [15:0] VAR43;
input [15:0] VAR32;
output [16:0] VAR21;
wire VAR62, VAR72, VAR29, VAR51, VAR12, VAR26, VAR82, VAR56, VAR20, VAR9, VAR83, VAR28, VAR59, VAR73,
VAR70, VAR7, VAR47, VAR13, VAR2, VAR76, VAR1, VAR53, VAR37, VAR54, VAR19, VAR58, VAR27;
VAR24 VAR85 ( .VAR64(VAR32[7]), .VAR36(VAR43[7]), .VAR39(VAR32[6]), .VAR16(VAR43[6]), .VAR35(VAR51)
);
VAR24 VAR50 ( .VAR64(VAR32[9]), .VAR36(VAR43[9]), .VAR39(VAR32[8]), .VAR16(VAR43[8]), .VAR35(VAR82)
);
VAR24 VAR40 ( .VAR64(VAR32[11]), .VAR36(VAR43[11]), .VAR39(VAR32[10]), .VAR16(VAR43[10]), .VAR35(
VAR59) );
VAR80 VAR14 ( .VAR15(VAR43[0]), .VAR8(VAR32[0]), .VAR35(VAR54) );
VAR65 VAR23 ( .VAR15(VAR43[3]), .VAR8(VAR32[3]), .VAR35(VAR7) );
VAR65 VAR67 ( .VAR15(VAR43[5]), .VAR8(VAR32[5]), .VAR35(VAR83) );
VAR65 VAR71 ( .VAR15(VAR43[7]), .VAR8(VAR32[7]), .VAR35(VAR72) );
VAR65 VAR30 ( .VAR15(VAR43[9]), .VAR8(VAR32[9]), .VAR35(VAR12) );
VAR65 VAR86 ( .VAR15(VAR43[11]), .VAR8(VAR32[11]), .VAR35(VAR56) );
VAR65 VAR6 ( .VAR15(VAR43[13]), .VAR8(VAR32[13]), .VAR35(VAR73) );
VAR24 VAR33 ( .VAR64(VAR32[13]), .VAR36(VAR43[13]), .VAR39(VAR32[12]), .VAR16(VAR43[12]), .VAR35(
VAR19) );
VAR24 VAR63 ( .VAR64(VAR32[3]), .VAR36(VAR43[3]), .VAR39(VAR32[2]), .VAR16(VAR43[2]), .VAR35(VAR9)
);
VAR24 VAR57 ( .VAR64(VAR32[5]), .VAR36(VAR43[5]), .VAR39(VAR32[4]), .VAR16(VAR43[4]), .VAR35(VAR62)
);
VAR69 VAR46 ( .VAR22(VAR43[0]), .VAR48(VAR32[0]), .VAR39(VAR54), .VAR35(VAR21[0]) );
VAR79 VAR78 ( .VAR22(VAR43[5]), .VAR48(VAR32[5]), .VAR39(VAR62), .VAR35(VAR1) );
VAR65 VAR44 ( .VAR15(VAR29), .VAR8(VAR72), .VAR35(VAR21[7]) );
VAR79 VAR75 ( .VAR22(VAR43[7]), .VAR48(VAR32[7]), .VAR39(VAR51), .VAR35(VAR76) );
VAR65 VAR60 ( .VAR15(VAR26), .VAR8(VAR12), .VAR35(VAR21[9]) );
VAR79 VAR25 ( .VAR22(VAR43[9]), .VAR48(VAR32[9]), .VAR39(VAR82), .VAR35(VAR2) );
VAR65 VAR61 ( .VAR15(VAR20), .VAR8(VAR56), .VAR35(VAR21[11]) );
VAR79 VAR77 ( .VAR22(VAR43[3]), .VAR48(VAR32[3]), .VAR39(VAR9), .VAR35(VAR53) );
VAR65 VAR68 ( .VAR15(VAR28), .VAR8(VAR83), .VAR35(VAR21[5]) );
VAR79 VAR4 ( .VAR22(VAR43[11]), .VAR48(VAR32[11]), .VAR39(VAR59), .VAR35(VAR13) );
VAR65 VAR49 ( .VAR15(VAR70), .VAR8(VAR73), .VAR35(VAR21[13]) );
VAR65 VAR74 ( .VAR15(VAR47), .VAR8(VAR7), .VAR35(VAR21[3]) );
VAR17 VAR55 ( .VAR15(VAR32[12]), .VAR8(VAR43[12]), .VAR5(VAR13), .VAR45(VAR70), .VAR18(VAR21[12]) );
VAR17 VAR66 ( .VAR15(VAR43[10]), .VAR8(VAR32[10]), .VAR5(VAR2), .VAR45(VAR20), .VAR18(VAR21[10]) );
VAR17 VAR81 ( .VAR15(VAR43[8]), .VAR8(VAR32[8]), .VAR5(VAR76), .VAR45(VAR26), .VAR18(VAR21[8]) );
VAR17 VAR42 ( .VAR15(VAR43[6]), .VAR8(VAR32[6]), .VAR5(VAR1), .VAR45(VAR29), .VAR18(VAR21[6]) );
VAR17 VAR41 ( .VAR15(VAR43[4]), .VAR8(VAR32[4]), .VAR5(VAR53), .VAR45(VAR28), .VAR18(VAR21[4]) );
VAR17 VAR38 ( .VAR15(VAR43[2]), .VAR8(VAR32[2]), .VAR5(VAR37), .VAR45(VAR47), .VAR18(VAR21[2]) );
VAR17 VAR11 ( .VAR15(VAR43[1]), .VAR8(VAR32[1]), .VAR5(VAR54), .VAR45(VAR37), .VAR18(VAR21[1]) );
VAR79 VAR84 ( .VAR22(VAR43[13]), .VAR48(VAR32[13]), .VAR39(VAR19), .VAR35(VAR58) );
VAR17 VAR31 ( .VAR15(VAR43[14]), .VAR8(VAR32[14]), .VAR5(VAR58), .VAR45(VAR27), .VAR18(VAR21[14]) );
VAR17 VAR3 ( .VAR15(VAR43[15]), .VAR8(VAR32[15]), .VAR5(VAR27), .VAR45(VAR21[16]), .VAR18(VAR21[15]) );
VAR10 ("VAR52.VAR34");
endmodule
|
gpl-3.0
|
shahid313/MSCourseWork
|
Adv ASIC Design and FPGA/8bitRISCProcessor/8bitRISCProcessor/RISC/TopModule.v
| 1,072 |
module MODULE1(input clk,rst,input [15:0] VAR13,input VAR17,
output VAR24, VAR7, VAR15, VAR19, VAR22, VAR21, VAR29, VAR3, VAR10, VAR18, VAR23, VAR9,VAR4
);
wire VAR14;
VAR27 VAR28(.VAR8(clk),.rst(rst),.VAR11(VAR14));
assign VAR4=VAR14;
wire [15:0]VAR5;
VAR2 VAR1(.clk(VAR14),.rst(rst),.VAR13(VAR13),.VAR17(VAR17),.VAR20(VAR5[15:8]),.VAR6(VAR5[7:0])
);
VAR12 VAR25(.VAR26(clk),.VAR16(VAR5),.VAR24(VAR24), .VAR7(VAR7), .VAR15(VAR15), .VAR19(VAR19), .VAR22(VAR22),
.VAR21(VAR21), .VAR29(VAR29), .VAR3(VAR3), .VAR10(VAR10), .VAR18(VAR18), .VAR23(VAR23), .VAR9(VAR9));
endmodule
|
gpl-2.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/GeAr_N8_R1_P6_syn.v
| 2,070 |
module MODULE1 ( VAR30, VAR10, VAR32 );
input [7:0] VAR30;
input [7:0] VAR10;
output [8:0] VAR32;
wire VAR55, VAR37, VAR33, VAR59, VAR26,
VAR1, VAR40, VAR46, VAR29, VAR13, VAR25, VAR11, VAR20, VAR63, VAR34;
VAR24 VAR57 ( .VAR4(VAR10[1]), .VAR48(VAR30[1]), .VAR21(VAR55), .VAR28(
VAR37), .VAR56(VAR32[1]) );
VAR24 VAR5 ( .VAR4(VAR10[2]), .VAR48(VAR30[2]), .VAR21(VAR37), .VAR28(
VAR33), .VAR56(VAR32[2]) );
VAR24 VAR52 ( .VAR4(VAR10[3]), .VAR48(VAR30[3]), .VAR21(VAR33), .VAR28(
VAR59), .VAR56(VAR32[3]) );
VAR24 VAR45 ( .VAR4(VAR10[4]), .VAR48(VAR30[4]), .VAR21(VAR59), .VAR28(
VAR26), .VAR56(VAR32[4]) );
VAR24 VAR51 ( .VAR4(VAR10[5]), .VAR48(VAR30[5]), .VAR21(VAR26), .VAR28(
VAR1), .VAR56(VAR32[5]) );
VAR53 VAR2 ( .VAR62(VAR30[2]), .VAR35(VAR10[2]), .VAR41(VAR10[1]), .VAR54(VAR30[1]), .VAR17(VAR40)
);
VAR27 VAR6 ( .VAR4(VAR10[0]), .VAR48(VAR30[0]), .VAR17(VAR55) );
VAR61 VAR12 ( .VAR4(VAR34), .VAR48(VAR30[6]), .VAR17(VAR32[6]) );
VAR61 VAR47 ( .VAR4(VAR1), .VAR48(VAR10[6]), .VAR17(VAR34) );
VAR15 VAR22 ( .VAR41(VAR20), .VAR31(VAR11), .VAR49(VAR30[6]), .VAR19(VAR10[6]), .VAR17(VAR63) );
VAR18 VAR7 ( .VAR62(VAR10[5]), .VAR35(VAR30[5]), .VAR41(VAR10[5]), .VAR31(VAR25), .VAR54(VAR30[5]),
.VAR36(VAR25), .VAR17(VAR20) );
VAR60 VAR50 ( .VAR41(VAR13), .VAR31(VAR29), .VAR49(VAR30[4]), .VAR19(VAR10[4]), .VAR17(VAR25) );
VAR42 VAR23 ( .VAR49(VAR10[2]), .VAR19(VAR30[2]), .VAR41(VAR40), .VAR17(VAR46) );
VAR18 VAR58 ( .VAR62(VAR10[3]), .VAR35(VAR30[3]), .VAR41(VAR10[3]), .VAR31(VAR46), .VAR54(VAR30[3]),
.VAR36(VAR46), .VAR17(VAR13) );
VAR39 VAR14 ( .VAR4(VAR30[4]), .VAR48(VAR10[4]), .VAR17(VAR29) );
VAR39 VAR16 ( .VAR4(VAR30[6]), .VAR48(VAR10[6]), .VAR17(VAR11) );
VAR24 VAR3 ( .VAR4(VAR30[7]), .VAR48(VAR10[7]), .VAR21(VAR63), .VAR28(VAR32[8]), .VAR56(VAR32[7]) );
VAR38 VAR9 ( .VAR49(VAR10[0]), .VAR19(VAR30[0]), .VAR41(VAR55), .VAR17(VAR32[0])
);
VAR8 ("VAR43.VAR44");
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_inputisolatch/sky130_fd_sc_hd__lpflow_inputisolatch.pp.symbol.v
| 1,394 |
module MODULE1 (
input VAR1 ,
output VAR3 ,
input VAR4,
input VAR6 ,
input VAR5 ,
input VAR2 ,
input VAR7
);
endmodule
|
apache-2.0
|
mindrobots/P8X32A_Emulation
|
P8X32A_DE0_Nano/hub_mem.v
| 3,074 |
module MODULE1
(
input VAR18,
input VAR20,
input VAR13,
input [3:0] VAR6,
input [13:0] VAR15,
input [31:0] VAR7,
output [31:0] VAR10
);
reg [7:0] VAR8 [8191:0];
reg [7:0] VAR17 [8191:0];
reg [7:0] VAR12 [8191:0];
reg [7:0] VAR19 [8191:0];
reg [7:0] VAR9;
reg [7:0] VAR3;
reg [7:0] VAR4;
reg [7:0] VAR2;
always @(posedge VAR18)
begin
if (VAR20 && !VAR15[13] && VAR13 && VAR6[3])
VAR8[VAR15[12:0]] <= VAR7[31:24];
if (VAR20 && !VAR15[13])
VAR9 <= VAR8[VAR15[12:0]];
end
always @(posedge VAR18)
begin
if (VAR20 && !VAR15[13] && VAR13 && VAR6[2])
VAR17[VAR15[12:0]] <= VAR7[23:16];
if (VAR20 && !VAR15[13])
VAR3 <= VAR17[VAR15[12:0]];
end
always @(posedge VAR18)
begin
if (VAR20 && !VAR15[13] && VAR13 && VAR6[1])
VAR12[VAR15[12:0]] <= VAR7[15:8];
if (VAR20 && !VAR15[13])
VAR4 <= VAR12[VAR15[12:0]];
end
always @(posedge VAR18)
begin
if (VAR20 && !VAR15[13] && VAR13 && VAR6[0])
VAR19[VAR15[12:0]] <= VAR7[7:0];
if (VAR20 && !VAR15[13])
VAR2 <= VAR19[VAR15[12:0]];
end
reg [31:0] VAR16 [4095:0];
reg [31:0] VAR5;
always @(posedge VAR18)
if (VAR20 && VAR15[13:12] == 2'b10)
VAR5 <= VAR16[VAR15[11:0]];
reg [31:0] VAR1 [4095:0];
reg [31:0] VAR14;
always @(posedge VAR18)
if (VAR20 && VAR15[13:12] == 2'b11)
VAR14 <= VAR1[VAR15[11:0]];
reg [1:0] VAR11;
always @(posedge VAR18)
if (VAR20)
VAR11 <= VAR15[13:12];
assign VAR10 = !VAR11[1] ? {VAR9, VAR3, VAR4, VAR2}
: VAR14;
endmodule
|
gpl-3.0
|
AmeerAbdelhadi/2D-Binary-Content-Addressable-Memory-BCAM
|
bcam_trs.v
| 8,214 |
module MODULE1
localparam VAR7 = VAR25(VAR15);
reg VAR5; wire [VAR16-1:0] VAR36;
VAR24 #( .VAR18 ( VAR15 ), .VAR34( VAR16 ), .VAR13( VAR12 ), .VAR8( "" )) VAR10 ( .clk ( clk ), .VAR37 ( !VAR5 ), .addr ( VAR11 ), .VAR17( VAR38 ), .VAR23( VAR36 ));
wire [VAR15-1:0] VAR26 ; reg VAR21 ; wire VAR32 = !VAR5 & (VAR38==VAR36);
VAR31 #( .VAR15 ( VAR15 ), .VAR16 ( VAR16 ), .VAR12 ( VAR12 ), .VAR6 ( VAR6 )) VAR33 ( .clk ( clk ), .rst ( rst ), .VAR37 ( VAR21 & !(VAR32) ), .VAR22 ( VAR5 ), .VAR11( VAR11 ), .VAR38( VAR5 ? VAR38 : VAR36 ), .VAR9( VAR9 ), .VAR4( VAR26 ));
reg [VAR7-1:0] VAR35;
reg [VAR16 -1:0] VAR1;
always @(posedge clk, posedge rst)
if (rst) {VAR35,VAR1} <= {{(VAR7+VAR16 ){1'b0}}};
else if (VAR37) {VAR35,VAR1} <= { VAR11,VAR38 };
wire VAR27 = (VAR1==VAR9);
reg VAR14;
reg [VAR7-1:0] VAR29;
always @(posedge clk, posedge rst)
if (rst) {VAR29,VAR14} <= {{(VAR7 +1 ){1'b0}}};
else {VAR29,VAR14} <= { VAR35,VAR27 };
reg [VAR15-1:0] VAR30 ;
reg [VAR15-1:0] VAR2 ;
always @
case (VAR19)
VAR28: if (VAR37) {VAR3,VAR21,VAR5}={VAR20,2'b11};
else {VAR3,VAR21,VAR5}={VAR28,2'b01};
VAR20: if (VAR37) {VAR3,VAR21,VAR5}={VAR28,2'b10};
else {VAR3,VAR21,VAR5}={VAR28,2'b10};
endcase
endmodule
|
bsd-3-clause
|
ahmed-agiza/LCSTA
|
Sample Files/synth.v
| 1,911 |
module MODULE1(VAR1, VAR6, clk, reset);
input clk;
input [16:0] VAR6;
output [16:0] VAR1;
input reset;
VAR4 00 (
.VAR3(clk),
.VAR2(VAR6[0]),
.VAR5(VAR1[0]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 01 (
.VAR3(clk),
.VAR2(VAR6[1]),
.VAR5(VAR1[1]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 02 (
.VAR3(clk),
.VAR2(VAR6[2]),
.VAR5(VAR1[2]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 03 (
.VAR3(clk),
.VAR2(VAR6[3]),
.VAR5(VAR1[3]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 04 (
.VAR3(clk),
.VAR2(VAR6[4]),
.VAR5(VAR1[4]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 05 (
.VAR3(clk),
.VAR2(VAR6[5]),
.VAR5(VAR1[5]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 06 (
.VAR3(clk),
.VAR2(VAR6[6]),
.VAR5(VAR1[6]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 07 (
.VAR3(clk),
.VAR2(VAR6[7]),
.VAR5(VAR1[7]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 08 (
.VAR3(clk),
.VAR2(VAR6[8]),
.VAR5(VAR1[8]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 09 (
.VAR3(clk),
.VAR2(VAR6[9]),
.VAR5(VAR1[9]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 10 (
.VAR3(clk),
.VAR2(VAR6[10]),
.VAR5(VAR1[10]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 11 (
.VAR3(clk),
.VAR2(VAR6[11]),
.VAR5(VAR1[11]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 12 (
.VAR3(clk),
.VAR2(VAR6[12]),
.VAR5(VAR1[12]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 13 (
.VAR3(clk),
.VAR2(VAR6[13]),
.VAR5(VAR1[13]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 14 (
.VAR3(clk),
.VAR2(VAR6[14]),
.VAR5(VAR1[14]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 15 (
.VAR3(clk),
.VAR2(VAR6[15]),
.VAR5(VAR1[15]),
.VAR8(reset),
.VAR7(1'b1)
);
VAR4 16 (
.VAR3(clk),
.VAR2(VAR6[16]),
.VAR5(VAR1[16]),
.VAR8(reset),
.VAR7(1'b1)
);
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_pcie_bram_top_7x.v
| 8,601 |
module MODULE1
parameter VAR32 = "VAR21", parameter VAR2 = 0, parameter [3:0] VAR12 = 4'h1, parameter [5:0] VAR34 = 6'h08,
parameter VAR24 = 31, parameter VAR18 = 24, parameter VAR33 = 1, parameter VAR1 = 2, parameter VAR27 = 1,
parameter VAR8 = 'h1FFF, parameter VAR10 = 1, parameter VAR23 = 2, parameter VAR29 = 1 )
(
input VAR35, input VAR36,
input VAR16, input [12:0] VAR25, input [71:0] VAR31, input VAR11, input VAR17, input [12:0] VAR28, output [71:0] VAR7,
input VAR6, input [12:0] VAR20, input [71:0] VAR13, input VAR15, input VAR4, input [12:0] VAR14, output [71:0] VAR22 );
localparam VAR26 = ((VAR2 == 0) ? 128 :
(VAR2 == 1) ? 256 :
(VAR2 == 2) ? 512 :
1024 );
localparam VAR19 = (VAR24 + 1) * (VAR26 + VAR18);
localparam VAR3 = 1;
localparam VAR5 = ((VAR19 <= 4096) ? 1 :
(VAR19 <= 8192) ? 2 :
(VAR19 <= 16384) ? 4 :
(VAR19 <= 32768) ? 8 :
18
);
localparam VAR9 = 1;
localparam VAR30 = ((VAR8 < 'h0200) ? 1 :
(VAR8 < 'h0400) ? 2 :
(VAR8 < 'h0800) ? 4 :
(VAR8 < 'h1000) ? 8 :
18
);
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/fill/sky130_fd_sc_hdll__fill_1.v
| 1,856 |
module MODULE1 (
VAR3,
VAR1,
VAR5 ,
VAR6
);
input VAR3;
input VAR1;
input VAR5 ;
input VAR6 ;
VAR4 VAR2 (
.VAR3(VAR3),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule
module MODULE1 ();
supply1 VAR3;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR6 ;
VAR4 VAR2 ();
endmodule
|
apache-2.0
|
Tsung-Wei/OpenTimer
|
example/map9v3/map9v3.v
| 17,120 |
module MODULE1(VAR35, reset, VAR26, VAR62, VAR14, VAR34, VAR5, VAR54, VAR11, VAR60, VAR23, VAR59, VAR56, VAR58, VAR3, VAR44, VAR48, VAR53, VAR7, VAR45, VAR46, VAR8, VAR2, VAR32, VAR12, VAR41, VAR22, VAR27, VAR65, VAR47, VAR57, VAR39, VAR51, VAR15, VAR66, VAR1, VAR50, VAR17);
wire 000;
wire 001;
wire 002;
wire 003;
wire 004;
wire 005;
wire 006;
wire 007;
wire 008;
wire 009;
wire 010;
wire 011;
wire 012;
wire 013;
wire 014;
wire 015;
wire 016;
wire 017;
wire 018;
wire 019;
wire 020;
wire 021;
wire 022;
wire 023;
wire 024;
wire 025;
wire 026;
wire 027;
wire 028;
wire 029;
wire 030;
wire 031;
wire 032;
wire 033;
wire 034;
wire 035;
wire 036;
wire 037;
wire 038;
wire 039;
wire 040;
wire 041;
wire 042;
wire 043;
wire 044;
wire 045;
wire 046;
wire 047;
wire 048;
wire 049;
wire 050;
wire 051;
wire 052;
wire 053;
wire 054;
wire 055;
wire 056;
wire 057;
wire 058;
wire 059;
wire 060;
wire 061;
wire 062;
wire 063;
wire 064;
wire 065;
wire 066;
wire 067;
wire 068;
wire 069;
wire 070;
wire 071;
wire 072;
wire 073;
wire 074;
wire 075;
wire 076;
wire 077;
wire 078;
wire 079;
wire 080;
wire 081;
wire 082;
wire 083;
wire 084;
wire 085;
wire 086;
wire 087;
wire 088;
wire 089;
wire 090;
wire 091;
wire 092;
wire 093;
wire 094;
wire 095;
wire 096;
wire 097;
wire 098;
wire 099;
wire 100;
wire 101;
wire 102;
wire 103;
wire 104;
wire 105;
wire 106;
wire 107;
wire 108;
wire 109;
wire 110;
wire 111;
wire 112;
wire 113;
wire 114;
wire 115;
wire 116;
wire 117;
wire 118;
wire 119;
wire 120;
wire 121;
wire 122;
wire 123;
wire 124;
wire 125;
wire 126;
wire 127;
wire 128;
wire 129;
wire 130;
wire 131;
wire 132;
wire 133;
wire 134;
wire 135;
wire 136;
wire 137;
wire 138;
wire 139;
wire 140;
wire 141;
wire 142;
wire 143;
wire 144;
wire 145;
wire 146;
wire 147;
wire 148;
wire 149;
wire 150;
wire 151;
wire 152;
wire 153;
wire 154;
wire 155;
wire 156;
wire 157;
wire 158;
wire 159;
wire 160;
wire 161;
wire 162;
wire 163;
wire 164;
wire 165;
wire 166;
input VAR62;
input VAR14;
input VAR34;
input VAR5;
input VAR54;
input VAR11;
input VAR60;
input VAR23;
input VAR59;
input VAR35;
output VAR2;
output VAR32;
output VAR12;
output VAR41;
output VAR22;
output VAR27;
output VAR65;
output VAR47;
output VAR8;
output VAR56;
output VAR58;
output VAR3;
output VAR44;
output VAR48;
output VAR53;
output VAR7;
output VAR45;
output VAR46;
input reset;
output VAR57;
output VAR39;
output VAR51;
output VAR15;
output VAR66;
output VAR1;
output VAR50;
output VAR17;
input VAR26;
wire VAR52;
wire VAR10;
wire VAR49;
wire VAR37;
wire VAR21;
wire VAR42;
VAR9 167 (
.VAR38(reset),
.VAR43(030)
);
VAR9 168 (
.VAR38(VAR21),
.VAR43(031)
);
VAR9 169 (
.VAR38(VAR42),
.VAR43(032)
);
VAR9 170 (
.VAR38(VAR49),
.VAR43(033)
);
VAR9 171 (
.VAR38(VAR26),
.VAR43(034)
);
VAR9 172 (
.VAR38(VAR10),
.VAR43(035)
);
VAR9 173 (
.VAR38(VAR54),
.VAR43(036)
);
VAR9 174 (
.VAR38(VAR11),
.VAR43(037)
);
VAR9 175 (
.VAR38(149),
.VAR43(038)
);
VAR36 176 (
.VAR38(146),
.VAR16(145),
.VAR43(039)
);
VAR36 177 (
.VAR38(147),
.VAR16(039),
.VAR43(040)
);
VAR19 178 (
.VAR38(144),
.VAR16(143),
.VAR43(041)
);
VAR19 179 (
.VAR38(142),
.VAR16(141),
.VAR43(042)
);
VAR64 180 (
.VAR38(041),
.VAR16(042),
.VAR43(043)
);
VAR36 181 (
.VAR38(040),
.VAR16(043),
.VAR43(044)
);
VAR68 182 (
.VAR38(148),
.VAR16(044),
.VAR4(VAR21),
.VAR43(045)
);
VAR64 183 (
.VAR38(035),
.VAR16(045),
.VAR43(028)
);
VAR19 184 (
.VAR38(VAR52),
.VAR16(034),
.VAR43(046)
);
VAR68 185 (
.VAR38(033),
.VAR16(046),
.VAR4(032),
.VAR43(029)
);
VAR61 186 (
.VAR38(031),
.VAR16(VAR37),
.VAR4(035),
.VAR43(047)
);
VAR18 187 (
.VAR38(151),
.VAR16(159),
.VAR6(047),
.VAR43(048)
);
VAR9 188 (
.VAR38(048),
.VAR43(010)
);
VAR18 189 (
.VAR38(152),
.VAR16(160),
.VAR6(047),
.VAR43(049)
);
VAR9 190 (
.VAR38(049),
.VAR43(011)
);
VAR18 191 (
.VAR38(153),
.VAR16(161),
.VAR6(047),
.VAR43(050)
);
VAR9 192 (
.VAR38(050),
.VAR43(012)
);
VAR18 193 (
.VAR38(154),
.VAR16(162),
.VAR6(047),
.VAR43(051)
);
VAR9 194 (
.VAR38(051),
.VAR43(013)
);
VAR18 195 (
.VAR38(155),
.VAR16(163),
.VAR6(047),
.VAR43(052)
);
VAR9 196 (
.VAR38(052),
.VAR43(014)
);
VAR18 197 (
.VAR38(156),
.VAR16(164),
.VAR6(047),
.VAR43(053)
);
VAR9 198 (
.VAR38(053),
.VAR43(015)
);
VAR18 199 (
.VAR38(157),
.VAR16(165),
.VAR6(047),
.VAR43(054)
);
VAR9 200 (
.VAR38(054),
.VAR43(016)
);
VAR18 201 (
.VAR38(158),
.VAR16(166),
.VAR6(047),
.VAR43(055)
);
VAR9 202 (
.VAR38(055),
.VAR43(017)
);
VAR18 203 (
.VAR38(150),
.VAR16(VAR62),
.VAR6(047),
.VAR43(056)
);
VAR9 204 (
.VAR38(056),
.VAR43(009)
);
VAR29 205 (
.VAR38(164),
.VAR16(166),
.VAR43(057)
);
VAR28 206 (
.VAR38(162),
.VAR16(163),
.VAR43(058)
);
VAR28 207 (
.VAR38(057),
.VAR16(058),
.VAR43(059)
);
VAR68 208 (
.VAR38(VAR21),
.VAR16(159),
.VAR4(035),
.VAR43(060)
);
VAR55 209 (
.VAR38(VAR21),
.VAR16(059),
.VAR4(060),
.VAR43(018)
);
VAR19 210 (
.VAR38(VAR21),
.VAR16(161),
.VAR43(061)
);
VAR68 211 (
.VAR38(031),
.VAR16(160),
.VAR4(035),
.VAR43(062)
);
VAR19 212 (
.VAR38(061),
.VAR16(062),
.VAR43(020)
);
VAR19 213 (
.VAR38(VAR21),
.VAR16(162),
.VAR43(063)
);
VAR68 214 (
.VAR38(031),
.VAR16(161),
.VAR4(035),
.VAR43(064)
);
VAR19 215 (
.VAR38(063),
.VAR16(064),
.VAR43(021)
);
VAR19 216 (
.VAR38(VAR21),
.VAR16(163),
.VAR43(065)
);
VAR68 217 (
.VAR38(031),
.VAR16(162),
.VAR4(035),
.VAR43(066)
);
VAR19 218 (
.VAR38(065),
.VAR16(066),
.VAR43(022)
);
VAR19 219 (
.VAR38(VAR21),
.VAR16(164),
.VAR43(067)
);
VAR68 220 (
.VAR38(031),
.VAR16(163),
.VAR4(035),
.VAR43(068)
);
VAR19 221 (
.VAR38(067),
.VAR16(068),
.VAR43(023)
);
VAR19 222 (
.VAR38(VAR21),
.VAR16(165),
.VAR43(069)
);
VAR68 223 (
.VAR38(031),
.VAR16(164),
.VAR4(035),
.VAR43(071)
);
VAR19 224 (
.VAR38(069),
.VAR16(071),
.VAR43(024)
);
VAR19 225 (
.VAR38(VAR21),
.VAR16(166),
.VAR43(074)
);
VAR68 226 (
.VAR38(031),
.VAR16(165),
.VAR4(035),
.VAR43(076)
);
VAR19 227 (
.VAR38(074),
.VAR16(076),
.VAR43(025)
);
VAR28 228 (
.VAR38(VAR21),
.VAR16(141),
.VAR43(079)
);
VAR64 229 (
.VAR38(VAR10),
.VAR16(VAR14),
.VAR43(081)
);
VAR68 230 (
.VAR38(VAR10),
.VAR16(079),
.VAR4(081),
.VAR43(000)
);
VAR29 231 (
.VAR38(VAR14),
.VAR16(VAR34),
.VAR43(084)
);
VAR64 232 (
.VAR38(VAR21),
.VAR16(042),
.VAR43(086)
);
VAR68 233 (
.VAR38(031),
.VAR16(141),
.VAR4(142),
.VAR43(088)
);
VAR64 234 (
.VAR38(086),
.VAR16(088),
.VAR43(090)
);
VAR64 235 (
.VAR38(035),
.VAR16(090),
.VAR43(092)
);
VAR68 236 (
.VAR38(035),
.VAR16(084),
.VAR4(092),
.VAR43(001)
);
VAR55 237 (
.VAR38(VAR14),
.VAR16(VAR34),
.VAR4(VAR5),
.VAR43(095)
);
VAR9 238 (
.VAR38(095),
.VAR43(097)
);
VAR61 239 (
.VAR38(VAR14),
.VAR16(VAR34),
.VAR4(VAR5),
.VAR43(099)
);
VAR61 240 (
.VAR38(VAR10),
.VAR16(097),
.VAR4(099),
.VAR43(101)
);
VAR29 241 (
.VAR38(143),
.VAR16(086),
.VAR43(103)
);
VAR68 242 (
.VAR38(VAR10),
.VAR16(103),
.VAR4(101),
.VAR43(002)
);
VAR28 243 (
.VAR38(036),
.VAR16(095),
.VAR43(106)
);
VAR61 244 (
.VAR38(VAR21),
.VAR16(041),
.VAR4(042),
.VAR43(108)
);
VAR68 245 (
.VAR38(143),
.VAR16(086),
.VAR4(144),
.VAR43(110)
);
VAR24 246 (
.VAR38(035),
.VAR16(108),
.VAR43(112)
);
VAR20 247 (
.VAR38(VAR10),
.VAR16(106),
.VAR4(110),
.VAR33(112),
.VAR43(003)
);
VAR61 248 (
.VAR38(036),
.VAR16(037),
.VAR4(095),
.VAR43(115)
);
VAR68 249 (
.VAR38(VAR54),
.VAR16(097),
.VAR4(VAR11),
.VAR43(117)
);
VAR61 250 (
.VAR38(VAR10),
.VAR16(115),
.VAR4(117),
.VAR43(119)
);
VAR19 251 (
.VAR38(145),
.VAR16(108),
.VAR43(121)
);
VAR29 252 (
.VAR38(145),
.VAR16(108),
.VAR43(123)
);
VAR68 253 (
.VAR38(VAR10),
.VAR16(123),
.VAR4(119),
.VAR43(004)
);
VAR55 254 (
.VAR38(VAR60),
.VAR16(115),
.VAR4(035),
.VAR43(125)
);
VAR68 255 (
.VAR38(VAR60),
.VAR16(115),
.VAR4(125),
.VAR43(126)
);
VAR28 256 (
.VAR38(146),
.VAR16(121),
.VAR43(127)
);
VAR68 257 (
.VAR38(VAR10),
.VAR16(127),
.VAR4(126),
.VAR43(005)
);
VAR67 258 (
.VAR38(VAR60),
.VAR16(VAR23),
.VAR4(115),
.VAR43(128)
);
VAR68 259 (
.VAR38(VAR60),
.VAR16(115),
.VAR4(VAR23),
.VAR43(129)
);
VAR64 260 (
.VAR38(VAR10),
.VAR16(129),
.VAR43(130)
);
VAR36 261 (
.VAR38(040),
.VAR16(108),
.VAR43(131)
);
VAR68 262 (
.VAR38(039),
.VAR16(108),
.VAR4(147),
.VAR43(132)
);
VAR24 263 (
.VAR38(131),
.VAR16(132),
.VAR43(133)
);
VAR13 264 (
.VAR38(128),
.VAR16(130),
.VAR4(133),
.VAR33(VAR10),
.VAR43(006)
);
VAR29 265 (
.VAR38(VAR59),
.VAR16(128),
.VAR43(134)
);
VAR19 266 (
.VAR38(148),
.VAR16(131),
.VAR43(027)
);
VAR29 267 (
.VAR38(148),
.VAR16(131),
.VAR43(135)
);
VAR18 268 (
.VAR38(134),
.VAR16(135),
.VAR6(VAR10),
.VAR43(007)
);
VAR64 269 (
.VAR38(031),
.VAR16(VAR42),
.VAR43(136)
);
VAR68 270 (
.VAR38(VAR37),
.VAR16(136),
.VAR4(038),
.VAR43(137)
);
VAR24 271 (
.VAR38(035),
.VAR16(137),
.VAR43(008)
);
VAR19 272 (
.VAR38(VAR21),
.VAR16(160),
.VAR43(138)
);
VAR68 273 (
.VAR38(031),
.VAR16(159),
.VAR4(035),
.VAR43(139)
);
VAR19 274 (
.VAR38(138),
.VAR16(139),
.VAR43(019)
);
VAR64 275 (
.VAR38(VAR49),
.VAR16(046),
.VAR43(140)
);
VAR9 276 (
.VAR38(140),
.VAR43(026)
);
VAR9 277 (
.VAR38(reset),
.VAR43(070)
);
VAR9 278 (
.VAR38(reset),
.VAR43(072)
);
VAR9 279 (
.VAR38(reset),
.VAR43(073)
);
VAR9 280 (
.VAR38(reset),
.VAR43(075)
);
VAR9 281 (
.VAR38(reset),
.VAR43(077)
);
VAR9 282 (
.VAR38(reset),
.VAR43(078)
);
VAR9 283 (
.VAR38(reset),
.VAR43(080)
);
VAR9 284 (
.VAR38(reset),
.VAR43(082)
);
VAR9 285 (
.VAR38(reset),
.VAR43(083)
);
VAR9 286 (
.VAR38(reset),
.VAR43(085)
);
VAR9 287 (
.VAR38(reset),
.VAR43(087)
);
VAR9 288 (
.VAR38(reset),
.VAR43(089)
);
VAR9 289 (
.VAR38(reset),
.VAR43(091)
);
VAR9 290 (
.VAR38(reset),
.VAR43(093)
);
VAR9 291 (
.VAR38(reset),
.VAR43(094)
);
VAR9 292 (
.VAR38(reset),
.VAR43(096)
);
VAR9 293 (
.VAR38(reset),
.VAR43(098)
);
VAR9 294 (
.VAR38(reset),
.VAR43(100)
);
VAR9 295 (
.VAR38(reset),
.VAR43(102)
);
VAR9 296 (
.VAR38(reset),
.VAR43(104)
);
VAR9 297 (
.VAR38(reset),
.VAR43(105)
);
VAR9 298 (
.VAR38(reset),
.VAR43(107)
);
VAR9 299 (
.VAR38(reset),
.VAR43(109)
);
VAR9 300 (
.VAR38(reset),
.VAR43(111)
);
VAR9 301 (
.VAR38(reset),
.VAR43(113)
);
VAR9 302 (
.VAR38(reset),
.VAR43(114)
);
VAR9 303 (
.VAR38(reset),
.VAR43(116)
);
VAR9 304 (
.VAR38(reset),
.VAR43(118)
);
VAR9 305 (
.VAR38(reset),
.VAR43(120)
);
VAR9 306 (
.VAR38(reset),
.VAR43(122)
);
VAR9 307 (
.VAR38(reset),
.VAR43(124)
);
VAR25 308 (
.VAR38(141),
.VAR43(VAR2)
);
VAR25 309 (
.VAR38(142),
.VAR43(VAR32)
);
VAR25 310 (
.VAR38(143),
.VAR43(VAR12)
);
VAR25 311 (
.VAR38(144),
.VAR43(VAR41)
);
VAR25 312 (
.VAR38(145),
.VAR43(VAR22)
);
VAR25 313 (
.VAR38(146),
.VAR43(VAR27)
);
VAR25 314 (
.VAR38(147),
.VAR43(VAR65)
);
VAR25 315 (
.VAR38(148),
.VAR43(VAR47)
);
VAR25 316 (
.VAR38(149),
.VAR43(VAR8)
);
VAR25 317 (
.VAR38(150),
.VAR43(VAR56)
);
VAR25 318 (
.VAR38(151),
.VAR43(VAR58)
);
VAR25 319 (
.VAR38(152),
.VAR43(VAR3)
);
VAR25 320 (
.VAR38(153),
.VAR43(VAR44)
);
VAR25 321 (
.VAR38(154),
.VAR43(VAR48)
);
VAR25 322 (
.VAR38(155),
.VAR43(VAR53)
);
VAR25 323 (
.VAR38(156),
.VAR43(VAR7)
);
VAR25 324 (
.VAR38(157),
.VAR43(VAR45)
);
VAR25 325 (
.VAR38(158),
.VAR43(VAR46)
);
VAR25 326 (
.VAR38(159),
.VAR43(VAR57)
);
VAR25 327 (
.VAR38(160),
.VAR43(VAR39)
);
VAR25 328 (
.VAR38(161),
.VAR43(VAR51)
);
VAR25 329 (
.VAR38(162),
.VAR43(VAR15)
);
VAR25 330 (
.VAR38(163),
.VAR43(VAR66)
);
VAR25 331 (
.VAR38(164),
.VAR43(VAR1)
);
VAR25 332 (
.VAR38(165),
.VAR43(VAR50)
);
VAR25 333 (
.VAR38(166),
.VAR43(VAR17)
);
VAR63 334 (
.VAR40(VAR35),
.VAR33(026),
.VAR31(VAR10),
.VAR30(1'h1),
.VAR6(070)
);
VAR63 335 (
.VAR40(VAR35),
.VAR33(029),
.VAR31(VAR49),
.VAR30(072),
.VAR6(1'h1)
);
VAR63 336 (
.VAR40(VAR35),
.VAR33(027),
.VAR31(VAR37),
.VAR30(073),
.VAR6(1'h1)
);
VAR63 337 (
.VAR40(VAR35),
.VAR33(028),
.VAR31(VAR21),
.VAR30(075),
.VAR6(1'h1)
);
VAR63 338 (
.VAR40(VAR35),
.VAR33(VAR37),
.VAR31(VAR42),
.VAR30(077),
.VAR6(1'h1)
);
VAR63 339 (
.VAR40(VAR35),
.VAR33(009),
.VAR31(150),
.VAR30(078),
.VAR6(1'h1)
);
VAR63 340 (
.VAR40(VAR35),
.VAR33(010),
.VAR31(151),
.VAR30(080),
.VAR6(1'h1)
);
VAR63 341 (
.VAR40(VAR35),
.VAR33(011),
.VAR31(152),
.VAR30(082),
.VAR6(1'h1)
);
VAR63 342 (
.VAR40(VAR35),
.VAR33(012),
.VAR31(153),
.VAR30(083),
.VAR6(1'h1)
);
VAR63 343 (
.VAR40(VAR35),
.VAR33(013),
.VAR31(154),
.VAR30(085),
.VAR6(1'h1)
);
VAR63 344 (
.VAR40(VAR35),
.VAR33(014),
.VAR31(155),
.VAR30(087),
.VAR6(1'h1)
);
VAR63 345 (
.VAR40(VAR35),
.VAR33(015),
.VAR31(156),
.VAR30(089),
.VAR6(1'h1)
);
VAR63 346 (
.VAR40(VAR35),
.VAR33(016),
.VAR31(157),
.VAR30(091),
.VAR6(1'h1)
);
VAR63 347 (
.VAR40(VAR35),
.VAR33(017),
.VAR31(158),
.VAR30(093),
.VAR6(1'h1)
);
VAR63 348 (
.VAR40(VAR35),
.VAR33(008),
.VAR31(149),
.VAR30(094),
.VAR6(1'h1)
);
VAR63 349 (
.VAR40(VAR35),
.VAR33(000),
.VAR31(141),
.VAR30(096),
.VAR6(1'h1)
);
VAR63 350 (
.VAR40(VAR35),
.VAR33(001),
.VAR31(142),
.VAR30(098),
.VAR6(1'h1)
);
VAR63 351 (
.VAR40(VAR35),
.VAR33(002),
.VAR31(143),
.VAR30(100),
.VAR6(1'h1)
);
VAR63 352 (
.VAR40(VAR35),
.VAR33(003),
.VAR31(144),
.VAR30(102),
.VAR6(1'h1)
);
VAR63 353 (
.VAR40(VAR35),
.VAR33(004),
.VAR31(145),
.VAR30(104),
.VAR6(1'h1)
);
VAR63 354 (
.VAR40(VAR35),
.VAR33(005),
.VAR31(146),
.VAR30(105),
.VAR6(1'h1)
);
VAR63 355 (
.VAR40(VAR35),
.VAR33(006),
.VAR31(147),
.VAR30(107),
.VAR6(1'h1)
);
VAR63 356 (
.VAR40(VAR35),
.VAR33(007),
.VAR31(148),
.VAR30(109),
.VAR6(1'h1)
);
VAR63 357 (
.VAR40(VAR35),
.VAR33(018),
.VAR31(159),
.VAR30(111),
.VAR6(1'h1)
);
VAR63 358 (
.VAR40(VAR35),
.VAR33(019),
.VAR31(160),
.VAR30(113),
.VAR6(1'h1)
);
VAR63 359 (
.VAR40(VAR35),
.VAR33(020),
.VAR31(161),
.VAR30(114),
.VAR6(1'h1)
);
VAR63 360 (
.VAR40(VAR35),
.VAR33(021),
.VAR31(162),
.VAR30(116),
.VAR6(1'h1)
);
VAR63 361 (
.VAR40(VAR35),
.VAR33(022),
.VAR31(163),
.VAR30(118),
.VAR6(1'h1)
);
VAR63 362 (
.VAR40(VAR35),
.VAR33(023),
.VAR31(164),
.VAR30(120),
.VAR6(1'h1)
);
VAR63 363 (
.VAR40(VAR35),
.VAR33(024),
.VAR31(165),
.VAR30(122),
.VAR6(1'h1)
);
VAR63 364 (
.VAR40(VAR35),
.VAR33(025),
.VAR31(166),
.VAR30(124),
.VAR6(1'h1)
);
VAR63 365 (
.VAR40(VAR35),
.VAR33(VAR26),
.VAR31(VAR52),
.VAR30(030),
.VAR6(1'h1)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/and3b/sky130_fd_sc_ms__and3b.symbol.v
| 1,307 |
module MODULE1 (
input VAR7,
input VAR8 ,
input VAR5 ,
output VAR6
);
supply1 VAR3;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/top/top.v
| 79,412 |
module MODULE1 (
clk ,
VAR100 ,
VAR403 ,
VAR117 ,
VAR75 ,
VAR259 ,
VAR290 ,
VAR268 ,
VAR214 ,
VAR131 ,
VAR141 ,
VAR113 ,
VAR306 ,
VAR460 ,
VAR346 ,
VAR69 ,
VAR199 ,
VAR127 ,
VAR111 ,
VAR283 ,
VAR452 ,
VAR335 ,
VAR242 ,
VAR11 ,
VAR121 ,
VAR459 ,
VAR374 ,
VAR458 ,
VAR435 ,
VAR6 ,
VAR344 ,
VAR9 ,
VAR377 ,
VAR482 ,
VAR414 ,
VAR321 ,
VAR302 ,
VAR247 ,
VAR211 ,
VAR38 ,
VAR55 ,
VAR451 ,
VAR427 ,
VAR457 ,
VAR421 ,
VAR446 ,
VAR246 ,
VAR176 ,
VAR450 ,
VAR231 ,
VAR166 ,
VAR313 ,
VAR82 ,
VAR291 ,
VAR449 ,
VAR349 ,
VAR447 ,
VAR81 ,
VAR279 ,
VAR308 ,
VAR58 ,
VAR89 ,
VAR311 ,
VAR303 ,
VAR126 ,
VAR248
);
localparam VAR227 = 0 ,
VAR32 = 1 ;
input clk ; input VAR100 ;
output [3 : 0] VAR403 ;
output [3 : 0] VAR117 ;
output [4 : 0] VAR75 ;
output VAR259 ;
output [1 : 0] VAR290 ;
output VAR268 ;
input [VAR24*32-1 : 0] VAR214 ;
output VAR131 ;
output [9 : 0] VAR141 ;
input [5 : 0] VAR113 ;
input [9-1 : 0] VAR306 ;
input [9-1 : 0] VAR460 ;
output [4-1 : 0] VAR346 ;
output [4-1 : 0] VAR69 ;
output [5-1 : 0] VAR199 ;
output VAR127 ;
output [2-1 : 0] VAR111 ;
output VAR283 ;
input [64*VAR24-1 : 0] VAR452 ;
output [5-1 : 0] VAR335 ;
output [7-1 : 0] VAR242 ;
output VAR11 ;
input [64*VAR24-1 : 0] VAR121 ;
output [4-1 : 0] VAR459 ;
output [4-1 : 0] VAR374 ;
output [5-1 : 0] VAR458 ;
output VAR435 ;
output [2-1 : 0] VAR6 ;
output VAR344 ;
input [32*VAR24-1 : 0] VAR9 ;
output [7-1 : 0] VAR377 ;
output [7-1 : 0] VAR482 ;
output VAR414 ;
input [64*VAR24-1 : 0] VAR321 ;
output [6-1 : 0] VAR302 ;
output [6-1 : 0] VAR247 ;
output VAR211 ;
output VAR38 ;
input [8*VAR24-1 : 0] VAR55 ;
output [1-1 : 0] VAR451 ; output [5-1 : 0] VAR427 ; output [5-1 : 0] VAR457 ; output [1-1 : 0] VAR421 ; output [1-1 : 0] VAR446 ; output [2-1 : 0] VAR246 ; output [16*VAR24-1 : 0] VAR176 ; output [1-1 : 0] VAR450 ; output [5-1 : 0] VAR231 ; output [2-1 : 0] VAR166 ; input [16*VAR24-1 : 0] VAR313 ;
input [VAR465-1 : 0] VAR82 ; input [VAR275-1 : 0] VAR291 ; input VAR449 ; input [5 : 0] VAR447 ; input VAR81 ; input VAR349 ; output VAR279 ; input VAR308 ; output VAR58 ; input VAR89 ; input [VAR24*8-1 : 0] VAR311 ; output VAR303 ; output [7 : 0] VAR126 ; input VAR248 ;
wire VAR254 , VAR158 , VAR269 , VAR261 , VAR4 ;
wire VAR66 , VAR29 , VAR410 , VAR181 , VAR218 ;
wire [VAR465-1 : 0] VAR73 , VAR68 , VAR362 , VAR235 , VAR441 ;
wire [VAR275-1 : 0] VAR299 , VAR18 , VAR433 , VAR234 , VAR456 ;
reg [5 : 0] VAR88 , VAR21 , VAR474 , VAR37 , VAR221 ;
wire [5 : 0] VAR152 ;
reg VAR53 ;
reg [1 : 0] VAR461 ;
wire [1 : 0] VAR187 ; wire VAR329 ; wire [1 : 0] VAR394 ; wire [3 : 0] VAR94 ; wire [3 : 0] VAR192 ; wire [4 : 0] VAR363 ; wire [VAR24*32-1 : 0] VAR475 ;
wire VAR473 ; wire VAR413 ; wire [1 : 0] VAR481 ; wire [3 : 0] VAR174 ; wire [3 : 0] VAR8 ; wire [4 : 0] VAR411 ; wire [VAR24*32-1 : 0] VAR39 ;
wire VAR71 ;
wire [9 : 0] VAR406 ;
wire [5 : 0] VAR278 ;
wire VAR378 ; wire [1 : 0] VAR322 ; wire [1 : 0] VAR119 ; wire [3 : 0] VAR169 ; wire [3 : 0] VAR466 ; wire [VAR24*16-1 : 0] VAR340 ; wire [5 : 0] VAR345 ;
wire VAR172 ; wire [5 : 0] VAR372 ; wire [VAR24*64-1 : 0] VAR422 ; wire [41 : 0] VAR432 ; wire [5 : 0] VAR54 ; wire [VAR305*2-1 : 0] VAR470 ; wire VAR77 ;
wire [VAR305-1 : 0] VAR455 ;
wire [VAR305-1 : 0] VAR438 ;
reg [41 : 0] VAR266 ;
wire [41 : 0] VAR384 ; wire [1-1 : 0] VAR195 ; wire [6-1 : 0] VAR46 ; wire [2*VAR305-1 : 0] VAR161 ;
wire [2*VAR305-1 : 0] VAR27 ;
wire [2*VAR305-1 : 0] VAR146 ;
wire [1-1 : 0] VAR222 ; wire [6-1 : 0] VAR389 ; reg [2*VAR305-1 : 0] VAR124 ;
wire VAR252 ; wire [6-1 : 0] VAR383 ; wire [2*VAR305-1 : 0] VAR245 ;
wire [32*VAR24-1 : 0] VAR128 ;
wire [4-1 : 0] VAR257 ;
wire [7-1 : 0] VAR336 ;
reg [1-1 : 0] VAR292 ;
reg [6-1 : 0] VAR390 ;
wire [2*VAR305-1 : 0] VAR347 ;
reg VAR200 ;
reg [6-1 : 0] VAR412 ;
reg [2*VAR305-1 : 0] VAR405 ;
reg [1-1 : 0] VAR297 ;
reg [6-1 : 0] VAR462 ;
wire [2*VAR305-1 : 0] VAR341 ;
reg VAR139 ;
reg [6-1 : 0] VAR201 ;
reg [2*VAR305-1 : 0] VAR320 ;
reg [1-1 : 0] VAR120 ;
reg [6-1 : 0] VAR193 ;
wire [2*VAR305-1 : 0] VAR319 ;
reg VAR317 ;
reg [6-1 : 0] VAR354 ;
reg [2*VAR305-1 : 0] VAR232 ;
wire VAR401 ;
wire [6-1 : 0] VAR480 ;
reg [2*VAR305-1 : 0] VAR189 ;
reg [41 : 0] VAR213 ;
wire VAR216 ;
wire [1 : 0] VAR154 ;
wire [1 : 0] VAR182 ;
wire [3 : 0] VAR217 ;
wire [3 : 0] VAR28 ;
wire [VAR24*16-1 : 0] VAR63 ;
wire [5 : 0] VAR434 ;
wire [4-1 : 0] VAR355 ;
wire [8-1 : 0] VAR178 ;
wire [32*VAR24-1 : 0] VAR138 ;
wire [4-1 : 0] VAR190 ;
wire [8-1 : 0] VAR112 ;
wire [32*VAR24-1 : 0] VAR140 ;
wire [4-1 : 0] VAR251 ;
wire [7-1 : 0] VAR445 ;
wire [32*VAR24-1 : 0] VAR415 ;
wire VAR294 ;
wire [VAR339*2-1 : 0] VAR440 ;
wire [VAR61*2 : 0] VAR286 ;
wire VAR272 ;
wire [VAR339*2-1 : 0] VAR424 ;
wire [VAR61*2 : 0] VAR270 ;
wire VAR177 ;
wire [VAR339*2-1 : 0] VAR168 ;
wire [VAR61*2 : 0] VAR373 ;
wire [1-1 : 0] VAR267 ;
wire [2-1 : 0] VAR106 ;
wire [4-1 : 0] VAR419 ;
wire [4-1 : 0] VAR407 ;
wire [5-1 : 0] VAR376 ;
wire [32*VAR24-1 : 0] VAR479 ;
wire [32*VAR24-1 : 0] VAR472 ;
wire [32*VAR24-1 : 0] VAR93 ;
wire VAR428 ; wire VAR175 ;
wire [4 : 0] VAR67 ;
wire [VAR24*32-1 : 0] VAR105 ;
wire [VAR385*VAR385/16-1 : 0] VAR380 ;
wire [VAR385*VAR385/64-1 : 0] VAR360 ;
wire [VAR385*VAR385/64-1 : 0] VAR123 ;
wire [1 : 0] VAR296 ; wire [1 : 0] VAR203 ; wire [1 : 0] VAR86 ; wire VAR80 ; wire VAR16 ; wire VAR271 ;
wire VAR255 ; wire [20 : 0] VAR233 ; wire [((2^VAR339)^2)*6-1 : 0] VAR44 ; wire [169 : 0] VAR133 ;
wire VAR239 ; wire [1 : 0] VAR326 ; wire [1 : 0] VAR318 ; wire [4 : 0] VAR337 ; wire [(VAR24+1)*32-1 : 0] VAR87 ; wire VAR471 ; wire [4 : 0] VAR183 ; wire [(VAR24+1)*32-1 : 0] VAR359 ; wire VAR165 ; wire VAR333 ; wire [4 : 0] VAR5 ; wire [VAR108*32-1 : 0] VAR102 ; wire [VAR108*32-1 : 0] VAR395 ; wire VAR439 ;
wire [4 : 0] VAR348 ;
wire VAR249 ;
wire [4 : 0] VAR230 ;
wire VAR2 ;
wire [1 : 0] VAR114 ;
wire [1 : 0] VAR468 ;
wire [3 : 0] VAR185 ;
wire [3 : 0] VAR219 ;
wire [VAR24*16-1 : 0] VAR469 ;
wire [5 : 0] VAR215 ;
reg [41 : 0] VAR33 ;
wire VAR262 ; wire [20 : 0] VAR40 ; wire [((2^VAR339)^2)*6-1 : 0] VAR153 ; wire [169 : 0] VAR416 ;
wire VAR391 ; wire [VAR339*2-1 : 0] VAR184 ;
wire [VAR61*2 : 0] VAR265 ;
wire [VAR339*2-1 : 0] VAR409 ;
wire [VAR61*2 : 0] VAR107 ;
wire [VAR339*2-1 : 0] VAR179 ;
wire [VAR61*2 : 0] VAR224 ;
wire VAR98 ;
wire [1 : 0] VAR72 ;
wire [8 : 0] VAR7 ;
wire [VAR108*16-1 : 0] VAR49 ;
wire [VAR385*VAR385/16-1 : 0] VAR284 ;
wire [VAR385*VAR385/16-1 : 0] VAR125 ;
wire [VAR385*VAR385/16-1 : 0] VAR122 ;
reg [41 : 0] VAR3 ;
wire [20 : 0] VAR60 ;
wire VAR484 ;
wire [7-1 : 0] VAR173 ;
wire [6-1 : 0] VAR327 ;
reg [2*VAR305-1 : 0] VAR386 ;
wire VAR145 ;
wire [8 : 0] VAR52 ;
wire [VAR24*16-1 : 0] VAR1 ;
wire VAR477 ;
wire VAR437 ;
wire [8 : 0] VAR328 ;
reg [128-1 : 0] VAR467 ;
wire [128-1 : 0] VAR45 ;
wire [20 : 0] VAR95 ;
wire [20 : 0] VAR115 ;
wire VAR476 ;
wire [5 : 0] VAR79 ;
wire [23 : 0] VAR156 ;
wire VAR159 ;
wire [3 : 0] VAR300 ;
wire [23 : 0] VAR155 ;
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR88 <= 0 ;
end
else if( VAR81 ) begin
VAR88 <= VAR447 ;
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR21 <= 0 ;
end
else if( VAR81 ) begin
if( VAR349==VAR227 )
VAR21 <= VAR88 ;
end
else begin
VAR21 <= VAR221 ;
end
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR474 <= 0 ;
end
else if( VAR81 ) begin
VAR474 <= VAR447 ;
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR37 <= 0 ;
end
else if( VAR81 ) begin
VAR37 <= VAR474 ;
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR221 <= 0 ;
end
else if( VAR81 ) begin
VAR221 <= VAR37 ;
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR53 <= 0 ;
end
else if( VAR81 ) begin
VAR53 <= !VAR53 ;
end
end
always @(posedge clk or negedge VAR100 )begin
if( !VAR100 )
VAR461 <= 0 ;
end
else if( VAR81 ) begin
if( VAR461==2 )
VAR461 <= 0 ;
end
else begin
VAR461 <= VAR461 + 1 ;
end
end
end
VAR388 VAR312(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR82 ( VAR82 ),
.VAR291 ( VAR291 ),
.VAR449 ( VAR449 ),
.VAR349 ( VAR349 ),
.VAR310 ( VAR81 ),
.VAR369 ( VAR279 ),
.VAR367 ( VAR254 ),
.VAR84 ( VAR158 ),
.VAR25 ( VAR269 ),
.VAR293 ( VAR261 ),
.VAR12 ( VAR4 ),
.VAR444 ( VAR66 ),
.VAR78 ( VAR29 ),
.VAR17 ( VAR410 ),
.VAR229 ( VAR181 ),
.VAR26 ( VAR218 ),
.VAR396 ( VAR151 ),
.VAR132 ( VAR73 ),
.VAR62 ( VAR299 ),
.VAR48 ( VAR68 ),
.VAR298 ( VAR18 ),
.VAR382 ( VAR362 ),
.VAR35 ( VAR433 ),
.VAR238 ( VAR235 ),
.VAR150 ( VAR234 ),
.VAR142 ( VAR441 ),
.VAR19 ( VAR456 )
);
VAR431 VAR260(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR308 ( VAR308 ),
.VAR143 ( VAR115 ),
.VAR358 ( VAR82 ),
.VAR448 ( VAR73 ),
.VAR429 ( VAR299 ),
.VAR180 ( VAR254 ),
.VAR209 ( VAR66 ),
.VAR240 ( VAR131 ),
.VAR453 ( VAR141 ),
.VAR59 ( VAR113 ),
.VAR186 ( VAR378 ),
.VAR163 ( VAR322 ),
.VAR208 ( VAR119 ),
.VAR96 ( VAR169 ),
.VAR14 ( VAR466 ),
.VAR399 ( VAR340 ),
.VAR30 ( VAR345 ),
.VAR417 ( VAR175 & (VAR349==VAR227) ),
.VAR307 ( VAR67 ),
.VAR485 ( VAR105 ),
.VAR223 ( VAR276 ),
.VAR205 ( VAR397 )
);
assign VAR346 = 0 ;
assign VAR69 = VAR372[5]<<3 ;
assign VAR199 = VAR372[4:0] ;
assign VAR127 = 0 ;
assign VAR111 = 2'b11 ;
assign VAR283 = VAR172 ;
assign VAR422 = VAR452 ;
VAR454 VAR191(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR287 ( VAR362 ),
.VAR43 ( VAR433 ),
.VAR10 ( VAR474 ),
.VAR116 ( VAR269 ),
.VAR426 ( VAR410 ),
.VAR171 ( VAR172 ),
.VAR442 ( VAR372 ),
.VAR170 ( VAR422 ),
.VAR101 ( VAR335 ),
.VAR74 ( VAR242 ),
.VAR371 ( VAR11 ),
.VAR97 ( VAR121 ),
.VAR135 ( VAR432 ),
.VAR443 ( VAR54 ),
.VAR368 ( VAR470 ),
.VAR207 ( VAR77 )
);
always @(posedge clk or negedge VAR100 ) begin
if( !VAR100 )
VAR266 <= 42'b0 ;
end
else if( VAR81 ) begin
VAR266 <= 42'b0 ;
VAR266[1:0] <= VAR432[1:0];
if( VAR432[1:0]==2'b11 ) begin
VAR266[9:2] <= VAR432[9:2];
if( VAR432[3:2]==2'b11 ) begin
VAR266[17:10] <= VAR432[17:10];
end
if( VAR432[5:4]==2'b11 ) begin
VAR266[25:18] <= VAR432[25:18];
end
if( VAR432[7:6]==2'b11 ) begin
VAR266[33:26] <= VAR432[33:26];
end
if( VAR432[9:8]==2'b11 ) begin
VAR266[41:34] <= VAR432[41:34];
end
end
end
end
assign VAR438 = {(VAR470[1*VAR204-1:0*VAR204]-12),2'b0} ;
assign VAR455 = {(VAR470[2*VAR204-1:1*VAR204]-12),2'b0} ;
VAR210 #(
.VAR330 ( 2*VAR305 ),
.VAR253 ( 6 )
) VAR250 (
.VAR188 ( clk ),
.VAR295 ( 1'b0 ),
.VAR361 ( !(VAR77&( VAR53)) ),
.VAR258 ( VAR54 ),
.VAR370 ( { VAR455
,VAR438
} ),
.VAR56 ( clk ),
.VAR309 ( 1'b0 ),
.VAR137 ( { VAR46[5]
,VAR46[2]
,VAR46[4]
,VAR46[1]
,VAR46[3]
,VAR46[0]
} ),
.VAR463 ( VAR27 )
);
VAR210 #(
.VAR330 ( 2*VAR305 ),
.VAR253 ( 6 )
) VAR157 (
.VAR188 ( clk ),
.VAR295 ( 1'b0 ),
.VAR361 ( !(VAR77&(!VAR53)) ),
.VAR258 ( VAR54 ),
.VAR370 ( { VAR455
,VAR438
} ),
.VAR56 ( clk ),
.VAR309 ( 1'b0 ),
.VAR137 ( { VAR46[5]
,VAR46[2]
,VAR46[4]
,VAR46[1]
,VAR46[3]
,VAR46[0]
} ),
.VAR463 ( VAR146 )
);
assign VAR435 = 1'b0 ;
assign VAR6 = 2'b01 ;
assign VAR384 = { VAR266[11:10] ,VAR266[11+2:10+2] ,VAR266[11+4:10+4] ,VAR266[11+6:10+6]
,VAR266[19:18] ,VAR266[19+2:18+2] ,VAR266[19+4:18+4] ,VAR266[19+6:18+6]
,VAR266[27:26] ,VAR266[27+2:26+2] ,VAR266[27+4:26+4] ,VAR266[27+6:26+6]
,VAR266[35:34] ,VAR266[35+2:34+2] ,VAR266[35+4:34+4] ,VAR266[35+6:34+6]
,VAR266[03:02] ,VAR266[03+2:02+2] ,VAR266[03+4:02+4] ,VAR266[03+6:02+6]
,VAR266[01:00]
};
assign VAR161 = VAR53 ? VAR146 : VAR27 ;
VAR404 VAR282(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR287 ( VAR235 ),
.VAR43 ( VAR234 ),
.VAR10 ( VAR37 ),
.VAR116 ( VAR261 ),
.VAR426 ( VAR181 ),
.VAR314 ( VAR384 ),
.VAR167 ( VAR195 ),
.VAR164 ( VAR46 ),
.VAR381 ( VAR161 ),
.VAR342 ( VAR344 ),
.VAR398 ( VAR458 ),
.VAR263 ( VAR459 ),
.VAR353 ( VAR374 ),
.VAR228 ( VAR9 ),
.VAR273 ( VAR414 ),
.VAR387 ( VAR377 ),
.VAR13 ( VAR482 ),
.VAR486 ( VAR321 ),
.VAR325 ( VAR222 ),
.VAR288 ( VAR389 ),
.VAR196 ( VAR124 ),
.VAR264 ( VAR252 ),
.VAR47 ( VAR383 ),
.VAR34 ( VAR245 ),
.VAR41 ( VAR128 ),
.VAR130 ( VAR257 ),
.VAR351 ( VAR336 )
);
assign VAR355 = ( VAR53) ? VAR257 : VAR251 ;
assign VAR178 = ( VAR53) ? {1'b0,VAR336} : {1'b0,VAR445} ;
assign VAR138 = ( VAR53) ? VAR128 : VAR415 ;
assign VAR190 = (!VAR53) ? VAR257 : VAR251 ;
assign VAR112 = (!VAR53) ? {1'b0,VAR336} : {1'b0,VAR445} ;
assign VAR140 = (!VAR53) ? VAR128 : VAR415 ;
VAR226 VAR436 (
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR241 ( VAR355 ),
.VAR483 ( VAR178 ),
.VAR51 ( VAR138 ),
.VAR324 ( VAR267 ),
.VAR197 ( 1'b0 ),
.VAR316 ( VAR106 ),
.VAR357 ( VAR419 ),
.VAR85 ( VAR407 ),
.VAR198 ( VAR376 ),
.VAR237 ( VAR472 )
);
VAR226 VAR323 (
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR241 ( VAR190 ),
.VAR483 ( VAR112 ),
.VAR51 ( VAR140 ),
.VAR324 ( VAR267 ),
.VAR197 ( 1'b0 ),
.VAR316 ( VAR106 ),
.VAR357 ( VAR419 ),
.VAR85 ( VAR407 ),
.VAR198 ( VAR376 ),
.VAR237 ( VAR93 )
);
always @ begin
VAR462 = 0 ;
VAR139 = 0 ;
VAR201 = 0 ;
VAR320 = 0 ;
case( VAR461 )
1 : begin VAR462 = VAR389 ;
VAR139 = VAR252 ;
VAR201 = VAR383 ;
VAR320 = VAR245 ;
end
2 : begin VAR462 = VAR480 ;
end
0 : begin VAR462 = VAR327 ;
end
endcase
end
always @ begin
VAR124 = 0 ;
case( VAR461 )
0 : VAR124 = VAR347 ;
1 : VAR124 = VAR341 ;
2 : VAR124 = VAR319 ;
endcase
end
VAR210 #(
.VAR330 ( 2*VAR305 ),
.VAR253 ( 6 )
) VAR76 (
.VAR56 ( clk ),
.VAR309 ( 1'b0 ),
.VAR137 ( VAR390 ),
.VAR463 ( VAR347 ),
.VAR188 ( clk ),
.VAR295 ( 1'b0 ),
.VAR361 ( !VAR200 ),
.VAR258 ( VAR412 ),
.VAR370 ( VAR405 )
);
VAR210 #(
.VAR330 ( 2*VAR305 ),
.VAR253 ( 6 )
) VAR364 (
.VAR56 ( clk ),
.VAR309 ( 1'b0 ),
.VAR137 ( VAR462 ),
.VAR463 ( VAR341 ),
.VAR188 ( clk ),
.VAR295 ( 1'b0 ),
.VAR361 ( !VAR139 ),
.VAR258 ( VAR201 ),
.VAR370 ( VAR320 )
);
VAR210 #(
.VAR330 ( 2*VAR305 ),
.VAR253 ( 6 )
) VAR332 (
.VAR56 ( clk ),
.VAR309 ( 1'b0 ),
.VAR137 ( VAR193 ),
.VAR463 ( VAR319 ),
.VAR188 ( clk ),
.VAR295 ( 1'b0 ),
.VAR361 ( !VAR317 ),
.VAR258 ( VAR354 ),
.VAR370 ( VAR232 )
);
always @(posedge clk or negedge VAR100 ) begin
if( !VAR100 )
VAR213 <= 42'b0 ;
end
else if( VAR81 ) begin
VAR213 <= VAR384 ;
end
end
always @ begin
VAR202 = VAR152 ;
if( VAR152>43 )
VAR202 = VAR152-6 ;
end
else if( VAR152<30 )
VAR202 = VAR152 ;
else begin
case( VAR152 )
30 : VAR202 = 6'd29 ;
31 : VAR202 = 6'd30 ;
32 : VAR202 = 6'd31 ;
33 : VAR202 = 6'd32 ;
34 : VAR202 = 6'd33 ;
35 : VAR202 = 6'd33 ;
36 : VAR202 = 6'd34 ;
37 : VAR202 = 6'd34 ;
38 : VAR202 = 6'd35 ;
39 : VAR202 = 6'd35 ;
40 : VAR202 = 6'd36 ;
41 : VAR202 = 6'd36 ;
42 : VAR202 = 6'd37 ;
43 : VAR202 = 6'd37 ;
default : VAR202 = 6'VAR220 ;
endcase
end
end
assign VAR352 = ( VAR326==2'b00 ) ? VAR152 : VAR202 ;
VAR315 VAR194(
.clk ( clk ),
.rst ( VAR100 ),
.VAR64 ( VAR349 ),
.VAR289 ( VAR352 ),
.VAR366 ( VAR239 ),
.VAR464 ( VAR326 ),
.VAR134 ( VAR318 ),
.VAR65 ( VAR337 ),
.VAR356 ( VAR87 ),
.VAR103 ( VAR471 ),
.VAR350 ( VAR183 ),
.VAR301 ( VAR359 ),
.VAR334 ( VAR439 ),
.VAR70 ( VAR348 ),
.VAR99 ( VAR395 ),
.VAR90 ( VAR249 ),
.VAR244 ( VAR230 ),
.VAR375 ( VAR102 )
);
always @(posedge clk or negedge VAR100 ) begin
if( !VAR100 )
VAR33 <= 42'b0 ;
end
else if( VAR81 ) begin
VAR33 <= VAR213 ;
end
end
assign VAR265 = VAR53 ? VAR224 : VAR107 ;
VAR206 VAR343(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR358 ( VAR82 ),
.VAR402 ( VAR291 ),
.VAR448 ( VAR68 ),
.VAR429 ( VAR18 ),
.VAR256 ( (VAR349==VAR227) ),
.VAR31 ( 62'b0 ),
.VAR289 ( VAR21 ),
.VAR274 ( (VAR349==VAR227) ? (VAR21) : (VAR21+5'd3) ),
.VAR180 ( VAR158 ),
.VAR209 ( VAR29 ),
.VAR281 ( VAR280 ),
.VAR149 ( VAR98 ),
.VAR423 ( VAR72 ),
.VAR22 ( VAR7 ),
.VAR20 ( VAR49 ),
.VAR110 ( VAR284 ),
.VAR243 ( VAR125 ),
.VAR50 ( VAR122 ),
.VAR304 ( {64'b0 ,VAR95 } ),
.VAR147 ( VAR476 ),
.VAR425 ( VAR79 ),
.VAR118 ( VAR156 ),
.VAR430 ( VAR159 ),
.VAR365 ( VAR300 ),
.VAR148 ( 24'h924924 ),
.VAR379 ( 85'd0 ),
.VAR338 ( 256'd0 ),
.VAR408 ( 85'd0 ),
.VAR57 ( {{(170-42){1'b0}},VAR33} ),
.VAR144 ( VAR391 ),
.VAR277 ( VAR184 ),
.VAR331 ( VAR265 ),
.VAR23 ( VAR303 ),
.VAR225 ( VAR126 ),
.VAR42 ( 1'd1 )
);
reg [2*VAR305-1 : 0] VAR136 ;
reg [128-1 : 0] VAR392 ;
always @(posedge clk or negedge VAR100 ) begin
if( !VAR100 )
VAR3 <= 42'b0 ;
end
else if( VAR81 ) begin
VAR3 <= VAR213 ;
end
end
assign VAR60 = (VAR349==VAR227) ? VAR95
: { VAR3[41]&VAR3[40]
,VAR3[39]&VAR3[38]
,VAR3[37]&VAR3[36]
,VAR3[35]&VAR3[34]
,VAR3[33]&VAR3[32]
,VAR3[31]&VAR3[30]
,VAR3[29]&VAR3[28]
,VAR3[27]&VAR3[26]
,VAR3[25]&VAR3[24]
,VAR3[23]&VAR3[22]
,VAR3[21]&VAR3[20]
,VAR3[19]&VAR3[18]
,VAR3[17]&VAR3[16]
,VAR3[15]&VAR3[14]
,VAR3[13]&VAR3[12]
,VAR3[11]&VAR3[10]
,VAR3[09]&VAR3[08]
,VAR3[07]&VAR3[06]
,VAR3[05]&VAR3[04]
,VAR3[03]&VAR3[02]
,VAR3[01]&VAR3[00]
};
always @(*) begin
VAR386 = 0 ;
case( VAR461 )
2 : VAR386 = { VAR347[9:0] ,VAR347[19:10] };
0 : VAR386 = { VAR341[9:0] ,VAR341[19:10] };
1 : VAR386 = { VAR319[9:0] ,VAR319[19:10] };
endcase
end
assign VAR327 = { VAR173[5]
,VAR173[3]
,VAR173[1]
,VAR173[4]
,VAR173[2]
,VAR173[0]
};
VAR83 VAR92(
.clk ( clk ),
.VAR100 ( VAR100 ),
.VAR358 ( VAR82 ),
.VAR402 ( VAR291 ),
.VAR448 ( VAR68 ),
.VAR429 ( VAR18 ),
.VAR289 ( VAR21 ),
.VAR180 ( VAR158 ),
.VAR209 ( VAR151 ),
.VAR256 ( VAR349==VAR227 ),
.VAR304 ( VAR60 ),
.VAR57 ( VAR3 ),
.VAR478 ( VAR284 ),
.VAR104 ( (VAR349==VAR227) ? VAR125 : VAR122 ),
.VAR129 ( (VAR349==VAR227) ? VAR122 : VAR125 ),
.VAR400 ( VAR484 ),
.VAR212 ( VAR173 ),
.VAR162 ( VAR386 ),
.VAR149 ( VAR145 ),
.VAR22 ( VAR52 ),
.VAR20 ( VAR1 ),
.VAR91 ( VAR392 ),
.VAR451 ( VAR451 ),
.VAR427 ( VAR427 ),
.VAR457 ( VAR457 ),
.VAR421 ( VAR421 ),
.VAR236 ( VAR236 ),
.VAR246 ( VAR246 ),
.VAR176 ( VAR176 ),
.VAR420 ( VAR450 ),
.VAR160 ( VAR231 ),
.VAR393 ( VAR166 ),
.VAR285 ( VAR477 ), .VAR15 ( VAR437 ), .VAR36 ( VAR328 ), .VAR418 ( VAR45 ),
.VAR109 ( VAR467 ) else
.VAR109 ( VAR313 )
);
assign VAR446 = VAR151 ;
endmodule
|
gpl-3.0
|
ridecore/ridecore
|
src/fpga/alu.v
| 1,163 |
module MODULE1(
input wire [VAR1-1:0] VAR3,
input wire [VAR2-1:0] VAR6,
input wire [VAR2-1:0] VAR5,
output reg [VAR2-1:0] out
);
wire [VAR7-1:0] VAR4;
assign VAR4 = VAR5[VAR7-1:0];
always @(*) begin
case (VAR3)
default : out = 0;
endcase end
endmodule
|
bsd-3-clause
|
lvd2/ngs
|
fpga/obsolete/fpgaF_dma2/dma/dma_zx.v
| 5,995 |
module MODULE1(
input clk,
input VAR9,
input VAR29, input VAR36,
input [7:0] VAR12, output reg [7:0] VAR11,
output reg VAR10,
output reg VAR34,
input [7:0] din, output reg [7:0] dout,
input VAR13, input VAR26,
input [1:0] VAR39,
output reg [20:0] VAR6,
output reg [7:0] VAR4,
input [7:0] VAR31,
output reg VAR28,
output reg VAR23,
input VAR14,
input VAR37
);
reg [7:0] VAR16;
reg [2:0] VAR8; reg [2:0] VAR17;
reg VAR2, VAR21; reg VAR25, VAR7;
reg VAR27;
reg [1:0] VAR19,VAR30;
reg [1:0] VAR18,VAR22;
localparam VAR38 = 2'b00; localparam VAR35 = 2'b01; localparam VAR32 = 2'b10; localparam VAR3 = 2'b11;
always @*
case( VAR39[1:0] )
VAR38: dout = { 3'b000, VAR6[20:16] };
VAR35: dout = VAR6[15:8];
VAR32: dout = VAR6[7:0];
VAR3: dout = { VAR34, 7'VAR33 };
endcase
always @(posedge clk, negedge VAR9)
if( !VAR9 ) begin
VAR34 <= 1'b0;
end
else begin
if( VAR13 && VAR26 && (VAR39==VAR3) )
VAR34 <= din[7];
if( VAR14 && VAR34 )
end
VAR6 <= VAR6 + 21'd1; else if( VAR13 && VAR26 )
begin
if( VAR39==VAR38 )
VAR6[20:16] <= din[4:0];
end
else if( VAR39==VAR35 )
VAR6[15:8] <= din[7:0];
else if( VAR39==VAR32 )
VAR6[7:0] <= din[7:0];
end
end
always @(posedge clk)
begin
VAR8[2:0] <= { VAR8[1:0], VAR29 };
VAR17[2:0] <= { VAR17[1:0], VAR36 };
end
always @*
begin
VAR2 <= VAR8[1] && (!VAR8[2]);
VAR21 <= VAR17[1] && (!VAR17[2]);
VAR25 <= (!VAR8[1]) && VAR8[2];
VAR7 <= (!VAR17[1]) && VAR17[2];
end
always @* VAR28 = 1'b1;
localparam VAR20 = 0;
localparam VAR5 = 1;
always @(posedge clk, negedge VAR9)
if( !VAR9 )
VAR19 <= VAR20;
else if( !VAR34 )
VAR19 <= VAR20;
else
VAR19 <= VAR30;
always @*
case( VAR19 )
VAR20:
if( VAR25 && (!VAR37) )
VAR30 <= VAR5;
else
VAR30 <= VAR20;
VAR5:
if( VAR37 )
VAR30 <= VAR20;
else
VAR30 <= VAR5;
endcase
always @(posedge clk, negedge VAR9)
if( !VAR9 )
VAR10 <= 1'b0;
else if( !VAR34 )
VAR10 <= 1'b0;
else
case( VAR30 )
VAR20:
VAR10 <= 1'b0;
VAR5:
VAR10 <= 1'b1;
endcase
localparam VAR24 = 0;
localparam VAR15 = 1;
localparam VAR1 = 2;
always @(posedge clk, negedge VAR9)
if( !VAR9 )
VAR18 <= VAR24;
else if( !VAR34 )
VAR18 <= VAR24;
else
VAR18 <= VAR22;
always @*
case( VAR18 )
VAR24:
if( VAR2 )
VAR22 <= VAR15;
else
VAR22 <= VAR24;
VAR15:
if( VAR14 && (!VAR2) )
VAR22 <= VAR24;
else if( (!VAR14) && VAR2 )
VAR22 <= VAR1;
else VAR22 <= VAR15;
VAR1:
if( VAR14 )
VAR22 <= VAR15;
else
VAR22 <= VAR1;
endcase
always @(posedge clk, negedge VAR9)
if( !VAR9 )
VAR27 <= 1'b0;
else
case( VAR22 )
VAR24:
VAR27 <= 1'b0;
VAR15:
VAR27 <= 1'b1;
VAR1:
VAR27 <= 1'b1;
endcase
always @* VAR23 <= (VAR27 | VAR2) & VAR34;
always @(posedge clk) if( VAR37 ) VAR16 <= VAR31;
always @(posedge clk)
begin
if( VAR25 && VAR37 ) VAR11 <= VAR31;
end
else if( VAR37 && VAR10 ) VAR11 <= VAR31;
else if( VAR25 )
VAR11 <= VAR16; end
endmodule
|
gpl-3.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/system/synthesis/submodules/lsu_pipelined.v
| 18,756 |
module MODULE1
(
clk, reset, VAR8, VAR54, VAR78, VAR59, VAR32, VAR72, VAR45,
VAR25, VAR49, VAR64, VAR5, VAR36, VAR55,
VAR38,
VAR57,
VAR51
);
parameter VAR33=32; parameter VAR11=4; parameter VAR27=32; parameter VAR68=2; parameter VAR44=32; parameter VAR83=0;
parameter VAR23=6; parameter VAR21=1;
parameter VAR3=1;
parameter VAR74=32;
parameter VAR86=0;
parameter VAR80=0; parameter VAR28=1;
localparam VAR58=VAR46(VAR74);
localparam VAR76=2**(VAR23-1);
localparam VAR70=8*VAR11;
localparam VAR47=8*VAR27;
localparam VAR73=VAR46(VAR27);
localparam VAR37=VAR73-VAR68;
localparam VAR9=VAR44+(VAR83 ? 0 : 1);
localparam VAR79=VAR83 ? VAR46(VAR9+1+VAR76) : VAR46(VAR9+1);
input clk;
input reset;
output VAR8;
input VAR54;
input [VAR33-1:0] VAR78;
input [VAR23-1:0] VAR59;
input VAR32;
output VAR72;
output [VAR70-1:0] VAR45;
output reg VAR25;
output [VAR33-1:0] VAR49;
output VAR64;
input [VAR47-1:0] VAR5;
input VAR36;
output [VAR27-1:0] VAR55;
input VAR38;
output [VAR23-1:0] VAR51;
output [VAR58-1:0] VAR57;
wire VAR81;
wire [VAR33-1:0] VAR29;
wire VAR24;
wire [VAR23-1:0] VAR88;
wire VAR93;
wire VAR52;
wire [VAR73-1:0] VAR20;
wire ready;
wire VAR39;
localparam VAR60=VAR21 ? VAR46(VAR74) : 0;
wire [VAR60-1:0] VAR61;
generate
if (VAR21)
assign VAR57[VAR60-1:0] = VAR61;
genvar VAR94;
for(VAR94 = VAR60; VAR94 < VAR58; VAR94 = VAR94 + 1)
begin: VAR41
assign VAR57[VAR94] = 1'b0;
end
endgenerate
generate
if(VAR21 && VAR80)
begin
wire VAR34;
wire VAR31;
wire [VAR33+VAR23-1:0] VAR10;
VAR84 #(
.VAR12(VAR33+VAR23),
.VAR18(VAR74)
) VAR30 (
.VAR82(clk),
.VAR50(!reset),
.VAR66( {VAR78,VAR59} ),
.VAR90( VAR10 ),
.VAR63( VAR54 ),
.VAR19( VAR31 ),
.VAR35( VAR34 ),
.VAR53( VAR8 ),
.VAR91( VAR61 )
);
VAR42 #(
.VAR12(VAR33+VAR23),
.VAR18(2),
.VAR15("VAR22")
) VAR13 (
.VAR82(clk),
.VAR50(!reset),
.VAR66( VAR10 ),
.VAR63( VAR31 ),
.VAR90( {VAR29,VAR88} ),
.VAR19( VAR81 ),
.VAR35( VAR24 ),
.VAR53( VAR34 )
);
end
else if(VAR21 && !VAR80)
begin
VAR84 #(
.VAR12(VAR33+VAR23),
.VAR18(VAR74)
) VAR30 (
.VAR82(clk),
.VAR50(!reset),
.VAR66( {VAR78,VAR59} ),
.VAR90( {VAR29,VAR88} ),
.VAR63( VAR54 ),
.VAR19( VAR81 ),
.VAR35( VAR24 ),
.VAR53( VAR8 ),
.VAR91( VAR61 )
);
end
else if(VAR86)
begin
reg VAR17;
reg [VAR33-1:0] VAR4;
reg [VAR23-1:0] VAR77;
assign VAR8 = VAR17 && VAR24;
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
VAR17 <= 1'b0;
end
else
begin
if (!VAR8)
begin
VAR17 <= VAR54;
VAR4 <= VAR78;
VAR77 <= VAR59;
end
end
end
assign VAR81 = VAR17;
assign VAR29 = VAR4;
assign VAR88 = VAR77;
end
else
begin
assign VAR81 = VAR54;
assign VAR29 = VAR78;
assign VAR8 = VAR24;
assign VAR88 = VAR59;
end
endgenerate
reg [VAR79-1:0] counter;
wire VAR62, VAR2;
assign VAR62 = VAR93;
assign VAR2 = VAR52;
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
begin
counter <= {VAR79{1'b0}};
VAR25 <= 1'b0;
end
else
begin
VAR25 <= (counter != {VAR79{1'b0}});
if (VAR83==1)
counter <= counter + (VAR62 ? VAR88 : 0) - VAR2;
end
else
counter <= counter + VAR62 - VAR2;
end
end
generate
if(VAR83)
assign ready = ((counter+VAR88) <= VAR9);
else
assign ready = (counter <= (VAR9-1));
endgenerate
assign VAR24 = !ready || VAR39;
reg VAR14;
reg [VAR47-1:0] VAR85;
generate
if(VAR80)
begin
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
begin
VAR85 <= 'VAR43;
VAR14 <= 1'b0;
end
else
begin
VAR85 <= VAR5;
VAR14 <= VAR38;
end
end
end
else
begin
always@
begin
VAR71 = {VAR47{1'VAR48}};
VAR71[VAR69*VAR16 +: VAR70] = VAR26;
VAR55 = {VAR27{1'b0}};
VAR55[VAR69*VAR67 +: VAR11] = VAR6;
end
end
else
begin
always@(*)
begin
VAR71 = VAR26;
VAR55 = VAR6;
end
end
endgenerate
reg [VAR79-1:0] VAR40; wire VAR7, VAR56;
reg [VAR79-1:0] VAR92; wire VAR89, VAR65;
assign VAR7 = VAR87;
assign VAR56 = VAR72 && !VAR32;
assign VAR89 = VAR1;
assign VAR65 = VAR72 && !VAR32;
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
begin
VAR40 <= {VAR79{1'b0}};
VAR92 <= {VAR79{1'b0}};
VAR25 <= 1'b0;
end
else
begin
VAR40 <= VAR40 + { {(VAR79-1){!VAR7 && VAR56}}, (VAR7 ^ VAR56) };
VAR92 <= VAR92 + { {(VAR79-1){!VAR89 && VAR65}}, (VAR89 ^ VAR65) };
VAR25 <= (VAR40 != {VAR79{1'b0}});
end
end
assign ready = (VAR40 != {VAR79{1'b1}});
assign VAR87 = VAR75 && !VAR36;
assign VAR24 = !ready || VAR36;
assign VAR72 = (VAR92 != {VAR79{1'b0}});
endmodule
|
mit
|
Obijuan/open-fpga-verilog-tutorial
|
tutorial/ICESTICK/T19-secnotas/secnotas.v
| 1,768 |
module MODULE1(input wire clk, output reg VAR13);
parameter VAR5 = VAR16;
parameter VAR1 = VAR14;
parameter VAR9 = VAR18;
parameter VAR17 = VAR7;
wire VAR15, VAR6, VAR10;
reg [1:0] sel = 0;
wire VAR2;
VAR19 #(VAR5)
VAR12 (
.VAR3(clk),
.VAR8(VAR15)
);
VAR19 #(VAR1)
VAR4 (
.VAR3(clk),
.VAR8(VAR6)
);
VAR19 #(VAR9)
VAR20 (
.VAR3(clk),
.VAR8(VAR10)
);
always @*
case (sel)
0 : VAR13 <= VAR15;
1 : VAR13 <= VAR6;
2 : VAR13 <= VAR10;
3 : VAR13 <= 0;
default : VAR13 <= 0;
endcase
always @(posedge VAR2)
sel <= sel + 1;
VAR19 #(VAR17)
VAR11 (
.VAR3(clk),
.VAR8(VAR2)
);
endmodule
|
gpl-2.0
|
colinww/spi-core-generator
|
source/negedge_sync.v
| 1,118 |
module MODULE1 (VAR3, VAR4, VAR1);
input VAR3;
input VAR4;
output VAR1;
reg VAR2;
reg VAR1;
|
gpl-3.0
|
jefg89/proyecto_final_prototipado
|
ProyectoFinal/SOC/synthesis/submodules/SoC_nios2_qsys_0_jtag_debug_module_wrapper.v
| 10,146 |
module MODULE1 (
VAR19,
VAR48,
clk,
VAR54,
VAR57,
VAR29,
VAR41,
VAR6,
VAR43,
VAR11,
VAR50,
VAR4,
VAR21,
VAR47,
VAR15,
VAR58,
VAR51,
VAR35,
VAR9,
VAR26,
VAR37,
VAR18,
VAR10,
VAR52,
VAR49,
VAR16,
VAR17,
VAR56,
VAR55,
VAR39,
VAR2,
VAR44,
VAR13,
VAR24,
VAR31,
VAR42
)
;
output [ 37: 0] VAR37;
output VAR18;
output VAR10;
output VAR52;
output VAR49;
output VAR16;
output VAR17;
output VAR56;
output VAR55;
output VAR39;
output VAR2;
output VAR44;
output VAR13;
output VAR24;
output VAR31;
output VAR42;
input [ 31: 0] VAR19;
input [ 31: 0] VAR48;
input clk;
input VAR54;
input VAR57;
input VAR29;
input VAR41;
input VAR6;
input VAR43;
input VAR11;
input VAR50;
input VAR4;
input VAR21;
input [ 35: 0] VAR47;
input VAR15;
input [ 6: 0] VAR58;
input VAR51;
input VAR35;
input VAR9;
input VAR26;
wire [ 37: 0] VAR37;
wire VAR18;
wire [ 37: 0] VAR12;
wire VAR10;
wire VAR52;
wire VAR49;
wire VAR16;
wire VAR17;
wire VAR56;
wire VAR55;
wire VAR39;
wire VAR2;
wire VAR44;
wire VAR13;
wire VAR24;
wire VAR31;
wire VAR42;
wire VAR33;
wire [ 1: 0] VAR36;
wire [ 1: 0] VAR45;
wire VAR1;
wire VAR23;
wire VAR8;
wire VAR32;
wire VAR20;
wire VAR38;
wire VAR60;
VAR7 VAR28
(
.VAR19 (VAR19),
.VAR48 (VAR48),
.VAR54 (VAR54),
.VAR57 (VAR57),
.VAR29 (VAR29),
.VAR41 (VAR41),
.VAR6 (VAR6),
.VAR46 (VAR36),
.VAR25 (VAR45),
.VAR18 (VAR18),
.VAR14 (VAR1),
.VAR43 (VAR43),
.VAR11 (VAR11),
.VAR50 (VAR50),
.VAR4 (VAR4),
.VAR12 (VAR12),
.VAR10 (VAR10),
.VAR53 (VAR8),
.VAR40 (VAR32),
.VAR34 (VAR20),
.VAR21 (VAR21),
.VAR47 (VAR47),
.VAR15 (VAR15),
.VAR58 (VAR58),
.VAR51 (VAR51),
.VAR35 (VAR35),
.VAR9 (VAR9),
.VAR26 (VAR26),
.VAR59 (VAR33),
.VAR3 (VAR23),
.VAR5 (VAR60)
);
VAR22 VAR27
(
.clk (clk),
.VAR46 (VAR36),
.VAR37 (VAR37),
.VAR12 (VAR12),
.VAR52 (VAR52),
.VAR49 (VAR49),
.VAR16 (VAR16),
.VAR17 (VAR17),
.VAR56 (VAR56),
.VAR55 (VAR55),
.VAR39 (VAR39),
.VAR2 (VAR2),
.VAR44 (VAR44),
.VAR13 (VAR13),
.VAR24 (VAR24),
.VAR31 (VAR31),
.VAR42 (VAR42),
.VAR30 (VAR38),
.VAR5 (VAR60)
);
assign VAR8 = 1'b0;
assign VAR32 = 1'b0;
assign VAR23 = 1'b0;
assign VAR33 = 1'b0;
assign VAR1 = 1'b0;
assign VAR60 = 1'b0;
assign VAR38 = 1'b0;
assign VAR36 = 2'b0;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/tapvgnd/sky130_fd_sc_hd__tapvgnd.blackbox.v
| 1,249 |
module MODULE1 ();
supply1 VAR1;
supply0 VAR2;
supply1 VAR3 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkinv/sky130_fd_sc_hs__clkinv.functional.v
| 1,663 |
module MODULE1 (
VAR4,
VAR10,
VAR6 ,
VAR3
);
input VAR4;
input VAR10;
output VAR6 ;
input VAR3 ;
wire VAR1 ;
wire VAR5;
not VAR7 (VAR1 , VAR3 );
VAR2 VAR9 (VAR5, VAR1, VAR4, VAR10);
buf VAR8 (VAR6 , VAR5 );
endmodule
|
apache-2.0
|
tmolteno/TART
|
hardware/FPGA/ddrmem/readpath.v
| 2,906 |
module MODULE1 (
VAR20,
VAR9,
);
parameter VAR22 = 16;
parameter VAR19 = 2;
input VAR20;
input VAR9;
input [VAR19-1:0] VAR3;
input [VAR22-1:0] VAR8;
input VAR10;
output VAR4;
output [VAR22*2-1:0] VAR26;
wire VAR15 = VAR3 [0];
wire VAR16 = VAR3 [1];
wire VAR31 = ~VAR3 [0];
wire VAR13 = ~VAR3 [1];
VAR21 VAR23 (
.VAR18 (VAR15),
.delay (delay),
.VAR2 (VAR29)
);
VAR21 VAR25 (
.VAR18 (VAR16),
.delay (delay),
.VAR2 (VAR7)
);
VAR21 VAR14 (
.VAR18 (VAR31),
.delay (delay),
.VAR2 (VAR24)
);
VAR21 VAR17 (
.VAR18 (VAR13),
.delay (delay),
.VAR2 (VAR30)
);
VAR11 VAR5 (
.VAR12 (VAR15),
.VAR6 (1'b1),
.VAR27 (VAR8 [7:0])
.VAR28 (VAR20),
.VAR10 (VAR10),
.VAR26 (VAR26 [7:0]),
.VAR1 (VAR4)
);
endmodule
|
lgpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/oai221/gf180mcu_fd_sc_mcu7t5v0__oai221_4.behavioral.pp.v
| 3,372 |
module MODULE1( VAR4, VAR1, VAR7, VAR11, VAR6, VAR5, VAR10, VAR8 );
input VAR6, VAR5, VAR1, VAR7, VAR11;
inout VAR10, VAR8;
output VAR4;
VAR3 VAR2(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR11(VAR11),.VAR6(VAR6),.VAR5(VAR5),.VAR10(VAR10),.VAR8(VAR8));
VAR3 VAR9(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR11(VAR11),.VAR6(VAR6),.VAR5(VAR5),.VAR10(VAR10),.VAR8(VAR8));
|
apache-2.0
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v
| 9,815 |
module MODULE1 #
(
parameter VAR10 = "VAR6",
parameter integer VAR7 = 4,
parameter integer VAR35 = 2,
parameter integer VAR14 = 1
)
(
input wire [VAR35-1:0] VAR24,
input wire [VAR7*VAR14-1:0] VAR27,
output wire [VAR14-1:0] VAR2,
input wire VAR37
);
wire [VAR14-1:0] VAR38;
genvar VAR9;
function [VAR14-1:0] VAR36
(
input [VAR35-1:0] VAR20,
input [VAR7*VAR14-1:0] VAR31
);
integer VAR32;
reg [VAR7*VAR14-1:0] VAR21;
begin
VAR21[VAR14-1:0] = {VAR14{(VAR20==0)?1'b1:1'b0}} & VAR31[VAR14-1:0];
for (VAR32=1;VAR32<VAR7;VAR32=VAR32+1) begin : VAR25
VAR21[VAR32*VAR14 +: VAR14] =
VAR21[(VAR32-1)*VAR14 +: VAR14] |
({VAR14{(VAR20==VAR32)?1'b1:1'b0}} & VAR31[VAR32*VAR14 +: VAR14]);
end
VAR36 = VAR21[VAR14*VAR7-1:VAR14*(VAR7-1)];
end
endfunction
function [VAR14-1:0] VAR5
(
input [1:0] VAR20,
input [4*VAR14-1:0] VAR31
);
integer VAR32;
reg [4*VAR14-1:0] VAR21;
begin
VAR21[VAR14-1:0] = {VAR14{(VAR20==0)?1'b1:1'b0}} & VAR31[VAR14-1:0];
for (VAR32=1;VAR32<4;VAR32=VAR32+1) begin : VAR25
VAR21[VAR32*VAR14 +: VAR14] =
VAR21[(VAR32-1)*VAR14 +: VAR14] |
({VAR14{(VAR20==VAR32)?1'b1:1'b0}} & VAR31[VAR32*VAR14 +: VAR14]);
end
VAR5 = VAR21[VAR14*4-1:VAR14*3];
end
endfunction
assign VAR2 = VAR38 & {VAR14{VAR37}};
generate
if ( VAR7 < 2 ) begin : VAR8
assign VAR38 = VAR27;
end else if ( VAR10 == "VAR6" || VAR7 < 5 ) begin : VAR22
assign VAR38 = VAR36(VAR24, VAR27);
end else begin : VAR23
wire [VAR14-1:0] VAR13;
wire [VAR14-1:0] VAR17;
wire [VAR14-1:0] VAR15;
wire [VAR14-1:0] VAR33;
wire [VAR14-1:0] VAR16;
wire [VAR14-1:0] VAR34;
case (VAR7)
1, 5, 9, 13:
assign VAR34 = VAR27[(VAR7-1)*VAR14 +: VAR14];
2, 6, 10, 14:
assign VAR34 = VAR24[0] ?
VAR27[(VAR7-1)*VAR14 +: VAR14] :
VAR27[(VAR7-2)*VAR14 +: VAR14] ;
3, 7, 11, 15:
assign VAR34 = VAR24[1] ?
VAR27[(VAR7-1)*VAR14 +: VAR14] :
(VAR24[0] ?
VAR27[(VAR7-2)*VAR14 +: VAR14] :
VAR27[(VAR7-3)*VAR14 +: VAR14] );
4, 8, 12, 16:
assign VAR34 = VAR24[1] ?
(VAR24[0] ?
VAR27[(VAR7-1)*VAR14 +: VAR14] :
VAR27[(VAR7-2)*VAR14 +: VAR14] ) :
(VAR24[0] ?
VAR27[(VAR7-3)*VAR14 +: VAR14] :
VAR27[(VAR7-4)*VAR14 +: VAR14] );
17:
assign VAR34 = VAR24[1] ?
(VAR24[0] ?
VAR27[15*VAR14 +: VAR14] :
VAR27[14*VAR14 +: VAR14] ) :
(VAR24[0] ?
VAR27[13*VAR14 +: VAR14] :
VAR27[12*VAR14 +: VAR14] );
default:
assign VAR34 = 0;
endcase
case (VAR7)
5, 6, 7, 8: begin
assign VAR13 = VAR5(VAR24[1:0], VAR27[0 +: 4*VAR14]);
for (VAR9 = 0; VAR9 < VAR14 ; VAR9 = VAR9 + 1) begin : VAR4
VAR11 VAR30
(
.VAR12 (VAR13[VAR9]),
.VAR1 (VAR34[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR38[VAR9])
);
end
end
9, 10, 11, 12: begin
assign VAR15 = VAR5(VAR24[1:0], VAR27[0 +: 4*VAR14]);
assign VAR33 = VAR5(VAR24[1:0], VAR27[4*VAR14 +: 4*VAR14]);
for (VAR9 = 0; VAR9 < VAR14 ; VAR9 = VAR9 + 1) begin : VAR39
VAR11 VAR3
(
.VAR12 (VAR15[VAR9]),
.VAR1 (VAR33[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR13[VAR9])
);
VAR18 VAR28
(
.VAR12 (VAR13[VAR9]),
.VAR1 (VAR34[VAR9]),
.VAR24 (VAR24[3]),
.VAR2 (VAR38[VAR9])
);
end
end
13,14,15,16: begin
assign VAR15 = VAR5(VAR24[1:0], VAR27[0 +: 4*VAR14]);
assign VAR33 = VAR5(VAR24[1:0], VAR27[4*VAR14 +: 4*VAR14]);
assign VAR16 = VAR5(VAR24[1:0], VAR27[8*VAR14 +: 4*VAR14]);
for (VAR9 = 0; VAR9 < VAR14 ; VAR9 = VAR9 + 1) begin : VAR19
VAR11 VAR3
(
.VAR12 (VAR15[VAR9]),
.VAR1 (VAR33[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR13[VAR9])
);
VAR11 VAR29
(
.VAR12 (VAR16[VAR9]),
.VAR1 (VAR34[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR17[VAR9])
);
VAR18 VAR28
(
.VAR12 (VAR13[VAR9]),
.VAR1 (VAR17[VAR9]),
.VAR24 (VAR24[3]),
.VAR2 (VAR38[VAR9])
);
end
end
17: begin
assign VAR15 = VAR24[4] ? VAR27[16*VAR14 +: VAR14] : VAR5(VAR24[1:0], VAR27[0 +: 4*VAR14]); assign VAR33 = VAR5(VAR24[1:0], VAR27[4*VAR14 +: 4*VAR14]);
assign VAR16 = VAR5(VAR24[1:0], VAR27[8*VAR14 +: 4*VAR14]);
for (VAR9 = 0; VAR9 < VAR14 ; VAR9 = VAR9 + 1) begin : VAR26
VAR11 VAR3
(
.VAR12 (VAR15[VAR9]),
.VAR1 (VAR33[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR13[VAR9])
);
VAR11 VAR29
(
.VAR12 (VAR16[VAR9]),
.VAR1 (VAR34[VAR9]),
.VAR24 (VAR24[2]),
.VAR2 (VAR17[VAR9])
);
VAR18 VAR28
(
.VAR12 (VAR13[VAR9]),
.VAR1 (VAR17[VAR9]),
.VAR24 (VAR24[3]),
.VAR2 (VAR38[VAR9])
);
end
end
default: assign VAR38 = VAR36(VAR24, VAR27);
endcase
end endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfxbp/sky130_fd_sc_ms__dfxbp.functional.pp.v
| 1,767 |
module MODULE1 (
VAR5 ,
VAR6 ,
VAR7 ,
VAR1 ,
VAR9,
VAR13,
VAR10 ,
VAR8
);
output VAR5 ;
output VAR6 ;
input VAR7 ;
input VAR1 ;
input VAR9;
input VAR13;
input VAR10 ;
input VAR8 ;
wire VAR3;
VAR11 VAR2 VAR12 (VAR3 , VAR1, VAR7, , VAR9, VAR13);
buf VAR14 (VAR5 , VAR3 );
not VAR4 (VAR6 , VAR3 );
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.