repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
alonso193/proyecto1
|
sintetizado/DATAblock.v
| 88,509 |
module \VAR62\MODULE6\VAR36=32 (VAR23, VAR46, VAR65, VAR77, VAR47, VAR40);
wire [8:0] 000;
wire [31:0] 001;
wire 002;
wire 003;
wire 004;
wire 005;
wire 006;
wire 007;
wire 008;
wire 009;
wire 010;
wire 011;
wire 012;
wire 013;
wire 014;
wire 015;
wire 016;
wire 017;
wire 018;
wire 019;
wire 020;
wire 021;
wire 022;
wire 023;
wire 024;
wire 025;
wire 026;
wire 027;
wire 028;
wire 029;
wire 030;
wire 031;
wire 032;
wire 033;
wire 034;
wire 035;
wire 036;
wire 037;
wire 038;
wire 039;
wire 040;
wire 041;
wire 042;
wire 043;
wire 044;
wire 045;
wire 046;
wire 047;
wire 048;
wire 049;
wire 050;
wire 051;
wire 052;
wire 053;
wire 054;
wire 055;
wire 056;
wire 057;
wire 058;
wire 059;
wire 060;
wire 061;
wire 062;
wire 063;
wire 064;
wire 065;
wire 066;
wire 067;
wire 068;
wire 069;
wire 070;
wire 071;
wire 072;
wire 073;
wire 074;
wire 075;
wire 076;
wire 077;
wire 078;
wire 079;
wire 080;
wire 081;
wire 082;
wire 083;
wire 084;
wire 085;
wire 086;
wire 087;
wire 088;
wire 089;
wire 090;
wire 091;
wire 092;
wire 093;
wire 094;
wire 095;
wire 096;
wire 097;
wire 098;
wire 099;
wire 100;
wire 101;
wire 102;
wire 103;
wire 104;
wire 105;
wire 106;
wire 107;
wire 108;
wire 109;
wire 110;
wire 111;
wire 112;
wire 113;
wire 114;
wire 115;
wire 116;
wire 117;
wire 118;
wire 119;
wire 120;
wire 121;
wire 122;
wire 123;
wire 124;
wire 125;
wire 126;
wire 127;
wire 128;
wire 129;
wire 130;
wire 131;
wire 132;
wire 133;
wire 134;
wire 135;
wire 136;
wire 137;
wire 138;
wire 139;
wire 140;
wire 141;
wire 142;
wire 143;
wire 144;
wire 145;
wire 146;
wire 147;
wire 148;
wire 149;
wire 150;
wire 151;
wire 152;
wire 153;
wire 154;
wire 155;
wire 156;
wire 157;
wire 158;
wire 159;
wire 160;
wire 161;
wire 162;
wire 163;
wire 164;
wire 165;
wire 166;
wire 167;
wire 168;
wire 169;
wire 170;
wire 171;
wire 172;
wire 173;
wire 174;
wire 175;
wire 176;
wire 177;
wire 178;
wire 179;
wire 180;
wire 181;
wire 182;
wire 183;
wire 184;
wire 185;
wire 186;
wire 187;
wire 188;
wire 189;
wire 190;
wire 191;
wire 192;
wire 193;
wire 194;
wire 195;
wire 196;
wire 197;
wire 198;
wire 199;
wire 200;
wire 201;
wire 202;
wire 203;
wire 204;
wire 205;
wire 206;
wire 207;
wire 208;
wire 209;
wire 210;
wire 211;
wire 212;
wire 213;
wire 214;
wire 215;
wire 216;
wire 217;
wire 218;
wire 219;
wire 220;
wire 221;
wire 222;
wire 223;
wire 224;
wire 225;
wire 226;
wire 227;
wire 228;
wire 229;
wire 230;
wire 231;
wire 232;
wire 233;
wire 234;
wire 235;
wire 236;
wire 237;
wire 238;
wire 239;
wire 240;
wire 241;
wire 242;
wire 243;
wire 244;
wire 245;
wire 246;
wire 247;
wire 248;
wire 249;
wire 250;
wire 251;
wire 252;
wire 253;
wire 254;
wire 255;
wire 256;
wire 257;
wire 258;
wire 259;
wire 260;
wire 261;
wire 262;
wire 263;
wire 264;
wire 265;
wire 266;
wire 267;
wire 268;
wire 269;
wire 270;
wire 271;
wire 272;
wire 273;
wire 274;
wire 275;
wire 276;
wire 277;
wire 278;
wire 279;
wire 280;
wire 281;
wire 282;
wire 283;
wire 284;
wire 285;
wire 286;
wire 287;
wire 288;
wire 289;
wire 290;
wire 291;
wire 292;
wire 293;
wire 294;
wire 295;
wire 296;
wire 297;
wire 298;
wire 299;
wire 300;
wire 301;
wire 302;
wire 303;
wire 304;
wire 305;
wire 306;
wire 307;
wire 308;
wire 309;
wire 310;
wire 311;
wire 312;
wire 313;
wire 314;
wire 315;
wire 316;
wire 317;
wire 318;
wire 319;
wire 320;
wire 321;
wire 322;
wire 323;
wire 324;
wire [31:0] 325;
wire 326;
wire 327;
wire 328;
wire 329;
wire 330;
wire 331;
wire 332;
wire 333;
wire 334;
wire 335;
wire 336;
wire 337;
wire 338;
wire 339;
wire 340;
wire 341;
wire 342;
wire 343;
wire 344;
wire 345;
wire 346;
wire 347;
wire 348;
wire 349;
wire 350;
wire 351;
wire 352;
wire 353;
wire 354;
wire 355;
wire 356;
wire 357;
wire 358;
wire 359;
wire 360;
wire 361;
wire 362;
wire 363;
wire 364;
wire 365;
wire 366;
wire 367;
wire [3:0] 368;
wire [1:0] 369;
wire 370;
wire [8:0] 371;
wire 372;
wire 373;
wire [31:0] 374;
wire [8:0] 375;
wire [31:0] 376;
wire 377;
wire 378;
wire 379;
wire 380;
input VAR77;
input VAR65;
wire [8:0] VAR10;
input VAR46;
output [31:0] VAR40;
output VAR47;
input VAR23;
VAR3 381 (
.VAR63(003),
.VAR43(004)
);
VAR3 382 (
.VAR63(130),
.VAR43(024)
);
VAR48 383 (
.VAR63(024),
.VAR6(111),
.VAR43(025)
);
VAR57 384 (
.VAR63(055),
.VAR6(314),
.VAR43(026)
);
VAR48 385 (
.VAR63(026),
.VAR6(044),
.VAR43(027)
);
VAR57 386 (
.VAR63(034),
.VAR6(030),
.VAR43(028)
);
VAR3 387 (
.VAR63(139),
.VAR43(029)
);
VAR3 388 (
.VAR63(226),
.VAR43(031)
);
VAR48 389 (
.VAR63(031),
.VAR6(029),
.VAR43(033)
);
VAR3 390 (
.VAR63(291),
.VAR43(035)
);
VAR3 391 (
.VAR63(307),
.VAR43(037)
);
VAR48 392 (
.VAR63(037),
.VAR6(035),
.VAR43(039)
);
VAR57 393 (
.VAR63(039),
.VAR6(033),
.VAR43(041)
);
VAR48 394 (
.VAR63(041),
.VAR6(028),
.VAR43(043)
);
VAR57 395 (
.VAR63(043),
.VAR6(027),
.VAR43(045)
);
VAR48 396 (
.VAR63(045),
.VAR6(130),
.VAR43(047)
);
VAR48 397 (
.VAR63(047),
.VAR6(025),
.VAR43(140)
);
VAR3 398 (
.VAR63(158),
.VAR43(050)
);
VAR57 399 (
.VAR63(045),
.VAR6(050),
.VAR43(052)
);
VAR3 400 (
.VAR63(149),
.VAR43(054)
);
VAR3 401 (
.VAR63(027),
.VAR43(057)
);
VAR3 402 (
.VAR63(028),
.VAR43(059)
);
VAR57 403 (
.VAR63(226),
.VAR6(139),
.VAR43(061)
);
VAR57 404 (
.VAR63(307),
.VAR6(291),
.VAR43(063)
);
VAR48 405 (
.VAR63(063),
.VAR6(061),
.VAR43(065)
);
VAR57 406 (
.VAR63(065),
.VAR6(059),
.VAR43(067)
);
VAR48 407 (
.VAR63(067),
.VAR6(057),
.VAR43(069)
);
VAR57 408 (
.VAR63(069),
.VAR6(054),
.VAR43(071)
);
VAR57 409 (
.VAR63(071),
.VAR6(052),
.VAR43(073)
);
VAR57 410 (
.VAR63(073),
.VAR6(024),
.VAR43(311)
);
VAR3 411 (
.VAR63(175),
.VAR43(076)
);
VAR57 412 (
.VAR63(045),
.VAR6(076),
.VAR43(078)
);
VAR57 413 (
.VAR63(069),
.VAR6(050),
.VAR43(080)
);
VAR57 414 (
.VAR63(080),
.VAR6(078),
.VAR43(082)
);
VAR57 415 (
.VAR63(082),
.VAR6(024),
.VAR43(312)
);
VAR3 416 (
.VAR63(192),
.VAR43(085)
);
VAR57 417 (
.VAR63(045),
.VAR6(085),
.VAR43(087)
);
VAR57 418 (
.VAR63(069),
.VAR6(076),
.VAR43(089)
);
VAR57 419 (
.VAR63(089),
.VAR6(087),
.VAR43(091)
);
VAR57 420 (
.VAR63(091),
.VAR6(024),
.VAR43(313)
);
VAR3 421 (
.VAR63(209),
.VAR43(094)
);
VAR57 422 (
.VAR63(045),
.VAR6(094),
.VAR43(096)
);
VAR57 423 (
.VAR63(069),
.VAR6(085),
.VAR43(098)
);
VAR57 424 (
.VAR63(098),
.VAR6(096),
.VAR43(100)
);
VAR57 425 (
.VAR63(100),
.VAR6(024),
.VAR43(315)
);
VAR3 426 (
.VAR63(227),
.VAR43(103)
);
VAR57 427 (
.VAR63(045),
.VAR6(103),
.VAR43(105)
);
VAR57 428 (
.VAR63(069),
.VAR6(094),
.VAR43(107)
);
VAR57 429 (
.VAR63(107),
.VAR6(105),
.VAR43(109)
);
VAR57 430 (
.VAR63(109),
.VAR6(024),
.VAR43(316)
);
VAR3 431 (
.VAR63(244),
.VAR43(113)
);
VAR57 432 (
.VAR63(045),
.VAR6(113),
.VAR43(115)
);
VAR57 433 (
.VAR63(069),
.VAR6(103),
.VAR43(117)
);
VAR57 434 (
.VAR63(117),
.VAR6(115),
.VAR43(119)
);
VAR57 435 (
.VAR63(119),
.VAR6(024),
.VAR43(317)
);
VAR3 436 (
.VAR63(262),
.VAR43(122)
);
VAR57 437 (
.VAR63(045),
.VAR6(122),
.VAR43(124)
);
VAR57 438 (
.VAR63(069),
.VAR6(113),
.VAR43(126)
);
VAR57 439 (
.VAR63(126),
.VAR6(124),
.VAR43(128)
);
VAR57 440 (
.VAR63(128),
.VAR6(024),
.VAR43(318)
);
VAR3 441 (
.VAR63(280),
.VAR43(131)
);
VAR57 442 (
.VAR63(045),
.VAR6(131),
.VAR43(132)
);
VAR57 443 (
.VAR63(069),
.VAR6(122),
.VAR43(133)
);
VAR57 444 (
.VAR63(133),
.VAR6(132),
.VAR43(134)
);
VAR57 445 (
.VAR63(134),
.VAR6(024),
.VAR43(319)
);
VAR3 446 (
.VAR63(285),
.VAR43(135)
);
VAR57 447 (
.VAR63(045),
.VAR6(135),
.VAR43(136)
);
VAR57 448 (
.VAR63(069),
.VAR6(131),
.VAR43(137)
);
VAR57 449 (
.VAR63(137),
.VAR6(136),
.VAR43(138)
);
VAR57 450 (
.VAR63(138),
.VAR6(024),
.VAR43(320)
);
VAR3 451 (
.VAR63(286),
.VAR43(141)
);
VAR57 452 (
.VAR63(045),
.VAR6(141),
.VAR43(142)
);
VAR57 453 (
.VAR63(069),
.VAR6(135),
.VAR43(143)
);
VAR57 454 (
.VAR63(143),
.VAR6(142),
.VAR43(144)
);
VAR57 455 (
.VAR63(144),
.VAR6(024),
.VAR43(321)
);
VAR3 456 (
.VAR63(287),
.VAR43(145)
);
VAR57 457 (
.VAR63(045),
.VAR6(145),
.VAR43(146)
);
VAR57 458 (
.VAR63(069),
.VAR6(141),
.VAR43(147)
);
VAR57 459 (
.VAR63(147),
.VAR6(146),
.VAR43(148)
);
VAR57 460 (
.VAR63(148),
.VAR6(024),
.VAR43(322)
);
VAR3 461 (
.VAR63(288),
.VAR43(150)
);
VAR57 462 (
.VAR63(045),
.VAR6(150),
.VAR43(151)
);
VAR57 463 (
.VAR63(069),
.VAR6(145),
.VAR43(152)
);
VAR57 464 (
.VAR63(152),
.VAR6(151),
.VAR43(153)
);
VAR57 465 (
.VAR63(153),
.VAR6(024),
.VAR43(323)
);
VAR3 466 (
.VAR63(289),
.VAR43(154)
);
VAR57 467 (
.VAR63(045),
.VAR6(154),
.VAR43(155)
);
VAR57 468 (
.VAR63(069),
.VAR6(150),
.VAR43(156)
);
VAR57 469 (
.VAR63(156),
.VAR6(155),
.VAR43(157)
);
VAR57 470 (
.VAR63(157),
.VAR6(024),
.VAR43(324)
);
VAR3 471 (
.VAR63(290),
.VAR43(159)
);
VAR57 472 (
.VAR63(045),
.VAR6(159),
.VAR43(160)
);
VAR57 473 (
.VAR63(069),
.VAR6(154),
.VAR43(161)
);
VAR57 474 (
.VAR63(161),
.VAR6(160),
.VAR43(162)
);
VAR57 475 (
.VAR63(162),
.VAR6(024),
.VAR43(005)
);
VAR3 476 (
.VAR63(292),
.VAR43(163)
);
VAR57 477 (
.VAR63(045),
.VAR6(163),
.VAR43(164)
);
VAR57 478 (
.VAR63(069),
.VAR6(159),
.VAR43(165)
);
VAR57 479 (
.VAR63(165),
.VAR6(164),
.VAR43(166)
);
VAR57 480 (
.VAR63(166),
.VAR6(024),
.VAR43(006)
);
VAR3 481 (
.VAR63(293),
.VAR43(167)
);
VAR57 482 (
.VAR63(045),
.VAR6(167),
.VAR43(168)
);
VAR57 483 (
.VAR63(069),
.VAR6(163),
.VAR43(169)
);
VAR57 484 (
.VAR63(169),
.VAR6(168),
.VAR43(170)
);
VAR57 485 (
.VAR63(170),
.VAR6(024),
.VAR43(007)
);
VAR3 486 (
.VAR63(294),
.VAR43(171)
);
VAR57 487 (
.VAR63(045),
.VAR6(171),
.VAR43(172)
);
VAR57 488 (
.VAR63(069),
.VAR6(167),
.VAR43(173)
);
VAR57 489 (
.VAR63(173),
.VAR6(172),
.VAR43(174)
);
VAR57 490 (
.VAR63(174),
.VAR6(024),
.VAR43(008)
);
VAR3 491 (
.VAR63(295),
.VAR43(176)
);
VAR57 492 (
.VAR63(045),
.VAR6(176),
.VAR43(177)
);
VAR57 493 (
.VAR63(069),
.VAR6(171),
.VAR43(178)
);
VAR57 494 (
.VAR63(178),
.VAR6(177),
.VAR43(179)
);
VAR57 495 (
.VAR63(179),
.VAR6(024),
.VAR43(009)
);
VAR3 496 (
.VAR63(296),
.VAR43(180)
);
VAR57 497 (
.VAR63(045),
.VAR6(180),
.VAR43(181)
);
VAR57 498 (
.VAR63(069),
.VAR6(176),
.VAR43(182)
);
VAR57 499 (
.VAR63(182),
.VAR6(181),
.VAR43(183)
);
VAR57 500 (
.VAR63(183),
.VAR6(024),
.VAR43(010)
);
VAR3 501 (
.VAR63(297),
.VAR43(184)
);
VAR57 502 (
.VAR63(045),
.VAR6(184),
.VAR43(185)
);
VAR57 503 (
.VAR63(069),
.VAR6(180),
.VAR43(186)
);
VAR57 504 (
.VAR63(186),
.VAR6(185),
.VAR43(187)
);
VAR57 505 (
.VAR63(187),
.VAR6(024),
.VAR43(011)
);
VAR3 506 (
.VAR63(298),
.VAR43(188)
);
VAR57 507 (
.VAR63(045),
.VAR6(188),
.VAR43(189)
);
VAR57 508 (
.VAR63(069),
.VAR6(184),
.VAR43(190)
);
VAR57 509 (
.VAR63(190),
.VAR6(189),
.VAR43(191)
);
VAR57 510 (
.VAR63(191),
.VAR6(024),
.VAR43(012)
);
VAR3 511 (
.VAR63(299),
.VAR43(193)
);
VAR57 512 (
.VAR63(045),
.VAR6(193),
.VAR43(194)
);
VAR57 513 (
.VAR63(069),
.VAR6(188),
.VAR43(195)
);
VAR57 514 (
.VAR63(195),
.VAR6(194),
.VAR43(196)
);
VAR57 515 (
.VAR63(196),
.VAR6(024),
.VAR43(013)
);
VAR3 516 (
.VAR63(300),
.VAR43(197)
);
VAR57 517 (
.VAR63(045),
.VAR6(197),
.VAR43(198)
);
VAR57 518 (
.VAR63(069),
.VAR6(193),
.VAR43(199)
);
VAR57 519 (
.VAR63(199),
.VAR6(198),
.VAR43(200)
);
VAR57 520 (
.VAR63(200),
.VAR6(024),
.VAR43(014)
);
VAR3 521 (
.VAR63(301),
.VAR43(201)
);
VAR57 522 (
.VAR63(045),
.VAR6(201),
.VAR43(202)
);
VAR57 523 (
.VAR63(069),
.VAR6(197),
.VAR43(203)
);
VAR57 524 (
.VAR63(203),
.VAR6(202),
.VAR43(204)
);
VAR57 525 (
.VAR63(204),
.VAR6(024),
.VAR43(015)
);
VAR3 526 (
.VAR63(302),
.VAR43(205)
);
VAR57 527 (
.VAR63(045),
.VAR6(205),
.VAR43(206)
);
VAR57 528 (
.VAR63(069),
.VAR6(201),
.VAR43(207)
);
VAR57 529 (
.VAR63(207),
.VAR6(206),
.VAR43(208)
);
VAR57 530 (
.VAR63(208),
.VAR6(024),
.VAR43(016)
);
VAR3 531 (
.VAR63(303),
.VAR43(210)
);
VAR57 532 (
.VAR63(045),
.VAR6(210),
.VAR43(211)
);
VAR57 533 (
.VAR63(069),
.VAR6(205),
.VAR43(212)
);
VAR57 534 (
.VAR63(212),
.VAR6(211),
.VAR43(213)
);
VAR57 535 (
.VAR63(213),
.VAR6(024),
.VAR43(017)
);
VAR3 536 (
.VAR63(304),
.VAR43(214)
);
VAR57 537 (
.VAR63(045),
.VAR6(214),
.VAR43(215)
);
VAR57 538 (
.VAR63(069),
.VAR6(210),
.VAR43(216)
);
VAR57 539 (
.VAR63(216),
.VAR6(215),
.VAR43(217)
);
VAR57 540 (
.VAR63(217),
.VAR6(024),
.VAR43(018)
);
VAR3 541 (
.VAR63(305),
.VAR43(218)
);
VAR57 542 (
.VAR63(045),
.VAR6(218),
.VAR43(219)
);
VAR57 543 (
.VAR63(069),
.VAR6(214),
.VAR43(220)
);
VAR57 544 (
.VAR63(220),
.VAR6(219),
.VAR43(221)
);
VAR57 545 (
.VAR63(221),
.VAR6(024),
.VAR43(019)
);
VAR3 546 (
.VAR63(306),
.VAR43(222)
);
VAR57 547 (
.VAR63(045),
.VAR6(222),
.VAR43(223)
);
VAR57 548 (
.VAR63(069),
.VAR6(218),
.VAR43(224)
);
VAR57 549 (
.VAR63(224),
.VAR6(223),
.VAR43(225)
);
VAR57 550 (
.VAR63(225),
.VAR6(024),
.VAR43(020)
);
VAR3 551 (
.VAR63(308),
.VAR43(228)
);
VAR57 552 (
.VAR63(045),
.VAR6(228),
.VAR43(229)
);
VAR57 553 (
.VAR63(069),
.VAR6(222),
.VAR43(230)
);
VAR57 554 (
.VAR63(230),
.VAR6(229),
.VAR43(231)
);
VAR57 555 (
.VAR63(231),
.VAR6(024),
.VAR43(021)
);
VAR3 556 (
.VAR63(309),
.VAR43(232)
);
VAR57 557 (
.VAR63(045),
.VAR6(232),
.VAR43(233)
);
VAR57 558 (
.VAR63(069),
.VAR6(228),
.VAR43(234)
);
VAR57 559 (
.VAR63(234),
.VAR6(233),
.VAR43(235)
);
VAR57 560 (
.VAR63(235),
.VAR6(024),
.VAR43(022)
);
VAR3 561 (
.VAR63(310),
.VAR43(236)
);
VAR57 562 (
.VAR63(045),
.VAR6(236),
.VAR43(237)
);
VAR57 563 (
.VAR63(069),
.VAR6(232),
.VAR43(238)
);
VAR57 564 (
.VAR63(238),
.VAR6(237),
.VAR43(239)
);
VAR57 565 (
.VAR63(239),
.VAR6(024),
.VAR43(023)
);
VAR48 566 (
.VAR63(030),
.VAR6(024),
.VAR43(240)
);
VAR57 567 (
.VAR63(045),
.VAR6(030),
.VAR43(241)
);
VAR48 568 (
.VAR63(241),
.VAR6(130),
.VAR43(242)
);
VAR48 569 (
.VAR63(242),
.VAR6(240),
.VAR43(032)
);
VAR3 570 (
.VAR63(030),
.VAR43(243)
);
VAR3 571 (
.VAR63(034),
.VAR43(245)
);
VAR57 572 (
.VAR63(245),
.VAR6(243),
.VAR43(246)
);
VAR57 573 (
.VAR63(246),
.VAR6(028),
.VAR43(247)
);
VAR57 574 (
.VAR63(247),
.VAR6(024),
.VAR43(248)
);
VAR57 575 (
.VAR63(034),
.VAR6(130),
.VAR43(249)
);
VAR57 576 (
.VAR63(249),
.VAR6(248),
.VAR43(036)
);
VAR48 577 (
.VAR63(246),
.VAR6(130),
.VAR43(250)
);
VAR57 578 (
.VAR63(250),
.VAR6(029),
.VAR43(251)
);
VAR48 579 (
.VAR63(034),
.VAR6(030),
.VAR43(252)
);
VAR57 580 (
.VAR63(252),
.VAR6(024),
.VAR43(253)
);
VAR57 581 (
.VAR63(253),
.VAR6(139),
.VAR43(254)
);
VAR57 582 (
.VAR63(254),
.VAR6(251),
.VAR43(038)
);
VAR57 583 (
.VAR63(251),
.VAR6(226),
.VAR43(255)
);
VAR48 584 (
.VAR63(226),
.VAR6(139),
.VAR43(256)
);
VAR57 585 (
.VAR63(256),
.VAR6(250),
.VAR43(257)
);
VAR57 586 (
.VAR63(257),
.VAR6(255),
.VAR43(040)
);
VAR57 587 (
.VAR63(257),
.VAR6(291),
.VAR43(258)
);
VAR3 588 (
.VAR63(256),
.VAR43(259)
);
VAR48 589 (
.VAR63(259),
.VAR6(253),
.VAR43(260)
);
VAR57 590 (
.VAR63(260),
.VAR6(035),
.VAR43(261)
);
VAR57 591 (
.VAR63(261),
.VAR6(258),
.VAR43(042)
);
VAR57 592 (
.VAR63(261),
.VAR6(044),
.VAR43(263)
);
VAR48 593 (
.VAR63(261),
.VAR6(044),
.VAR43(264)
);
VAR48 594 (
.VAR63(264),
.VAR6(047),
.VAR43(265)
);
VAR57 595 (
.VAR63(265),
.VAR6(263),
.VAR43(046)
);
VAR48 596 (
.VAR63(264),
.VAR6(307),
.VAR43(266)
);
VAR3 597 (
.VAR63(044),
.VAR43(267)
);
VAR48 598 (
.VAR63(257),
.VAR6(291),
.VAR43(268)
);
VAR57 599 (
.VAR63(268),
.VAR6(267),
.VAR43(269)
);
VAR48 600 (
.VAR63(269),
.VAR6(037),
.VAR43(270)
);
VAR48 601 (
.VAR63(270),
.VAR6(266),
.VAR43(048)
);
VAR3 602 (
.VAR63(314),
.VAR43(271)
);
VAR57 603 (
.VAR63(256),
.VAR6(252),
.VAR43(272)
);
VAR48 604 (
.VAR63(307),
.VAR6(291),
.VAR43(273)
);
VAR48 605 (
.VAR63(044),
.VAR6(130),
.VAR43(274)
);
VAR57 606 (
.VAR63(274),
.VAR6(273),
.VAR43(275)
);
VAR48 607 (
.VAR63(275),
.VAR6(272),
.VAR43(276)
);
VAR57 608 (
.VAR63(276),
.VAR6(271),
.VAR43(277)
);
VAR3 609 (
.VAR63(276),
.VAR43(278)
);
VAR57 610 (
.VAR63(278),
.VAR6(314),
.VAR43(279)
);
VAR57 611 (
.VAR63(279),
.VAR6(277),
.VAR43(049)
);
VAR57 612 (
.VAR63(277),
.VAR6(055),
.VAR43(281)
);
VAR3 613 (
.VAR63(055),
.VAR43(282)
);
VAR3 614 (
.VAR63(277),
.VAR43(283)
);
VAR57 615 (
.VAR63(283),
.VAR6(282),
.VAR43(284)
);
VAR57 616 (
.VAR63(284),
.VAR6(281),
.VAR43(051)
);
VAR26 617 (
.VAR63(004),
.VAR43(053)
);
VAR26 618 (
.VAR63(004),
.VAR43(056)
);
VAR26 619 (
.VAR63(004),
.VAR43(058)
);
VAR26 620 (
.VAR63(004),
.VAR43(060)
);
VAR26 621 (
.VAR63(004),
.VAR43(062)
);
VAR26 622 (
.VAR63(004),
.VAR43(064)
);
VAR26 623 (
.VAR63(004),
.VAR43(066)
);
VAR26 624 (
.VAR63(004),
.VAR43(068)
);
VAR26 625 (
.VAR63(004),
.VAR43(070)
);
VAR26 626 (
.VAR63(004),
.VAR43(072)
);
VAR26 627 (
.VAR63(004),
.VAR43(074)
);
VAR26 628 (
.VAR63(004),
.VAR43(075)
);
VAR26 629 (
.VAR63(004),
.VAR43(077)
);
VAR26 630 (
.VAR63(004),
.VAR43(079)
);
VAR26 631 (
.VAR63(004),
.VAR43(081)
);
VAR26 632 (
.VAR63(004),
.VAR43(083)
);
VAR26 633 (
.VAR63(004),
.VAR43(084)
);
VAR26 634 (
.VAR63(004),
.VAR43(086)
);
VAR26 635 (
.VAR63(004),
.VAR43(088)
);
VAR26 636 (
.VAR63(004),
.VAR43(090)
);
VAR26 637 (
.VAR63(004),
.VAR43(092)
);
VAR26 638 (
.VAR63(004),
.VAR43(093)
);
VAR26 639 (
.VAR63(004),
.VAR43(095)
);
VAR26 640 (
.VAR63(004),
.VAR43(097)
);
VAR26 641 (
.VAR63(004),
.VAR43(099)
);
VAR26 642 (
.VAR63(004),
.VAR43(101)
);
VAR26 643 (
.VAR63(004),
.VAR43(102)
);
VAR26 644 (
.VAR63(004),
.VAR43(104)
);
VAR26 645 (
.VAR63(004),
.VAR43(106)
);
VAR26 646 (
.VAR63(004),
.VAR43(108)
);
VAR26 647 (
.VAR63(004),
.VAR43(110)
);
VAR26 648 (
.VAR63(004),
.VAR43(112)
);
VAR26 649 (
.VAR63(004),
.VAR43(114)
);
VAR26 650 (
.VAR63(004),
.VAR43(116)
);
VAR26 651 (
.VAR63(004),
.VAR43(118)
);
VAR26 652 (
.VAR63(004),
.VAR43(120)
);
VAR26 653 (
.VAR63(004),
.VAR43(121)
);
VAR26 654 (
.VAR63(004),
.VAR43(123)
);
VAR26 655 (
.VAR63(004),
.VAR43(125)
);
VAR26 656 (
.VAR63(004),
.VAR43(127)
);
VAR26 657 (
.VAR63(004),
.VAR43(129)
);
VAR60 658 (
.VAR7(VAR65),
.VAR44(002),
.VAR51(VAR47),
.VAR42(326),
.VAR1(1'b0)
);
VAR60 659 (
.VAR7(VAR65),
.VAR44(001[0]),
.VAR51(VAR40[0]),
.VAR42(327),
.VAR1(1'b0)
);
VAR60 660 (
.VAR7(VAR65),
.VAR44(001[1]),
.VAR51(VAR40[1]),
.VAR42(328),
.VAR1(1'b0)
);
VAR60 661 (
.VAR7(VAR65),
.VAR44(001[2]),
.VAR51(VAR40[2]),
.VAR42(329),
.VAR1(1'b0)
);
VAR60 662 (
.VAR7(VAR65),
.VAR44(001[3]),
.VAR51(VAR40[3]),
.VAR42(330),
.VAR1(1'b0)
);
VAR60 663 (
.VAR7(VAR65),
.VAR44(001[4]),
.VAR51(VAR40[4]),
.VAR42(331),
.VAR1(1'b0)
);
VAR60 664 (
.VAR7(VAR65),
.VAR44(001[5]),
.VAR51(VAR40[5]),
.VAR42(332),
.VAR1(1'b0)
);
VAR60 665 (
.VAR7(VAR65),
.VAR44(001[6]),
.VAR51(VAR40[6]),
.VAR42(333),
.VAR1(1'b0)
);
VAR60 666 (
.VAR7(VAR65),
.VAR44(001[7]),
.VAR51(VAR40[7]),
.VAR42(334),
.VAR1(1'b0)
);
VAR60 667 (
.VAR7(VAR65),
.VAR44(001[8]),
.VAR51(VAR40[8]),
.VAR42(335),
.VAR1(1'b0)
);
VAR60 668 (
.VAR7(VAR65),
.VAR44(001[9]),
.VAR51(VAR40[9]),
.VAR42(336),
.VAR1(1'b0)
);
VAR60 669 (
.VAR7(VAR65),
.VAR44(001[10]),
.VAR51(VAR40[10]),
.VAR42(337),
.VAR1(1'b0)
);
VAR60 670 (
.VAR7(VAR65),
.VAR44(001[11]),
.VAR51(VAR40[11]),
.VAR42(338),
.VAR1(1'b0)
);
VAR60 671 (
.VAR7(VAR65),
.VAR44(001[12]),
.VAR51(VAR40[12]),
.VAR42(339),
.VAR1(1'b0)
);
VAR60 672 (
.VAR7(VAR65),
.VAR44(001[13]),
.VAR51(VAR40[13]),
.VAR42(340),
.VAR1(1'b0)
);
VAR60 673 (
.VAR7(VAR65),
.VAR44(001[14]),
.VAR51(VAR40[14]),
.VAR42(341),
.VAR1(1'b0)
);
VAR60 674 (
.VAR7(VAR65),
.VAR44(001[15]),
.VAR51(VAR40[15]),
.VAR42(342),
.VAR1(1'b0)
);
VAR60 675 (
.VAR7(VAR65),
.VAR44(001[16]),
.VAR51(VAR40[16]),
.VAR42(343),
.VAR1(1'b0)
);
VAR60 676 (
.VAR7(VAR65),
.VAR44(001[17]),
.VAR51(VAR40[17]),
.VAR42(344),
.VAR1(1'b0)
);
VAR60 677 (
.VAR7(VAR65),
.VAR44(001[18]),
.VAR51(VAR40[18]),
.VAR42(345),
.VAR1(1'b0)
);
VAR60 678 (
.VAR7(VAR65),
.VAR44(001[19]),
.VAR51(VAR40[19]),
.VAR42(346),
.VAR1(1'b0)
);
VAR60 679 (
.VAR7(VAR65),
.VAR44(001[20]),
.VAR51(VAR40[20]),
.VAR42(347),
.VAR1(1'b0)
);
VAR60 680 (
.VAR7(VAR65),
.VAR44(001[21]),
.VAR51(VAR40[21]),
.VAR42(348),
.VAR1(1'b0)
);
VAR60 681 (
.VAR7(VAR65),
.VAR44(001[22]),
.VAR51(VAR40[22]),
.VAR42(349),
.VAR1(1'b0)
);
VAR60 682 (
.VAR7(VAR65),
.VAR44(001[23]),
.VAR51(VAR40[23]),
.VAR42(350),
.VAR1(1'b0)
);
VAR60 683 (
.VAR7(VAR65),
.VAR44(001[24]),
.VAR51(VAR40[24]),
.VAR42(351),
.VAR1(1'b0)
);
VAR60 684 (
.VAR7(VAR65),
.VAR44(001[25]),
.VAR51(VAR40[25]),
.VAR42(352),
.VAR1(1'b0)
);
VAR60 685 (
.VAR7(VAR65),
.VAR44(001[26]),
.VAR51(VAR40[26]),
.VAR42(353),
.VAR1(1'b0)
);
VAR60 686 (
.VAR7(VAR65),
.VAR44(001[27]),
.VAR51(VAR40[27]),
.VAR42(354),
.VAR1(1'b0)
);
VAR60 687 (
.VAR7(VAR65),
.VAR44(001[28]),
.VAR51(VAR40[28]),
.VAR42(355),
.VAR1(1'b0)
);
VAR60 688 (
.VAR7(VAR65),
.VAR44(001[29]),
.VAR51(VAR40[29]),
.VAR42(356),
.VAR1(1'b0)
);
VAR60 689 (
.VAR7(VAR65),
.VAR44(001[30]),
.VAR51(VAR40[30]),
.VAR42(357),
.VAR1(1'b0)
);
VAR60 690 (
.VAR7(VAR65),
.VAR44(001[31]),
.VAR51(VAR40[31]),
.VAR42(358),
.VAR1(1'b0)
);
VAR60 691 (
.VAR7(VAR65),
.VAR44(000[0]),
.VAR51(VAR10[0]),
.VAR42(359),
.VAR1(1'b0)
);
VAR60 692 (
.VAR7(VAR65),
.VAR44(000[1]),
.VAR51(VAR10[1]),
.VAR42(360),
.VAR1(1'b0)
);
VAR60 693 (
.VAR7(VAR65),
.VAR44(000[2]),
.VAR51(VAR10[2]),
.VAR42(361),
.VAR1(1'b0)
);
VAR60 694 (
.VAR7(VAR65),
.VAR44(000[3]),
.VAR51(VAR10[3]),
.VAR42(362),
.VAR1(1'b0)
);
VAR60 695 (
.VAR7(VAR65),
.VAR44(000[4]),
.VAR51(VAR10[4]),
.VAR42(363),
.VAR1(1'b0)
);
VAR60 696 (
.VAR7(VAR65),
.VAR44(000[5]),
.VAR51(VAR10[5]),
.VAR42(364),
.VAR1(1'b0)
);
VAR60 697 (
.VAR7(VAR65),
.VAR44(000[6]),
.VAR51(VAR10[6]),
.VAR42(365),
.VAR1(1'b0)
);
VAR60 698 (
.VAR7(VAR65),
.VAR44(000[7]),
.VAR51(VAR10[7]),
.VAR42(366),
.VAR1(1'b0)
);
VAR60 699 (
.VAR7(VAR65),
.VAR44(000[8]),
.VAR51(VAR10[8]),
.VAR42(367),
.VAR1(1'b0)
);
assign 003 = VAR77;
assign 367 = 004;
assign 139 = VAR10[2];
assign 226 = VAR10[3];
assign 291 = VAR10[4];
assign 307 = VAR10[6];
assign 314 = VAR10[7];
assign 055 = VAR10[8];
assign 111 = VAR47;
assign 130 = VAR46;
assign 002 = 140;
assign 149 = VAR40[0];
assign 158 = VAR40[1];
assign 175 = VAR40[2];
assign 192 = VAR40[3];
assign 209 = VAR40[4];
assign 227 = VAR40[5];
assign 244 = VAR40[6];
assign 262 = VAR40[7];
assign 280 = VAR40[8];
assign 285 = VAR40[9];
assign 286 = VAR40[10];
assign 287 = VAR40[11];
assign 288 = VAR40[12];
assign 289 = VAR40[13];
assign 290 = VAR40[14];
assign 292 = VAR40[15];
assign 293 = VAR40[16];
assign 294 = VAR40[17];
assign 295 = VAR40[18];
assign 296 = VAR40[19];
assign 297 = VAR40[20];
assign 298 = VAR40[21];
assign 299 = VAR40[22];
assign 300 = VAR40[23];
assign 301 = VAR40[24];
assign 302 = VAR40[25];
assign 303 = VAR40[26];
assign 304 = VAR40[27];
assign 305 = VAR40[28];
assign 306 = VAR40[29];
assign 308 = VAR40[30];
assign 309 = VAR40[31];
assign 310 = VAR23;
assign 001[0] = 311;
assign 001[1] = 312;
assign 001[2] = 313;
assign 001[3] = 315;
assign 001[4] = 316;
assign 001[5] = 317;
assign 001[6] = 318;
assign 001[7] = 319;
assign 001[8] = 320;
assign 001[9] = 321;
assign 001[10] = 322;
assign 001[11] = 323;
assign 001[12] = 324;
assign 001[13] = 005;
assign 001[14] = 006;
assign 001[15] = 007;
assign 001[16] = 008;
assign 001[17] = 009;
assign 001[18] = 010;
assign 001[19] = 011;
assign 001[20] = 012;
assign 001[21] = 013;
assign 001[22] = 014;
assign 001[23] = 015;
assign 001[24] = 016;
assign 001[25] = 017;
assign 001[26] = 018;
assign 001[27] = 019;
assign 001[28] = 020;
assign 001[29] = 021;
assign 001[30] = 022;
assign 001[31] = 023;
assign 030 = VAR10[0];
assign 000[0] = 032;
assign 034 = VAR10[1];
assign 000[1] = 036;
assign 000[2] = 038;
assign 000[3] = 040;
assign 000[4] = 042;
assign 044 = VAR10[5];
assign 000[5] = 046;
assign 000[6] = 048;
assign 000[7] = 049;
assign 000[8] = 051;
assign 326 = 053;
assign 327 = 056;
assign 328 = 058;
assign 329 = 060;
assign 330 = 062;
assign 331 = 064;
assign 332 = 066;
assign 333 = 068;
assign 334 = 070;
assign 335 = 072;
assign 336 = 074;
assign 337 = 075;
assign 338 = 077;
assign 339 = 079;
assign 340 = 081;
assign 341 = 083;
assign 342 = 084;
assign 343 = 086;
assign 344 = 088;
assign 345 = 090;
assign 346 = 092;
assign 347 = 093;
assign 348 = 095;
assign 349 = 097;
assign 350 = 099;
assign 351 = 101;
assign 352 = 102;
assign 353 = 104;
assign 354 = 106;
assign 355 = 108;
assign 356 = 110;
assign 357 = 112;
assign 358 = 114;
assign 359 = 116;
assign 360 = 118;
assign 361 = 120;
assign 362 = 121;
assign 363 = 123;
assign 364 = 125;
assign 365 = 127;
assign 366 = 129;
endmodule
module MODULE2(VAR56, VAR65, VAR77, VAR75, VAR15, VAR54, VAR31, VAR21, VAR37, VAR71, VAR14, VAR74, VAR67, VAR22, VAR5, VAR76, VAR11, VAR30, VAR50, VAR64);
input VAR56;
input VAR74;
output VAR30;
input VAR37;
output VAR11;
input VAR14;
input VAR77;
input VAR65;
wire VAR17;
wire VAR78;
input [3:0] VAR54;
wire [3:0] VAR4;
wire VAR72;
input [31:0] VAR71;
wire [31:0] VAR69;
output [31:0] VAR5;
wire [31:0] VAR8;
wire VAR34;
wire VAR33;
wire VAR61;
input VAR31;
wire VAR52;
output VAR64;
output VAR50;
output VAR22;
wire VAR2;
wire VAR53;
wire VAR79;
wire VAR66;
wire VAR19;
input [15:0] VAR75;
input VAR21;
wire [15:0] VAR68;
output VAR76;
wire VAR18;
output VAR67;
wire VAR70;
input VAR15;
MODULE3 VAR59 (
.VAR11(VAR11),
.VAR77(VAR77),
.VAR65(VAR65),
.VAR29(VAR78),
.VAR25(VAR17),
.VAR4(VAR4),
.VAR72(VAR72),
.VAR71(VAR71),
.VAR69(VAR69),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR73(VAR19),
.VAR34(VAR34),
.VAR33(VAR33),
.VAR20(VAR61),
.VAR52(VAR52),
.VAR64(VAR64),
.VAR50(VAR50),
.VAR22(VAR22),
.VAR2(VAR2),
.VAR53(VAR53),
.VAR79(VAR79),
.VAR81(VAR66),
.VAR28(VAR68),
.VAR18(VAR18),
.VAR67(VAR67),
.VAR27(VAR70)
);
MODULE5 VAR80 (
.VAR56(VAR56),
.VAR77(VAR77),
.VAR17(VAR17),
.VAR78(VAR78),
.VAR54(VAR54),
.VAR4(VAR4),
.VAR72(VAR72),
.VAR45(VAR37),
.VAR61(VAR61),
.VAR31(VAR31),
.VAR52(VAR52),
.VAR55(VAR14),
.VAR79(VAR79),
.VAR66(VAR66),
.VAR58(VAR21),
.VAR19(VAR19),
.VAR75(VAR75),
.VAR68(VAR68),
.VAR76(VAR76),
.VAR70(VAR70),
.VAR15(VAR15)
);
MODULE1 MODULE2 (
.VAR65(VAR65),
.VAR41(VAR34),
.VAR13(VAR18),
.VAR24(VAR53),
.VAR35(VAR69),
.VAR12(VAR30)
);
\VAR62\MODULE6\VAR36=32 VAR49 (
.VAR77(VAR77),
.VAR65(VAR65),
.VAR46(VAR33),
.VAR40(VAR8),
.VAR47(VAR2),
.VAR23(VAR74)
);
endmodule
module MODULE5(VAR56, VAR77, VAR15, VAR54, VAR31, VAR58, VAR75, VAR55, VAR79, VAR19, VAR72, VAR17, VAR45, VAR76, VAR66, VAR78, VAR4, VAR68, VAR70, VAR52, VAR61);
wire 00;
wire 01;
wire 02;
wire 03;
wire 04;
wire 05;
wire 06;
input VAR56;
input VAR77;
wire VAR38;
input VAR17;
output VAR78;
input [3:0] VAR54;
output [3:0] VAR4;
input VAR72;
input VAR45;
output VAR61;
input VAR31;
output VAR52;
input VAR55;
input VAR79;
output VAR66;
input VAR58;
input VAR19;
input [15:0] VAR75;
output [15:0] VAR68;
output VAR76;
output VAR70;
input VAR15;
VAR3 07 (
.VAR63(02),
.VAR43(03)
);
VAR3 08 (
.VAR63(04),
.VAR43(06)
);
VAR32 09 (
.VAR7(VAR56),
.VAR44(00),
.VAR51(VAR38)
);
\VAR9 10 (
.VAR44(01),
.VAR16(VAR38),
.VAR51(VAR68[7])
);
assign VAR78 = VAR68[7];
assign VAR4 = { VAR68[7], VAR68[7], VAR68[7], VAR68[7] };
assign VAR52 = VAR68[7];
assign VAR66 = VAR68[7];
assign { VAR68[15:8], VAR68[6:0] } = { VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7], VAR68[7] };
assign VAR76 = VAR68[7];
assign VAR70 = VAR68[7];
assign 05 = 1'b0;
assign 02 = VAR77;
assign 00 = 03;
assign 04 = VAR38;
assign 01 = 05;
assign VAR61 = 06;
endmodule
module MODULE3(VAR65, VAR77, VAR81, VAR29, VAR28, VAR4, VAR27, VAR52, VAR20, VAR18, VAR2, VAR8, VAR71, VAR79, VAR72, VAR25, VAR73, VAR53, VAR34, VAR33, VAR69, VAR50, VAR64, VAR67, VAR22, VAR5, VAR11);
wire 00;
wire 01;
wire 02;
wire 03;
wire 04;
wire 05;
wire 06;
wire 07;
wire 08;
output VAR11;
input VAR77;
input VAR65;
wire VAR38;
input VAR29;
output VAR25;
input [3:0] VAR4;
output VAR72;
input [31:0] VAR71;
output [31:0] VAR69;
output [31:0] VAR5;
input [31:0] VAR8;
output VAR73;
output VAR34;
output VAR33;
input VAR20;
input VAR52;
output VAR64;
output VAR50;
output VAR22;
input VAR2;
output VAR53;
output VAR79;
input VAR81;
input [15:0] VAR28;
input VAR18;
output VAR67;
input VAR27;
VAR3 09 (
.VAR63(05),
.VAR43(06)
);
VAR3 10 (
.VAR63(03),
.VAR43(08)
);
VAR26 11 (
.VAR63(03),
.VAR43(07)
);
VAR32 12 (
.VAR7(VAR65),
.VAR44(00),
.VAR51(VAR38)
);
\VAR9 13 (
.VAR44(02),
.VAR16(VAR38),
.VAR51(VAR53)
);
\VAR9 14 (
.VAR44(01),
.VAR16(VAR38),
.VAR51(VAR69[24])
);
assign VAR11 = VAR69[24];
assign VAR25 = VAR69[24];
assign VAR72 = VAR69[24];
assign { VAR69[31:25], VAR69[23:0] } = { VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24] };
assign VAR5 = { VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24], VAR69[24] };
assign VAR73 = VAR69[24];
assign VAR34 = VAR69[24];
assign VAR33 = VAR69[24];
assign VAR64 = VAR69[24];
assign VAR50 = VAR69[24];
assign VAR22 = VAR69[24];
assign VAR67 = VAR69[24];
assign 04 = 1'b0;
assign 03 = VAR38;
assign 01 = 04;
assign 05 = VAR77;
assign 00 = 06;
assign 02 = 07;
assign VAR79 = 08;
endmodule
module MODULE1(VAR41, VAR24, VAR65, VAR35, VAR12, VAR13);
wire [8:0] 000;
wire 001;
wire 002;
wire 003;
wire 004;
wire 005;
wire 006;
wire 007;
wire 008;
wire 009;
wire 010;
wire 011;
wire 012;
wire 013;
wire 014;
wire 015;
wire 016;
wire 017;
wire 018;
wire 019;
wire 020;
wire 021;
wire 022;
wire 023;
wire 024;
wire 025;
wire 026;
wire 027;
wire 028;
wire 029;
wire 030;
wire 031;
wire 032;
wire 033;
wire 034;
wire 035;
wire 036;
wire 037;
wire 038;
wire 039;
wire 040;
wire 041;
wire 042;
wire 043;
wire 044;
wire 045;
wire 046;
wire 047;
wire 048;
wire 049;
wire 050;
wire 051;
wire 052;
wire 053;
wire 054;
wire 055;
wire 056;
wire 057;
wire 058;
wire 059;
wire 060;
wire 061;
wire 062;
wire 063;
wire 064;
wire 065;
wire 066;
wire 067;
wire 068;
wire 069;
wire 070;
wire 071;
wire 072;
wire 073;
wire 074;
wire 075;
wire 076;
wire 077;
wire 078;
wire 079;
wire 080;
wire 081;
wire 082;
wire 083;
wire 084;
wire 085;
wire 086;
wire 087;
wire 088;
wire 089;
wire 090;
wire 091;
wire 092;
wire 093;
wire 094;
wire 095;
wire 096;
wire 097;
wire 098;
wire 099;
wire 100;
wire 101;
wire 102;
wire 103;
wire 104;
wire 105;
wire 106;
wire 107;
wire 108;
wire 109;
wire 110;
wire 111;
wire 112;
wire 113;
wire 114;
wire 115;
wire 116;
wire 117;
wire 118;
wire 119;
wire 120;
wire 121;
wire 122;
wire 123;
wire 124;
wire 125;
wire 126;
wire 127;
wire 128;
wire 129;
wire 130;
wire 131;
wire 132;
wire 133;
wire 134;
wire 135;
wire 136;
wire 137;
wire 138;
wire 139;
wire 140;
wire 141;
wire 142;
wire 143;
wire 144;
wire 145;
wire 146;
wire 147;
wire 148;
wire 149;
wire 150;
wire 151;
wire 152;
wire 153;
wire 154;
wire 155;
wire 156;
wire 157;
wire 158;
wire 159;
wire 160;
wire 161;
wire 162;
wire 163;
wire 164;
wire 165;
wire 166;
wire 167;
wire 168;
wire 169;
wire 170;
wire 171;
wire 172;
wire 173;
wire 174;
wire 175;
wire 176;
wire 177;
wire 178;
wire 179;
wire 180;
wire 181;
wire 182;
wire 183;
wire 184;
wire 185;
wire 186;
wire 187;
wire 188;
wire 189;
wire 190;
wire 191;
wire 192;
wire 193;
wire 194;
wire 195;
wire 196;
wire 197;
wire 198;
wire 199;
wire 200;
wire 201;
wire 202;
wire 203;
wire 204;
wire 205;
wire 206;
wire 207;
wire 208;
wire 209;
wire 210;
wire 211;
wire 212;
wire 213;
wire 214;
wire 215;
wire 216;
wire 217;
wire 218;
wire 219;
wire 220;
wire 221;
wire 222;
wire 223;
wire [31:0] 224;
wire [3:0] 225;
wire [1:0] 226;
wire 227;
wire [8:0] 228;
wire 229;
wire 230;
wire 231;
wire [8:0] 232;
wire [8:0] 233;
wire 234;
wire [31:0] 235;
wire [31:0] 236;
wire [95:0] 237;
wire [95:0] 238;
wire [95:0] 239;
wire [95:0] 240;
wire [95:0] 241;
wire [95:0] 242;
wire [31:0] 243;
wire [31:0] 244;
wire 245;
wire 246;
wire 247;
wire 248;
wire [31:0] 249;
wire 250;
wire 251;
wire 252;
wire 253;
wire 254;
wire 255;
wire 256;
wire 257;
wire 258;
wire 259;
wire 260;
input VAR65;
wire [8:0] VAR10;
input VAR41;
output VAR13;
input VAR24;
input [31:0] VAR35;
output VAR12;
VAR3 261 (
.VAR63(122),
.VAR43(164)
);
VAR3 262 (
.VAR63(080),
.VAR43(166)
);
VAR57 263 (
.VAR63(091),
.VAR6(166),
.VAR43(168)
);
VAR3 264 (
.VAR63(091),
.VAR43(171)
);
VAR57 265 (
.VAR63(156),
.VAR6(155),
.VAR43(172)
);
VAR3 266 (
.VAR63(172),
.VAR43(174)
);
VAR57 267 (
.VAR63(174),
.VAR6(006),
.VAR43(175)
);
VAR3 268 (
.VAR63(184),
.VAR43(177)
);
VAR57 269 (
.VAR63(047),
.VAR6(154),
.VAR43(178)
);
VAR48 270 (
.VAR63(178),
.VAR6(177),
.VAR43(179)
);
VAR3 271 (
.VAR63(005),
.VAR43(180)
);
VAR3 272 (
.VAR63(157),
.VAR43(181)
);
VAR57 273 (
.VAR63(181),
.VAR6(169),
.VAR43(183)
);
VAR48 274 (
.VAR63(183),
.VAR6(180),
.VAR43(185)
);
VAR57 275 (
.VAR63(185),
.VAR6(179),
.VAR43(186)
);
VAR48 276 (
.VAR63(186),
.VAR6(175),
.VAR43(187)
);
VAR57 277 (
.VAR63(187),
.VAR6(171),
.VAR43(189)
);
VAR57 278 (
.VAR63(189),
.VAR6(168),
.VAR43(190)
);
VAR57 279 (
.VAR63(190),
.VAR6(164),
.VAR43(133)
);
VAR57 280 (
.VAR63(189),
.VAR6(164),
.VAR43(192)
);
VAR3 281 (
.VAR63(192),
.VAR43(194)
);
VAR3 282 (
.VAR63(155),
.VAR43(195)
);
VAR48 283 (
.VAR63(195),
.VAR6(171),
.VAR43(197)
);
VAR48 284 (
.VAR63(155),
.VAR6(091),
.VAR43(198)
);
VAR48 285 (
.VAR63(198),
.VAR6(197),
.VAR43(200)
);
VAR57 286 (
.VAR63(200),
.VAR6(194),
.VAR43(158)
);
VAR3 287 (
.VAR63(156),
.VAR43(202)
);
VAR57 288 (
.VAR63(198),
.VAR6(202),
.VAR43(203)
);
VAR48 289 (
.VAR63(198),
.VAR6(202),
.VAR43(205)
);
VAR48 290 (
.VAR63(205),
.VAR6(122),
.VAR43(206)
);
VAR57 291 (
.VAR63(206),
.VAR6(203),
.VAR43(159)
);
VAR48 292 (
.VAR63(203),
.VAR6(005),
.VAR43(208)
);
VAR3 293 (
.VAR63(208),
.VAR43(210)
);
VAR3 294 (
.VAR63(203),
.VAR43(211)
);
VAR48 295 (
.VAR63(211),
.VAR6(180),
.VAR43(213)
);
VAR48 296 (
.VAR63(213),
.VAR6(122),
.VAR43(214)
);
VAR57 297 (
.VAR63(214),
.VAR6(210),
.VAR43(160)
);
VAR3 298 (
.VAR63(006),
.VAR43(216)
);
VAR57 299 (
.VAR63(208),
.VAR6(216),
.VAR43(218)
);
VAR48 300 (
.VAR63(208),
.VAR6(216),
.VAR43(219)
);
VAR48 301 (
.VAR63(219),
.VAR6(122),
.VAR43(221)
);
VAR57 302 (
.VAR63(221),
.VAR6(218),
.VAR43(161)
);
VAR48 303 (
.VAR63(218),
.VAR6(154),
.VAR43(223)
);
VAR3 304 (
.VAR63(223),
.VAR43(007)
);
VAR3 305 (
.VAR63(154),
.VAR43(009)
);
VAR3 306 (
.VAR63(218),
.VAR43(010)
);
VAR48 307 (
.VAR63(010),
.VAR6(009),
.VAR43(012)
);
VAR48 308 (
.VAR63(012),
.VAR6(122),
.VAR43(013)
);
VAR57 309 (
.VAR63(013),
.VAR6(007),
.VAR43(162)
);
VAR57 310 (
.VAR63(007),
.VAR6(157),
.VAR43(015)
);
VAR57 311 (
.VAR63(223),
.VAR6(181),
.VAR43(017)
);
VAR3 312 (
.VAR63(017),
.VAR43(018)
);
VAR48 313 (
.VAR63(018),
.VAR6(192),
.VAR43(020)
);
VAR57 314 (
.VAR63(020),
.VAR6(015),
.VAR43(163)
);
VAR57 315 (
.VAR63(017),
.VAR6(169),
.VAR43(022)
);
VAR48 316 (
.VAR63(017),
.VAR6(169),
.VAR43(023)
);
VAR48 317 (
.VAR63(023),
.VAR6(122),
.VAR43(025)
);
VAR57 318 (
.VAR63(025),
.VAR6(022),
.VAR43(165)
);
VAR57 319 (
.VAR63(023),
.VAR6(177),
.VAR43(027)
);
VAR48 320 (
.VAR63(023),
.VAR6(177),
.VAR43(028)
);
VAR48 321 (
.VAR63(028),
.VAR6(122),
.VAR43(030)
);
VAR57 322 (
.VAR63(030),
.VAR6(027),
.VAR43(167)
);
VAR3 323 (
.VAR63(047),
.VAR43(032)
);
VAR57 324 (
.VAR63(027),
.VAR6(032),
.VAR43(033)
);
VAR3 325 (
.VAR63(027),
.VAR43(035)
);
VAR57 326 (
.VAR63(035),
.VAR6(047),
.VAR43(036)
);
VAR57 327 (
.VAR63(036),
.VAR6(033),
.VAR43(038)
);
VAR57 328 (
.VAR63(038),
.VAR6(164),
.VAR43(170)
);
VAR3 329 (
.VAR63(176),
.VAR43(040)
);
VAR57 330 (
.VAR63(187),
.VAR6(040),
.VAR43(041)
);
VAR57 331 (
.VAR63(182),
.VAR6(009),
.VAR43(043)
);
VAR57 332 (
.VAR63(011),
.VAR6(154),
.VAR43(044)
);
VAR57 333 (
.VAR63(044),
.VAR6(043),
.VAR43(046)
);
VAR57 334 (
.VAR63(046),
.VAR6(216),
.VAR43(048)
);
VAR57 335 (
.VAR63(031),
.VAR6(154),
.VAR43(050)
);
VAR57 336 (
.VAR63(207),
.VAR6(009),
.VAR43(051)
);
VAR57 337 (
.VAR63(051),
.VAR6(050),
.VAR43(053)
);
VAR57 338 (
.VAR63(053),
.VAR6(006),
.VAR43(054)
);
VAR57 339 (
.VAR63(054),
.VAR6(048),
.VAR43(055)
);
VAR57 340 (
.VAR63(055),
.VAR6(202),
.VAR43(056)
);
VAR48 341 (
.VAR63(037),
.VAR6(009),
.VAR43(057)
);
VAR48 342 (
.VAR63(212),
.VAR6(154),
.VAR43(058)
);
VAR48 343 (
.VAR63(058),
.VAR6(057),
.VAR43(059)
);
VAR57 344 (
.VAR63(059),
.VAR6(006),
.VAR43(060)
);
VAR3 345 (
.VAR63(191),
.VAR43(061)
);
VAR57 346 (
.VAR63(061),
.VAR6(009),
.VAR43(062)
);
VAR48 347 (
.VAR63(016),
.VAR6(009),
.VAR43(063)
);
VAR48 348 (
.VAR63(063),
.VAR6(006),
.VAR43(064)
);
VAR57 349 (
.VAR63(064),
.VAR6(062),
.VAR43(065)
);
VAR57 350 (
.VAR63(065),
.VAR6(060),
.VAR43(066)
);
VAR57 351 (
.VAR63(066),
.VAR6(156),
.VAR43(067)
);
VAR57 352 (
.VAR63(067),
.VAR6(056),
.VAR43(068)
);
VAR57 353 (
.VAR63(068),
.VAR6(180),
.VAR43(069)
);
VAR57 354 (
.VAR63(196),
.VAR6(009),
.VAR43(070)
);
VAR57 355 (
.VAR63(021),
.VAR6(154),
.VAR43(071)
);
VAR57 356 (
.VAR63(071),
.VAR6(070),
.VAR43(072)
);
VAR57 357 (
.VAR63(072),
.VAR6(216),
.VAR43(073)
);
VAR57 358 (
.VAR63(042),
.VAR6(154),
.VAR43(074)
);
VAR57 359 (
.VAR63(217),
.VAR6(009),
.VAR43(075)
);
VAR57 360 (
.VAR63(075),
.VAR6(074),
.VAR43(076)
);
VAR57 361 (
.VAR63(076),
.VAR6(006),
.VAR43(077)
);
VAR57 362 (
.VAR63(077),
.VAR6(073),
.VAR43(078)
);
VAR57 363 (
.VAR63(078),
.VAR6(202),
.VAR43(079)
);
VAR48 364 (
.VAR63(049),
.VAR6(009),
.VAR43(081)
);
VAR48 365 (
.VAR63(222),
.VAR6(154),
.VAR43(082)
);
VAR48 366 (
.VAR63(082),
.VAR6(081),
.VAR43(083)
);
VAR57 367 (
.VAR63(083),
.VAR6(006),
.VAR43(084)
);
VAR3 368 (
.VAR63(201),
.VAR43(085)
);
VAR57 369 (
.VAR63(085),
.VAR6(009),
.VAR43(086)
);
VAR48 370 (
.VAR63(026),
.VAR6(009),
.VAR43(087)
);
VAR48 371 (
.VAR63(087),
.VAR6(006),
.VAR43(088)
);
VAR57 372 (
.VAR63(088),
.VAR6(086),
.VAR43(089)
);
VAR57 373 (
.VAR63(089),
.VAR6(084),
.VAR43(090)
);
VAR57 374 (
.VAR63(090),
.VAR6(156),
.VAR43(092)
);
VAR57 375 (
.VAR63(092),
.VAR6(079),
.VAR43(093)
);
VAR57 376 (
.VAR63(093),
.VAR6(005),
.VAR43(094)
);
VAR57 377 (
.VAR63(094),
.VAR6(069),
.VAR43(095)
);
VAR57 378 (
.VAR63(095),
.VAR6(195),
.VAR43(096)
);
VAR48 379 (
.VAR63(034),
.VAR6(009),
.VAR43(097)
);
VAR3 380 (
.VAR63(209),
.VAR43(098)
);
VAR57 381 (
.VAR63(098),
.VAR6(009),
.VAR43(099)
);
VAR57 382 (
.VAR63(099),
.VAR6(006),
.VAR43(100)
);
VAR48 383 (
.VAR63(100),
.VAR6(097),
.VAR43(101)
);
VAR48 384 (
.VAR63(188),
.VAR6(154),
.VAR43(102)
);
VAR3 385 (
.VAR63(014),
.VAR43(103)
);
VAR57 386 (
.VAR63(103),
.VAR6(154),
.VAR43(104)
);
VAR57 387 (
.VAR63(104),
.VAR6(216),
.VAR43(105)
);
VAR48 388 (
.VAR63(105),
.VAR6(102),
.VAR43(106)
);
VAR48 389 (
.VAR63(106),
.VAR6(101),
.VAR43(107)
);
VAR57 390 (
.VAR63(107),
.VAR6(180),
.VAR43(108)
);
VAR48 391 (
.VAR63(045),
.VAR6(009),
.VAR43(109)
);
VAR48 392 (
.VAR63(220),
.VAR6(154),
.VAR43(110)
);
VAR48 393 (
.VAR63(110),
.VAR6(109),
.VAR43(111)
);
VAR57 394 (
.VAR63(111),
.VAR6(006),
.VAR43(112)
);
VAR3 395 (
.VAR63(199),
.VAR43(113)
);
VAR57 396 (
.VAR63(113),
.VAR6(009),
.VAR43(114)
);
VAR48 397 (
.VAR63(024),
.VAR6(009),
.VAR43(115)
);
VAR48 398 (
.VAR63(115),
.VAR6(006),
.VAR43(116)
);
VAR57 399 (
.VAR63(116),
.VAR6(114),
.VAR43(117)
);
VAR57 400 (
.VAR63(117),
.VAR6(112),
.VAR43(118)
);
VAR48 401 (
.VAR63(118),
.VAR6(180),
.VAR43(119)
);
VAR48 402 (
.VAR63(119),
.VAR6(156),
.VAR43(120)
);
VAR57 403 (
.VAR63(120),
.VAR6(108),
.VAR43(121)
);
VAR48 404 (
.VAR63(121),
.VAR6(195),
.VAR43(123)
);
VAR57 405 (
.VAR63(193),
.VAR6(009),
.VAR43(124)
);
VAR57 406 (
.VAR63(019),
.VAR6(154),
.VAR43(125)
);
VAR57 407 (
.VAR63(125),
.VAR6(124),
.VAR43(126)
);
VAR57 408 (
.VAR63(126),
.VAR6(216),
.VAR43(127)
);
VAR57 409 (
.VAR63(039),
.VAR6(154),
.VAR43(128)
);
VAR57 410 (
.VAR63(215),
.VAR6(009),
.VAR43(129)
);
VAR57 411 (
.VAR63(129),
.VAR6(128),
.VAR43(130)
);
VAR57 412 (
.VAR63(130),
.VAR6(006),
.VAR43(131)
);
VAR57 413 (
.VAR63(131),
.VAR6(127),
.VAR43(132)
);
VAR57 414 (
.VAR63(132),
.VAR6(180),
.VAR43(134)
);
VAR57 415 (
.VAR63(204),
.VAR6(009),
.VAR43(135)
);
VAR57 416 (
.VAR63(029),
.VAR6(154),
.VAR43(136)
);
VAR57 417 (
.VAR63(136),
.VAR6(135),
.VAR43(137)
);
VAR57 418 (
.VAR63(137),
.VAR6(216),
.VAR43(138)
);
VAR57 419 (
.VAR63(052),
.VAR6(154),
.VAR43(139)
);
VAR57 420 (
.VAR63(008),
.VAR6(009),
.VAR43(140)
);
VAR57 421 (
.VAR63(140),
.VAR6(139),
.VAR43(141)
);
VAR57 422 (
.VAR63(141),
.VAR6(006),
.VAR43(142)
);
VAR57 423 (
.VAR63(142),
.VAR6(138),
.VAR43(143)
);
VAR57 424 (
.VAR63(143),
.VAR6(005),
.VAR43(144)
);
VAR57 425 (
.VAR63(144),
.VAR6(134),
.VAR43(145)
);
VAR57 426 (
.VAR63(145),
.VAR6(174),
.VAR43(146)
);
VAR3 427 (
.VAR63(169),
.VAR43(147)
);
VAR48 428 (
.VAR63(181),
.VAR6(147),
.VAR43(148)
);
VAR57 429 (
.VAR63(148),
.VAR6(146),
.VAR43(149)
);
VAR48 430 (
.VAR63(149),
.VAR6(123),
.VAR43(150)
);
VAR57 431 (
.VAR63(150),
.VAR6(096),
.VAR43(151)
);
VAR57 432 (
.VAR63(151),
.VAR6(041),
.VAR43(152)
);
VAR48 433 (
.VAR63(122),
.VAR6(091),
.VAR43(153)
);
VAR57 434 (
.VAR63(153),
.VAR6(152),
.VAR43(173)
);
VAR32 435 (
.VAR7(VAR65),
.VAR44(002),
.VAR51(VAR12)
);
VAR32 436 (
.VAR7(VAR65),
.VAR44(001),
.VAR51(VAR13)
);
VAR32 437 (
.VAR7(VAR65),
.VAR44(000[0]),
.VAR51(VAR10[0])
);
VAR32 438 (
.VAR7(VAR65),
.VAR44(000[1]),
.VAR51(VAR10[1])
);
VAR32 439 (
.VAR7(VAR65),
.VAR44(000[2]),
.VAR51(VAR10[2])
);
VAR32 440 (
.VAR7(VAR65),
.VAR44(000[3]),
.VAR51(VAR10[3])
);
VAR32 441 (
.VAR7(VAR65),
.VAR44(000[4]),
.VAR51(VAR10[4])
);
VAR32 442 (
.VAR7(VAR65),
.VAR44(000[5]),
.VAR51(VAR10[5])
);
VAR32 443 (
.VAR7(VAR65),
.VAR44(000[6]),
.VAR51(VAR10[6])
);
VAR32 444 (
.VAR7(VAR65),
.VAR44(000[7]),
.VAR51(VAR10[7])
);
VAR32 445 (
.VAR7(VAR65),
.VAR44(000[8]),
.VAR51(VAR10[8])
);
assign 005 = VAR10[2];
assign 006 = VAR10[3];
assign 154 = VAR10[4];
assign 169 = VAR10[6];
assign 184 = VAR10[7];
assign 047 = VAR10[8];
assign 080 = VAR13;
assign 091 = VAR41;
assign 122 = VAR24;
assign 001 = 133;
assign 155 = VAR10[0];
assign 156 = VAR10[1];
assign 157 = VAR10[5];
assign 000[0] = 158;
assign 000[1] = 159;
assign 000[2] = 160;
assign 000[3] = 161;
assign 000[4] = 162;
assign 000[5] = 163;
assign 000[6] = 165;
assign 000[7] = 167;
assign 000[8] = 170;
assign 002 = 173;
assign 176 = VAR12;
assign 182 = VAR35[0];
assign 188 = VAR35[1];
assign 191 = VAR35[2];
assign 193 = VAR35[3];
assign 196 = VAR35[4];
assign 199 = VAR35[5];
assign 201 = VAR35[6];
assign 204 = VAR35[7];
assign 207 = VAR35[8];
assign 209 = VAR35[9];
assign 212 = VAR35[10];
assign 215 = VAR35[11];
assign 217 = VAR35[12];
assign 220 = VAR35[13];
assign 222 = VAR35[14];
assign 008 = VAR35[15];
assign 011 = VAR35[16];
assign 014 = VAR35[17];
assign 016 = VAR35[18];
assign 019 = VAR35[19];
assign 021 = VAR35[20];
assign 024 = VAR35[21];
assign 026 = VAR35[22];
assign 029 = VAR35[23];
assign 031 = VAR35[24];
assign 034 = VAR35[25];
assign 037 = VAR35[26];
assign 039 = VAR35[27];
assign 042 = VAR35[28];
assign 045 = VAR35[29];
assign 049 = VAR35[30];
assign 052 = VAR35[31];
endmodule
module MODULE6(VAR23, VAR46, VAR65, VAR77, VAR47, VAR40);
wire [8:0] 000;
wire [31:0] 001;
wire 002;
wire 003;
wire 004;
wire 005;
wire 006;
wire 007;
wire 008;
wire 009;
wire 010;
wire 011;
wire 012;
wire 013;
wire 014;
wire 015;
wire 016;
wire 017;
wire 018;
wire 019;
wire 020;
wire 021;
wire 022;
wire 023;
wire 024;
wire 025;
wire 026;
wire 027;
wire 028;
wire 029;
wire 030;
wire 031;
wire 032;
wire 033;
wire 034;
wire 035;
wire 036;
wire 037;
wire 038;
wire 039;
wire 040;
wire 041;
wire 042;
wire 043;
wire 044;
wire 045;
wire 046;
wire 047;
wire 048;
wire 049;
wire 050;
wire 051;
wire 052;
wire 053;
wire 054;
wire 055;
wire 056;
wire 057;
wire 058;
wire 059;
wire 060;
wire 061;
wire 062;
wire 063;
wire 064;
wire 065;
wire 066;
wire 067;
wire 068;
wire 069;
wire 070;
wire 071;
wire 072;
wire 073;
wire 074;
wire 075;
wire 076;
wire 077;
wire 078;
wire 079;
wire 080;
wire 081;
wire 082;
wire 083;
wire 084;
wire 085;
wire 086;
wire 087;
wire 088;
wire 089;
wire 090;
wire 091;
wire 092;
wire 093;
wire 094;
wire 095;
wire 096;
wire 097;
wire 098;
wire 099;
wire 100;
wire 101;
wire 102;
wire 103;
wire 104;
wire 105;
wire 106;
wire 107;
wire 108;
wire 109;
wire 110;
wire 111;
wire 112;
wire 113;
wire 114;
wire 115;
wire 116;
wire 117;
wire 118;
wire 119;
wire 120;
wire 121;
wire 122;
wire 123;
wire 124;
wire 125;
wire 126;
wire 127;
wire 128;
wire 129;
wire 130;
wire 131;
wire 132;
wire 133;
wire 134;
wire 135;
wire 136;
wire 137;
wire 138;
wire 139;
wire 140;
wire 141;
wire 142;
wire 143;
wire 144;
wire 145;
wire 146;
wire 147;
wire 148;
wire 149;
wire 150;
wire 151;
wire 152;
wire 153;
wire 154;
wire 155;
wire 156;
wire 157;
wire 158;
wire 159;
wire 160;
wire 161;
wire 162;
wire 163;
wire 164;
wire 165;
wire 166;
wire 167;
wire 168;
wire 169;
wire 170;
wire 171;
wire 172;
wire 173;
wire 174;
wire 175;
wire 176;
wire 177;
wire 178;
wire 179;
wire 180;
wire 181;
wire 182;
wire 183;
wire 184;
wire 185;
wire 186;
wire 187;
wire 188;
wire 189;
wire 190;
wire 191;
wire 192;
wire 193;
wire 194;
wire 195;
wire 196;
wire 197;
wire 198;
wire 199;
wire 200;
wire 201;
wire 202;
wire 203;
wire 204;
wire 205;
wire 206;
wire 207;
wire 208;
wire 209;
wire 210;
wire 211;
wire 212;
wire 213;
wire 214;
wire 215;
wire 216;
wire 217;
wire 218;
wire 219;
wire 220;
wire 221;
wire 222;
wire 223;
wire 224;
wire 225;
wire 226;
wire 227;
wire 228;
wire 229;
wire 230;
wire 231;
wire 232;
wire 233;
wire 234;
wire 235;
wire 236;
wire 237;
wire 238;
wire 239;
wire 240;
wire 241;
wire 242;
wire 243;
wire 244;
wire 245;
wire 246;
wire 247;
wire 248;
wire 249;
wire 250;
wire 251;
wire 252;
wire 253;
wire 254;
wire 255;
wire 256;
wire 257;
wire 258;
wire 259;
wire 260;
wire 261;
wire 262;
wire 263;
wire 264;
wire 265;
wire 266;
wire 267;
wire 268;
wire 269;
wire 270;
wire 271;
wire 272;
wire 273;
wire 274;
wire 275;
wire 276;
wire 277;
wire 278;
wire 279;
wire 280;
wire 281;
wire 282;
wire 283;
wire 284;
wire 285;
wire 286;
wire 287;
wire 288;
wire 289;
wire 290;
wire 291;
wire 292;
wire 293;
wire 294;
wire 295;
wire 296;
wire 297;
wire 298;
wire 299;
wire 300;
wire 301;
wire 302;
wire 303;
wire 304;
wire 305;
wire 306;
wire 307;
wire 308;
wire 309;
wire 310;
wire 311;
wire 312;
wire 313;
wire 314;
wire 315;
wire 316;
wire 317;
wire 318;
wire 319;
wire 320;
wire 321;
wire 322;
wire 323;
wire 324;
wire [31:0] 325;
wire 326;
wire 327;
wire 328;
wire 329;
wire 330;
wire 331;
wire 332;
wire 333;
wire 334;
wire 335;
wire 336;
wire 337;
wire 338;
wire 339;
wire 340;
wire 341;
wire 342;
wire 343;
wire 344;
wire 345;
wire 346;
wire 347;
wire 348;
wire 349;
wire 350;
wire 351;
wire 352;
wire 353;
wire 354;
wire 355;
wire 356;
wire 357;
wire 358;
wire 359;
wire 360;
wire 361;
wire 362;
wire 363;
wire 364;
wire 365;
wire 366;
wire 367;
wire [3:0] 368;
wire [1:0] 369;
wire 370;
wire [8:0] 371;
wire 372;
wire 373;
wire [31:0] 374;
wire [8:0] 375;
wire [31:0] 376;
wire 377;
wire 378;
wire 379;
wire 380;
input VAR77;
input VAR65;
wire [8:0] VAR10;
input VAR46;
output [31:0] VAR40;
output VAR47;
input VAR23;
VAR3 381 (
.VAR63(003),
.VAR43(004)
);
VAR3 382 (
.VAR63(130),
.VAR43(024)
);
VAR48 383 (
.VAR63(024),
.VAR6(111),
.VAR43(025)
);
VAR57 384 (
.VAR63(055),
.VAR6(314),
.VAR43(026)
);
VAR48 385 (
.VAR63(026),
.VAR6(044),
.VAR43(027)
);
VAR57 386 (
.VAR63(034),
.VAR6(030),
.VAR43(028)
);
VAR3 387 (
.VAR63(139),
.VAR43(029)
);
VAR3 388 (
.VAR63(226),
.VAR43(031)
);
VAR48 389 (
.VAR63(031),
.VAR6(029),
.VAR43(033)
);
VAR3 390 (
.VAR63(291),
.VAR43(035)
);
VAR3 391 (
.VAR63(307),
.VAR43(037)
);
VAR48 392 (
.VAR63(037),
.VAR6(035),
.VAR43(039)
);
VAR57 393 (
.VAR63(039),
.VAR6(033),
.VAR43(041)
);
VAR48 394 (
.VAR63(041),
.VAR6(028),
.VAR43(043)
);
VAR57 395 (
.VAR63(043),
.VAR6(027),
.VAR43(045)
);
VAR48 396 (
.VAR63(045),
.VAR6(130),
.VAR43(047)
);
VAR48 397 (
.VAR63(047),
.VAR6(025),
.VAR43(140)
);
VAR3 398 (
.VAR63(158),
.VAR43(050)
);
VAR57 399 (
.VAR63(045),
.VAR6(050),
.VAR43(052)
);
VAR3 400 (
.VAR63(149),
.VAR43(054)
);
VAR3 401 (
.VAR63(027),
.VAR43(057)
);
VAR3 402 (
.VAR63(028),
.VAR43(059)
);
VAR57 403 (
.VAR63(226),
.VAR6(139),
.VAR43(061)
);
VAR57 404 (
.VAR63(307),
.VAR6(291),
.VAR43(063)
);
VAR48 405 (
.VAR63(063),
.VAR6(061),
.VAR43(065)
);
VAR57 406 (
.VAR63(065),
.VAR6(059),
.VAR43(067)
);
VAR48 407 (
.VAR63(067),
.VAR6(057),
.VAR43(069)
);
VAR57 408 (
.VAR63(069),
.VAR6(054),
.VAR43(071)
);
VAR57 409 (
.VAR63(071),
.VAR6(052),
.VAR43(073)
);
VAR57 410 (
.VAR63(073),
.VAR6(024),
.VAR43(311)
);
VAR3 411 (
.VAR63(175),
.VAR43(076)
);
VAR57 412 (
.VAR63(045),
.VAR6(076),
.VAR43(078)
);
VAR57 413 (
.VAR63(069),
.VAR6(050),
.VAR43(080)
);
VAR57 414 (
.VAR63(080),
.VAR6(078),
.VAR43(082)
);
VAR57 415 (
.VAR63(082),
.VAR6(024),
.VAR43(312)
);
VAR3 416 (
.VAR63(192),
.VAR43(085)
);
VAR57 417 (
.VAR63(045),
.VAR6(085),
.VAR43(087)
);
VAR57 418 (
.VAR63(069),
.VAR6(076),
.VAR43(089)
);
VAR57 419 (
.VAR63(089),
.VAR6(087),
.VAR43(091)
);
VAR57 420 (
.VAR63(091),
.VAR6(024),
.VAR43(313)
);
VAR3 421 (
.VAR63(209),
.VAR43(094)
);
VAR57 422 (
.VAR63(045),
.VAR6(094),
.VAR43(096)
);
VAR57 423 (
.VAR63(069),
.VAR6(085),
.VAR43(098)
);
VAR57 424 (
.VAR63(098),
.VAR6(096),
.VAR43(100)
);
VAR57 425 (
.VAR63(100),
.VAR6(024),
.VAR43(315)
);
VAR3 426 (
.VAR63(227),
.VAR43(103)
);
VAR57 427 (
.VAR63(045),
.VAR6(103),
.VAR43(105)
);
VAR57 428 (
.VAR63(069),
.VAR6(094),
.VAR43(107)
);
VAR57 429 (
.VAR63(107),
.VAR6(105),
.VAR43(109)
);
VAR57 430 (
.VAR63(109),
.VAR6(024),
.VAR43(316)
);
VAR3 431 (
.VAR63(244),
.VAR43(113)
);
VAR57 432 (
.VAR63(045),
.VAR6(113),
.VAR43(115)
);
VAR57 433 (
.VAR63(069),
.VAR6(103),
.VAR43(117)
);
VAR57 434 (
.VAR63(117),
.VAR6(115),
.VAR43(119)
);
VAR57 435 (
.VAR63(119),
.VAR6(024),
.VAR43(317)
);
VAR3 436 (
.VAR63(262),
.VAR43(122)
);
VAR57 437 (
.VAR63(045),
.VAR6(122),
.VAR43(124)
);
VAR57 438 (
.VAR63(069),
.VAR6(113),
.VAR43(126)
);
VAR57 439 (
.VAR63(126),
.VAR6(124),
.VAR43(128)
);
VAR57 440 (
.VAR63(128),
.VAR6(024),
.VAR43(318)
);
VAR3 441 (
.VAR63(280),
.VAR43(131)
);
VAR57 442 (
.VAR63(045),
.VAR6(131),
.VAR43(132)
);
VAR57 443 (
.VAR63(069),
.VAR6(122),
.VAR43(133)
);
VAR57 444 (
.VAR63(133),
.VAR6(132),
.VAR43(134)
);
VAR57 445 (
.VAR63(134),
.VAR6(024),
.VAR43(319)
);
VAR3 446 (
.VAR63(285),
.VAR43(135)
);
VAR57 447 (
.VAR63(045),
.VAR6(135),
.VAR43(136)
);
VAR57 448 (
.VAR63(069),
.VAR6(131),
.VAR43(137)
);
VAR57 449 (
.VAR63(137),
.VAR6(136),
.VAR43(138)
);
VAR57 450 (
.VAR63(138),
.VAR6(024),
.VAR43(320)
);
VAR3 451 (
.VAR63(286),
.VAR43(141)
);
VAR57 452 (
.VAR63(045),
.VAR6(141),
.VAR43(142)
);
VAR57 453 (
.VAR63(069),
.VAR6(135),
.VAR43(143)
);
VAR57 454 (
.VAR63(143),
.VAR6(142),
.VAR43(144)
);
VAR57 455 (
.VAR63(144),
.VAR6(024),
.VAR43(321)
);
VAR3 456 (
.VAR63(287),
.VAR43(145)
);
VAR57 457 (
.VAR63(045),
.VAR6(145),
.VAR43(146)
);
VAR57 458 (
.VAR63(069),
.VAR6(141),
.VAR43(147)
);
VAR57 459 (
.VAR63(147),
.VAR6(146),
.VAR43(148)
);
VAR57 460 (
.VAR63(148),
.VAR6(024),
.VAR43(322)
);
VAR3 461 (
.VAR63(288),
.VAR43(150)
);
VAR57 462 (
.VAR63(045),
.VAR6(150),
.VAR43(151)
);
VAR57 463 (
.VAR63(069),
.VAR6(145),
.VAR43(152)
);
VAR57 464 (
.VAR63(152),
.VAR6(151),
.VAR43(153)
);
VAR57 465 (
.VAR63(153),
.VAR6(024),
.VAR43(323)
);
VAR3 466 (
.VAR63(289),
.VAR43(154)
);
VAR57 467 (
.VAR63(045),
.VAR6(154),
.VAR43(155)
);
VAR57 468 (
.VAR63(069),
.VAR6(150),
.VAR43(156)
);
VAR57 469 (
.VAR63(156),
.VAR6(155),
.VAR43(157)
);
VAR57 470 (
.VAR63(157),
.VAR6(024),
.VAR43(324)
);
VAR3 471 (
.VAR63(290),
.VAR43(159)
);
VAR57 472 (
.VAR63(045),
.VAR6(159),
.VAR43(160)
);
VAR57 473 (
.VAR63(069),
.VAR6(154),
.VAR43(161)
);
VAR57 474 (
.VAR63(161),
.VAR6(160),
.VAR43(162)
);
VAR57 475 (
.VAR63(162),
.VAR6(024),
.VAR43(005)
);
VAR3 476 (
.VAR63(292),
.VAR43(163)
);
VAR57 477 (
.VAR63(045),
.VAR6(163),
.VAR43(164)
);
VAR57 478 (
.VAR63(069),
.VAR6(159),
.VAR43(165)
);
VAR57 479 (
.VAR63(165),
.VAR6(164),
.VAR43(166)
);
VAR57 480 (
.VAR63(166),
.VAR6(024),
.VAR43(006)
);
VAR3 481 (
.VAR63(293),
.VAR43(167)
);
VAR57 482 (
.VAR63(045),
.VAR6(167),
.VAR43(168)
);
VAR57 483 (
.VAR63(069),
.VAR6(163),
.VAR43(169)
);
VAR57 484 (
.VAR63(169),
.VAR6(168),
.VAR43(170)
);
VAR57 485 (
.VAR63(170),
.VAR6(024),
.VAR43(007)
);
VAR3 486 (
.VAR63(294),
.VAR43(171)
);
VAR57 487 (
.VAR63(045),
.VAR6(171),
.VAR43(172)
);
VAR57 488 (
.VAR63(069),
.VAR6(167),
.VAR43(173)
);
VAR57 489 (
.VAR63(173),
.VAR6(172),
.VAR43(174)
);
VAR57 490 (
.VAR63(174),
.VAR6(024),
.VAR43(008)
);
VAR3 491 (
.VAR63(295),
.VAR43(176)
);
VAR57 492 (
.VAR63(045),
.VAR6(176),
.VAR43(177)
);
VAR57 493 (
.VAR63(069),
.VAR6(171),
.VAR43(178)
);
VAR57 494 (
.VAR63(178),
.VAR6(177),
.VAR43(179)
);
VAR57 495 (
.VAR63(179),
.VAR6(024),
.VAR43(009)
);
VAR3 496 (
.VAR63(296),
.VAR43(180)
);
VAR57 497 (
.VAR63(045),
.VAR6(180),
.VAR43(181)
);
VAR57 498 (
.VAR63(069),
.VAR6(176),
.VAR43(182)
);
VAR57 499 (
.VAR63(182),
.VAR6(181),
.VAR43(183)
);
VAR57 500 (
.VAR63(183),
.VAR6(024),
.VAR43(010)
);
VAR3 501 (
.VAR63(297),
.VAR43(184)
);
VAR57 502 (
.VAR63(045),
.VAR6(184),
.VAR43(185)
);
VAR57 503 (
.VAR63(069),
.VAR6(180),
.VAR43(186)
);
VAR57 504 (
.VAR63(186),
.VAR6(185),
.VAR43(187)
);
VAR57 505 (
.VAR63(187),
.VAR6(024),
.VAR43(011)
);
VAR3 506 (
.VAR63(298),
.VAR43(188)
);
VAR57 507 (
.VAR63(045),
.VAR6(188),
.VAR43(189)
);
VAR57 508 (
.VAR63(069),
.VAR6(184),
.VAR43(190)
);
VAR57 509 (
.VAR63(190),
.VAR6(189),
.VAR43(191)
);
VAR57 510 (
.VAR63(191),
.VAR6(024),
.VAR43(012)
);
VAR3 511 (
.VAR63(299),
.VAR43(193)
);
VAR57 512 (
.VAR63(045),
.VAR6(193),
.VAR43(194)
);
VAR57 513 (
.VAR63(069),
.VAR6(188),
.VAR43(195)
);
VAR57 514 (
.VAR63(195),
.VAR6(194),
.VAR43(196)
);
VAR57 515 (
.VAR63(196),
.VAR6(024),
.VAR43(013)
);
VAR3 516 (
.VAR63(300),
.VAR43(197)
);
VAR57 517 (
.VAR63(045),
.VAR6(197),
.VAR43(198)
);
VAR57 518 (
.VAR63(069),
.VAR6(193),
.VAR43(199)
);
VAR57 519 (
.VAR63(199),
.VAR6(198),
.VAR43(200)
);
VAR57 520 (
.VAR63(200),
.VAR6(024),
.VAR43(014)
);
VAR3 521 (
.VAR63(301),
.VAR43(201)
);
VAR57 522 (
.VAR63(045),
.VAR6(201),
.VAR43(202)
);
VAR57 523 (
.VAR63(069),
.VAR6(197),
.VAR43(203)
);
VAR57 524 (
.VAR63(203),
.VAR6(202),
.VAR43(204)
);
VAR57 525 (
.VAR63(204),
.VAR6(024),
.VAR43(015)
);
VAR3 526 (
.VAR63(302),
.VAR43(205)
);
VAR57 527 (
.VAR63(045),
.VAR6(205),
.VAR43(206)
);
VAR57 528 (
.VAR63(069),
.VAR6(201),
.VAR43(207)
);
VAR57 529 (
.VAR63(207),
.VAR6(206),
.VAR43(208)
);
VAR57 530 (
.VAR63(208),
.VAR6(024),
.VAR43(016)
);
VAR3 531 (
.VAR63(303),
.VAR43(210)
);
VAR57 532 (
.VAR63(045),
.VAR6(210),
.VAR43(211)
);
VAR57 533 (
.VAR63(069),
.VAR6(205),
.VAR43(212)
);
VAR57 534 (
.VAR63(212),
.VAR6(211),
.VAR43(213)
);
VAR57 535 (
.VAR63(213),
.VAR6(024),
.VAR43(017)
);
VAR3 536 (
.VAR63(304),
.VAR43(214)
);
VAR57 537 (
.VAR63(045),
.VAR6(214),
.VAR43(215)
);
VAR57 538 (
.VAR63(069),
.VAR6(210),
.VAR43(216)
);
VAR57 539 (
.VAR63(216),
.VAR6(215),
.VAR43(217)
);
VAR57 540 (
.VAR63(217),
.VAR6(024),
.VAR43(018)
);
VAR3 541 (
.VAR63(305),
.VAR43(218)
);
VAR57 542 (
.VAR63(045),
.VAR6(218),
.VAR43(219)
);
VAR57 543 (
.VAR63(069),
.VAR6(214),
.VAR43(220)
);
VAR57 544 (
.VAR63(220),
.VAR6(219),
.VAR43(221)
);
VAR57 545 (
.VAR63(221),
.VAR6(024),
.VAR43(019)
);
VAR3 546 (
.VAR63(306),
.VAR43(222)
);
VAR57 547 (
.VAR63(045),
.VAR6(222),
.VAR43(223)
);
VAR57 548 (
.VAR63(069),
.VAR6(218),
.VAR43(224)
);
VAR57 549 (
.VAR63(224),
.VAR6(223),
.VAR43(225)
);
VAR57 550 (
.VAR63(225),
.VAR6(024),
.VAR43(020)
);
VAR3 551 (
.VAR63(308),
.VAR43(228)
);
VAR57 552 (
.VAR63(045),
.VAR6(228),
.VAR43(229)
);
VAR57 553 (
.VAR63(069),
.VAR6(222),
.VAR43(230)
);
VAR57 554 (
.VAR63(230),
.VAR6(229),
.VAR43(231)
);
VAR57 555 (
.VAR63(231),
.VAR6(024),
.VAR43(021)
);
VAR3 556 (
.VAR63(309),
.VAR43(232)
);
VAR57 557 (
.VAR63(045),
.VAR6(232),
.VAR43(233)
);
VAR57 558 (
.VAR63(069),
.VAR6(228),
.VAR43(234)
);
VAR57 559 (
.VAR63(234),
.VAR6(233),
.VAR43(235)
);
VAR57 560 (
.VAR63(235),
.VAR6(024),
.VAR43(022)
);
VAR3 561 (
.VAR63(310),
.VAR43(236)
);
VAR57 562 (
.VAR63(045),
.VAR6(236),
.VAR43(237)
);
VAR57 563 (
.VAR63(069),
.VAR6(232),
.VAR43(238)
);
VAR57 564 (
.VAR63(238),
.VAR6(237),
.VAR43(239)
);
VAR57 565 (
.VAR63(239),
.VAR6(024),
.VAR43(023)
);
VAR48 566 (
.VAR63(030),
.VAR6(024),
.VAR43(240)
);
VAR57 567 (
.VAR63(045),
.VAR6(030),
.VAR43(241)
);
VAR48 568 (
.VAR63(241),
.VAR6(130),
.VAR43(242)
);
VAR48 569 (
.VAR63(242),
.VAR6(240),
.VAR43(032)
);
VAR3 570 (
.VAR63(030),
.VAR43(243)
);
VAR3 571 (
.VAR63(034),
.VAR43(245)
);
VAR57 572 (
.VAR63(245),
.VAR6(243),
.VAR43(246)
);
VAR57 573 (
.VAR63(246),
.VAR6(028),
.VAR43(247)
);
VAR57 574 (
.VAR63(247),
.VAR6(024),
.VAR43(248)
);
VAR57 575 (
.VAR63(034),
.VAR6(130),
.VAR43(249)
);
VAR57 576 (
.VAR63(249),
.VAR6(248),
.VAR43(036)
);
VAR48 577 (
.VAR63(246),
.VAR6(130),
.VAR43(250)
);
VAR57 578 (
.VAR63(250),
.VAR6(029),
.VAR43(251)
);
VAR48 579 (
.VAR63(034),
.VAR6(030),
.VAR43(252)
);
VAR57 580 (
.VAR63(252),
.VAR6(024),
.VAR43(253)
);
VAR57 581 (
.VAR63(253),
.VAR6(139),
.VAR43(254)
);
VAR57 582 (
.VAR63(254),
.VAR6(251),
.VAR43(038)
);
VAR57 583 (
.VAR63(251),
.VAR6(226),
.VAR43(255)
);
VAR48 584 (
.VAR63(226),
.VAR6(139),
.VAR43(256)
);
VAR57 585 (
.VAR63(256),
.VAR6(250),
.VAR43(257)
);
VAR57 586 (
.VAR63(257),
.VAR6(255),
.VAR43(040)
);
VAR57 587 (
.VAR63(257),
.VAR6(291),
.VAR43(258)
);
VAR3 588 (
.VAR63(256),
.VAR43(259)
);
VAR48 589 (
.VAR63(259),
.VAR6(253),
.VAR43(260)
);
VAR57 590 (
.VAR63(260),
.VAR6(035),
.VAR43(261)
);
VAR57 591 (
.VAR63(261),
.VAR6(258),
.VAR43(042)
);
VAR57 592 (
.VAR63(261),
.VAR6(044),
.VAR43(263)
);
VAR48 593 (
.VAR63(261),
.VAR6(044),
.VAR43(264)
);
VAR48 594 (
.VAR63(264),
.VAR6(047),
.VAR43(265)
);
VAR57 595 (
.VAR63(265),
.VAR6(263),
.VAR43(046)
);
VAR48 596 (
.VAR63(264),
.VAR6(307),
.VAR43(266)
);
VAR3 597 (
.VAR63(044),
.VAR43(267)
);
VAR48 598 (
.VAR63(257),
.VAR6(291),
.VAR43(268)
);
VAR57 599 (
.VAR63(268),
.VAR6(267),
.VAR43(269)
);
VAR48 600 (
.VAR63(269),
.VAR6(037),
.VAR43(270)
);
VAR48 601 (
.VAR63(270),
.VAR6(266),
.VAR43(048)
);
VAR3 602 (
.VAR63(314),
.VAR43(271)
);
VAR57 603 (
.VAR63(256),
.VAR6(252),
.VAR43(272)
);
VAR48 604 (
.VAR63(307),
.VAR6(291),
.VAR43(273)
);
VAR48 605 (
.VAR63(044),
.VAR6(130),
.VAR43(274)
);
VAR57 606 (
.VAR63(274),
.VAR6(273),
.VAR43(275)
);
VAR48 607 (
.VAR63(275),
.VAR6(272),
.VAR43(276)
);
VAR57 608 (
.VAR63(276),
.VAR6(271),
.VAR43(277)
);
VAR3 609 (
.VAR63(276),
.VAR43(278)
);
VAR57 610 (
.VAR63(278),
.VAR6(314),
.VAR43(279)
);
VAR57 611 (
.VAR63(279),
.VAR6(277),
.VAR43(049)
);
VAR57 612 (
.VAR63(277),
.VAR6(055),
.VAR43(281)
);
VAR3 613 (
.VAR63(055),
.VAR43(282)
);
VAR3 614 (
.VAR63(277),
.VAR43(283)
);
VAR57 615 (
.VAR63(283),
.VAR6(282),
.VAR43(284)
);
VAR57 616 (
.VAR63(284),
.VAR6(281),
.VAR43(051)
);
VAR26 617 (
.VAR63(004),
.VAR43(053)
);
VAR26 618 (
.VAR63(004),
.VAR43(056)
);
VAR26 619 (
.VAR63(004),
.VAR43(058)
);
VAR26 620 (
.VAR63(004),
.VAR43(060)
);
VAR26 621 (
.VAR63(004),
.VAR43(062)
);
VAR26 622 (
.VAR63(004),
.VAR43(064)
);
VAR26 623 (
.VAR63(004),
.VAR43(066)
);
VAR26 624 (
.VAR63(004),
.VAR43(068)
);
VAR26 625 (
.VAR63(004),
.VAR43(070)
);
VAR26 626 (
.VAR63(004),
.VAR43(072)
);
VAR26 627 (
.VAR63(004),
.VAR43(074)
);
VAR26 628 (
.VAR63(004),
.VAR43(075)
);
VAR26 629 (
.VAR63(004),
.VAR43(077)
);
VAR26 630 (
.VAR63(004),
.VAR43(079)
);
VAR26 631 (
.VAR63(004),
.VAR43(081)
);
VAR26 632 (
.VAR63(004),
.VAR43(083)
);
VAR26 633 (
.VAR63(004),
.VAR43(084)
);
VAR26 634 (
.VAR63(004),
.VAR43(086)
);
VAR26 635 (
.VAR63(004),
.VAR43(088)
);
VAR26 636 (
.VAR63(004),
.VAR43(090)
);
VAR26 637 (
.VAR63(004),
.VAR43(092)
);
VAR26 638 (
.VAR63(004),
.VAR43(093)
);
VAR26 639 (
.VAR63(004),
.VAR43(095)
);
VAR26 640 (
.VAR63(004),
.VAR43(097)
);
VAR26 641 (
.VAR63(004),
.VAR43(099)
);
VAR26 642 (
.VAR63(004),
.VAR43(101)
);
VAR26 643 (
.VAR63(004),
.VAR43(102)
);
VAR26 644 (
.VAR63(004),
.VAR43(104)
);
VAR26 645 (
.VAR63(004),
.VAR43(106)
);
VAR26 646 (
.VAR63(004),
.VAR43(108)
);
VAR26 647 (
.VAR63(004),
.VAR43(110)
);
VAR26 648 (
.VAR63(004),
.VAR43(112)
);
VAR26 649 (
.VAR63(004),
.VAR43(114)
);
VAR26 650 (
.VAR63(004),
.VAR43(116)
);
VAR26 651 (
.VAR63(004),
.VAR43(118)
);
VAR26 652 (
.VAR63(004),
.VAR43(120)
);
VAR26 653 (
.VAR63(004),
.VAR43(121)
);
VAR26 654 (
.VAR63(004),
.VAR43(123)
);
VAR26 655 (
.VAR63(004),
.VAR43(125)
);
VAR26 656 (
.VAR63(004),
.VAR43(127)
);
VAR26 657 (
.VAR63(004),
.VAR43(129)
);
VAR60 658 (
.VAR7(VAR65),
.VAR44(002),
.VAR51(VAR47),
.VAR42(326),
.VAR1(1'b0)
);
VAR60 659 (
.VAR7(VAR65),
.VAR44(001[0]),
.VAR51(VAR40[0]),
.VAR42(327),
.VAR1(1'b0)
);
VAR60 660 (
.VAR7(VAR65),
.VAR44(001[1]),
.VAR51(VAR40[1]),
.VAR42(328),
.VAR1(1'b0)
);
VAR60 661 (
.VAR7(VAR65),
.VAR44(001[2]),
.VAR51(VAR40[2]),
.VAR42(329),
.VAR1(1'b0)
);
VAR60 662 (
.VAR7(VAR65),
.VAR44(001[3]),
.VAR51(VAR40[3]),
.VAR42(330),
.VAR1(1'b0)
);
VAR60 663 (
.VAR7(VAR65),
.VAR44(001[4]),
.VAR51(VAR40[4]),
.VAR42(331),
.VAR1(1'b0)
);
VAR60 664 (
.VAR7(VAR65),
.VAR44(001[5]),
.VAR51(VAR40[5]),
.VAR42(332),
.VAR1(1'b0)
);
VAR60 665 (
.VAR7(VAR65),
.VAR44(001[6]),
.VAR51(VAR40[6]),
.VAR42(333),
.VAR1(1'b0)
);
VAR60 666 (
.VAR7(VAR65),
.VAR44(001[7]),
.VAR51(VAR40[7]),
.VAR42(334),
.VAR1(1'b0)
);
VAR60 667 (
.VAR7(VAR65),
.VAR44(001[8]),
.VAR51(VAR40[8]),
.VAR42(335),
.VAR1(1'b0)
);
VAR60 668 (
.VAR7(VAR65),
.VAR44(001[9]),
.VAR51(VAR40[9]),
.VAR42(336),
.VAR1(1'b0)
);
VAR60 669 (
.VAR7(VAR65),
.VAR44(001[10]),
.VAR51(VAR40[10]),
.VAR42(337),
.VAR1(1'b0)
);
VAR60 670 (
.VAR7(VAR65),
.VAR44(001[11]),
.VAR51(VAR40[11]),
.VAR42(338),
.VAR1(1'b0)
);
VAR60 671 (
.VAR7(VAR65),
.VAR44(001[12]),
.VAR51(VAR40[12]),
.VAR42(339),
.VAR1(1'b0)
);
VAR60 672 (
.VAR7(VAR65),
.VAR44(001[13]),
.VAR51(VAR40[13]),
.VAR42(340),
.VAR1(1'b0)
);
VAR60 673 (
.VAR7(VAR65),
.VAR44(001[14]),
.VAR51(VAR40[14]),
.VAR42(341),
.VAR1(1'b0)
);
VAR60 674 (
.VAR7(VAR65),
.VAR44(001[15]),
.VAR51(VAR40[15]),
.VAR42(342),
.VAR1(1'b0)
);
VAR60 675 (
.VAR7(VAR65),
.VAR44(001[16]),
.VAR51(VAR40[16]),
.VAR42(343),
.VAR1(1'b0)
);
VAR60 676 (
.VAR7(VAR65),
.VAR44(001[17]),
.VAR51(VAR40[17]),
.VAR42(344),
.VAR1(1'b0)
);
VAR60 677 (
.VAR7(VAR65),
.VAR44(001[18]),
.VAR51(VAR40[18]),
.VAR42(345),
.VAR1(1'b0)
);
VAR60 678 (
.VAR7(VAR65),
.VAR44(001[19]),
.VAR51(VAR40[19]),
.VAR42(346),
.VAR1(1'b0)
);
VAR60 679 (
.VAR7(VAR65),
.VAR44(001[20]),
.VAR51(VAR40[20]),
.VAR42(347),
.VAR1(1'b0)
);
VAR60 680 (
.VAR7(VAR65),
.VAR44(001[21]),
.VAR51(VAR40[21]),
.VAR42(348),
.VAR1(1'b0)
);
VAR60 681 (
.VAR7(VAR65),
.VAR44(001[22]),
.VAR51(VAR40[22]),
.VAR42(349),
.VAR1(1'b0)
);
VAR60 682 (
.VAR7(VAR65),
.VAR44(001[23]),
.VAR51(VAR40[23]),
.VAR42(350),
.VAR1(1'b0)
);
VAR60 683 (
.VAR7(VAR65),
.VAR44(001[24]),
.VAR51(VAR40[24]),
.VAR42(351),
.VAR1(1'b0)
);
VAR60 684 (
.VAR7(VAR65),
.VAR44(001[25]),
.VAR51(VAR40[25]),
.VAR42(352),
.VAR1(1'b0)
);
VAR60 685 (
.VAR7(VAR65),
.VAR44(001[26]),
.VAR51(VAR40[26]),
.VAR42(353),
.VAR1(1'b0)
);
VAR60 686 (
.VAR7(VAR65),
.VAR44(001[27]),
.VAR51(VAR40[27]),
.VAR42(354),
.VAR1(1'b0)
);
VAR60 687 (
.VAR7(VAR65),
.VAR44(001[28]),
.VAR51(VAR40[28]),
.VAR42(355),
.VAR1(1'b0)
);
VAR60 688 (
.VAR7(VAR65),
.VAR44(001[29]),
.VAR51(VAR40[29]),
.VAR42(356),
.VAR1(1'b0)
);
VAR60 689 (
.VAR7(VAR65),
.VAR44(001[30]),
.VAR51(VAR40[30]),
.VAR42(357),
.VAR1(1'b0)
);
VAR60 690 (
.VAR7(VAR65),
.VAR44(001[31]),
.VAR51(VAR40[31]),
.VAR42(358),
.VAR1(1'b0)
);
VAR60 691 (
.VAR7(VAR65),
.VAR44(000[0]),
.VAR51(VAR10[0]),
.VAR42(359),
.VAR1(1'b0)
);
VAR60 692 (
.VAR7(VAR65),
.VAR44(000[1]),
.VAR51(VAR10[1]),
.VAR42(360),
.VAR1(1'b0)
);
VAR60 693 (
.VAR7(VAR65),
.VAR44(000[2]),
.VAR51(VAR10[2]),
.VAR42(361),
.VAR1(1'b0)
);
VAR60 694 (
.VAR7(VAR65),
.VAR44(000[3]),
.VAR51(VAR10[3]),
.VAR42(362),
.VAR1(1'b0)
);
VAR60 695 (
.VAR7(VAR65),
.VAR44(000[4]),
.VAR51(VAR10[4]),
.VAR42(363),
.VAR1(1'b0)
);
VAR60 696 (
.VAR7(VAR65),
.VAR44(000[5]),
.VAR51(VAR10[5]),
.VAR42(364),
.VAR1(1'b0)
);
VAR60 697 (
.VAR7(VAR65),
.VAR44(000[6]),
.VAR51(VAR10[6]),
.VAR42(365),
.VAR1(1'b0)
);
VAR60 698 (
.VAR7(VAR65),
.VAR44(000[7]),
.VAR51(VAR10[7]),
.VAR42(366),
.VAR1(1'b0)
);
VAR60 699 (
.VAR7(VAR65),
.VAR44(000[8]),
.VAR51(VAR10[8]),
.VAR42(367),
.VAR1(1'b0)
);
assign 003 = VAR77;
assign 367 = 004;
assign 139 = VAR10[2];
assign 226 = VAR10[3];
assign 291 = VAR10[4];
assign 307 = VAR10[6];
assign 314 = VAR10[7];
assign 055 = VAR10[8];
assign 111 = VAR47;
assign 130 = VAR46;
assign 002 = 140;
assign 149 = VAR40[0];
assign 158 = VAR40[1];
assign 175 = VAR40[2];
assign 192 = VAR40[3];
assign 209 = VAR40[4];
assign 227 = VAR40[5];
assign 244 = VAR40[6];
assign 262 = VAR40[7];
assign 280 = VAR40[8];
assign 285 = VAR40[9];
assign 286 = VAR40[10];
assign 287 = VAR40[11];
assign 288 = VAR40[12];
assign 289 = VAR40[13];
assign 290 = VAR40[14];
assign 292 = VAR40[15];
assign 293 = VAR40[16];
assign 294 = VAR40[17];
assign 295 = VAR40[18];
assign 296 = VAR40[19];
assign 297 = VAR40[20];
assign 298 = VAR40[21];
assign 299 = VAR40[22];
assign 300 = VAR40[23];
assign 301 = VAR40[24];
assign 302 = VAR40[25];
assign 303 = VAR40[26];
assign 304 = VAR40[27];
assign 305 = VAR40[28];
assign 306 = VAR40[29];
assign 308 = VAR40[30];
assign 309 = VAR40[31];
assign 310 = VAR23;
assign 001[0] = 311;
assign 001[1] = 312;
assign 001[2] = 313;
assign 001[3] = 315;
assign 001[4] = 316;
assign 001[5] = 317;
assign 001[6] = 318;
assign 001[7] = 319;
assign 001[8] = 320;
assign 001[9] = 321;
assign 001[10] = 322;
assign 001[11] = 323;
assign 001[12] = 324;
assign 001[13] = 005;
assign 001[14] = 006;
assign 001[15] = 007;
assign 001[16] = 008;
assign 001[17] = 009;
assign 001[18] = 010;
assign 001[19] = 011;
assign 001[20] = 012;
assign 001[21] = 013;
assign 001[22] = 014;
assign 001[23] = 015;
assign 001[24] = 016;
assign 001[25] = 017;
assign 001[26] = 018;
assign 001[27] = 019;
assign 001[28] = 020;
assign 001[29] = 021;
assign 001[30] = 022;
assign 001[31] = 023;
assign 030 = VAR10[0];
assign 000[0] = 032;
assign 034 = VAR10[1];
assign 000[1] = 036;
assign 000[2] = 038;
assign 000[3] = 040;
assign 000[4] = 042;
assign 044 = VAR10[5];
assign 000[5] = 046;
assign 000[6] = 048;
assign 000[7] = 049;
assign 000[8] = 051;
assign 326 = 053;
assign 327 = 056;
assign 328 = 058;
assign 329 = 060;
assign 330 = 062;
assign 331 = 064;
assign 332 = 066;
assign 333 = 068;
assign 334 = 070;
assign 335 = 072;
assign 336 = 074;
assign 337 = 075;
assign 338 = 077;
assign 339 = 079;
assign 340 = 081;
assign 341 = 083;
assign 342 = 084;
assign 343 = 086;
assign 344 = 088;
assign 345 = 090;
assign 346 = 092;
assign 347 = 093;
assign 348 = 095;
assign 349 = 097;
assign 350 = 099;
assign 351 = 101;
assign 352 = 102;
assign 353 = 104;
assign 354 = 106;
assign 355 = 108;
assign 356 = 110;
assign 357 = 112;
assign 358 = 114;
assign 359 = 116;
assign 360 = 118;
assign 361 = 120;
assign 362 = 121;
assign 363 = 123;
assign 364 = 125;
assign 365 = 127;
assign 366 = 129;
endmodule
|
gpl-3.0
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_xbar_0/synth/triangle_intersect_xbar_0.v
| 21,834 |
module MODULE1 (
VAR113,
VAR6,
VAR46,
VAR51,
VAR108,
VAR11,
VAR42,
VAR70,
VAR131,
VAR96,
VAR31,
VAR109,
VAR2,
VAR87,
VAR20,
VAR78,
VAR56,
VAR47,
VAR105,
VAR93,
VAR125,
VAR119,
VAR121,
VAR60,
VAR37,
VAR9,
VAR126,
VAR18,
VAR17,
VAR127,
VAR64,
VAR50,
VAR104,
VAR30,
VAR29,
VAR129,
VAR22,
VAR59,
VAR4,
VAR53,
VAR114,
VAR102,
VAR8,
VAR116,
VAR55,
VAR99,
VAR14,
VAR94,
VAR120,
VAR128,
VAR73,
VAR92,
VAR5,
VAR12,
VAR45,
VAR68,
VAR32,
VAR35,
VAR7,
VAR83,
VAR52,
VAR91,
VAR44,
VAR123,
VAR124,
VAR19,
VAR34,
VAR79,
VAR88,
VAR58,
VAR62,
VAR90,
VAR1,
VAR33,
VAR101,
VAR57,
VAR82,
VAR71
);
input wire VAR113;
input wire VAR6;
input wire [5 : 0] VAR46;
input wire [95 : 0] VAR51;
input wire [23 : 0] VAR108;
input wire [8 : 0] VAR11;
input wire [5 : 0] VAR42;
input wire [2 : 0] VAR70;
input wire [11 : 0] VAR131;
input wire [8 : 0] VAR96;
input wire [11 : 0] VAR31;
input wire [2 : 0] VAR109;
output wire [2 : 0] VAR2;
input wire [191 : 0] VAR87;
input wire [23 : 0] VAR20;
input wire [2 : 0] VAR78;
input wire [2 : 0] VAR56;
output wire [2 : 0] VAR47;
output wire [5 : 0] VAR105;
output wire [5 : 0] VAR93;
output wire [2 : 0] VAR125;
input wire [2 : 0] VAR119;
input wire [5 : 0] VAR121;
input wire [95 : 0] VAR60;
input wire [23 : 0] VAR37;
input wire [8 : 0] VAR9;
input wire [5 : 0] VAR126;
input wire [2 : 0] VAR18;
input wire [11 : 0] VAR17;
input wire [8 : 0] VAR127;
input wire [11 : 0] VAR64;
input wire [2 : 0] VAR50;
output wire [2 : 0] VAR104;
output wire [5 : 0] VAR30;
output wire [191 : 0] VAR29;
output wire [5 : 0] VAR129;
output wire [2 : 0] VAR22;
output wire [2 : 0] VAR59;
input wire [2 : 0] VAR4;
output wire [1 : 0] VAR53;
output wire [31 : 0] VAR114;
output wire [7 : 0] VAR102;
output wire [2 : 0] VAR8;
output wire [1 : 0] VAR116;
output wire [0 : 0] VAR55;
output wire [3 : 0] VAR99;
output wire [2 : 0] VAR14;
output wire [3 : 0] VAR94;
output wire [3 : 0] VAR120;
output wire [0 : 0] VAR128;
input wire [0 : 0] VAR73;
output wire [63 : 0] VAR92;
output wire [7 : 0] VAR5;
output wire [0 : 0] VAR12;
output wire [0 : 0] VAR45;
input wire [0 : 0] VAR68;
input wire [1 : 0] VAR32;
input wire [1 : 0] VAR35;
input wire [0 : 0] VAR7;
output wire [0 : 0] VAR83;
output wire [1 : 0] VAR52;
output wire [31 : 0] VAR91;
output wire [7 : 0] VAR44;
output wire [2 : 0] VAR123;
output wire [1 : 0] VAR124;
output wire [0 : 0] VAR19;
output wire [3 : 0] VAR34;
output wire [2 : 0] VAR79;
output wire [3 : 0] VAR88;
output wire [3 : 0] VAR58;
output wire [0 : 0] VAR62;
input wire [0 : 0] VAR90;
input wire [1 : 0] VAR1;
input wire [63 : 0] VAR33;
input wire [1 : 0] VAR101;
input wire [0 : 0] VAR57;
input wire [0 : 0] VAR82;
output wire [0 : 0] VAR71;
VAR112 #(
.VAR67("VAR15"),
.VAR38(3),
.VAR117(1),
.VAR81(2),
.VAR106(32),
.VAR111(64),
.VAR28(0),
.VAR27(1),
.VAR69(64'VAR63),
.VAR36(32'VAR98),
.VAR103(96'VAR41),
.VAR76(96'VAR21),
.VAR85(0),
.VAR43(1),
.VAR24(1),
.VAR25(1),
.VAR100(1),
.VAR97(1),
.VAR75(32'VAR115),
.VAR133(32'VAR80),
.VAR122(0),
.VAR48(96'VAR21),
.VAR118(96'VAR26),
.VAR16(96'VAR26),
.VAR54(32'VAR72),
.VAR13(32'VAR72),
.VAR110(96'VAR21),
.VAR77(32'VAR61),
.VAR86(1)
) VAR65 (
.VAR113(VAR113),
.VAR6(VAR6),
.VAR46(VAR46),
.VAR51(VAR51),
.VAR108(VAR108),
.VAR11(VAR11),
.VAR42(VAR42),
.VAR70(VAR70),
.VAR131(VAR131),
.VAR96(VAR96),
.VAR31(VAR31),
.VAR84(3'VAR95),
.VAR109(VAR109),
.VAR2(VAR2),
.VAR40(6'VAR89),
.VAR87(VAR87),
.VAR20(VAR20),
.VAR78(VAR78),
.VAR39(3'VAR95),
.VAR56(VAR56),
.VAR47(VAR47),
.VAR105(VAR105),
.VAR93(VAR93),
.VAR23(),
.VAR125(VAR125),
.VAR119(VAR119),
.VAR121(VAR121),
.VAR60(VAR60),
.VAR37(VAR37),
.VAR9(VAR9),
.VAR126(VAR126),
.VAR18(VAR18),
.VAR17(VAR17),
.VAR127(VAR127),
.VAR64(VAR64),
.VAR130(3'VAR95),
.VAR50(VAR50),
.VAR104(VAR104),
.VAR30(VAR30),
.VAR29(VAR29),
.VAR129(VAR129),
.VAR22(VAR22),
.VAR107(),
.VAR59(VAR59),
.VAR4(VAR4),
.VAR53(VAR53),
.VAR114(VAR114),
.VAR102(VAR102),
.VAR8(VAR8),
.VAR116(VAR116),
.VAR55(VAR55),
.VAR99(VAR99),
.VAR14(VAR14),
.VAR94(VAR94),
.VAR120(VAR120),
.VAR132(),
.VAR128(VAR128),
.VAR73(VAR73),
.VAR10(),
.VAR92(VAR92),
.VAR5(VAR5),
.VAR12(VAR12),
.VAR74(),
.VAR45(VAR45),
.VAR68(VAR68),
.VAR32(VAR32),
.VAR35(VAR35),
.VAR66(1'VAR95),
.VAR7(VAR7),
.VAR83(VAR83),
.VAR52(VAR52),
.VAR91(VAR91),
.VAR44(VAR44),
.VAR123(VAR123),
.VAR124(VAR124),
.VAR19(VAR19),
.VAR34(VAR34),
.VAR79(VAR79),
.VAR88(VAR88),
.VAR58(VAR58),
.VAR3(),
.VAR62(VAR62),
.VAR90(VAR90),
.VAR1(VAR1),
.VAR33(VAR33),
.VAR101(VAR101),
.VAR57(VAR57),
.VAR49(1'VAR95),
.VAR82(VAR82),
.VAR71(VAR71)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a21boi/sky130_fd_sc_hd__a21boi.behavioral.v
| 1,639 |
module MODULE1 (
VAR10 ,
VAR8 ,
VAR13 ,
VAR12
);
output VAR10 ;
input VAR8 ;
input VAR13 ;
input VAR12;
supply1 VAR4;
supply0 VAR3;
supply1 VAR9 ;
supply0 VAR1 ;
wire VAR7 ;
wire VAR5 ;
wire VAR15;
not VAR11 (VAR7 , VAR12 );
and VAR14 (VAR5 , VAR8, VAR13 );
nor VAR2 (VAR15, VAR7, VAR5 );
buf VAR6 (VAR10 , VAR15 );
endmodule
|
apache-2.0
|
cmos3511/cmos_linux
|
python/pj/proj/rtl/LP/InstDecode.v
| 4,258 |
module MODULE1(VAR16,VAR9,VAR20,VAR7,
VAR17,VAR13,VAR11,VAR22,
VAR3,VAR14,reset);
input [31:0] VAR16; input VAR14; input reset;
output [3:0] VAR9, VAR20, VAR7; output [3:0] VAR17, VAR11; output VAR13, VAR22; output VAR3;
reg [31:0] VAR15; reg [3:0] VAR10; reg [3:0] VAR18; reg VAR5; reg VAR24; reg VAR6; reg VAR3;
parameter VAR8=8'h30, VAR21=8'h31, VAR12=8'h32, VAR19=8'h33,
VAR2=8'h34, VAR23=8'h35;
wire VAR1 = (VAR15[31:24] == VAR21);
wire VAR25 = (VAR15[31:24] == VAR12);
wire VAR4 = (VAR15[31:24] == VAR19) ||
(VAR15[31:24] == VAR2) ||
(VAR15[31:24] == VAR23);
assign VAR11 = VAR18;
assign VAR22 = VAR24;
assign VAR17 = VAR10;
assign VAR13 = VAR6;
assign VAR9 = VAR15[19:16];
assign VAR20 = VAR15[15:12];
assign VAR7 = VAR15[11:8];
always @ (posedge VAR14)
begin
if (reset)
begin
VAR15 <= 32'h00000000;
VAR10 <= 4'h0;
VAR18 <= 4'h0;
VAR5 <= 1'b0;
VAR24 <= 1'b0;
VAR6 <= 1'b0;
VAR3 <= 1'b0;
end
else
begin
VAR15 <= VAR16;
VAR10 <= VAR15[23:20];
VAR18 <= VAR10;
VAR5 <= VAR4 ;
VAR24 <= VAR5;
VAR6 <= VAR1;
VAR3 <= VAR25;
end
end
wire VAR13, VAR22;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a221o/sky130_fd_sc_lp__a221o.pp.blackbox.v
| 1,428 |
module MODULE1 (
VAR10 ,
VAR3 ,
VAR2 ,
VAR9 ,
VAR8 ,
VAR1 ,
VAR5,
VAR6,
VAR4 ,
VAR7
);
output VAR10 ;
input VAR3 ;
input VAR2 ;
input VAR9 ;
input VAR8 ;
input VAR1 ;
input VAR5;
input VAR6;
input VAR4 ;
input VAR7 ;
endmodule
|
apache-2.0
|
rkrajnc/minimig-mist
|
rtl/minimig/cart.v
| 7,068 |
module MODULE1
(
input wire clk,
input wire VAR3,
input wire VAR25,
input wire VAR29,
input wire [ 24-1:1] VAR10,
input wire [ 24-1:1] VAR31,
input wire VAR33,
input wire VAR28,
input wire VAR1,
input wire VAR16,
input wire [ 32-1:0] VAR22,
input wire [ 9-1:1] VAR18,
input wire [ 16-1:0] VAR7,
input wire VAR5,
input wire VAR4,
input wire VAR11,
output wire [ 16-1:0] VAR27,
output reg VAR13 = 1'b0,
output wire VAR30,
output wire VAR26,
output wire VAR6
);
reg [32-1:0] VAR34=0;
reg VAR2=0;
wire VAR9;
wire VAR14;
wire VAR17;
reg VAR23=0;
reg VAR21=0;
reg VAR15=0;
reg VAR19=0;
wire VAR20;
wire [16-1:0] VAR8;
reg [16-1:0] VAR32;
wire [16-1:0] VAR24;
reg [16-1:0] VAR12 [0:256-1];
always @ (posedge clk) begin
if (VAR3) begin
if (VAR29 && (VAR31[23:19]==5'b10100) && VAR16 && !VAR6)
VAR6 <= 1'b1;
end
end
assign VAR6 = 1'b1;
assign VAR30 = ~VAR5 && (VAR31[23:19]==5'b10100);
always @ (posedge clk) begin
if (VAR3) begin
VAR34 <= VAR22 + 32'h0000007c; end
end
assign VAR26 = VAR19 && ~VAR5 && ~VAR4 && VAR28 && (VAR31[23:2] == VAR34[23:2]);
assign VAR8 = VAR26 ? (!VAR31[1] ? 16'h00a1 : 16'h000c) : 16'h0000;
always @ (posedge clk) begin
if (VAR3) begin
VAR2 <= VAR11;
end
end
assign VAR9 = VAR11 && ~VAR2;
assign VAR14 = VAR9;
assign VAR17 = &VAR31 && ~VAR33;
always @ (posedge clk) begin
if (VAR3) begin
if (VAR29)
VAR13 <= 1'b0;
end
else if (VAR14)
VAR13 <= 1'b1;
end
else if (VAR17)
VAR13 <= 1'b0;
end
end
always @ (posedge clk) begin
if (VAR3) begin
VAR23 <= VAR14;
VAR21 <= VAR17;
end
end
always @ (posedge clk) begin
if (VAR3) begin
if (VAR29)
VAR15 <= 1'b0;
end
else if (VAR23)
VAR15 <= 1'b1;
end
else if (VAR21 && VAR28)
VAR15 <= 1'b0;
end
end
always @ (posedge clk) begin
if (VAR3) begin
if (VAR29)
VAR19 <= 1'b0;
end
else if ( VAR15 && VAR21 && VAR28)
VAR19 <= 1'b1;
end
else if (VAR30 && VAR28)
VAR19 <= 1'b0;
end
end
assign VAR20 = ~VAR5 && VAR28 && (VAR31[23:12]==12'b101010011111); always @ (posedge clk) begin
if (VAR3) begin
VAR12[VAR18] <= VAR7;
end
VAR32 <= VAR12[VAR31[8:1]];
end
assign VAR24 = VAR20 ? VAR32 : 16'h0000;
assign VAR27 = VAR24 | VAR8;
endmodule
|
gpl-3.0
|
secworks/salsa20
|
src/rtl/salsa20_qr.v
| 3,559 |
module MODULE1(
input wire [31 : 0] VAR1,
input wire [31 : 0] VAR13,
input wire [31 : 0] VAR11,
input wire [31 : 0] VAR9,
output wire [31 : 0] VAR16,
output wire [31 : 0] VAR8,
output wire [31 : 0] VAR10,
output wire [31 : 0] VAR14
);
reg [31 : 0] VAR15;
reg [31 : 0] VAR2;
reg [31 : 0] VAR12;
reg [31 : 0] VAR4;
assign VAR16 = VAR15;
assign VAR8 = VAR2;
assign VAR10 = VAR12;
assign VAR14 = VAR4;
always @*
begin : VAR6
reg [31 : 0] VAR3;
reg [31 : 0] VAR7;
reg [31 : 0] VAR17;
reg [31 : 0] VAR5;
VAR7 = (VAR1 + VAR9);
VAR2 = {VAR7[24 : 0], VAR7[31 : 25]} ^ VAR13;
VAR17 = (VAR2 + VAR1);
VAR12 = {VAR17[22 : 0], VAR17[31 : 23]} ^ VAR11;
VAR5 = (VAR12 + VAR2);
VAR4 = {VAR5[18 : 0], VAR5[31 : 19]} ^ VAR9;
VAR3 = (VAR4 + VAR12);
VAR15 = {VAR3[13 : 0], VAR3[31 : 14]} ^ VAR1;
end endmodule
|
bsd-2-clause
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_buffer_manager.v
| 8,756 |
module MODULE1
parameter
VAR20 = 6
)
(
VAR21,
VAR14,
VAR10,
VAR4,
VAR18,
VAR22,
VAR11,
VAR12,
VAR8,
VAR5,
VAR3,
VAR2,
VAR16
);
localparam VAR13 = VAR9(VAR20);
input VAR21;
input VAR14;
output VAR10;
input VAR4;
input [VAR20-1:0] VAR18;
input VAR22;
output VAR11;
output [VAR20-1:0] VAR12;
input VAR8;
input [VAR20-1:0] VAR5;
output VAR3;
output VAR2;
output [VAR20-1:0] VAR16;
wire VAR21;
wire VAR14;
reg VAR10;
wire VAR4;
wire [VAR20-1:0] VAR18;
wire VAR22;
wire VAR11;
wire [VAR20-1:0] VAR12;
wire VAR8;
wire [VAR20-1:0] VAR5;
wire VAR3;
reg VAR2;
wire [VAR20-1:0] VAR16;
wire VAR7;
reg [VAR13-1:0] VAR6;
reg [VAR13-1:0] VAR15;
reg [VAR20-1:0] VAR17;
reg VAR19;
assign VAR7 = VAR10 & VAR4;
assign VAR12 = VAR18;
assign VAR11 = VAR7;
assign VAR16 = VAR5;
assign VAR3 = VAR8;
always @ (*)
begin
if (VAR22)
begin
VAR10 = 1'b0;
end
else
begin
VAR10 = ~&VAR17;
end
end
always @ (posedge VAR21 or negedge VAR14)
begin
if (~VAR14)
begin
VAR2 <= 0;
end
else
begin
VAR2 <= VAR3;
end
end
always @ (posedge VAR21 or negedge VAR14)
begin
if (~VAR14)
begin
VAR17 <= 0;
VAR19 <= 0;
end
else
begin
if (VAR7 & VAR8)
begin
VAR17 <= VAR17;
end
else if (VAR7)
begin
{VAR19, VAR17} <= VAR17 + 1;
end
else if (VAR8)
begin
VAR17 <= VAR17 - 1;
end
else
begin
VAR17 <= VAR17;
end
end
end
function integer VAR9;
input integer VAR1;
begin
VAR9 = 2 << (VAR1-1);
end
endfunction
endmodule
|
gpl-3.0
|
shailcoolboy/Warp-Trinity
|
PlatformSupport/Deprecated/pcores/SPI_Controller/hdl/verilog/spi_shift.v
| 9,419 |
module MODULE1 (clk, rst, VAR10, VAR9, VAR6, VAR1, VAR19,
posedge, negedge, VAR23, VAR2,
VAR16, VAR21,
VAR13, VAR5, VAR14, VAR15, VAR3);
parameter VAR18 = 1;
input clk; input rst; input [3:0] VAR10; input [3:0] VAR9; input [VAR7-1:0] VAR6; input VAR1; input VAR19; input posedge; input negedge; input VAR23; input VAR2; output VAR16; output VAR21; input [31:0] VAR13; output [VAR20-1:0] VAR5; input VAR14; input VAR15; output VAR3;
reg VAR3;
reg VAR16;
reg [VAR7:0] VAR8; reg [VAR20-1:0] VAR11; wire [VAR7:0] VAR12; wire [VAR7:0] VAR17; wire VAR4; wire VAR22;
assign VAR5 = VAR11;
assign VAR12 = VAR1 ? {!(|VAR6), VAR6} - VAR8 : VAR8 - {{VAR7{1'b0}},1'b1};
assign VAR17 = VAR1 ? {!(|VAR6), VAR6} - (VAR23 ? VAR8 + {{VAR7{1'b0}},1'b1} : VAR8) :
(VAR23 ? VAR8 : VAR8 - {{VAR7{1'b0}},1'b1});
assign VAR21 = !(|VAR8);
assign VAR4 = (VAR23 ? negedge : posedge) && (!VAR21 || VAR14);
assign VAR22 = (VAR2 ? negedge : posedge) && !VAR21;
always @(posedge clk or posedge rst)
begin
if(rst)
VAR8 <= #VAR18 {VAR7+1{1'b0}};
end
else
begin
if(VAR16)
VAR8 <= #VAR18 posedge ? (VAR8 - {{VAR7{1'b0}}, 1'b1}) : VAR8;
end
else
VAR8 <= #VAR18 !(|VAR6) ? {1'b1, {VAR7{1'b0}}} : {1'b0, VAR6};
end
end
always @(posedge clk or posedge rst)
begin
if(rst)
VAR16 <= #VAR18 1'b0;
end
else if(VAR19 && ~VAR16)
VAR16 <= #VAR18 1'b1;
else if(VAR16 && VAR21 && posedge)
VAR16 <= #VAR18 1'b0;
end
always @(posedge clk or posedge rst)
begin
if (rst)
VAR3 <= #VAR18 1'b0;
end
else
VAR3 <= #VAR18 (VAR22 || !VAR16) ? VAR11[VAR12[VAR7-1:0]] : VAR3;
end
always @(posedge clk or posedge rst)
begin
if (rst)
VAR11 <= #VAR18 {VAR20{1'b0}};
end
else if (VAR10[0] && !VAR16)
begin
if (VAR9[3])
VAR11[31:24] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[23:16] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[15:8] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[7:0] <= #VAR18 VAR13[7:0];
end
else if (VAR10[1] && !VAR16)
begin
if (VAR9[3])
VAR11[63:56] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[55:48] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[47:40] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[39:32] <= #VAR18 VAR13[7:0];
end
else if (VAR10[2] && !VAR16)
begin
if (VAR9[3])
VAR11[95:88] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[87:80] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[79:72] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[71:64] <= #VAR18 VAR13[7:0];
end
else if (VAR10[3] && !VAR16)
begin
if (VAR9[3])
VAR11[127:120] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[119:112] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[111:104] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[103:96] <= #VAR18 VAR13[7:0];
end
else if (VAR10[0] && !VAR16)
begin
if (VAR9[3])
VAR11[31:24] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[23:16] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[15:8] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[7:0] <= #VAR18 VAR13[7:0];
end
else if (VAR10[1] && !VAR16)
begin
if (VAR9[3])
VAR11[63:56] <= #VAR18 VAR13[31:24];
if (VAR9[2])
VAR11[55:48] <= #VAR18 VAR13[23:16];
if (VAR9[1])
VAR11[47:40] <= #VAR18 VAR13[15:8];
if (VAR9[0])
VAR11[39:32] <= #VAR18 VAR13[7:0];
end
else if (VAR10[0] && !VAR16)
begin
if (VAR9[0])
VAR11[VAR20-1:0] <= #VAR18 VAR13[VAR20-1:0];
if (VAR9[0])
VAR11[7:0] <= #VAR18 VAR13[7:0];
if (VAR9[1])
VAR11[VAR20-1:8] <= #VAR18 VAR13[VAR20-1:8];
if (VAR9[0])
VAR11[7:0] <= #VAR18 VAR13[7:0];
if (VAR9[1])
VAR11[15:8] <= #VAR18 VAR13[15:8];
if (VAR9[2])
VAR11[VAR20-1:16] <= #VAR18 VAR13[VAR20-1:16];
if (VAR9[0])
VAR11[7:0] <= #VAR18 VAR13[7:0];
if (VAR9[1])
VAR11[15:8] <= #VAR18 VAR13[15:8];
if (VAR9[2])
VAR11[23:16] <= #VAR18 VAR13[23:16];
if (VAR9[3])
VAR11[VAR20-1:24] <= #VAR18 VAR13[VAR20-1:24];
end
else
VAR11[VAR17[VAR7-1:0]] <= #VAR18 VAR4 ? VAR15 : VAR11[VAR17[VAR7-1:0]];
end
endmodule
|
bsd-2-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o2bb2a/sky130_fd_sc_hdll__o2bb2a.symbol.v
| 1,394 |
module MODULE1 (
input VAR5,
input VAR4,
input VAR7 ,
input VAR9 ,
output VAR2
);
supply1 VAR6;
supply0 VAR3;
supply1 VAR8 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
alan4186/ParCNN
|
DE2_115_CAMERA/v/CCD_Capture.v
| 3,986 |
module MODULE1( VAR19,
VAR23,
VAR24,
VAR22,
VAR7,
VAR18,
VAR12,
VAR4,
VAR6,
VAR16,
VAR21,
VAR5
);
input [11:0] VAR18;
input VAR12;
input VAR4;
input VAR6;
input VAR16;
input VAR21;
input VAR5;
output [11:0] VAR19;
output [15:0] VAR24;
output [15:0] VAR22;
output [31:0] VAR7;
output VAR23;
reg VAR3;
reg VAR1;
reg VAR20;
reg [11:0] VAR8;
reg [15:0] VAR11;
reg [15:0] VAR2;
reg [31:0] VAR14;
reg VAR10;
parameter VAR17 = 1280;
parameter VAR17 = 800;
assign VAR24 = VAR11;
assign VAR22 = VAR2;
assign VAR7 = VAR14;
assign VAR19 = VAR8;
assign VAR23 = VAR1&VAR20;
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
VAR10 <= 0;
end
else
begin
if(VAR6)
VAR10 <= 1;
if(VAR16)
VAR10 <= 0;
end
end
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
begin
VAR3 <= 0;
VAR1 <= 0;
VAR20 <= 0;
VAR11 <= 0;
VAR2 <= 0;
end
else
begin
VAR3 <= VAR12;
if( ({VAR3,VAR12}==2'b01) && VAR10 )
VAR1 <= 1;
end
else if({VAR3,VAR12}==2'b10)
VAR1 <= 0;
VAR20 <= VAR4;
if(VAR1)
begin
if(VAR20)
begin
if(VAR11<(VAR17-1))
VAR11 <= VAR11+1;
end
else
begin
VAR11 <= 0;
VAR2 <= VAR2+1;
end
end
end
else
begin
VAR11 <= 0;
VAR2 <= 0;
end
end
end
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
VAR14 <= 0;
end
else
begin
if( ({VAR3,VAR12}==2'b01) && VAR10 )
VAR14 <= VAR14+1;
end
end
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
VAR8 <= 0;
end
else if (VAR4)
VAR8 <= VAR18;
else
VAR8 <= 0;
end
reg VAR13;
wire VAR15;
reg [15:0] VAR9;
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
VAR9 <= 0;
end
else
VAR9 <= VAR2;
end
always@(posedge VAR21 or negedge VAR5)
begin
if(!VAR5)
VAR13 <= 0;
end
else
VAR13 <= VAR12;
end
assign VAR15 = ({VAR13,VAR12}==2'b10)?1:0;
endmodule
|
mit
|
Digilent/vivado-library
|
ip/Pmods/PmodOLED_v1_0/src/PmodOLED.v
| 13,607 |
module MODULE1
(VAR208,
VAR130,
VAR13,
VAR106,
VAR68,
VAR16,
VAR155,
VAR81,
VAR22,
VAR48,
VAR83,
VAR121,
VAR196,
VAR100,
VAR27,
VAR52,
VAR159,
VAR197,
VAR53,
VAR37,
VAR141,
VAR191,
VAR99,
VAR189,
VAR12,
VAR193,
VAR142,
VAR17,
VAR111,
VAR54,
VAR203,
VAR153,
VAR184,
VAR86,
VAR56,
VAR78,
VAR201,
VAR97,
VAR35,
VAR2,
VAR174,
VAR211,
VAR131,
VAR151,
VAR116,
VAR79,
VAR94,
VAR202,
VAR46,
VAR170,
VAR119,
VAR139,
VAR178,
VAR31,
VAR14,
VAR122,
VAR80,
VAR185,
VAR82,
VAR186);
input [8:0]VAR208;
output VAR130;
input VAR13;
input [8:0]VAR106;
output VAR68;
input VAR16;
input VAR155;
output [1:0]VAR81;
output VAR22;
output [31:0]VAR48;
input VAR83;
output [1:0]VAR121;
output VAR196;
input [31:0]VAR100;
output VAR27;
input [3:0]VAR52;
input VAR159;
input [6:0]VAR197;
output VAR53;
input VAR37;
input [6:0]VAR141;
output VAR191;
input VAR99;
input VAR189;
output [1:0]VAR12;
output VAR193;
output [31:0]VAR142;
input VAR17;
output [1:0]VAR111;
output VAR54;
input [31:0]VAR203;
output VAR153;
input [3:0]VAR184;
input VAR86;
input VAR56;
output VAR78;
output VAR201;
input VAR97;
output VAR35;
output VAR2;
input VAR174;
output VAR211;
output VAR131;
input VAR151;
output VAR116;
output VAR79;
input VAR94;
output VAR202;
output VAR46;
input VAR170;
output VAR119;
output VAR139;
input VAR178;
output VAR31;
output VAR14;
input VAR122;
output VAR80;
output VAR185;
input VAR82;
input VAR186;
wire [6:0]VAR84;
wire VAR63;
wire VAR133;
wire [6:0]VAR187;
wire VAR210;
wire VAR129;
wire VAR149;
wire [1:0]VAR115;
wire VAR190;
wire [31:0]VAR44;
wire VAR173;
wire [1:0]VAR200;
wire VAR57;
wire [31:0]VAR124;
wire VAR198;
wire [3:0]VAR51;
wire VAR117;
wire [8:0]VAR168;
wire VAR179;
wire VAR105;
wire [8:0]VAR104;
wire VAR18;
wire VAR140;
wire VAR127;
wire [1:0]VAR61;
wire VAR11;
wire [31:0]VAR188;
wire VAR5;
wire [1:0]VAR160;
wire VAR47;
wire [31:0]VAR147;
wire VAR103;
wire [3:0]VAR7;
wire VAR89;
wire [3:0]VAR59;
wire [3:0]VAR45;
wire [3:0]VAR143;
wire VAR118;
wire VAR1;
wire VAR154;
wire VAR87;
wire VAR19;
wire VAR192;
wire VAR158;
wire VAR34;
wire VAR66;
wire VAR43;
wire [0:0]VAR161;
wire VAR4;
wire VAR137;
wire VAR181;
wire VAR49;
wire VAR150;
wire VAR92;
wire VAR42;
wire VAR24;
wire VAR112;
wire VAR120;
wire VAR199;
wire VAR182;
wire VAR30;
wire VAR40;
wire VAR212;
wire VAR164;
wire VAR156;
wire VAR206;
wire VAR64;
wire VAR39;
wire VAR114;
wire VAR136;
wire VAR23;
wire VAR144;
wire VAR134;
wire VAR123;
wire VAR214;
wire VAR194;
assign VAR84 = VAR197[6:0];
assign VAR133 = VAR37;
assign VAR187 = VAR141[6:0];
assign VAR129 = VAR99;
assign VAR149 = VAR189;
assign VAR173 = VAR17;
assign VAR124 = VAR203[31:0];
assign VAR51 = VAR184[3:0];
assign VAR117 = VAR86;
assign VAR130 = VAR179;
assign VAR68 = VAR18;
assign VAR81[1:0] = VAR61;
assign VAR22 = VAR11;
assign VAR48[31:0] = VAR188;
assign VAR121[1:0] = VAR160;
assign VAR196 = VAR47;
assign VAR27 = VAR103;
assign VAR53 = VAR63;
assign VAR191 = VAR210;
assign VAR12[1:0] = VAR115;
assign VAR193 = VAR190;
assign VAR142[31:0] = VAR44;
assign VAR111[1:0] = VAR200;
assign VAR54 = VAR57;
assign VAR153 = VAR198;
assign VAR78 = VAR49;
assign VAR201 = VAR150;
assign VAR35 = VAR42;
assign VAR2 = VAR24;
assign VAR211 = VAR120;
assign VAR131 = VAR199;
assign VAR116 = VAR30;
assign VAR79 = VAR40;
assign VAR202 = VAR164;
assign VAR46 = VAR156;
assign VAR119 = VAR64;
assign VAR139 = VAR39;
assign VAR31 = VAR136;
assign VAR14 = VAR23;
assign VAR80 = VAR134;
assign VAR185 = VAR123;
assign VAR168 = VAR208[8:0];
assign VAR105 = VAR13;
assign VAR104 = VAR106[8:0];
assign VAR140 = VAR16;
assign VAR127 = VAR155;
assign VAR5 = VAR83;
assign VAR147 = VAR100[31:0];
assign VAR7 = VAR52[3:0];
assign VAR89 = VAR159;
assign VAR137 = VAR82; assign VAR181 = VAR56;
assign VAR92 = VAR97;
assign VAR112 = VAR174;
assign VAR182 = VAR151;
assign VAR212 = VAR94;
assign VAR206 = VAR170;
assign VAR114 = VAR178;
assign VAR144 = VAR122;
assign VAR214 = VAR82;
assign VAR194 = VAR186;
VAR50 VAR209
(.VAR204(VAR59),
.VAR90(VAR45),
.VAR125(VAR143),
.VAR82(VAR214),
.VAR108(VAR168),
.VAR186(VAR194),
.VAR20(VAR179),
.VAR74(VAR105),
.VAR77(VAR104),
.VAR146(VAR18),
.VAR58(VAR140),
.VAR55(VAR127),
.VAR95(VAR61),
.VAR128(VAR11),
.VAR165(VAR188),
.VAR205(VAR5),
.VAR162(VAR160),
.VAR91(VAR47),
.VAR15(VAR147),
.VAR41(VAR103),
.VAR21(VAR7),
.VAR177(VAR89));
VAR62 VAR207
(.VAR6(VAR137),
.VAR107(VAR118),
.VAR167(VAR1),
.VAR60(VAR154),
.VAR3(VAR87),
.VAR101(VAR19),
.VAR152(VAR192),
.VAR82(VAR214),
.VAR108(VAR84),
.VAR186(VAR194),
.VAR20(VAR63),
.VAR74(VAR133),
.VAR77(VAR187),
.VAR146(VAR210),
.VAR58(VAR129),
.VAR55(VAR149),
.VAR95(VAR115),
.VAR128(VAR190),
.VAR165(VAR44),
.VAR205(VAR173),
.VAR162(VAR200),
.VAR91(VAR57),
.VAR15(VAR124),
.VAR41(VAR198),
.VAR21(VAR51),
.VAR177(VAR117),
.VAR166(VAR158),
.VAR38(VAR34),
.VAR213(VAR66),
.VAR145(VAR43),
.VAR8(VAR161),
.VAR102(VAR4));
VAR163 VAR29
(.VAR98(VAR43),
.VAR126(VAR161),
.VAR9(VAR4),
.VAR157(VAR118),
.VAR93(VAR1),
.VAR10(VAR154),
.VAR148(VAR87),
.VAR71(VAR19),
.VAR36(VAR192),
.VAR28(VAR158),
.VAR110(VAR34),
.VAR65(VAR66),
.VAR176(VAR59),
.VAR138(VAR45),
.VAR132(VAR143),
.VAR180(VAR92),
.VAR169(VAR42),
.VAR67(VAR24),
.VAR32(VAR112),
.VAR135(VAR120),
.VAR195(VAR199),
.VAR183(VAR182),
.VAR25(VAR30),
.VAR75(VAR40),
.VAR72(VAR212),
.VAR171(VAR164),
.VAR109(VAR156),
.VAR26(VAR206),
.VAR85(VAR64),
.VAR175(VAR39),
.VAR69(VAR114),
.VAR96(VAR136),
.VAR76(VAR23),
.VAR33(VAR144),
.VAR88(VAR134),
.VAR73(VAR123),
.VAR113(VAR181),
.VAR172(VAR49),
.VAR70(VAR150));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/fill/sky130_fd_sc_hd__fill.pp.blackbox.v
| 1,173 |
module MODULE1 (
VAR4,
VAR2,
VAR3 ,
VAR1
);
input VAR4;
input VAR2;
input VAR3 ;
input VAR1 ;
endmodule
|
apache-2.0
|
martinmiranda14/Digitales
|
Lab_6/PS2_y_display.v
| 3,705 |
module MODULE1(
input VAR33,
input VAR11,
input VAR35,
input VAR2,
output [7:0] VAR24,
output VAR1,VAR50,VAR8,VAR23,VAR22,VAR39,VAR9,VAR34
);
wire reset;
wire VAR48;
wire VAR13;
wire [7:0] VAR27;
wire [2:0] VAR43;
wire [4:0] VAR21;
wire [31:0] VAR3;
wire [2:0] VAR28;
wire VAR18;
reg [3:0] VAR52,VAR57,VAR14,VAR45,VAR20,VAR26,VAR47,VAR6;
reg [3:0] VAR41,VAR12,VAR40,VAR51,VAR36,VAR15,VAR42,VAR46;
assign reset= ~VAR11;
assign VAR13= VAR33;
assign VAR3={VAR52,VAR57,VAR14,VAR45,VAR20,VAR26,VAR47,VAR6};
VAR17 VAR56(
.clk(VAR13),
.rst (reset),
.VAR37 (VAR48)
);
VAR10 VAR32(
.VAR30(VAR27),
.VAR19(VAR21),
.VAR43(VAR43),
.VAR7 ()
);
VAR31 VAR54(
.clk(VAR33),
.rst(reset),
.VAR58(VAR2),
.VAR29(VAR35),
.VAR16(VAR27),
.VAR28(VAR28),
.VAR18(VAR18),
.VAR55()
);
VAR5 VAR53(
.VAR38(VAR48),
.VAR44(VAR3),
.VAR4(),
.VAR49(VAR24[7:0]),
.VAR25({VAR1,VAR50,VAR8,VAR23,VAR22,VAR39,VAR9,VAR34})
);
always @(*) begin
if ((VAR18) & (VAR28==3'd1) & (VAR43==3'b1)) begin
VAR46=VAR21[3:0];
VAR42=VAR6;
VAR15=VAR47;
VAR36=VAR26;
VAR51=VAR20;
VAR40=VAR45;
VAR12=VAR14;
VAR41=VAR57;
end
else begin
VAR46=VAR6;
VAR42=VAR47;
VAR15=VAR26;
VAR36=VAR20;
VAR51=VAR45;
VAR40=VAR14;
VAR12=VAR57;
VAR41=VAR52;
end
end
always @(posedge VAR13) begin
if (reset) begin
VAR52<=4'b0;
VAR57<=4'b0;
VAR14<=4'b0;
VAR45<=4'b0;
VAR20<=4'b0;
VAR26<=4'b0;
VAR47<=4'b0;
VAR6<=4'b0;
end
else begin
VAR52<=VAR41;
VAR57<=VAR12;
VAR14<=VAR40;
VAR45<=VAR51;
VAR20<=VAR36;
VAR26<=VAR15;
VAR47<=VAR42;
VAR6<=VAR46;
end
end
endmodule
|
apache-2.0
|
sh-chris110/chris
|
FPGA/Math/Qsys/nios_design/synthesis/submodules/nios_design_nios2_gen2_0_cpu_debug_slave_sysclk.v
| 6,243 |
module MODULE1 (
clk,
VAR24,
VAR30,
VAR27,
VAR7,
VAR9,
VAR1,
VAR5,
VAR14,
VAR3,
VAR18,
VAR16,
VAR12,
VAR10,
VAR26,
VAR23
)
;
output [ 37: 0] VAR9;
output VAR1;
output VAR5;
output VAR14;
output VAR3;
output VAR18;
output VAR16;
output VAR12;
output VAR10;
output VAR26;
output VAR23;
input clk;
input [ 1: 0] VAR24;
input [ 37: 0] VAR30;
input VAR27;
input VAR7;
reg VAR19 ;
reg [ 1: 0] VAR13 ;
reg [ 37: 0] VAR9 ;
reg VAR2 ;
reg VAR29 ;
reg VAR21 ;
wire VAR20;
wire VAR28;
wire VAR1;
wire VAR5;
wire VAR14;
wire VAR3;
wire VAR18;
wire VAR16;
wire VAR12;
wire VAR10;
wire VAR26;
wire VAR23;
wire VAR17;
wire VAR22;
reg VAR15 ;
assign VAR17 = 1'b1;
VAR25 VAR8
(
.clk (clk),
.din (VAR27),
.dout (VAR20),
.VAR11 (VAR17)
);
assign VAR22 = 1'b1;
VAR25 VAR4
(
.clk (clk),
.din (VAR7),
.dout (VAR28),
.VAR11 (VAR22)
);
always @(posedge clk)
begin
VAR29 <= VAR20;
VAR15 <= VAR20 & ~VAR29;
VAR19 <= VAR15;
VAR21 <= VAR28;
VAR2 <= VAR28 & ~VAR21;
end
assign VAR3 = VAR19 && (VAR13 == 2'b00) &&
~VAR9[35] && VAR9[34];
assign VAR23 = VAR19 && (VAR13 == 2'b00) &&
~VAR9[35] && ~VAR9[34];
assign VAR18 = VAR19 && (VAR13 == 2'b00) &&
VAR9[35];
assign VAR1 = VAR19 && (VAR13 == 2'b10) &&
~VAR9[36] &&
VAR9[37];
assign VAR12 = VAR19 && (VAR13 == 2'b10) &&
~VAR9[36] &&
~VAR9[37];
assign VAR5 = VAR19 && (VAR13 == 2'b10) &&
VAR9[36] && ~VAR9[35] &&
VAR9[37];
assign VAR10 = VAR19 && (VAR13 == 2'b10) &&
VAR9[36] && ~VAR9[35] &&
~VAR9[37];
assign VAR14 = VAR19 && (VAR13 == 2'b10) &&
VAR9[36] && VAR9[35] &&
VAR9[37];
assign VAR26 = VAR19 && (VAR13 == 2'b10) &&
VAR9[36] && VAR9[35] &&
~VAR9[37];
assign VAR16 = VAR19 && (VAR13 == 2'b11) &&
VAR9[15];
always @(posedge clk)
begin
if (VAR2)
VAR13 <= VAR24;
if (VAR15)
VAR9 <= VAR30;
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/or4b/sky130_fd_sc_ms__or4b.behavioral.v
| 1,498 |
module MODULE1 (
VAR13 ,
VAR9 ,
VAR10 ,
VAR3 ,
VAR8
);
output VAR13 ;
input VAR9 ;
input VAR10 ;
input VAR3 ;
input VAR8;
supply1 VAR14;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR12 ;
wire VAR11 ;
wire VAR2;
not VAR7 (VAR11 , VAR8 );
or VAR6 (VAR2, VAR11, VAR3, VAR10, VAR9);
buf VAR5 (VAR13 , VAR2 );
endmodule
|
apache-2.0
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_light/spw_light/synthesis/submodules/spw_light_pll_0.v
| 2,077 |
module MODULE1(
input wire VAR10,
input wire rst,
output wire VAR64,
output wire VAR40
);
VAR63 #(
.VAR16("false"),
.VAR45("50.0 VAR22"),
.VAR5("VAR32"),
.VAR28(1),
.VAR20("200.000000 VAR22"),
.VAR31("0 VAR54"),
.VAR47(50),
.VAR48("0 VAR22"),
.VAR56("0 VAR54"),
.VAR34(50),
.VAR4("0 VAR22"),
.VAR41("0 VAR54"),
.VAR3(50),
.VAR23("0 VAR22"),
.VAR37("0 VAR54"),
.VAR46(50),
.VAR65("0 VAR22"),
.VAR50("0 VAR54"),
.VAR33(50),
.VAR19("0 VAR22"),
.VAR52("0 VAR54"),
.VAR49(50),
.VAR55("0 VAR22"),
.VAR25("0 VAR54"),
.VAR12(50),
.VAR72("0 VAR22"),
.VAR69("0 VAR54"),
.VAR9(50),
.VAR15("0 VAR22"),
.VAR53("0 VAR54"),
.VAR66(50),
.VAR30("0 VAR22"),
.VAR67("0 VAR54"),
.VAR35(50),
.VAR44("0 VAR22"),
.VAR58("0 VAR54"),
.VAR36(50),
.VAR71("0 VAR22"),
.VAR1("0 VAR54"),
.VAR2(50),
.VAR61("0 VAR22"),
.VAR62("0 VAR54"),
.VAR14(50),
.VAR42("0 VAR22"),
.VAR68("0 VAR54"),
.VAR38(50),
.VAR26("0 VAR22"),
.VAR57("0 VAR54"),
.VAR60(50),
.VAR51("0 VAR22"),
.VAR7("0 VAR54"),
.VAR27(50),
.VAR59("0 VAR22"),
.VAR43("0 VAR54"),
.VAR6(50),
.VAR29("0 VAR22"),
.VAR18("0 VAR54"),
.VAR70(50),
.VAR13("VAR39"),
.VAR17("VAR39")
) VAR11 (
.rst (rst),
.VAR8 ({VAR64}),
.VAR40 (VAR40),
.VAR24 ( ),
.VAR21 (1'b0),
.VAR10 (VAR10)
);
endmodule
|
gpl-3.0
|
scalable-networks/ext
|
uhd/fpga/usrp2/sdr_lib/pipestage.v
| 1,186 |
module MODULE1
(input clk,
input reset,
input VAR5,
input VAR3,
output reg valid,
input [VAR2-1:0] VAR1,
output reg [VAR2-1:0] VAR4);
always @(posedge clk)
if(reset)
begin
valid <= 0;
VAR4 <= 0;
end
else if(VAR5)
begin
valid <= 1;
VAR4 <= VAR1;
end
else if(VAR3)
begin
valid <= 0;
VAR4 <= 0;
end
endmodule
|
gpl-2.0
|
Jawanga/ece385final
|
finalproject/synthesis/submodules/finalproject_sdram.v
| 24,562 |
module MODULE1 (
clk,
rd,
VAR47,
wr,
VAR83,
VAR68,
VAR4,
VAR15,
VAR63,
VAR73
)
;
output VAR68;
output VAR4;
output VAR15;
output VAR63;
output [ 61: 0] VAR73;
input clk;
input rd;
input VAR47;
input wr;
input [ 61: 0] VAR83;
wire VAR68;
wire VAR4;
wire VAR15;
reg [ 1: 0] VAR12;
reg [ 61: 0] VAR21;
reg [ 61: 0] VAR42;
wire VAR63;
reg VAR72;
reg [ 61: 0] VAR73;
wire [ 1: 0] VAR74;
reg VAR39;
assign VAR74 = {rd, wr};
assign VAR63 = VAR12 == 2;
assign VAR4 = VAR12 >= 1;
assign VAR15 = VAR12 == 0;
assign VAR68 = VAR12 <= 1;
always @(VAR21 or VAR42 or VAR72)
begin
case (VAR72)
1'd0: begin
VAR73 = VAR21;
end
1'd1: begin
VAR73 = VAR42;
end
default: begin
end
endcase end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR39 <= 0;
VAR72 <= 0;
VAR12 <= 0;
end
else
case (VAR74)
2'd1: begin
if (!VAR63)
begin
VAR12 <= VAR12 + 1;
VAR39 <= (VAR39 == 1) ? 0 : (VAR39 + 1);
end
end
2'd2: begin
if (!VAR15)
begin
VAR12 <= VAR12 - 1;
VAR72 <= (VAR72 == 1) ? 0 : (VAR72 + 1);
end
end
2'd3: begin
VAR39 <= (VAR39 == 1) ? 0 : (VAR39 + 1);
VAR72 <= (VAR72 == 1) ? 0 : (VAR72 + 1);
end
default: begin
end
endcase end
always @(posedge clk)
begin
if (wr & !VAR63)
case (VAR39)
1'd0: begin
VAR21 <= VAR83;
end
1'd1: begin
VAR42 <= VAR83;
end
default: begin
end
endcase end
endmodule
module MODULE2 (
VAR60,
VAR2,
VAR61,
VAR58,
VAR34,
VAR52,
clk,
VAR47,
VAR25,
VAR28,
VAR26,
VAR27,
VAR32,
VAR6,
VAR43,
VAR45,
VAR53,
VAR46,
VAR57,
VAR29
)
;
output [ 31: 0] VAR25;
output VAR28;
output VAR26;
output [ 12: 0] VAR27;
output [ 1: 0] VAR32;
output VAR6;
output VAR43;
output VAR45;
inout [ 31: 0] VAR53;
output [ 3: 0] VAR46;
output VAR57;
output VAR29;
input [ 24: 0] VAR60;
input [ 3: 0] VAR2;
input VAR61;
input [ 31: 0] VAR58;
input VAR34;
input VAR52;
input clk;
input VAR47;
wire [ 23: 0] VAR11;
reg VAR48;
reg [ 24: 0] VAR16;
wire [ 1: 0] VAR5;
reg VAR50;
reg [ 31: 0] VAR38;
reg [ 3: 0] VAR14;
reg VAR65;
wire VAR68;
wire VAR4;
wire VAR7;
wire [ 9: 0] VAR79;
wire VAR81;
wire [ 3: 0] VAR51;
wire [ 2: 0] VAR70;
wire VAR9;
wire VAR77;
wire VAR82;
wire [ 24: 0] VAR20;
wire [ 1: 0] VAR62;
wire VAR80;
wire [ 31: 0] VAR36;
wire [ 3: 0] VAR13;
wire VAR8;
reg VAR23;
wire VAR55;
wire VAR49;
wire [ 61: 0] VAR84;
reg [ 12: 0] VAR69;
reg [ 3: 0] VAR17;
reg [ 2: 0] VAR24;
reg [ 2: 0] VAR35;
reg [ 2: 0] VAR18;
reg [ 2: 0] VAR22;
reg VAR76;
reg [ 12: 0] VAR78 ;
reg [ 1: 0] VAR75 ;
reg [ 3: 0] VAR3 ;
reg [ 2: 0] VAR56;
reg [ 31: 0] VAR37 ;
reg [ 3: 0] VAR31 ;
reg [ 8: 0] VAR10;
reg [ 8: 0] VAR30;
reg VAR59 ;
wire VAR71;
wire VAR33;
reg [ 2: 0] VAR19;
reg [ 13: 0] VAR67;
reg VAR54;
wire VAR44;
wire VAR1;
wire [ 23: 0] VAR41;
reg VAR66;
reg [ 31: 0] VAR25 ;
reg VAR28;
wire VAR26;
wire [ 12: 0] VAR27;
wire [ 1: 0] VAR32;
wire VAR6;
wire VAR43;
wire VAR45;
wire [ 31: 0] VAR53;
wire [ 3: 0] VAR46;
wire VAR57;
wire VAR29;
assign VAR81 = 1;
assign {VAR45, VAR57, VAR6, VAR29} = VAR3;
assign VAR27 = VAR78;
assign VAR43 = VAR81;
assign VAR53 = VAR59?VAR37:{32{1'VAR64}};
assign VAR46 = VAR31;
assign VAR32 = VAR75;
assign VAR49 = VAR23 & VAR71;
assign VAR80 = 1'b0;
assign VAR9 = VAR49 ? VAR80 : VAR50;
assign VAR77 = VAR9;
assign {VAR55, VAR20, VAR13, VAR36} = VAR84;
MODULE1 MODULE1
(
.VAR68 (VAR68),
.VAR4 (VAR4),
.clk (clk),
.VAR15 (VAR8),
.VAR63 (VAR26),
.rd (VAR49),
.VAR73 (VAR84),
.VAR47 (VAR47),
.wr ((~VAR52 | ~VAR34) & !VAR26),
.VAR83 ({VAR52, VAR60, VAR52 ? 4'b0 : VAR2, VAR58})
);
assign VAR62 = {VAR20[24],VAR20[10]};
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR67 <= 10000;
end
else if (VAR67 == 0)
VAR67 <= 390;
else
VAR67 <= VAR67 - 1'b1;
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR54 <= 0;
end
else if (1)
VAR54 <= ((VAR67 == 0) | VAR54) & ~VAR48 & VAR76;
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR66 <= 0;
end
else if (1)
VAR66 <= (VAR67 == 0) & VAR54;
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR76 <= 0;
end
else if (1)
VAR76 <= VAR76 | (VAR22 == 3'b101);
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR22 <= 3'b000;
VAR35 <= 3'b000;
VAR17 <= 4'b1111;
VAR69 <= {13{1'b1}};
VAR24 <= {3{1'b0}};
end
else
begin
VAR69 <= {13{1'b1}};
case (VAR22)
3'b000: begin
VAR17 <= 4'b1111;
VAR18 <= 3'b0;
if (VAR67 == 0)
VAR22 <= 3'b001;
end
3'b001: begin
VAR22 <= 3'b011;
VAR17 <= {{1{1'b0}},3'h2};
VAR24 <= 0;
VAR35 <= 3'b010;
end
3'b010: begin
VAR17 <= {{1{1'b0}},3'h1};
VAR18 <= VAR18 + 1'b1;
VAR22 <= 3'b011;
VAR24 <= 3;
if (VAR18 == 3'h1)
VAR35 <= 3'b111;
end
else
VAR35 <= 3'b010;
end
3'b011: begin
VAR17 <= {{1{1'b0}},3'h7};
if (VAR24 > 1)
VAR24 <= VAR24 - 1'b1;
end
else
VAR22 <= VAR35;
end
3'b101: begin
VAR22 <= 3'b101;
end
3'b111: begin
VAR22 <= 3'b011;
VAR17 <= {{1{1'b0}},3'h0};
VAR69 <= {{3{1'b0}},1'b0,2'b00,3'h3,4'h0};
VAR24 <= 4;
VAR35 <= 3'b101;
end
default: begin
VAR22 <= 3'b000;
end
endcase end
end
assign VAR5 = {VAR16[24],VAR16[10]};
assign VAR82 = VAR50 == VAR80;
assign VAR44 = VAR65 == VAR55;
assign VAR7 = VAR5 == VAR62;
assign VAR1 = {VAR16[23 : 11]} == {VAR20[23 : 11]};
assign VAR71 = VAR82 && VAR44 && VAR7 && VAR1 && !VAR8;
assign VAR79 = VAR49 ? { {3{1'b0}},VAR20[9 : 0] } : { {3{1'b0}},VAR16[9 : 0] };
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
begin
VAR30 <= 9'b000000001;
VAR10 <= 9'b000000001;
VAR3 <= 4'b1111;
VAR75 <= 2'b00;
VAR78 <= 13'b0000000000000;
VAR37 <= 32'b00000000000000000000000000000000;
VAR31 <= 4'b0000;
VAR56 <= 3'b000;
VAR48 <= 1'b0;
VAR23 <= 1'b0;
VAR59 <= 1'b0;
end
else
begin
VAR23 <= 1'b0;
VAR59 <= 1'b0;
case (VAR30)
9'b000000001: begin
if (VAR76)
begin
if (VAR54)
VAR3 <= {{1{1'b0}},3'h7};
end
else
VAR3 <= 4'b1111;
VAR48 <= 1'b0;
if (VAR54)
begin
VAR30 <= 9'b001000000;
VAR10 <= 9'b010000000;
VAR56 <= 0;
VAR50 <= 1'b1;
end
else if (!VAR8)
begin
VAR23 <= 1'b1;
VAR50 <= VAR80;
VAR65 <= VAR55;
VAR16 <= VAR20;
VAR38 <= VAR36;
VAR14 <= VAR13;
VAR30 <= 9'b000000010;
end
end
else
begin
VAR78 <= VAR69;
VAR30 <= 9'b000000001;
VAR10 <= 9'b000000001;
VAR3 <= VAR17;
end
end
9'b000000010: begin
VAR30 <= 9'b000000100;
VAR3 <= {VAR77,3'h3};
VAR75 <= VAR5;
VAR78 <= VAR16[23 : 11];
VAR37 <= VAR38;
VAR31 <= VAR14;
VAR56 <= 1;
VAR10 <= VAR65 ? 9'b000001000 : 9'b000010000;
end
9'b000000100: begin
if (VAR10 == 9'b010000000)
VAR3 <= {{1{1'b0}},3'h7};
end
else
VAR3 <= {VAR77,3'h7};
if (VAR56 > 1)
VAR56 <= VAR56 - 1'b1;
end
else
VAR30 <= VAR10;
end
9'b000001000: begin
VAR3 <= {VAR77,3'h5};
VAR75 <= VAR49 ? VAR62 : VAR5;
VAR31 <= VAR49 ? VAR13 : VAR14;
VAR78 <= VAR79;
if (VAR71)
begin
if (VAR54)
begin
VAR30 <= 9'b000000100;
VAR10 <= 9'b000000001;
VAR56 <= 2;
end
else
begin
VAR23 <= 1'b1;
VAR50 <= VAR80;
VAR65 <= VAR55;
VAR16 <= VAR20;
VAR38 <= VAR36;
VAR14 <= VAR13;
end
end
else
begin
if (~VAR71 & VAR23)
VAR3 <= {VAR77,3'h7};
VAR30 <= 9'b100000000;
end
end
9'b000010000: begin
VAR3 <= {VAR77,3'h4};
VAR59 <= 1'b1;
VAR37 <= VAR49 ? VAR36 : VAR38;
VAR31 <= VAR49 ? VAR13 : VAR14;
VAR75 <= VAR49 ? VAR62 : VAR5;
VAR78 <= VAR79;
if (VAR71)
begin
if (VAR54)
begin
VAR30 <= 9'b000000100;
VAR10 <= 9'b000000001;
VAR56 <= 1;
end
else
begin
VAR23 <= 1'b1;
VAR50 <= VAR80;
VAR65 <= VAR55;
VAR16 <= VAR20;
VAR38 <= VAR36;
VAR14 <= VAR13;
end
end
else
begin
if (~VAR71 & VAR23)
begin
VAR3 <= {VAR77,3'h7};
VAR59 <= 1'b0;
end
VAR30 <= 9'b100000000;
end
end
9'b000100000: begin
VAR3 <= {VAR77,3'h7};
if (VAR56 > 1)
VAR56 <= VAR56 - 1'b1;
end
else
begin
VAR30 <= 9'b001000000;
VAR56 <= 0;
end
end
9'b001000000: begin
VAR30 <= 9'b000000100;
VAR78 <= {13{1'b1}};
if (VAR54)
VAR3 <= {{1{1'b0}},3'h2};
end
else
VAR3 <= {VAR77,3'h2};
end
9'b010000000: begin
VAR48 <= 1'b1;
VAR30 <= 9'b000000100;
VAR3 <= {{1{1'b0}},3'h1};
VAR56 <= 3;
VAR10 <= 9'b000000001;
end
9'b100000000: begin
VAR3 <= {VAR77,3'h7};
if (VAR54)
begin
VAR30 <= 9'b000000100;
VAR10 <= 9'b000000001;
VAR56 <= 1;
end
else if (!VAR8)
if (VAR82 && VAR44 && VAR7 && VAR1)
begin
VAR30 <= VAR55 ? 9'b000001000 : 9'b000010000;
VAR23 <= 1'b1;
VAR50 <= VAR80;
VAR65 <= VAR55;
VAR16 <= VAR20;
VAR38 <= VAR36;
VAR14 <= VAR13;
end
else
begin
VAR30 <= 9'b000100000;
VAR10 <= 9'b000000001;
VAR56 <= 1;
end
end
default: begin
VAR30 <= VAR30;
VAR3 <= 4'b1111;
VAR23 <= 1'b0;
VAR59 <= 1'b0;
end
endcase end
end
assign VAR33 = VAR3[2 : 0] == 3'h5;
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR19 <= {3{1'b0}};
end
else
VAR19 <= (VAR19 << 1) | { {2{1'b0}}, VAR33 };
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR25 <= 0;
end
else
VAR25 <= VAR53;
end
always @(posedge clk or negedge VAR47)
begin
if (VAR47 == 0)
VAR28 <= 0;
end
else if (1)
VAR28 <= VAR19[2];
end
assign VAR70 = VAR3[2 : 0];
assign VAR51 = VAR3;
begin
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_common/rtl/bw_io_dtl_bscan.v
| 4,982 |
module MODULE1(VAR53 ,VAR26 ,VAR83 ,VAR36 ,
VAR86 ,VAR74 ,VAR38 ,VAR27 ,VAR1 ,VAR19 ,VAR10 ,
VAR16 ,VAR32 ,VAR25 ,VAR34 ,VAR80
,VAR62 ,VAR49 ,VAR30 ,VAR89 ,VAR50 ,
VAR87 ,VAR73 ,clk ,VAR28 ,VAR39 ,VAR24 ,VAR9 ,
VAR63 );
output VAR53 ;
output VAR36 ;
output VAR86 ;
output VAR16 ;
output VAR32 ;
output VAR30 ;
output VAR50 ;
input VAR26 ;
input VAR83 ;
input VAR74 ;
input VAR38 ;
input VAR27 ;
input VAR1 ;
input VAR19 ;
input VAR10 ;
input VAR25 ;
input VAR34 ;
input VAR80 ;
input VAR62 ;
input VAR49 ;
input VAR89 ;
input VAR87 ;
input VAR73 ;
input clk ;
input VAR28 ;
input VAR39 ;
input VAR24 ;
input VAR9 ;
input VAR63 ;
wire [1:0] VAR65 ;
wire [1:0] VAR4 ;
wire [2:0] VAR14 ;
wire VAR20 ;
wire VAR48 ;
wire VAR33 ;
wire VAR78 ;
wire VAR6 ;
wire VAR70 ;
wire VAR43 ;
wire VAR8 ;
wire VAR52 ;
wire VAR31 ;
wire VAR54 ;
wire VAR15 ;
wire VAR64 ;
VAR7 VAR17 (
.VAR18 (VAR86 ),
.VAR77 (VAR15 ) );
VAR12 VAR40 (
.VAR23 ({VAR25 ,VAR65[1:0] } ),
.VAR14 ({VAR14 } ),
.clk (VAR9 ),
.VAR71 (VAR20 ),
.VAR90 (VAR74 ),
.VAR38 (VAR48 ) );
VAR37 VAR42 (
.VAR18 (VAR52 ),
.VAR77 (VAR19 ) );
VAR55 VAR22 (
.VAR18 (VAR32 ),
.VAR77 (VAR70 ) );
VAR37 VAR45 (
.VAR18 (VAR6 ),
.VAR77 (VAR31 ) );
VAR46 VAR11 (
.VAR18 (VAR48 ),
.VAR77 (VAR43 ) );
VAR61 VAR2 (
.VAR18 (VAR31 ),
.VAR77 (VAR4[1] ),
.VAR57 (VAR89 ) );
VAR37 VAR72 (
.VAR18 (VAR43 ),
.VAR77 (VAR34 ) );
VAR69 VAR84 (
.VAR18 (VAR15 ),
.VAR77 (VAR20 ) );
VAR81 VAR58 (
.VAR28 (VAR28 ),
.VAR36 (VAR36 ),
.VAR63 (VAR63 ),
.VAR10 (VAR10 ),
.clk (clk ),
.VAR6 (VAR6 ),
.VAR62 (VAR62 ),
.VAR88 (VAR25 ) );
VAR51 VAR44 (
.VAR18 (VAR70 ),
.VAR77 (VAR54 ) );
VAR66 VAR82 (
.VAR14 ({VAR54 ,VAR4[1:0] } ),
.VAR23 ({VAR14 } ),
.VAR59 (VAR33 ) );
VAR5 VAR60 (
.VAR67 (VAR65[0] ),
.VAR85 (VAR65[1] ),
.VAR29 (VAR49 ),
.VAR13 (VAR27 ),
.VAR1 (VAR1 ) );
VAR56 VAR35 (
.VAR41 (VAR64 ),
.VAR83 (VAR83 ),
.VAR10 (VAR10 ),
.VAR73 (VAR73 ),
.VAR21 (VAR4[0] ),
.VAR30 (VAR30 ),
.VAR16 (VAR16 ),
.VAR87 (VAR87 ),
.VAR24 (VAR24 ),
.VAR62 (VAR62 ),
.VAR53 (VAR53 ),
.VAR80 (VAR80 ),
.VAR75 (VAR4[1] ),
.VAR39 (VAR39 ),
.VAR50 (VAR50 ),
.VAR79 (VAR36 ),
.VAR26 (VAR26 ) );
VAR51 VAR47 (
.VAR18 (VAR64 ),
.VAR77 (VAR8 ) );
VAR46 VAR3 (
.VAR18 (VAR33 ),
.VAR77 (VAR78 ) );
VAR37 VAR76 (
.VAR18 (VAR8 ),
.VAR77 (VAR38 ) );
VAR51 VAR68 (
.VAR18 (VAR78 ),
.VAR77 (VAR52 ) );
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/tapmet1/sky130_fd_sc_ms__tapmet1.functional.pp.v
| 1,204 |
module MODULE1 (
VAR2,
VAR1,
VAR4 ,
VAR3
);
input VAR2;
input VAR1;
input VAR4 ;
input VAR3 ;
endmodule
|
apache-2.0
|
HarmonInstruments/verilog
|
sincos/cosrom_generic.v
| 31,748 |
module MODULE1 (
input VAR1, input [9:0] VAR2, VAR7, output reg [34:0] VAR4, VAR5);
reg [34:0] VAR6, VAR3;
reg [34:0] VAR8[0:1023];
always @ (posedge VAR1) begin
VAR6 <= VAR8[VAR2];
VAR3 <= VAR8[VAR7];
VAR4 <= VAR6;
VAR5 <= VAR3;
end
|
gpl-3.0
|
lfmunoz/vhdl
|
ip_blocks/sip_check_data/fifo_64_in_out/fifo_64in_out_stub.v
| 1,526 |
module MODULE1(rst, VAR6, VAR7, din, VAR5, VAR3, dout, VAR1, VAR4, valid, VAR2)
;
input rst;
input VAR6;
input VAR7;
input [63:0]din;
input VAR5;
input VAR3;
output [63:0]dout;
output VAR1;
output VAR4;
output valid;
output [11:0]VAR2;
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nand4/gf180mcu_fd_sc_mcu7t5v0__nand4_2.functional.pp.v
| 1,416 |
module MODULE1( VAR15, VAR3, VAR5, VAR12, VAR14, VAR6, VAR1 );
input VAR5, VAR14, VAR3, VAR12;
inout VAR6, VAR1;
output VAR15;
wire VAR4;
not VAR10( VAR4, VAR5 );
wire VAR13;
not VAR7( VAR13, VAR14 );
wire VAR11;
not VAR9( VAR11, VAR3 );
wire VAR8;
not VAR2( VAR8, VAR12 );
or VAR16( VAR15, VAR4, VAR13, VAR11, VAR8 );
endmodule
|
apache-2.0
|
CospanDesign/nysa-verilog
|
verilog/wishbone/interconnect/wishbone_interconnect.v
| 2,063 |
module MODULE1 (
input clk,
input rst,
input VAR6,
input VAR2,
input VAR5,
input [3:0] VAR1,
input [31:0] VAR13,
input [31:0] VAR3,
output reg [31:0] VAR12,
output reg VAR10,
output VAR14,
{VAR8}
);
{VAR16}
parameter VAR18 = 8'hFF;
wire [7:0]VAR9;
wire [31:0] VAR17;
assign VAR9 = VAR13[31:24];
{VAR11}
{VAR15}
{VAR7}
assign VAR14 = (VAR17 != 0);
{VAR4}
endmodule
|
mit
|
Openlights/hydra-fpga
|
hydra/hdl/strand_driver.v
| 7,167 |
module MODULE1 (
clk,
VAR27,
VAR21,
VAR7,
VAR13,
VAR26,
VAR17,
VAR24,
VAR19,
VAR3,
VAR25
);
parameter VAR20 = 24;
parameter VAR9 = 16;
input clk;
input VAR27;
input VAR21;
input [VAR9-1:0] VAR7;
output reg [VAR9-1:0] VAR13;
input [VAR20-1:0] VAR26;
input VAR17;
output reg VAR24;
output reg VAR19;
output reg VAR3;
output reg VAR25;
reg [7:0] counter;
reg [7:0] VAR14;
reg [7:0] VAR34;
reg [2:0] VAR2;
reg [2:0] VAR29;
reg [VAR20-1:0] VAR32;
reg VAR16;
reg VAR30;
reg VAR35;
reg [7:0] VAR15;
reg [VAR9-1:0] VAR11;
reg VAR23;
reg VAR28;
wire VAR5;
wire VAR36;
localparam VAR12 = 3'b000,
VAR31 = 3'b001,
VAR8 = 3'b010,
VAR18 = 3'b011,
VAR37 = 3'b100;
localparam VAR1 = 8'd120, VAR4 = 8'd130,
VAR22 = 8'd50,
VAR6 = 8'd200,
VAR10 = 8'd255,
VAR33 = 8'd10;
always @(posedge clk) begin
if (VAR27 == 1'b0) begin
VAR13 <= { VAR9 {1'b0} };
VAR32 <= { VAR20 {1'b0} };
VAR24 <= 1'b0;
VAR19 <= 1'b0;
VAR3 <= 1'b0;
VAR25 <= 1'b0;
counter <= {8 {1'b0} };
VAR34 <= {8 {1'b0} };
VAR2 <= VAR12;
VAR28 <= 1'b0;
end
else begin
VAR24 <= VAR35;
VAR13 <= VAR11;
VAR3 <= VAR16;
VAR25 <= VAR30;
if (VAR2 == VAR8) begin
VAR32 <= VAR26;
VAR34 <= {8 {1'b0} };
end else begin
VAR34 <= VAR15;
end
if (VAR23 == 1'b1) begin
counter <= VAR14;
VAR28 <= 1'b1;
end else begin
if (counter > 0) begin
counter <= counter - 1;
end else begin
VAR28 <= 1'b0;
end
end
VAR2 <= VAR29;
end
end
assign VAR5 = (VAR13 < VAR7);
assign VAR36 = VAR26[VAR34];
always @(*) begin
VAR29 = VAR2;
VAR30 = VAR25;
VAR16 = VAR3;
VAR14 = counter;
VAR35 = VAR24;
VAR15 = VAR34;
VAR11 = VAR13;
case (VAR2)
VAR12: begin
if (VAR17 == 1'b1) begin
VAR29 = VAR31;
VAR35 = 1'b1;
VAR11 = { VAR9 {1'b0} };
VAR15 = {8 {1'b0} };
end
end
VAR31: begin
VAR11 = { VAR9 {1'b0} };
VAR15 = {8 {1'b0} };
VAR29 = VAR8;
end
VAR8: begin
if (VAR5 == 1'b1) begin
VAR29 = VAR18;
end else begin
VAR29 = VAR12;
VAR35 = 0;
end
VAR34 = {8 {1'b0} };
end
VAR18: begin
if (VAR21 == 1'b1) begin
if (VAR36 == 1'b1) begin
VAR14 = VAR1;
end else begin
VAR14 = VAR22;
end
VAR30 = 1'b1;
end else begin
VAR30 = VAR26[VAR34];
VAR16 = 1'b0;
VAR14 = VAR33;
end
VAR23 = !VAR28;
if (counter == 0 && VAR28) begin
VAR29 = VAR37;
end
end
VAR37: begin
if (VAR21 == 1'b1) begin
if (VAR26[VAR34] == 1'b1) begin
VAR14 = VAR4;
end else begin
VAR14 = VAR6;
end
VAR30 = 1'b0;
end else begin
VAR30 = VAR25;
VAR16 = 1'b1;
VAR14 = VAR33;
end
if (counter == 0 && VAR28) begin
if (VAR34 < 8'd23) begin
VAR29 = VAR18;
VAR15 = VAR34 + 1;
end else begin
VAR29 = VAR8;
VAR11 = VAR13 + 1;
end
end
VAR23 = !VAR28;
end
endcase
end
endmodule
|
mit
|
combinatorylogic/soc
|
backends/small1/hw/rtl/vga640x480.v
| 7,245 |
module MODULE1(input clk, input rst,
input VAR53,
input [7:0] VAR34,
output [12:0] VAR46,
output VAR2,
output VAR6,
output VAR48 );
reg [23:0] VAR41;
always @(posedge clk)
if (!rst)
VAR41 <= 0;
else
VAR41 <= VAR41 + 1;
reg VAR19;
reg VAR32;
reg [7:0] VAR11;
wire VAR13;
wire [7:0] VAR21;
wire VAR44;
VAR42 VAR8(.rst(rst),
.VAR29(clk),
.VAR11(VAR11),
.VAR19(VAR19),
.VAR13(VAR13),
.VAR30(VAR53),
.VAR21(VAR21),
.VAR44(VAR44),
.VAR32(VAR32));
reg [2:0] VAR43;
reg [12:0] VAR10;
reg [12:0] VAR3;
wire [12:0] VAR24;
assign VAR24 = VAR10 + 1;
wire VAR4;
reg [7:0] VAR28;
reg [7:0] VAR39;
assign VAR4 = (VAR39)>=79;
reg [7:0] VAR50;
reg [3:0] VAR37;
wire [12:0] VAR20;
wire [12:0] VAR18;
assign VAR20 = VAR10;
assign VAR18 = {4'b0,VAR50[6:0],VAR43[2:0]};
parameter VAR16 = 1024;
parameter VAR40 = 1024 + 80*60;
parameter VAR5 = 0;
parameter VAR7 = 1;
parameter VAR36 = 2;
parameter VAR1 = 3;
parameter VAR38 = 4;
assign VAR46 = VAR37==VAR7?VAR20:VAR18;
always @(posedge clk)
if (!rst) begin
VAR19 <= 0;
VAR43 <= 0;
VAR10 <= VAR16;
VAR3 <= VAR16;
VAR37 <= VAR5;
VAR50 <= 0;
VAR11 <= 0;
VAR28 <= 0;
VAR39 <= 0;
end else begin case(VAR37)
VAR5: begin
VAR43 <= 0;
VAR10 <= VAR16;
VAR3 <= VAR16;
VAR37 <= VAR7;
VAR28 <= 0;
VAR39 <= 0;
end
VAR7: begin
VAR19 <= 0;
VAR37 <= VAR36;
end
VAR36: begin
VAR50 <= VAR34;
VAR37 <= VAR1;
end
VAR1: begin
if (~VAR13) begin
VAR10 <= VAR24;
VAR39 <= VAR39 + 1;
VAR11 <= (VAR41[23] & VAR50[7])?VAR34^8'hff:VAR34; VAR19 <= 1;
if (VAR4) begin
VAR37 <= VAR38;
end else begin
VAR37 <= VAR7;
end
end else begin
VAR37 <= VAR1; end
end VAR38: begin
VAR19 <= 0;
VAR39 <= 0;
if (VAR43<7) begin
VAR43 <= VAR43 + 1;
VAR10 <= VAR3; VAR37 <= VAR7;
end else begin
VAR43 <= 0;
VAR28 <= VAR28 + 1;
if (VAR28 >= 59) begin
VAR37 <= VAR5;
end else begin
VAR3 <= VAR10; VAR37 <= VAR7;
end
end
end
endcase
end
reg [9:0] VAR26;
reg [9:0] VAR31;
reg ready;
wire VAR25;
parameter VAR23 = 640;
parameter VAR14 = 96;
parameter VAR35 = 16;
parameter VAR49 = 48;
parameter VAR27 = 480;
parameter VAR47 = 10;
parameter VAR52 = 33;
parameter VAR9 = 2;
parameter VAR33 = VAR23 + VAR14 + VAR35 + VAR49;
parameter VAR45 = VAR27 + VAR9 + VAR47 + VAR52;
assign VAR2 = ~((VAR26 > VAR23+VAR35) & (VAR26 < VAR23+VAR35+VAR14));
assign VAR6 = ~((VAR31 > VAR27+VAR47) & (VAR31 < VAR27+VAR47+VAR9));
assign VAR25 = (VAR26 < VAR23)&&(VAR31 < VAR27);
always @(posedge VAR53)
if (!rst || !ready) begin VAR31 <= 0;
VAR26 <= 0;
end else begin
if (VAR26 >= VAR33-1) begin
VAR26 <= 0;
if (VAR31 >= VAR45-1)
VAR31 <= 0;
end
else
VAR31 <= VAR31 + 1;
end else VAR26 <= VAR26 + 1;
end
reg [7:0] VAR15;
reg [7:0] VAR17;
wire VAR51;
assign VAR51 = VAR15[7];
assign VAR48 = VAR25?VAR51:0;
reg [2:0] VAR12;
reg VAR22;
always @(posedge VAR53)
if (!rst) begin
VAR12 <= 0;
VAR15 <= 0;
VAR17 <= 0;
ready <= 0;
VAR32 <= 0;
VAR22 <= 0;
end else begin
if (!ready) begin
if (VAR32) begin
VAR32 <= 0;
VAR15 <= VAR21;
ready <= 1;
VAR12 <= 7;
end else VAR32 <= 1;
end else
if (VAR25) begin
if (VAR12 < 7) begin
VAR12 <= VAR12 + 1;
VAR15 <= VAR15 << 1;
if (VAR32) begin
VAR17 <= VAR21;
VAR32 <= 0;
VAR22 <= 0;
end else
if ((VAR12 > 4) && VAR22) begin
VAR32 <= 1;
end
end else begin VAR32 <= 0;
VAR12 <= 0;
VAR15 <= VAR17;
VAR22 <= 1;
end
end else begin
VAR32 <= 0; VAR15 <= VAR17;
end
end
endmodule
|
mit
|
theapi/nand2tetris_fpga
|
hack/rtl/verilog/alu.v
| 3,166 |
module MODULE1 (
input [15:0] VAR13,
input [15:0] VAR10,
input VAR8, VAR7, VAR9, VAR6, VAR1, VAR16,
output [15:0] out,
output VAR5,
output VAR15
);
reg [15:0] VAR14;
reg [15:0] VAR4;
reg [15:0] VAR11;
reg [15:0] VAR3;
reg [15:0] VAR2 = 16'b0;
reg VAR12;
reg rng;
always @ (*) begin
if (VAR8 == 1) begin
VAR14 = 16'b0;
end else begin
VAR14 = VAR13;
end
if (VAR7 == 1) begin
VAR4 = ~VAR14;
end else begin
VAR4 = VAR14;
end
if (VAR9) begin
VAR11 = 1'b0;
end else begin
VAR11 = VAR10;
end
if (VAR6 == 1) begin
VAR3 = ~VAR11;
end else begin
VAR3 = VAR11;
end
if (VAR1 == 1) begin
VAR2 = VAR4 + VAR3;
end else begin
VAR2 = VAR4 & VAR3;
end
if (VAR16 == 1) begin
VAR2 = ~VAR2;
end else begin
VAR2 = VAR2;
end
if (VAR2 == 0) begin
VAR12 = 1'b1;
end else begin
VAR12 = 1'b0;
end
if (VAR2[15] == 1) begin
rng = 1'b1;
end else begin
rng = 1'b0;
end
end
assign out = VAR2;
assign VAR5 = VAR12;
assign VAR15 = rng;
endmodule
|
mit
|
bluespec/Flute
|
src_bsc_lib_RTL/SyncFIFO0.v
| 10,499 |
module MODULE1(
VAR15,
VAR19,
VAR28,
VAR32,
VAR26,
VAR25,
VAR20
) ;
parameter VAR10 = 2 ; parameter VAR6 = 1 ;
input VAR15 ;
input VAR19 ;
input VAR32 ;
output VAR26 ;
input VAR28 ;
input VAR25 ;
output VAR20 ;
wire [VAR6 : 0] VAR13 = ~({(VAR6 + 1){1'b1}} >> 1) ;
wire [VAR6 - 1 : 0] VAR22 = ~({(VAR6 + 0){1'b1}} >> 1) ;
wire [VAR6 : 0] VAR29 = VAR13 | {1'b0, VAR22} ;
reg [VAR6 +1 : 0] VAR1, VAR2 ; reg VAR34 ;
wire VAR33, VAR18 ;
reg [VAR6+1 : 0] VAR7, VAR4 ; reg VAR9 ;
wire VAR16;
wire VAR14 ;
reg [VAR6 : 0] VAR31, VAR5 ;
reg [VAR6 : 0] VAR23, VAR21 ;
wire [VAR6 - 1 :0] VAR24, VAR17 ;
assign VAR14 = VAR19 ;
assign VAR20 = VAR9 ;
assign VAR26 = VAR34 ;
assign VAR24 = VAR1[VAR6-1:0];
assign VAR17 = VAR7[VAR6-1:0];
assign VAR33 = (VAR1 [VAR6+1:1] ^ VAR29) != VAR21 ;
assign VAR18 = (VAR2[VAR6+1:1] ^ VAR29) != VAR21 ;
always @(posedge VAR15 or VAR12 VAR19)
begin
if (VAR19 == VAR3)
begin
VAR1 <= VAR11 {(VAR6 +2 ) {1'b0}} ;
VAR2 <= VAR11 { {VAR6 {1'b0}}, 2'b11} ;
VAR34 <= VAR11 1'b0 ; end else
begin
if ( VAR32 )
begin
VAR2 <= VAR11 VAR30( VAR2 ) ;
VAR1 <= VAR11 VAR2 ;
VAR34 <= VAR11 VAR18 ;
end else
begin
VAR34 <= VAR11 VAR33 ;
end end end
always @(posedge VAR28 or VAR12 VAR14)
begin
if (VAR14 == VAR3)
begin
VAR31 <= VAR11 {(VAR6 + 1) {1'b0}} ;
VAR5 <= VAR11 {(VAR6 + 1) {1'b0}} ;
end else
begin
VAR31 <= VAR11 VAR1[VAR6+1:1] ; VAR5 <= VAR11 VAR31 ;
end end
assign VAR16 = VAR7[VAR6+1:1] != VAR5 ;
always @(posedge VAR28 or VAR12 VAR14)
begin
if (VAR14 == VAR3)
begin
VAR7 <= VAR11 {(VAR6 + 2) {1'b0}} ;
VAR4 <= VAR11 {{VAR6 {1'b0}}, 2'b11 } ;
VAR9 <= VAR11 1'b0 ;
end else
begin
if ((!VAR9 || VAR25) && VAR16) begin
VAR7 <= VAR11 VAR4 ;
VAR4 <= VAR11 VAR30( VAR4 );
VAR9 <= VAR11 1'b1;
end
else if (VAR25 && !VAR16) begin
VAR9 <= VAR11 1'b0;
end
end end
always @(posedge VAR15 or VAR12 VAR19)
begin
if (VAR19 == VAR3)
begin
VAR23 <= VAR11 {(VAR6 + 1) {1'b0}} ;
VAR21 <= VAR11 {(VAR6 + 1) {1'b0}} ; end else
begin
VAR23 <= VAR11 VAR7[VAR6+1:1] ; VAR21 <= VAR11 VAR23 ;
end end
begin : VAR27
integer VAR8 ;
VAR1 = {((VAR6 + 2)/2){2'b10}} ;
VAR2 = VAR1 ;
VAR34 = 1'b0 ;
VAR7 = VAR1 ;
VAR4 = VAR1 ;
VAR9 = 1'b0;
VAR23 = VAR1 ;
VAR21 = VAR1 ;
VAR31 = VAR1 ;
VAR5 = VAR1 ;
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
apache-2.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_fifo_v1_00_a/hdl/verilog/axi_fifo.v
| 5,161 |
module MODULE1 (
input VAR2,
input VAR8,
input VAR18,
output VAR21,
output [VAR29-1:0] VAR12,
input VAR9,
input VAR19,
output VAR3,
input VAR6,
input [VAR29-1:0] VAR24,
output VAR15
);
parameter VAR29 = 64;
parameter VAR14 = 1;
parameter VAR11 = 4;
generate if (VAR11 == 0) begin
reg [VAR29-1:0] VAR16;
reg VAR27 = 1'b0;
reg VAR28 = 1'b0;
wire VAR23;
wire VAR1;
VAR26 #(
.VAR10(1),
.VAR30(VAR14)
) VAR20 (
.VAR22(VAR2),
.VAR5(VAR19),
.in(VAR27),
.out(VAR23)
);
VAR26 #(
.VAR10(1),
.VAR30(VAR14)
) VAR7 (
.VAR22(VAR9),
.VAR5(VAR8),
.in(VAR28),
.out(VAR1)
);
assign VAR21 = VAR28 != VAR23;
assign VAR3 = VAR1 == VAR27;
assign VAR15 = VAR1 == VAR27;
always @(posedge VAR9) begin
if (VAR19 == 1'b0) begin
VAR27 <= 1'b0;
end else begin
if (VAR3 & VAR6) begin
VAR27 <= VAR27 + 1'b1;
VAR16 <= VAR24;
end
end
end
always @(posedge VAR2) begin
if (VAR8 == 1'b0) begin
VAR28 <= 1'b0;
end else begin
if (VAR21 & VAR18)
VAR28 <= VAR28 + 1'b1;
end
end
assign VAR12 = VAR16;
end else begin
reg [VAR29-1:0] VAR16[0:2**VAR11-1];
wire [VAR11-1:0] VAR27;
wire [VAR11-1:0] VAR17;
if (VAR14 == 1) begin
VAR25 #(
.VAR11(VAR11)
) VAR4 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR18(VAR18),
.VAR21(VAR21),
.VAR17(VAR17),
.VAR9(VAR9),
.VAR19(VAR19),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR15(VAR15),
.VAR27(VAR27)
);
end else begin
end
always @(posedge VAR9) begin
if (VAR3)
VAR16[VAR27] <= VAR24;
end
reg [VAR29-1:0] VAR13;
always @(posedge VAR2) begin
VAR13 <= VAR16[VAR17];
end
assign VAR12 = VAR13;
end endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o41ai/sky130_fd_sc_hd__o41ai.blackbox.v
| 1,375 |
module MODULE1 (
VAR3 ,
VAR10,
VAR9,
VAR6,
VAR5,
VAR2
);
output VAR3 ;
input VAR10;
input VAR9;
input VAR6;
input VAR5;
input VAR2;
supply1 VAR4;
supply0 VAR7;
supply1 VAR8 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerHdl_Reset_Delay_block.v
| 2,094 |
module MODULE1
(
VAR1,
reset,
VAR4,
VAR6,
VAR7,
VAR11
);
input VAR1;
input reset;
input VAR4;
input VAR6;
input signed [31:0] VAR7; output signed [31:0] VAR11;
wire signed [31:0] VAR5; wire signed [31:0] VAR8; reg signed [31:0] VAR10; wire signed [31:0] VAR3; wire signed [31:0] VAR12;
assign VAR5 = 32'VAR9;
assign VAR8 = (VAR6 == 1'b0 ? VAR7 :
VAR5);
always @(posedge VAR1)
begin : VAR2
if (reset == 1'b1) begin
VAR10 <= 32'VAR9;
end
else if (VAR4) begin
VAR10 <= VAR8;
end
end
assign VAR3 = 32'VAR9;
assign VAR12 = (VAR6 == 1'b0 ? VAR10 :
VAR3);
assign VAR11 = VAR12;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a22oi/sky130_fd_sc_hd__a22oi.symbol.v
| 1,371 |
module MODULE1 (
input VAR2,
input VAR6,
input VAR9,
input VAR1,
output VAR5
);
supply1 VAR7;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
stanford-ppl/spatial-lang
|
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_mm_bridge_1/pr_region_default_mm_bridge_1_bb.v
| 1,886 |
module MODULE1 #(
parameter VAR21 = 512,
parameter VAR19 = 8,
parameter VAR25 = 32,
parameter VAR5 = 5,
parameter VAR12 = 1,
parameter VAR9 = 1
) (
input wire clk, input wire VAR16, input wire [VAR21-1:0] VAR18, input wire VAR8, output wire [VAR5-1:0] VAR4, output wire [VAR21-1:0] VAR11, output wire [VAR25-1:0] VAR24, output wire VAR1, output wire VAR2, output wire [63:0] VAR6, output wire VAR3, input wire reset, output wire VAR10, output wire [VAR21-1:0] VAR15, output wire VAR26, input wire [VAR5-1:0] VAR23, input wire [VAR21-1:0] VAR13, input wire [VAR25-1:0] VAR7, input wire VAR17, input wire VAR14, input wire [63:0] VAR20, input wire VAR22 );
endmodule
|
mit
|
juan199/Lab_Digitales
|
Proyecto/buffers.v
| 1,354 |
module MODULE1(
input wire VAR5,
input wire VAR3,
output reg [17:0] VAR2,
output reg VAR1
);
reg [17:0] VAR6;
integer VAR4;
begin
begin
begin
end
begin
begin
begin
end
|
lgpl-3.0
|
archlabo/Frix
|
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/clocking/mig_7series_v2_0_infrastructure.v
| 24,252 |
module MODULE1 #
(
parameter VAR2 = "VAR46", parameter VAR17 = 100, parameter VAR5 = 3000, parameter VAR11 = 2, parameter VAR12 = "VAR40",
parameter VAR54 = "VAR46",
parameter VAR53 = 4, parameter VAR52 = 1, parameter VAR43 = 45.0, parameter VAR18 = 16, parameter VAR51 = 4, parameter VAR45 = 64, parameter VAR8 = 16, parameter VAR42 = "VAR46", parameter VAR30 = "VAR46", parameter VAR28 = "VAR46", parameter VAR31 = "VAR46", parameter VAR13 = "VAR46", parameter VAR47 = 1, parameter VAR34 = 1, parameter VAR15 = 1, parameter VAR24 = 1, parameter VAR1 = 1, parameter VAR25 = 1
)
(
input VAR41, input VAR39, input VAR48,
output clk, output VAR10, output VAR16, output VAR27, output VAR57, output VAR3, output VAR6, output VAR37, output VAR22, output VAR35, output VAR36, output VAR50, output VAR56
,output VAR20
,input VAR14
);
localparam VAR38 = 25;
localparam VAR33 = (VAR38+1)/2;
localparam real VAR19 = VAR5 / 1000.0;
localparam VAR29 = 2 * VAR51;
localparam integer VAR21
= (VAR19 * VAR52 * 1000) / VAR53;
localparam VAR23 = VAR21 * VAR18;
localparam VAR7 = VAR21 * VAR51;
localparam VAR44 = VAR21 * VAR45;
localparam VAR4 = VAR21 * VAR8;
localparam VAR32 = VAR21 * VAR29;
localparam VAR49 = (VAR2 == "VAR55") ? 22.5 : 168.75;
localparam real VAR26 = VAR4 / 1000.0;
localparam real VAR9 = VAR32 / 1000.0;
|
bsd-2-clause
|
hsnuonly/PikachuVolleyFPGA
|
VGA.ip_user_files/ip/pikachu_jump_pixel/pikachu_jump_pixel_stub.v
| 1,329 |
module MODULE1(VAR3, VAR1, VAR2, VAR5, VAR4)
;
input VAR3;
input [0:0]VAR1;
input [12:0]VAR2;
input [11:0]VAR5;
output [11:0]VAR4;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/xor2/sky130_fd_sc_hdll__xor2.behavioral.pp.v
| 1,832 |
module MODULE1 (
VAR12 ,
VAR7 ,
VAR10 ,
VAR8,
VAR5,
VAR4 ,
VAR1
);
output VAR12 ;
input VAR7 ;
input VAR10 ;
input VAR8;
input VAR5;
input VAR4 ;
input VAR1 ;
wire VAR2 ;
wire VAR11;
xor VAR3 (VAR2 , VAR10, VAR7 );
VAR13 VAR6 (VAR11, VAR2, VAR8, VAR5);
buf VAR9 (VAR12 , VAR11 );
endmodule
|
apache-2.0
|
Saucyz/explode
|
Hardware/Mod2/nios_system/synthesis/submodules/altera_up_audio_out_serializer.v
| 9,445 |
module MODULE1 (
clk,
reset,
VAR30,
VAR14,
VAR36,
VAR31,
VAR32,
VAR20,
VAR28,
VAR15,
VAR2,
VAR23,
VAR11
);
parameter VAR1 = 15;
input clk;
input reset;
input VAR30;
input VAR14;
input VAR36;
input VAR31;
input [VAR1: 0] VAR32;
input VAR20;
input [VAR1: 0] VAR28;
input VAR15;
output reg [ 7: 0] VAR2;
output reg [ 7: 0] VAR23;
output reg VAR11;
wire VAR4;
wire VAR8;
wire VAR27;
wire VAR7;
wire VAR10;
wire VAR18;
wire [ 6: 0] VAR24;
wire [ 6: 0] VAR33;
wire [VAR1: 0] VAR17;
wire [VAR1: 0] VAR3;
reg VAR9;
reg [VAR1: 0] VAR19;
always @(posedge clk)
begin
if (reset == 1'b1)
VAR2 <= 8'h00;
end
else
VAR2 <= 8'h80 - {VAR10,VAR24};
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR23 <= 8'h00;
end
else
VAR23 <= 8'h80 - {VAR18,VAR33};
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR11 <= 1'b0;
end
else
VAR11 <= VAR19[VAR1];
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR9 <= 1'b0;
end
else if (VAR4)
VAR9 <= 1'b1;
else if (VAR8)
VAR9 <= 1'b0;
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR19 <= 'h0;
end
else if (VAR4)
VAR19 <= VAR17;
else if (VAR8)
VAR19 <= VAR3;
else if (VAR36 | VAR31)
VAR19 <= 'h0;
else if (VAR14)
VAR19 <=
{VAR19[(VAR1 - 1):0], 1'b0};
end
assign VAR4 = VAR36 &
~VAR27 &
~VAR7;
assign VAR8 = VAR31 &
VAR9;
VAR25 VAR29(
.clk (clk),
.reset (reset),
.VAR13 (VAR20 & ~VAR10),
.VAR6 (VAR32),
.VAR21 (VAR4),
.VAR35 (VAR27),
.VAR12 (VAR10),
.VAR26 (VAR24),
.VAR34 (VAR17)
);
VAR29.VAR1 = VAR1,
VAR29.VAR22 = 128,
VAR29.VAR5 = 6;
VAR25 VAR16(
.clk (clk),
.reset (reset),
.VAR13 (VAR15 & ~VAR18),
.VAR6 (VAR28),
.VAR21 (VAR8),
.VAR35 (VAR7),
.VAR12 (VAR18),
.VAR26 (VAR33),
.VAR34 (VAR3)
);
VAR16.VAR1 = VAR1,
VAR16.VAR22 = 128,
VAR16.VAR5 = 6;
endmodule
|
mit
|
unihd-cag/openhmc
|
rtl/hmc_controller/rx/rx_crc_compare.v
| 19,907 |
module MODULE1 #(
parameter VAR58 = 2,
parameter VAR32 = 4,
parameter VAR33 = 512
) (
input wire clk,
input wire VAR26,
input wire [VAR32-1:0] VAR2,
input wire [VAR32-1:0] VAR40,
input wire [VAR32-1:0] VAR43,
input wire [VAR33-1:0] VAR3,
input wire [(VAR32*4)-1:0] VAR18,
output wire [VAR33-1:0] VAR4,
output reg [VAR32-1:0] VAR50,
output reg [VAR32-1:0] VAR55,
output reg [VAR32-1:0] VAR57,
output reg [VAR32-1:0] VAR54,
output reg [VAR32-1:0] VAR52,
output reg [VAR32-1:0] VAR9,
output reg [VAR32-1:0] VAR11
);
integer if; integer VAR38; integer VAR7;
genvar VAR66, VAR61;
localparam VAR45 = 6'b000010;
localparam VAR47 = 6'b000001;
wire [127:0] VAR21 [VAR32-1:0];
wire [127:0] VAR19 [VAR32-1:0];
generate
for(VAR66 = 0; VAR66 < (VAR32); VAR66 = VAR66 + 1) begin
assign VAR21[VAR66] = VAR3[(VAR66*128)+128-1:VAR66*128];
assign VAR19[VAR66][95:0] = VAR21[VAR66][95:0];
assign VAR19[VAR66][127:96] = VAR40[VAR66] ? {32'h0} : VAR21[VAR66][127:96];
end
endgenerate
reg [VAR33-1:0] VAR30;
reg [VAR32-1:0] VAR42;
reg [VAR32-1:0] VAR1;
reg [VAR32-1:0] VAR37;
reg [VAR58-1:0] VAR48 [VAR32-1:0];
wire [3:0] VAR29 [VAR32-1:0];
reg [3:0] VAR12 [VAR32-1:0];
generate
for(VAR66 = 0; VAR66 < (VAR32); VAR66 = VAR66 + 1) begin : VAR56
assign VAR29[VAR66] = VAR18[(VAR66*4)+4-1:VAR66*4] ;
end
endgenerate
reg VAR25;
reg [VAR58-1:0] VAR10 [VAR32-1:0];
reg [VAR58-1:0] VAR46 [VAR32-1:0];
reg [VAR58-1:0] VAR16[VAR32-1:0];
reg [VAR58-1:0] VAR28;
reg [VAR58-1:0] VAR49;
wire [31:0] VAR13 [VAR32-1:0];
reg [31:0] VAR59 [VAR32-1:0];
reg [VAR32-1:0] VAR64 [VAR32-1:0];
reg [VAR32-1:0] VAR24 [VAR32-1:0];
wire [31:0] VAR5 [VAR32-1:0];
reg [3:0] VAR34 [VAR32-1:0];
wire [(VAR32*32)-1:0] VAR44 [VAR32-1:0];
generate
for(VAR66=0;VAR66<VAR32;VAR66=VAR66+1) begin
for(VAR61=0;VAR61<VAR32;VAR61=VAR61+1) begin
assign VAR44[VAR66][(VAR61*32)+31:(VAR61*32)] = VAR64[VAR66][VAR61] ? VAR59[VAR61] : 32'h0;
end
end
endgenerate
reg [VAR33-1:0] VAR65 [1:0];
reg [VAR32-1:0] VAR63 [1:0];
reg [VAR32-1:0] VAR20 [1:0];
reg [VAR32-1:0] VAR6 [1:0];
wire [128-1:0] VAR67 [VAR32-1:0];
generate
for(VAR66 = 0; VAR66 < (VAR32); VAR66 = VAR66 + 1) begin : VAR36
assign VAR67[VAR66] = VAR65[1][(VAR66*128)+128-1:VAR66*128];
end
endgenerate
reg [128-1:0] VAR15 [VAR32-1:0];
generate
for(VAR66 = 0; VAR66 < (VAR32); VAR66 = VAR66 + 1) begin : VAR35
assign VAR4[(VAR66*128)+128-1:(VAR66*128)] = VAR15[VAR66];
end
endgenerate
always @(*) begin
VAR28 = VAR49;
for(if = 0; if < (VAR32); if = if + 1) begin
if(VAR42[if]) begin
VAR28 = VAR48[if];
end
if(VAR1[if]) begin
VAR16[if] = VAR28;
end else begin
VAR16[if] = {4{1'b0}};
end
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
if(!VAR26) begin
for(if = 0; if < (VAR32); if = if + 1) begin
VAR10[if] <= 0;
end
VAR49 <= {4{1'b0}};
end else begin
for(if = 0; if < (VAR32); if = if + 1) begin
VAR10[if] <= VAR16[if];
end
VAR49 <= VAR28;
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
if(!VAR26) begin
for(if=0;if<VAR32;if=if+1)begin
VAR48[if] <= {VAR58{1'b0}};
end
VAR25 <= 1'b0;
end else begin
for(if=0;if<VAR32;if=if+1)begin
VAR48[if] <= {VAR58{1'b0}};
end
if(|VAR40) begin
VAR25 <= 1'b0;
end
for(if=0;if<VAR32;if=if+1)begin
if(VAR2[if])begin
if((if+VAR29[if])>VAR32) begin
if(VAR25) begin
VAR48[if] <= 3'h0;
end else begin
VAR48[if] <= VAR32-1'b1;
VAR25 <= 1'b1;
end
end else begin
VAR48[if] <= if;
if(VAR25 && !(VAR2 > VAR40)) begin
VAR48[if] <= if-1;
end
end
end
end
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
if(!VAR26) VAR30 <= {VAR33{1'b0}};
end
else
begin
VAR30 <= VAR3;
end
if(!VAR26) begin
for(if=0;if<VAR32;if=if+1)begin
VAR12[if] <= 4'h0;
end
VAR42 <= {VAR32{1'b0}};
VAR1 <= {VAR32{1'b0}};
VAR37 <= {VAR32{1'b0}};
end else
begin
for(if=0;if<VAR32;if=if+1)begin
VAR12[if] <= VAR29[if];
end
VAR42 <= VAR2 & VAR43;
VAR1 <= VAR40 & VAR43;
VAR37 <= VAR43;
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
for(if=0;if<VAR32;if=if+1)begin
if (!VAR26) VAR59[if] <= {32{1'b0}};
end
else
begin
VAR59[if] <= VAR13[if];
end
end
if(!VAR26) begin
for(if=0;if<VAR32;if=if+1)begin
VAR64[if] <= {VAR32{1'b0}};
VAR24[if] <= {VAR32{1'b0}};
VAR34[if] <= 4'h0;
end
end else begin
for(if=0;if<VAR32;if=if+1)begin
VAR64[if] <= 4'h0;
VAR24[if] <= 4'h0;
end
for(if=0;if<VAR32;if=if+1)begin
if(|VAR34[if]) begin
if(VAR34[if] > VAR32) begin
VAR64[if] <= {VAR32{1'b1}};
VAR34[if] <= VAR34[if]-VAR32;
end else begin
VAR64[if] <= {VAR32{1'b1}} >> (VAR32-VAR34[if]);
VAR24[if] <= 1'b1 << (VAR34[if]-1);
VAR34[if] <= 4'h0;
end
end
for(VAR38=0;VAR38<VAR32;VAR38=VAR38+1)begin
if(if==VAR48[VAR38] && VAR42[VAR38]) begin
if( (VAR38+VAR12[VAR38]) >VAR32 ) begin
VAR34[if] <= (VAR12[VAR38]-VAR32+VAR38);
VAR64[if] <= {VAR32{1'b1}} >> VAR38 << VAR38;
end else begin
VAR24[if] <= 1'b1 << VAR12[VAR38]+VAR38-1;
VAR64[if] <= ({VAR32{1'b1}} >> (VAR32-VAR38-VAR12[VAR38])) >> VAR38 << VAR38;
end
end
end
end
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
if (!VAR26) begin
for(VAR7=0;VAR7<2;VAR7=VAR7+1)begin
VAR65[VAR7] <= {VAR33{1'b0}};
end
end else
begin
VAR65[0] <= VAR30;
VAR65[1] <= VAR65[0];
end
if(!VAR26) begin
for(VAR7=0;VAR7<2;VAR7=VAR7+1)begin
VAR63[VAR7] <= {VAR32{1'b0}};
VAR20[VAR7] <= {VAR32{1'b0}};
VAR6[VAR7] <= {VAR32{1'b0}};
end
for(if = 0; if < (VAR32); if = if + 1) begin
VAR46[if] <= 3'h0;
end
end else
begin
for(if = 0; if < (VAR32); if = if + 1) begin
VAR46[if] <= VAR10[if];
end
VAR63[0] <= VAR42;
VAR20[0] <= VAR1;
VAR6[0] <= VAR37;
VAR20[1] <= VAR20[0];
VAR63[1] <= VAR63[0];
VAR20[1] <= VAR20[0];
VAR6[1] <= VAR6[0];
end
end
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR69
for(if=0;if<VAR32;if=if+1)begin
if(!VAR26)VAR15[if] <= {128{1'b0}};
end
else
begin VAR15[if] <= VAR67[if];
end
end
VAR50 <= VAR63[1];
VAR55 <= VAR20[1];
VAR57 <= VAR6[1];
if(!VAR26) begin
VAR54 <= {VAR32{1'b0}};
VAR52 <= {VAR32{1'b0}};
VAR9 <= {VAR32{1'b0}};
VAR11 <= {VAR32{1'b0}};
end else begin
VAR9 <= {VAR32{1'b0}};
VAR54 <= {VAR32{1'b0}};
VAR52 <= {VAR32{1'b0}};
VAR11 <= {VAR32{1'b0}};
for(if=0;if<VAR32;if=if+1)begin
VAR54[if] <= VAR63[1][if] && ( ~|VAR39(VAR67[if])
|| VAR39(VAR67[if])>9
|| !VAR51(VAR67[if]));
if(VAR20[1][if])begin
if(VAR17(VAR67[if]) == ~VAR5[VAR46[if]]) begin
VAR52[if] <= 1'b1;
end else if(VAR17(VAR67[if]) != VAR5[VAR46[if]]) begin
VAR54[if] <= 1'b1;
end
if(!VAR63[1][if]) begin
VAR9[if] <= 1'b1;
end else begin
if((VAR14(VAR67[if]) == VAR45) || !VAR68(VAR67[if])) begin
VAR9[if] <= 1'b1;
end
if(VAR68(VAR67[if])) begin
VAR11[if] <= 1'b1;
end
end
end
end
end
end
generate
for(VAR66=0;VAR66<VAR32;VAR66=VAR66+1) begin : VAR27
VAR41 VAR53
(
.clk(clk),
.VAR26(VAR26),
.VAR23(VAR19[VAR66]),
.VAR17(VAR13[VAR66])
);
end
endgenerate
generate
for(VAR66=0;VAR66<VAR32;VAR66=VAR66+1) begin : VAR60
VAR22 #(
.VAR32(VAR32)
)
VAR62
(
.clk(clk),
.VAR26(VAR26),
.VAR31(VAR24[VAR66]),
.din(VAR44[VAR66]),
.VAR8(VAR5[VAR66])
);
end
endgenerate
endmodule
|
lgpl-3.0
|
tuura/fantasi
|
dependencies/Altera_DE4/niosII/synthesis/submodules/altera_reset_controller.v
| 12,323 |
module MODULE1
parameter VAR3 = 6,
parameter VAR76 = 0,
parameter VAR66 = 0,
parameter VAR39 = 0,
parameter VAR65 = 0,
parameter VAR58 = 0,
parameter VAR44 = 0,
parameter VAR37 = 0,
parameter VAR6 = 0,
parameter VAR4 = 0,
parameter VAR27 = 0,
parameter VAR50 = 0,
parameter VAR24 = 0,
parameter VAR61 = 0,
parameter VAR46 = 0,
parameter VAR7 = 0,
parameter VAR8 = 0,
parameter VAR42 = "VAR75",
parameter VAR57 = 2,
parameter VAR63 = 0,
parameter VAR13 = 3,
parameter VAR47 = 11,
parameter VAR29 = 4,
parameter VAR40 = 0
)
(
input VAR33,
input VAR45,
input VAR52,
input VAR23,
input VAR30,
input VAR18,
input VAR55,
input VAR2,
input VAR5,
input VAR38,
input VAR36,
input VAR69,
input VAR43,
input VAR1,
input VAR22,
input VAR48,
input VAR41,
input VAR59,
input VAR28,
input VAR11,
input VAR73,
input VAR74,
input VAR32,
input VAR70,
input VAR14,
input VAR35,
input VAR20,
input VAR16,
input VAR54,
input VAR71,
input VAR62,
input VAR17,
input clk,
output reg VAR79,
output reg VAR53
);
localparam VAR80 = (VAR42 == "VAR75");
localparam VAR78 = 3;
localparam VAR34 = VAR78 + VAR13;
localparam VAR64 = VAR13 > VAR29 ? VAR13 : VAR29;
localparam VAR12 = (VAR78 > VAR64) ?
VAR47 + 1 :
(
(VAR47 > VAR64)?
VAR47 + (VAR64 - VAR78 + 1) + 1 :
VAR47 + VAR29 + VAR13 - VAR78 + 2
);
localparam VAR72 = VAR29 + 1;
wire VAR10;
wire VAR9;
wire VAR56;
wire VAR67;
reg [VAR34: 0] VAR26;
reg [VAR12-1: 0] VAR31;
reg VAR25;
reg VAR15;
assign VAR10 = (
VAR33 |
VAR45 |
VAR52 |
VAR23 |
VAR30 |
VAR18 |
VAR55 |
VAR2 |
VAR5 |
VAR38 |
VAR36 |
VAR69 |
VAR43 |
VAR1 |
VAR22 |
VAR48
);
assign VAR9 = (
( (VAR76 == 1) ? VAR41 : 1'b0) |
( (VAR66 == 1) ? VAR59 : 1'b0) |
( (VAR39 == 1) ? VAR28 : 1'b0) |
( (VAR65 == 1) ? VAR11 : 1'b0) |
( (VAR58 == 1) ? VAR73 : 1'b0) |
( (VAR44 == 1) ? VAR74 : 1'b0) |
( (VAR37 == 1) ? VAR32 : 1'b0) |
( (VAR6 == 1) ? VAR70 : 1'b0) |
( (VAR4 == 1) ? VAR14 : 1'b0) |
( (VAR27 == 1) ? VAR35 : 1'b0) |
( (VAR50 == 1) ? VAR20 : 1'b0) |
( (VAR24 == 1) ? VAR16 : 1'b0) |
( (VAR61 == 1) ? VAR54 : 1'b0) |
( (VAR46 == 1) ? VAR71 : 1'b0) |
( (VAR7 == 1) ? VAR62 : 1'b0) |
( (VAR8 == 1) ? VAR17 : 1'b0)
);
generate if (VAR42 == "none" && (VAR63==0)) begin
assign VAR56 = VAR10;
assign VAR67 = VAR9;
end else begin
VAR51
.VAR21 (VAR57),
.VAR80(VAR63? 1'b1 : VAR80)
)
VAR49
(
.clk (clk),
.VAR77 (VAR10),
.VAR79 (VAR56)
);
VAR51
.VAR21 (VAR57),
.VAR80(0)
)
VAR60
(
.clk (clk),
.VAR77 (VAR9),
.VAR79 (VAR67)
);
end
endgenerate
generate if ( ( (VAR63 == 0) && (VAR40==0) )|
( (VAR40 == 1) && (VAR42 != "VAR75") ) ) begin
always @* begin
VAR79 = VAR56;
VAR53 = VAR67;
end
end else if ( (VAR63 == 0) && (VAR40==1) ) begin
wire VAR19;
VAR51
.VAR21 (VAR57+1),
.VAR80(0)
)
VAR68
(
.clk (clk),
.VAR77 (VAR56),
.VAR79 (VAR19)
);
always @* begin
VAR79 = VAR19;
VAR53 = VAR67;
end
end
else begin
begin
begin
begin
begin
begin
end
begin
begin
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/new/delta_sigma.v
| 1,027 |
module MODULE1(
input [15:0] din,
input VAR2,
output reg dout
);
reg [16:0] VAR1;
always @(posedge VAR2) begin
VAR1[16:0] = VAR1[15:0] + {~din[15], din[14:0]}; dout = VAR1[16];
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/387128e4034068b3/zqynq_lab_1_design_processing_system7_0_0_stub.v
| 5,351 |
module MODULE1(VAR50, VAR15,
VAR55, VAR3, VAR32, VAR36,
VAR34, VAR35, VAR24, VAR6,
VAR1, VAR17, VAR57, VAR51, VAR68,
VAR27, VAR14, VAR67, VAR38,
VAR22, VAR9, VAR7, VAR16, VAR37,
VAR64, VAR33, VAR4, VAR59, VAR30,
VAR46, VAR47, VAR11, VAR8, VAR62,
VAR54, VAR44, VAR61, VAR48,
VAR56, VAR60, VAR41, VAR39, VAR42,
VAR40, VAR45, VAR21, VAR43, VAR53, VAR28, VAR63, VAR23,
VAR58, VAR12, VAR65, VAR13, VAR52, VAR29, VAR26, VAR20, VAR31,
VAR10, VAR18, VAR25, VAR49, VAR2, VAR66, VAR19, VAR5)
;
output VAR50;
output VAR15;
output VAR55;
output [1:0]VAR3;
output VAR32;
input VAR36;
output VAR34;
output VAR35;
output VAR24;
output VAR6;
output VAR1;
output VAR17;
output [11:0]VAR57;
output [11:0]VAR51;
output [11:0]VAR68;
output [1:0]VAR27;
output [1:0]VAR14;
output [2:0]VAR67;
output [1:0]VAR38;
output [1:0]VAR22;
output [2:0]VAR9;
output [2:0]VAR7;
output [2:0]VAR16;
output [31:0]VAR37;
output [31:0]VAR64;
output [31:0]VAR33;
output [3:0]VAR4;
output [3:0]VAR59;
output [3:0]VAR30;
output [3:0]VAR46;
output [3:0]VAR47;
output [3:0]VAR11;
output [3:0]VAR8;
input VAR62;
input VAR54;
input VAR44;
input VAR61;
input VAR48;
input VAR56;
input VAR60;
input [11:0]VAR41;
input [11:0]VAR39;
input [1:0]VAR42;
input [1:0]VAR40;
input [31:0]VAR45;
output VAR21;
output VAR43;
inout [53:0]VAR53;
inout VAR28;
inout VAR63;
inout VAR23;
inout VAR58;
inout VAR12;
inout VAR65;
inout VAR13;
inout VAR52;
inout VAR29;
inout [2:0]VAR26;
inout [14:0]VAR20;
inout VAR31;
inout VAR10;
inout [3:0]VAR18;
inout [31:0]VAR25;
inout [3:0]VAR49;
inout [3:0]VAR2;
inout VAR66;
inout VAR19;
inout VAR5;
endmodule
|
mit
|
jairov4/accel-oil
|
solution_kintex7/impl/ip/hdl/verilog/nfa_accept_samples_generic_hw.v
| 73,209 |
module MODULE1 (
VAR86,
VAR105,
VAR6,
VAR98,
VAR114,
VAR75,
VAR10,
VAR264,
VAR21,
VAR233,
VAR85,
VAR124,
VAR208,
VAR213,
VAR16,
VAR291,
VAR171,
VAR55,
VAR34,
VAR64,
VAR187,
VAR159,
VAR180,
VAR230,
VAR284,
VAR270,
VAR274,
VAR73,
VAR52,
VAR298,
VAR195,
VAR140,
VAR99,
VAR47,
VAR91,
VAR242,
VAR217,
VAR18,
VAR122,
VAR19,
VAR89,
VAR202,
VAR33,
VAR65,
VAR192,
VAR302,
VAR173,
VAR251,
VAR4,
VAR7,
VAR58,
VAR163,
VAR235,
VAR101,
VAR1,
VAR238,
VAR182,
VAR131,
VAR80,
VAR41,
VAR179,
VAR46,
VAR237,
VAR96,
VAR250,
VAR267,
VAR154,
VAR62,
VAR170,
VAR215,
VAR93,
VAR254,
VAR2,
VAR252,
VAR204,
VAR292,
VAR49,
VAR13,
VAR32,
VAR150
);
input VAR86;
input VAR105;
input VAR6;
output VAR98;
output VAR114;
output VAR75;
output VAR10;
input VAR264;
output VAR21;
input VAR233;
output VAR85;
output [31:0] VAR124;
input [31:0] VAR208;
output [31:0] VAR213;
output [31:0] VAR16;
output VAR291;
input VAR171;
output VAR55;
input VAR34;
output VAR64;
output [31:0] VAR187;
input [31:0] VAR159;
output [31:0] VAR180;
output [31:0] VAR230;
output VAR284;
input VAR270;
output VAR274;
input VAR73;
output VAR52;
output [31:0] VAR298;
input [31:0] VAR195;
output [31:0] VAR140;
output [31:0] VAR99;
input [7:0] VAR47;
output VAR91;
input VAR242;
output VAR217;
input VAR18;
output VAR122;
output [31:0] VAR19;
input [7:0] VAR89;
output [7:0] VAR202;
output [31:0] VAR33;
input [31:0] VAR65;
input [15:0] VAR192;
output VAR302;
input VAR173;
output VAR251;
input VAR4;
output VAR7;
output [31:0] VAR58;
input [31:0] VAR163;
output [31:0] VAR235;
output [31:0] VAR101;
output VAR1;
input VAR238;
output VAR182;
input VAR131;
output VAR80;
output [31:0] VAR41;
input [15:0] VAR179;
output [15:0] VAR46;
output [31:0] VAR237;
output VAR96;
input VAR250;
output VAR267;
input VAR154;
output VAR62;
output [31:0] VAR170;
input [7:0] VAR215;
output [7:0] VAR93;
output [31:0] VAR254;
input [15:0] VAR2;
input [15:0] VAR252;
input [15:0] VAR204;
input [15:0] VAR292;
input [15:0] VAR49;
input [0:0] VAR13;
input [0:0] VAR32;
output [31:0] VAR150;
reg VAR98;
reg VAR114;
reg VAR75;
reg VAR302;
reg VAR251;
reg VAR7;
reg[31:0] VAR58;
reg[31:0] VAR235;
reg[31:0] VAR101;
reg VAR1;
reg VAR182;
reg VAR80;
reg[31:0] VAR41;
reg[15:0] VAR46;
reg[31:0] VAR237;
reg VAR96;
reg VAR267;
reg VAR62;
reg[31:0] VAR170;
reg[7:0] VAR93;
reg[31:0] VAR254;
reg [5:0] VAR290 = 6'b000000;
wire [0:0] VAR269;
wire [0:0] VAR155;
reg [0:0] VAR24;
wire [0:0] VAR119;
reg [0:0] VAR240;
wire [0:0] VAR79;
reg [0:0] VAR218;
reg [31:0] VAR229;
wire [31:0] VAR245;
reg [31:0] VAR115;
wire [0:0] VAR200;
reg [0:0] VAR44;
wire VAR295;
wire [31:0] VAR209;
reg [31:0] VAR88;
wire VAR103;
wire VAR166;
wire VAR17;
wire VAR226;
wire VAR100;
wire VAR282;
wire VAR84;
wire VAR149;
wire [31:0] VAR221;
wire [31:0] VAR297;
wire [31:0] VAR116;
wire [31:0] VAR276;
wire VAR197;
wire VAR87;
wire VAR27;
wire VAR22;
wire VAR168;
wire [31:0] VAR43;
wire [31:0] VAR223;
wire [31:0] VAR183;
wire [31:0] VAR53;
wire VAR222;
wire VAR169;
wire VAR288;
wire VAR275;
wire VAR36;
wire [31:0] VAR279;
wire [31:0] VAR50;
wire [31:0] VAR31;
wire [31:0] VAR243;
wire [7:0] VAR193;
wire VAR244;
wire VAR176;
wire VAR37;
wire VAR69;
wire VAR293;
wire [31:0] VAR26;
wire [7:0] VAR219;
wire [7:0] VAR29;
wire [31:0] VAR132;
wire [31:0] VAR177;
wire [15:0] VAR14;
wire [0:0] VAR121;
wire VAR304;
wire VAR248;
wire VAR211;
wire VAR296;
wire VAR256;
wire VAR287;
wire VAR94;
wire VAR152;
wire VAR9;
wire [31:0] VAR272;
wire [7:0] VAR184;
wire [7:0] VAR181;
wire [31:0] VAR259;
wire VAR76;
wire VAR134;
wire VAR109;
wire VAR35;
wire VAR278;
wire [31:0] VAR206;
wire [31:0] VAR71;
wire [31:0] VAR78;
wire [31:0] VAR153;
wire VAR83;
wire [15:0] VAR216;
wire [15:0] VAR299;
wire VAR111;
wire VAR265;
wire VAR307;
wire VAR110;
wire VAR273;
wire [31:0] VAR189;
wire [15:0] VAR241;
wire [15:0] VAR11;
wire [31:0] VAR23;
wire [31:0] VAR72;
wire [15:0] VAR144;
wire VAR263;
wire VAR225;
wire VAR54;
wire VAR113;
wire VAR289;
wire VAR253;
wire VAR167;
wire VAR301;
wire VAR139;
wire [31:0] VAR15;
wire [15:0] VAR106;
wire [15:0] VAR126;
wire [31:0] VAR59;
wire VAR236;
wire VAR178;
wire VAR5;
wire VAR67;
wire VAR227;
wire VAR146;
wire [31:0] VAR262;
wire [31:0] VAR156;
wire [31:0] VAR97;
wire [31:0] VAR81;
wire VAR90;
wire VAR157;
wire VAR160;
wire VAR162;
wire VAR142;
wire [31:0] VAR228;
wire [7:0] VAR39;
wire [7:0] VAR266;
wire [31:0] VAR199;
wire [15:0] VAR257;
wire [15:0] VAR203;
wire [15:0] VAR205;
wire [15:0] VAR68;
reg [15:0] VAR300;
reg [15:0] VAR48;
reg [31:0] VAR212;
reg VAR120 = 1'b0;
reg VAR117 = 1'b0;
reg [5:0] VAR104;
reg VAR185 = 1'b0;
reg [31:0] VAR247;
wire [31:0] VAR107;
wire [31:0] VAR102;
reg VAR306;
parameter VAR56 = 1'b1;
parameter VAR198 = 1'b0;
parameter VAR92 = 6'b000000;
parameter VAR133 = 6'b1;
parameter VAR148 = 6'b10;
parameter VAR66 = 6'b11;
parameter VAR165 = 6'b100;
parameter VAR145 = 6'b101;
parameter VAR249 = 6'b110;
parameter VAR283 = 6'b111;
parameter VAR82 = 6'b1000;
parameter VAR127 = 6'b1001;
parameter VAR138 = 6'b1010;
parameter VAR232 = 6'b1011;
parameter VAR135 = 6'b1100;
parameter VAR286 = 6'b1101;
parameter VAR63 = 6'b1110;
parameter VAR164 = 6'b1111;
parameter VAR258 = 6'b10000;
parameter VAR191 = 6'b10001;
parameter VAR112 = 6'b10010;
parameter VAR143 = 6'b10011;
parameter VAR231 = 6'b10100;
parameter VAR118 = 6'b10101;
parameter VAR12 = 6'b10110;
parameter VAR130 = 6'b10111;
parameter VAR147 = 6'b11000;
parameter VAR161 = 6'b11001;
parameter VAR246 = 6'b11010;
parameter VAR8 = 6'b11011;
parameter VAR51 = 6'b11100;
parameter VAR271 = 6'b11101;
parameter VAR57 = 6'b11110;
parameter VAR175 = 6'b11111;
parameter VAR74 = 6'b100000;
parameter VAR123 = 6'b100001;
parameter VAR214 = 6'b100010;
parameter VAR201 = 6'b100011;
parameter VAR190 = 6'b100100;
parameter VAR220 = 6'b100101;
parameter VAR151 = 6'b100110;
parameter VAR42 = 6'b100111;
parameter VAR268 = 6'b101000;
parameter VAR194 = 6'b101001;
parameter VAR70 = 1'b0;
parameter VAR261 = 32'b1;
parameter VAR28 = 32'b00000000000000000000000000000000;
parameter VAR45 = 1'b1;
VAR77 VAR294(
.VAR86( VAR86 ),
.VAR105( VAR105 ),
.VAR6( VAR103 ),
.VAR98( VAR295 ),
.VAR114( VAR166 ),
.VAR75( VAR17 ),
.VAR10( VAR226 ),
.VAR264( VAR100 ),
.VAR21( VAR282 ),
.VAR233( VAR84 ),
.VAR85( VAR149 ),
.VAR124( VAR221 ),
.VAR208( VAR297 ),
.VAR213( VAR116 ),
.VAR16( VAR276 ),
.VAR291( VAR197 ),
.VAR171( VAR87 ),
.VAR55( VAR27 ),
.VAR34( VAR22 ),
.VAR64( VAR168 ),
.VAR187( VAR43 ),
.VAR159( VAR223 ),
.VAR180( VAR183 ),
.VAR230( VAR53 ),
.VAR284( VAR222 ),
.VAR270( VAR169 ),
.VAR274( VAR288 ),
.VAR73( VAR275 ),
.VAR52( VAR36 ),
.VAR298( VAR279 ),
.VAR195( VAR50 ),
.VAR140( VAR31 ),
.VAR99( VAR243 ),
.VAR47( VAR193 ),
.VAR108( VAR244 ),
.VAR141( VAR176 ),
.VAR224( VAR37 ),
.VAR20( VAR69 ),
.VAR280( VAR293 ),
.VAR60( VAR26 ),
.VAR38( VAR219 ),
.VAR277( VAR29 ),
.VAR30( VAR132 ),
.VAR303( VAR177 ),
.VAR210( VAR14 ),
.VAR150( VAR121 )
);
VAR158 VAR136(
.VAR86( VAR86 ),
.VAR105( VAR105 ),
.VAR6( VAR304 ),
.VAR98( VAR248 ),
.VAR114( VAR211 ),
.VAR75( VAR296 ),
.VAR96( VAR256 ),
.VAR250( VAR287 ),
.VAR267( VAR94 ),
.VAR154( VAR152 ),
.VAR62( VAR9 ),
.VAR170( VAR272 ),
.VAR215( VAR184 ),
.VAR93( VAR181 ),
.VAR254( VAR259 ),
.VAR302( VAR76 ),
.VAR173( VAR134 ),
.VAR251( VAR109 ),
.VAR4( VAR35 ),
.VAR7( VAR278 ),
.VAR58( VAR206 ),
.VAR163( VAR71 ),
.VAR235( VAR78 ),
.VAR101( VAR153 ),
.VAR281( VAR83 ),
.VAR95( VAR216 ),
.VAR25( VAR299 ),
.VAR1( VAR111 ),
.VAR238( VAR265 ),
.VAR182( VAR307 ),
.VAR131( VAR110 ),
.VAR80( VAR273 ),
.VAR41( VAR189 ),
.VAR179( VAR241 ),
.VAR46( VAR11 ),
.VAR237( VAR23 ),
.VAR33( VAR72 ),
.VAR192( VAR144 ),
.VAR150( VAR245 )
);
VAR305 VAR129(
.VAR86( VAR86 ),
.VAR105( VAR105 ),
.VAR6( VAR263 ),
.VAR98( VAR225 ),
.VAR114( VAR54 ),
.VAR75( VAR113 ),
.VAR1( VAR289 ),
.VAR238( VAR253 ),
.VAR182( VAR167 ),
.VAR131( VAR301 ),
.VAR80( VAR139 ),
.VAR41( VAR15 ),
.VAR179( VAR106 ),
.VAR46( VAR126 ),
.VAR237( VAR59 ),
.VAR281( VAR236 ),
.VAR302( VAR178 ),
.VAR173( VAR5 ),
.VAR251( VAR67 ),
.VAR4( VAR227 ),
.VAR7( VAR146 ),
.VAR58( VAR262 ),
.VAR163( VAR156 ),
.VAR235( VAR97 ),
.VAR101( VAR81 ),
.VAR96( VAR90 ),
.VAR250( VAR157 ),
.VAR267( VAR160 ),
.VAR154( VAR162 ),
.VAR62( VAR142 ),
.VAR170( VAR228 ),
.VAR215( VAR39 ),
.VAR93( VAR266 ),
.VAR254( VAR199 ),
.VAR95( VAR257 ),
.VAR25( VAR203 ),
.VAR188( VAR205 ),
.VAR137( VAR68 )
);
VAR40 #(
.VAR174( 38 ),
.VAR260( 8 ),
.VAR239( 32 ),
.VAR128( 32 ),
.VAR172( 32 ))
VAR196(
.clk( VAR86 ),
.reset( VAR105 ),
.VAR3( VAR107 ),
.VAR125( VAR102 ),
.VAR207( VAR306 ),
.dout( VAR209 )
);
always @ (posedge VAR86)
begin : VAR186
if (VAR105 == 1'b1) begin
VAR290 <= VAR92;
end else begin
VAR290 <= VAR104;
end
end
always @ (posedge VAR86)
begin : VAR285
if (VAR105 == 1'b1) begin
VAR120 <= VAR198;
end else begin
if ((VAR231 == VAR290)) begin
VAR120 <= VAR56;
end else if ((VAR56 == VAR17)) begin
VAR120 <= VAR198;
end
end
end
always @ (posedge VAR86)
begin : VAR234
if (VAR105 == 1'b1) begin
VAR117 <= VAR198;
end else begin
if (((VAR148 == VAR290) & (VAR66 == VAR104) & (VAR79 == VAR70))) begin
VAR117 <= VAR56;
end else if ((VAR56 == VAR296)) begin
VAR117 <= VAR198;
end
end
end
always @ (posedge VAR86)
begin : VAR61
if (VAR105 == 1'b1) begin
VAR185 <= VAR198;
end else begin
if (((VAR271 == VAR104) & ((VAR118 == VAR290) | (VAR51 == VAR290)))) begin
VAR185 <= VAR56;
end else if ((VAR56 == VAR113)) begin
VAR185 <= VAR198;
end
end
end
always @(posedge VAR86)
begin
if (((VAR271 == VAR290) & (VAR44 == VAR70))) begin
VAR247 <= VAR88;
end else if (((VAR92 == VAR290) & ~(VAR6 == VAR198))) begin
VAR247 <= VAR28;
end
end
always @(posedge VAR86)
begin
if ((VAR268 == VAR290)) begin
VAR300 <= VAR205;
end else if (((VAR92 == VAR290) & ~(VAR6 == VAR198))) begin
VAR300 <= VAR252;
end
end
always @(posedge VAR86)
begin
if ((VAR268 == VAR290)) begin
VAR48 <= VAR68;
end else if (((VAR92 == VAR290) & ~(VAR6 == VAR198))) begin
VAR48 <= VAR204;
end
end
always @(posedge VAR86)
begin
if (((VAR118 == VAR290) & ~(VAR198 == VAR295) & ~(VAR269 == VAR70) & (VAR200 == VAR70))) begin
VAR212 <= VAR261;
end else if (((VAR66 == VAR290) & ~(VAR218 == VAR70))) begin
VAR212 <= VAR247;
end
end
always @(posedge VAR86)
begin
if ((VAR51 == VAR290)) begin
VAR88 <= VAR209;
end
end
always @(posedge VAR86)
begin
if ((VAR66 == VAR290)) begin
VAR229 <= VAR247;
end
end
always @(posedge VAR86)
begin
if ((VAR231 == VAR290)) begin
VAR115 <= VAR245;
end
end
always @(posedge VAR86)
begin
if (((VAR118 == VAR290) & ~(VAR198 == VAR295))) begin
VAR44 <= VAR200;
end
end
always @(posedge VAR86)
begin
if ((VAR133 == VAR290)) begin
VAR240 <= VAR119;
VAR24 <= VAR155;
end
end
always @(posedge VAR86)
begin
if ((VAR148 == VAR290)) begin
VAR218 <= VAR79;
end
end
always @ (VAR290)
begin
if ((VAR194 == VAR290)) begin
VAR98 = VAR56;
end else begin
VAR98 = VAR198;
end
end
always @ (VAR6 or VAR290)
begin
if ((~(VAR56 == VAR6) & (VAR92 == VAR290))) begin
VAR114 = VAR56;
end else begin
VAR114 = VAR198;
end
end
always @ (VAR290)
begin
if ((VAR194 == VAR290)) begin
VAR75 = VAR56;
end else begin
VAR75 = VAR198;
end
end
always @ (VAR290 or VAR269 or VAR200 or VAR295)
begin
if (((VAR51 == VAR290) | ((VAR118 == VAR290) & ~(VAR198 == VAR295) & (VAR269 == VAR70) & (VAR200 == VAR70)) | (VAR12 == VAR290) | (VAR130 == VAR290) | (VAR147 == VAR290) | (VAR161 == VAR290) | (VAR246 == VAR290) | (VAR8 == VAR290))) begin
VAR306 = VAR56;
end else begin
VAR306 = VAR198;
end
end
always @ (VAR290 or VAR218 or VAR206 or VAR262)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR58 = VAR262;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR58 = VAR206;
end else begin
VAR58 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR78 or VAR97)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR235 = VAR97;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR235 = VAR78;
end else begin
VAR235 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR76 or VAR178)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR302 = VAR178;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR302 = VAR76;
end else begin
VAR302 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR109 or VAR67)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR251 = VAR67;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR251 = VAR109;
end else begin
VAR251 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR278 or VAR146)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR7 = VAR146;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR7 = VAR278;
end else begin
VAR7 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR153 or VAR81)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR101 = VAR81;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR101 = VAR153;
end else begin
VAR101 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR189 or VAR15)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR41 = VAR15;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR41 = VAR189;
end else begin
VAR41 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR11 or VAR126)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR46 = VAR126;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR46 = VAR11;
end else begin
VAR46 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR111 or VAR289)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR1 = VAR289;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR1 = VAR111;
end else begin
VAR1 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR307 or VAR167)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR182 = VAR167;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR182 = VAR307;
end else begin
VAR182 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR273 or VAR139)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR80 = VAR139;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR80 = VAR273;
end else begin
VAR80 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR23 or VAR59)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR237 = VAR59;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR237 = VAR23;
end else begin
VAR237 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR272 or VAR228)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR170 = VAR228;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR170 = VAR272;
end else begin
VAR170 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR181 or VAR266)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR93 = VAR266;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR93 = VAR181;
end else begin
VAR93 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR256 or VAR90)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR96 = VAR90;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR96 = VAR256;
end else begin
VAR96 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR94 or VAR160)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR267 = VAR160;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR267 = VAR94;
end else begin
VAR267 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR9 or VAR142)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR62 = VAR142;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR62 = VAR9;
end else begin
VAR62 = 'VAR255;
end
end
always @ (VAR290 or VAR218 or VAR259 or VAR199)
begin
if (((VAR268 == VAR290) | (VAR271 == VAR290) | (VAR57 == VAR290) | (VAR175 == VAR290) | (VAR74 == VAR290) | (VAR123 == VAR290) | (VAR214 == VAR290) | (VAR201 == VAR290) | (VAR190 == VAR290) | (VAR220 == VAR290) | (VAR151 == VAR290) | (VAR42 == VAR290))) begin
VAR254 = VAR199;
end else if (((VAR231 == VAR290) | ((VAR66 == VAR290) & (VAR218 == VAR70)) | (VAR165 == VAR290) | (VAR145 == VAR290) | (VAR249 == VAR290) | (VAR283 == VAR290) | (VAR82 == VAR290) | (VAR127 == VAR290) | (VAR138 == VAR290) | (VAR232 == VAR290) | (VAR135 == VAR290) | (VAR286 == VAR290) | (VAR63 == VAR290) | (VAR164 == VAR290) | (VAR258 == VAR290) | (VAR191 == VAR290) | (VAR112 == VAR290) | (VAR143 == VAR290))) begin
VAR254 = VAR259;
end else begin
VAR254 = 'VAR255;
end
end
always @ (VAR6 or VAR290 or VAR269 or VAR218 or VAR200 or VAR295)
begin
case (VAR290)
VAR92 :
if (~(VAR6 == VAR198)) begin
VAR104 = VAR133;
end else begin
VAR104 = VAR92;
end
VAR133 :
VAR104 = VAR148;
VAR148 :
VAR104 = VAR66;
VAR66 :
if (~(VAR218 == VAR70)) begin
VAR104 = VAR194;
end else begin
VAR104 = VAR165;
end
VAR165 :
VAR104 = VAR145;
VAR145 :
VAR104 = VAR249;
VAR249 :
VAR104 = VAR283;
VAR283 :
VAR104 = VAR82;
VAR82 :
VAR104 = VAR127;
VAR127 :
VAR104 = VAR138;
VAR138 :
VAR104 = VAR232;
VAR232 :
VAR104 = VAR135;
VAR135 :
VAR104 = VAR286;
VAR286 :
VAR104 = VAR63;
VAR63 :
VAR104 = VAR164;
VAR164 :
VAR104 = VAR258;
VAR258 :
VAR104 = VAR191;
VAR191 :
VAR104 = VAR112;
VAR112 :
VAR104 = VAR143;
VAR143 :
VAR104 = VAR231;
VAR231 :
VAR104 = VAR118;
VAR118 :
if ((~(VAR198 == VAR295) & ~(VAR269 == VAR70) & (VAR200 == VAR70))) begin
VAR104 = VAR194;
end else if ((~(VAR198 == VAR295) & (VAR269 == VAR70) & (VAR200 == VAR70))) begin
VAR104 = VAR12;
end else if ((~(VAR198 == VAR295) & ~(VAR200 == VAR70))) begin
VAR104 = VAR271;
end else begin
VAR104 = VAR118;
end
VAR12 :
VAR104 = VAR130;
VAR130 :
VAR104 = VAR147;
VAR147 :
VAR104 = VAR161;
VAR161 :
VAR104 = VAR246;
VAR246 :
VAR104 = VAR8;
VAR8 :
VAR104 = VAR51;
VAR51 :
VAR104 = VAR271;
VAR271 :
VAR104 = VAR57;
VAR57 :
VAR104 = VAR175;
VAR175 :
VAR104 = VAR74;
VAR74 :
VAR104 = VAR123;
VAR123 :
VAR104 = VAR214;
VAR214 :
VAR104 = VAR201;
VAR201 :
VAR104 = VAR190;
VAR190 :
VAR104 = VAR220;
VAR220 :
VAR104 = VAR151;
VAR151 :
VAR104 = VAR42;
VAR42 :
VAR104 = VAR268;
VAR268 :
VAR104 = VAR133;
VAR194 :
VAR104 = VAR92;
default :
VAR104 = 'VAR255;
endcase
end
assign VAR150 = VAR212;
assign VAR107 = VAR229;
assign VAR102 = VAR261;
assign VAR103 = VAR120;
assign VAR14 = VAR192;
assign VAR223 = VAR159;
assign VAR87 = VAR171;
assign VAR22 = VAR34;
assign VAR50 = VAR195;
assign VAR169 = VAR270;
assign VAR275 = VAR73;
assign VAR297 = VAR208;
assign VAR100 = VAR264;
assign VAR84 = VAR233;
assign VAR193 = VAR47;
assign VAR219 = VAR89;
assign VAR176 = VAR242;
assign VAR69 = VAR18;
assign VAR177 = VAR115;
assign VAR83 = VAR56;
assign VAR304 = VAR117;
assign VAR216 = VAR300;
assign VAR299 = VAR48;
assign VAR71 = VAR163;
assign VAR134 = VAR173;
assign VAR35 = VAR4;
assign VAR241 = VAR179;
assign VAR265 = VAR238;
assign VAR110 = VAR131;
assign VAR184 = VAR215;
assign VAR287 = VAR250;
assign VAR152 = VAR154;
assign VAR72 = VAR65;
assign VAR144 = VAR192;
assign VAR236 = VAR56;
assign VAR263 = VAR185;
assign VAR257 = VAR300;
assign VAR203 = VAR48;
assign VAR156 = VAR163;
assign VAR5 = VAR173;
assign VAR227 = VAR4;
assign VAR106 = VAR179;
assign VAR253 = VAR238;
assign VAR301 = VAR131;
assign VAR39 = VAR215;
assign VAR157 = VAR250;
assign VAR162 = VAR154;
assign VAR187 = VAR43;
assign VAR180 = VAR183;
assign VAR291 = VAR197;
assign VAR55 = VAR27;
assign VAR64 = VAR168;
assign VAR230 = VAR53;
assign VAR298 = VAR279;
assign VAR140 = VAR31;
assign VAR284 = VAR222;
assign VAR274 = VAR288;
assign VAR52 = VAR36;
assign VAR99 = VAR243;
assign VAR124 = VAR221;
assign VAR213 = VAR116;
assign VAR10 = VAR226;
assign VAR21 = VAR282;
assign VAR85 = VAR149;
assign VAR16 = VAR276;
assign VAR200 = (VAR121 ^ VAR32);
assign VAR19 = VAR26;
assign VAR202 = VAR29;
assign VAR91 = VAR244;
assign VAR217 = VAR37;
assign VAR122 = VAR293;
assign VAR33 = VAR132;
assign VAR269 = VAR13;
assign VAR119 = (VAR300 == VAR292? 1'b1: 1'b0);
assign VAR79 = (VAR24 & VAR240);
assign VAR155 = (VAR48 == VAR49? 1'b1: 1'b0);
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a211oi/sky130_fd_sc_hd__a211oi.pp.blackbox.v
| 1,397 |
module MODULE1 (
VAR9 ,
VAR2 ,
VAR8 ,
VAR4 ,
VAR5 ,
VAR3,
VAR7,
VAR1 ,
VAR6
);
output VAR9 ;
input VAR2 ;
input VAR8 ;
input VAR4 ;
input VAR5 ;
input VAR3;
input VAR7;
input VAR1 ;
input VAR6 ;
endmodule
|
apache-2.0
|
azonenberg/antikernel-ipcores
|
noc/rpcv3/RPCv3Router.v
| 11,987 |
module MODULE1
parameter VAR8 = 32,
parameter VAR14 = 1'b0,
parameter VAR1 = 4,
parameter VAR9 = 32'h20202020,
parameter VAR4 = {4'b1111},
parameter VAR15 = 32'h20202020,
parameter VAR12 = 4'h0,
parameter VAR5 = 4'h0
)
(
input wire clk,
output wire[3:0] VAR19,
output wire[511:0] VAR21,
input wire[3:0] VAR2,
input wire[3:0] VAR16,
input wire[511:0] VAR18,
output wire[3:0] VAR6,
output wire[VAR1-1 : 0] VAR3,
output wire[VAR1*128 - 1 : 0] VAR10,
input wire[VAR1-1 : 0] VAR17,
input wire[VAR1-1 : 0] VAR13,
input wire[VAR1*128 - 1 : 0] VAR7,
output wire[VAR1-1 : 0] VAR20
);
localparam VAR11 = 128 / VAR8;
|
bsd-3-clause
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_intr_rd_mem.v
| 2,272 |
module MODULE1(
VAR5,
VAR15,
VAR18,
VAR3,
req,
VAR8,
VAR9,
VAR4,
VAR13,
VAR11,
VAR12
);
input VAR5, VAR15;
output VAR18, VAR3;
input VAR12, VAR11;
input [VAR16-1:0] VAR13, VAR4;
input req, VAR8;
input [VAR7-1:0] VAR9;
reg [VAR10-1:0] VAR20 = 0, VAR2 = 0;
reg [VAR19-1:0] VAR6 [0:VAR14-1]; wire VAR18, VAR3;
assign VAR3 = (VAR20 === VAR2)?1'b1: 1'b0;
assign VAR18 = ((VAR20[VAR10-1]!== VAR2[VAR10-1]) && (VAR20[VAR10-2:0] === VAR2[VAR10-2:0]))?1'b1 :1'b0;
task VAR17;
output [VAR19-1:0] VAR21;
begin
VAR21 = VAR6[VAR2[VAR10-1:0]];
if(VAR2[VAR10-2:0] === VAR14-1)
VAR2[VAR10-2:0] = 0;
end
else
VAR2 = VAR2 + 1;
end
endtask
reg state;
reg VAR1;
always@(negedge VAR15 or posedge VAR5)
begin
if(!VAR15) begin
VAR20 <= 0;
VAR2 <= 0;
state <= 0;
VAR1 <= 0;
end else begin
case (state)
0 : begin
state <= 0;
VAR1 <= 0;
if(req)begin
state <= 1;
VAR1 <= VAR8;
end
end
1 : begin
state <= 1;
if(VAR11 | VAR12 | VAR1) begin
if(VAR12)
VAR6[VAR20[VAR10-2:0]] <= {VAR13,VAR9};
end
else if(VAR11)
VAR6[VAR20[VAR10-2:0]] <= {VAR4,VAR9};
end
else
VAR6[VAR20[VAR10-2:0]] <= VAR9;
if(VAR20[VAR10-2:0] === VAR14-1)
VAR20[VAR10-2:0] <= 0;
end
else
VAR20 <= VAR20 + 1;
state <= 0;
VAR1 <= 0;
end
end
endcase
end
end
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/projects/adv7511/ac701/system_top.v
| 6,232 |
module MODULE1 (
VAR6,
VAR10,
VAR13,
VAR74,
VAR30,
VAR43,
VAR38,
VAR8,
VAR32,
VAR44,
VAR53,
VAR41,
VAR24,
VAR75,
VAR25,
VAR19,
VAR71,
VAR22,
VAR73,
VAR40,
VAR18,
VAR62,
VAR68,
VAR76,
VAR7,
VAR17,
VAR51,
VAR16,
VAR59,
VAR23,
VAR9,
VAR45,
VAR80,
VAR3,
VAR12,
VAR60,
VAR50,
VAR78,
VAR14,
VAR33,
VAR48);
input VAR6;
input VAR10;
input VAR13;
input VAR74;
output VAR30;
output [13:0] VAR43;
output [ 2:0] VAR38;
output VAR8;
output [ 0:0] VAR32;
output [ 0:0] VAR44;
output [ 0:0] VAR53;
output [ 0:0] VAR41;
output [ 7:0] VAR24;
inout [63:0] VAR75;
inout [ 7:0] VAR25;
inout [ 7:0] VAR19;
output [ 0:0] VAR71;
output VAR22;
output VAR73;
output VAR40;
output VAR18;
output VAR62;
inout VAR68;
output VAR76;
output VAR7;
output [ 3:0] VAR17;
input VAR51;
input VAR16;
input [ 3:0] VAR59;
output VAR23;
inout [ 6:0] VAR9;
inout [12:0] VAR45;
output VAR80;
inout VAR3;
inout VAR12;
output VAR60;
output VAR50;
output VAR78;
output VAR14;
output [23:0] VAR33;
output VAR48;
wire [63:0] VAR61;
wire [63:0] VAR28;
wire [63:0] VAR15;
assign VAR27 = 2'd0;
assign VAR23 = 1'b1;
assign VAR80 = 1'b1;
VAR1 #(.VAR58(21)) VAR55 (
.VAR65 (VAR15[12:0]),
.VAR54 (VAR28[12:0]),
.VAR52 (VAR61[12:0]),
.VAR72 (VAR45));
VAR36 VAR46 (
.VAR43 (VAR43),
.VAR38 (VAR38),
.VAR8 (VAR8),
.VAR32 (VAR32),
.VAR44 (VAR44),
.VAR53 (VAR53),
.VAR41 (VAR41),
.VAR24 (VAR24),
.VAR75 (VAR75),
.VAR25 (VAR25),
.VAR19 (VAR19),
.VAR71 (VAR71),
.VAR22 (VAR22),
.VAR73 (VAR73),
.VAR40 (VAR40),
.VAR57 (VAR9),
.VAR35 (VAR28[31:0]),
.VAR47 (VAR15[31:0]),
.VAR56 (VAR61[31:0]),
.VAR49 (VAR28[63:32]),
.VAR69 (VAR15[63:32]),
.VAR66 (VAR61[63:32]),
.VAR42 (VAR33),
.VAR63 (VAR14),
.VAR77 (VAR50),
.VAR60 (VAR60),
.VAR31 (VAR78),
.VAR34 (VAR3),
.VAR70 (VAR12),
.VAR5 (1'b0),
.VAR67 (1'b0),
.VAR64 (1'b0),
.VAR26 (1'b0),
.VAR2 (1'b0),
.VAR21 (VAR68),
.VAR4 (VAR62),
.VAR79 (VAR18),
.VAR81 (VAR59),
.VAR20 (VAR16),
.VAR39 (VAR51),
.VAR29 (VAR17),
.VAR37 (VAR7),
.VAR11 (VAR76),
.VAR48 (VAR48),
.VAR13 (VAR13),
.VAR10 (VAR10),
.VAR6 (VAR6),
.VAR74 (VAR74),
.VAR30 (VAR30));
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/and2/sky130_fd_sc_hdll__and2.pp.symbol.v
| 1,271 |
module MODULE1 (
input VAR5 ,
input VAR6 ,
output VAR3 ,
input VAR4 ,
input VAR1,
input VAR7,
input VAR2
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand4/sky130_fd_sc_hdll__nand4.functional.v
| 1,320 |
module MODULE1 (
VAR5,
VAR3,
VAR7,
VAR8,
VAR6
);
output VAR5;
input VAR3;
input VAR7;
input VAR8;
input VAR6;
wire VAR2;
nand VAR4 (VAR2, VAR6, VAR8, VAR7, VAR3 );
buf VAR1 (VAR5 , VAR2 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o21ba/sky130_fd_sc_ms__o21ba_4.v
| 2,316 |
module MODULE2 (
VAR9 ,
VAR6 ,
VAR1 ,
VAR8,
VAR4,
VAR7,
VAR3 ,
VAR5
);
output VAR9 ;
input VAR6 ;
input VAR1 ;
input VAR8;
input VAR4;
input VAR7;
input VAR3 ;
input VAR5 ;
VAR10 VAR2 (
.VAR9(VAR9),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR9 ,
VAR6 ,
VAR1 ,
VAR8
);
output VAR9 ;
input VAR6 ;
input VAR1 ;
input VAR8;
supply1 VAR4;
supply0 VAR7;
supply1 VAR3 ;
supply0 VAR5 ;
VAR10 VAR2 (
.VAR9(VAR9),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/mux2/sky130_fd_sc_hvl__mux2.pp.blackbox.v
| 1,299 |
module MODULE1 (
VAR5 ,
VAR1 ,
VAR6 ,
VAR7 ,
VAR8,
VAR4,
VAR2 ,
VAR3
);
output VAR5 ;
input VAR1 ;
input VAR6 ;
input VAR7 ;
input VAR8;
input VAR4;
input VAR2 ;
input VAR3 ;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai32/gf180mcu_fd_sc_mcu9t5v0__oai32_2.functional.v
| 1,666 |
module MODULE1( VAR3, VAR21, VAR20, VAR10, VAR9, VAR18 );
input VAR20, VAR21, VAR3, VAR18, VAR9;
output VAR10;
wire VAR1;
not VAR4( VAR1, VAR20 );
wire VAR7;
not VAR13( VAR7, VAR21 );
wire VAR8;
not VAR19( VAR8, VAR3 );
wire VAR5;
and VAR12( VAR5, VAR1, VAR7, VAR8 );
wire VAR17;
not VAR14( VAR17, VAR18 );
wire VAR15;
not VAR2( VAR15, VAR9 );
wire VAR11;
and VAR6( VAR11, VAR17, VAR15 );
or VAR16( VAR10, VAR5, VAR11 );
endmodule
|
apache-2.0
|
P3Stor/P3Stor
|
DDR3/phy/phy_top.v
| 54,045 |
module MODULE1 #
(
parameter VAR205 = 100,
parameter VAR280 = 2, parameter VAR199 = 3333, parameter VAR152 = 300.0, parameter VAR34 = "VAR228", parameter [7:0] VAR337 = 8'b00000001,
parameter [7:0] VAR326 = 8'b00000000,
parameter VAR36 = 2, parameter VAR67 = 1, parameter VAR71 = 10, parameter VAR102 = 1, parameter VAR179 = 6, parameter VAR160 = 64, parameter VAR95 = 8, parameter VAR309 = 3, parameter VAR158 = 8, parameter VAR106 = 8, parameter VAR27 = 14, parameter VAR157 = 1, parameter VAR268 = 1, parameter VAR239 = 1, parameter VAR242 = "VAR279", parameter VAR113 = 16'h0000, parameter VAR339 = 12'h000, parameter VAR12 = 3'h0, parameter VAR150 = "0", parameter VAR224 = "8", parameter VAR323 = "VAR240", parameter VAR258 = 0, parameter VAR84 = 5, parameter VAR22 = 5, parameter VAR302 = 110000, parameter VAR161 = "VAR196", parameter VAR203 = "VAR117", parameter VAR159 = "60", parameter VAR219 = "60", parameter VAR10 = "VAR286", parameter VAR185 = "VAR286", parameter VAR176 = 0, parameter VAR190 = 8, parameter VAR184 = "VAR117", parameter VAR116 = 16, parameter VAR129 = "VAR247", parameter VAR175 = "VAR286", parameter VAR92 = "VAR117", parameter VAR167 = "VAR74", parameter VAR42 = VAR158, parameter VAR289 = 0, parameter VAR121 = 0, parameter VAR198 = 0, parameter VAR313 = 144'h11100F0E0D0C0B0A09080706050403020100,
parameter VAR107 = 0, parameter VAR48 = 0, parameter VAR310 = 0, parameter VAR110 = 1, parameter VAR154 = "VAR286",
parameter VAR5 = "VAR260", parameter VAR244 = "VAR260", parameter VAR73 = "VAR286" )
(
input VAR24, input clk, input VAR33, input rst, input [7:0] VAR40,
input [7:0] VAR14,
input [VAR27-1:0] VAR227,
input [VAR27-1:0] VAR243,
input [VAR36-1:0] VAR46,
input [VAR36-1:0] VAR20,
input VAR305,
input VAR257,
input [VAR239-1:0] VAR17,
input [VAR239-1:0] VAR340,
input [VAR268*VAR102-1:0] VAR30,
input [VAR268*VAR102-1:0] VAR37,
input [VAR268*VAR102-1:0] VAR169,
input [VAR268*VAR102-1:0] VAR236,
input VAR114,
input VAR88,
input VAR249,
input VAR64,
input VAR174,
input VAR343,
input [4*VAR160-1:0] VAR61,
input [4*(VAR160/8)-1:0] VAR215,
input VAR105,
output [4*VAR160-1:0] VAR127,
output VAR165,
input VAR238,
output VAR255,
input VAR281,
input [VAR157:0] VAR132,
output [VAR67-1:0] VAR32,
output [VAR67-1:0] VAR4,
output [VAR27-1:0] VAR75,
output [VAR36-1:0] VAR103,
output VAR335,
output VAR202,
output VAR284,
output [VAR268*VAR102-1:0] VAR274,
output [VAR239-1:0] VAR41,
output [VAR268*VAR102-1:0] VAR80,
output VAR143,
output VAR315,
output [VAR95-1:0] VAR108,
inout [VAR158-1:0] VAR300,
inout [VAR158-1:0] VAR183,
inout [VAR160-1:0] VAR93,
input VAR44,
output VAR290,
output VAR83,
input [5*VAR158-1:0] VAR60,
input [5*VAR158-1:0] VAR130,
input VAR314,
output VAR254,
output VAR124,
output VAR125,
output [VAR158-1:0] VAR193,
output [2*VAR158-1:0] VAR58,
output [5*VAR158-1:0] VAR1,
output [5*VAR158-1:0] VAR66,
output [4:0] VAR317,
output VAR168,
output [VAR158-1:0] VAR297,
output [1:0] VAR217,
output [1:0] VAR47,
output [1:0] VAR123,
output [5*VAR158-1:0] VAR296,
output [5*VAR158-1:0] VAR320,
output [3*VAR158-1:0] VAR262,
output [2*VAR158-1:0] VAR85,
output [4:0] VAR39,
output [4*VAR160-1:0] VAR90,
input VAR250,
input VAR256,
input VAR38,
input VAR173,
input VAR2,
input VAR187,
input [VAR309-1:0] VAR259,
input VAR100,
input [VAR309-1:0] VAR285,
input VAR77,
output [5*VAR158-1:0] VAR248,
output [19:0] VAR7,
output [5*VAR158-1:0] VAR246,
output [5*VAR158-1:0] VAR126,
input VAR209,
input VAR252,
input VAR336,
input VAR324,
input VAR81,
input VAR186,
input VAR45,
input VAR319,
input VAR177,
input [3:0] VAR295,
input [VAR309-1:0] VAR263,
input VAR148,
input VAR214,
output [255:0] VAR291, output [255:0] VAR163, output [255:0] VAR292, output [255:0] VAR43 );
localparam VAR70
= ((VAR154 == "VAR86") ||
(VAR154 == "VAR31")) ?
"VAR68" : VAR5;
localparam VAR271
= (VAR154 == "VAR86") ? "VAR13" :
((VAR154 == "VAR31") ? "VAR333" : VAR244);
localparam VAR307
= (VAR154 == "VAR86") ? "VAR286" : VAR10;
localparam VAR120
= (VAR154 == "VAR86") ? "VAR286" : VAR185;
localparam integer VAR201
= (VAR199 + (VAR280*(1000000/(VAR152*64))*2)-1) /
(VAR280*(1000000/(VAR152*64))*4);
localparam VAR131 = (VAR203 == "VAR117") ? VAR22 + 1 : VAR22;
localparam VAR325 = 1 + (|VAR326 ? 1 : 0);
localparam VAR52 = (VAR199 > 8000) ? "VAR286" : VAR120;
localparam VAR192 = ((VAR199 < 10000) & ( VAR160 >= 64) &
(VAR67 < 5) & (VAR34 == "VAR164") &
(VAR203 == "VAR286"));
reg [2:0] VAR25;
wire [1:0] VAR211;
reg [1:0] VAR306;
reg [1:0] VAR23;
wire [VAR158-1:0] VAR329;
wire [3:0] VAR135;
wire [4*VAR158-1:0] VAR156;
wire [VAR158-1:0] VAR19;
wire [VAR158-1:0] VAR241;
wire [VAR158-1:0] VAR251;
wire [3:0] VAR101;
wire [3:0] VAR197;
wire [VAR158-1:0] VAR189;
wire [VAR158-1:0] VAR28;
wire VAR59;
wire VAR272;
wire VAR21;
wire [3:0] VAR288;
wire VAR269;
wire VAR311;
wire [5*VAR158-1:0] VAR213;
wire [5*VAR158-1:0] VAR327;
wire [5*VAR158-1:0] VAR344;
wire [5*VAR158-1:0] VAR94;
wire [5*VAR158-1:0] VAR166;
wire [5*VAR158-1:0] VAR318;
wire [5*VAR158-1:0] VAR138;
wire [5*VAR158-1:0] VAR218;
wire [5*VAR158-1:0] VAR194;
wire [VAR158-1:0] VAR191;
wire [4*VAR158-1:0] VAR298;
wire [VAR158-1:0] VAR212;
reg VAR230;
wire [4*VAR158-1:0] VAR278;
wire [(VAR158*4)-1:0] VAR128;
wire [VAR158-1:0] VAR89;
wire [(VAR160/8)-1:0] VAR112;
wire [(VAR160/8)-1:0] VAR220;
wire [(VAR160/8)-1:0] VAR222;
wire [(VAR160/8)-1:0] VAR3;
wire VAR91;
wire VAR232;
wire VAR87;
wire [VAR27-1:0] VAR188;
wire [VAR27-1:0] VAR35;
wire [VAR36-1:0] VAR99;
wire [VAR36-1:0] VAR182;
wire VAR162;
wire VAR144;
wire [VAR239-1:0] VAR287;
wire [VAR239-1:0] VAR267;
wire [VAR268*VAR102-1:0] VAR142;
wire [VAR268*VAR102-1:0] VAR223;
wire VAR134;
wire [0:0] VAR96;
wire VAR172;
wire [VAR268*VAR102-1:0] VAR234;
wire [VAR268*VAR102-1:0] VAR26;
wire VAR226;
wire VAR155;
wire VAR76;
wire VAR204;
wire VAR294;
wire VAR229;
wire [4*VAR160-1:0] VAR9;
wire VAR208;
wire [4*(VAR160/8)-1:0] VAR283;
wire VAR245;
wire [1:0] VAR15;
wire [4:0] VAR316;
wire [2*VAR158-1:0] VAR109;
wire [VAR158-1:0] VAR78;
wire [2*VAR158-1:0] VAR153;
wire [VAR160-1:0] VAR265;
wire [VAR160-1:0] VAR312;
wire [VAR160-1:0] VAR328;
wire [VAR160-1:0] VAR118;
wire [VAR158-1:0] VAR231;
wire [VAR158-1:0] VAR49;
wire [VAR158-1:0] VAR137;
wire [VAR158-1:0] VAR54;
wire VAR140;
wire VAR18;
wire [1:0] VAR266;
wire [1:0] VAR11;
wire VAR195;
wire VAR273;
wire VAR334;
wire [VAR309-1:0] VAR207;
wire VAR303;
wire [1:0] VAR270;
wire [3:0] VAR181;
wire VAR79;
wire [2*VAR158-1:0] VAR50;
wire [VAR160-1:0] VAR253;
wire [VAR160-1:0] VAR53;
wire [VAR160-1:0] VAR301;
wire [VAR160-1:0] VAR261;
wire [2*VAR158-1:0] VAR233;
wire VAR200;
wire VAR147;
wire VAR304;
wire VAR149;
wire VAR322;
assign VAR90 = VAR127;
assign VAR43 = 256'd0;
assign VAR254 = VAR322;
assign VAR124 = VAR304;
assign VAR125 = VAR149;
assign VAR193 = VAR212;
assign VAR1 = VAR218;
assign VAR66 = VAR318;
assign VAR58 = VAR50;
assign VAR217 = VAR270;
assign VAR47 = VAR266;
assign VAR123 = VAR11;
assign VAR273 = (VAR307 == "VAR117") ? VAR195 : 1'b0;
assign VAR212 = (VAR307 == "VAR117") ? VAR89 : {VAR158{1'b0}};
assign VAR233 = (VAR307 == "VAR117") ? VAR50 : {2*VAR158{1'b0}};
assign VAR15 = (rst || (VAR157 == 0)) ? 2'b00 :
(~VAR255) ? VAR23 :
(VAR157 == 2) ? VAR132[1:0] :
{1'b0, VAR132[0]};
always @(posedge clk) begin
end
generate
genvar VAR206;
for (VAR206 = 0; VAR206 < VAR158;
VAR206 = VAR206 + 1) begin: VAR299
if (VAR73 == "VAR117") begin: VAR210
assign VAR318[5*VAR206+4:5*VAR206]
= (VAR307 == "VAR117") ?
((VAR304 && VAR314) ?
VAR130[5*VAR206+4:5*VAR206] :
VAR138[5*VAR206+4:5*VAR206]) :
((VAR314) ?
VAR130[5*VAR206+4:5*VAR206] :
VAR201);
assign VAR218[5*VAR206+4:5*VAR206]
= (VAR307 == "VAR117") ?
((VAR304 && VAR314) ?
VAR60[5*VAR206+4:5*VAR206] :
VAR194[5*VAR206+4:5*VAR206]) :
((VAR314) ?
VAR60[5*VAR206+4:5*VAR206] :
5'b0);
end else begin: VAR57
assign VAR318[5*VAR206+4:5*VAR206]
= (VAR307 == "VAR117") ?
VAR138[5*VAR206+4:5*VAR206] :
VAR201;
assign VAR218[5*VAR206+4:5*VAR206]
= (VAR307 == "VAR117") ?
VAR194[5*VAR206+4:5*VAR206] :
5'b0;
end
end
endgenerate
generate
if (VAR325 == 1) begin: VAR8
always @ (posedge clk) begin
case ({VAR40[0],VAR40[1],
VAR40[2],VAR40[3]})
4'b1111:
if (VAR242 == "VAR341")
end
else
4'b1100:
if (VAR242 == "VAR341")
end
else
default:
endcase
end
end else if (VAR325 == 2) begin: VAR151
always @ (posedge clk) begin
case ({VAR40[0],VAR40[1],
VAR14[0],VAR14[1]})
4'b1010:
4'b1000:
4'b0010:
4'b1111:
if (VAR242 == "VAR341")
end
else
4'b1110:
if (VAR242 == "VAR341")
end
else
4'b1100:
if (VAR242 == "VAR341")
else
4'b1011:
if (VAR242 == "VAR341")
else
4'b0011:
if (VAR242 == "VAR341")
else
default:
endcase
end
end
endgenerate
VAR56 #
(
.VAR205 (VAR205),
.VAR280 (VAR280),
.VAR199 (VAR199),
.VAR34 (VAR34),
.VAR36 (VAR36),
.VAR71 (VAR71),
.VAR102 (VAR102),
.VAR160 (VAR160),
.VAR27 (VAR27),
.VAR268 (VAR268),
.VAR239 (VAR239),
.VAR113 (VAR113),
.VAR339 (VAR339),
.VAR12 (VAR12),
.VAR150 (VAR150),
.VAR224 (VAR224),
.VAR323 (VAR323),
.VAR258 (VAR258),
.VAR84 (VAR84),
.VAR22 (VAR22),
.VAR302 (VAR302),
.VAR161 (VAR161),
.VAR203 (VAR203),
.VAR159 (VAR159),
.VAR219 (VAR219),
.VAR10 (VAR307),
.VAR185 (VAR52),
.VAR325 (VAR325),
.VAR5 (VAR70),
.VAR244 (VAR271)
)
VAR178
(
.clk (clk),
.rst (rst),
.VAR25 (VAR25),
.VAR216 (VAR216),
.VAR304 (VAR304),
.VAR122 (VAR122),
.VAR147 (VAR147),
.VAR40 (VAR40),
.VAR14 (VAR14),
.VAR266 (VAR266),
.VAR270 (VAR270),
.VAR140 (VAR140),
.VAR18(VAR18),
.VAR303 (VAR303),
.VAR277 (VAR273),
.VAR211 (VAR211),
.VAR232 (VAR232),
.VAR91 (VAR91),
.VAR87 (VAR87),
.VAR245 (VAR245),
.VAR255 (VAR255),
.VAR188 (VAR188),
.VAR35 (VAR35),
.VAR99 (VAR99),
.VAR182 (VAR182),
.VAR162 (VAR162),
.VAR144 (VAR144),
.VAR287 (VAR287),
.VAR267 (VAR267),
.VAR142 (VAR142),
.VAR223 (VAR223),
.VAR134 (VAR134),
.VAR234 (VAR234),
.VAR26 (VAR26),
.VAR226 (VAR226),
.VAR155 (VAR155),
.VAR204 (VAR204),
.VAR294 (VAR294),
.VAR229 (VAR229),
.VAR208 (VAR208),
.VAR9 (VAR9),
.VAR76 (VAR76),
.VAR96 (VAR96),
.VAR275 (VAR172)
);
VAR225 #
(
.VAR205 (VAR205),
.VAR36 (VAR36),
.VAR157 (VAR157),
.VAR102 (VAR102),
.VAR268 (VAR268),
.VAR239 (VAR239),
.VAR27 (VAR27),
.VAR10 (VAR307),
.VAR22 (VAR131),
.VAR34 (VAR34),
.VAR203 (VAR203),
.VAR152 (VAR152),
.VAR92 (VAR92),
.VAR167 (VAR167),
.VAR192 (VAR192)
)
VAR62
(
.VAR24 (VAR24),
.clk (clk),
.rst (rst),
.VAR133 (VAR134),
.VAR227 (VAR227),
.VAR243 (VAR243),
.VAR46 (VAR46),
.VAR20 (VAR20),
.VAR305 (VAR305),
.VAR257 (VAR257),
.VAR17 (VAR17),
.VAR340 (VAR340),
.VAR30 (VAR30),
.VAR37 (VAR37),
.VAR169 (VAR169),
.VAR236 (VAR236),
.VAR114 (VAR114),
.VAR88 (VAR88),
.VAR249 (VAR249),
.VAR64 (VAR64),
.VAR174 (VAR174),
.VAR188 (VAR188),
.VAR35 (VAR35),
.VAR99 (VAR99),
.VAR182 (VAR182),
.VAR162 (VAR162),
.VAR144 (VAR144),
.VAR287 (VAR287),
.VAR267 (VAR267),
.VAR142 (VAR142),
.VAR223 (VAR223),
.VAR234 (VAR234),
.VAR26 (VAR26),
.VAR226 (VAR226),
.VAR155 (VAR155),
.VAR204 (VAR204),
.VAR294 (VAR294),
.VAR229 (VAR229),
.VAR75 (VAR75),
.VAR103 (VAR103),
.VAR335 (VAR335),
.VAR202 (VAR202),
.VAR284 (VAR284),
.VAR41 (VAR41),
.VAR274 (VAR274),
.VAR80 (VAR80),
.VAR315 (VAR315),
.VAR143 (VAR143)
);
VAR6 #
(
.VAR205 (VAR205),
.VAR67 (VAR67),
.VAR10 (VAR307),
.VAR34 (VAR34),
.VAR152 (VAR152),
.VAR167 (VAR167)
)
VAR345
(
.VAR24 (VAR24),
.clk (clk),
.rst (rst),
.VAR32 (VAR32),
.VAR4 (VAR4)
);
VAR276 #
(
.VAR205 (VAR205),
.VAR280 (VAR280),
.VAR199 (VAR199),
.VAR34 (VAR34),
.VAR106 (VAR106),
.VAR95 (VAR95),
.VAR160 (VAR160),
.VAR158 (VAR158),
.VAR22 (VAR131),
.VAR10 (VAR307),
.VAR152 (VAR152),
.VAR175 (VAR175),
.VAR92 (VAR92),
.VAR167 (VAR167),
.VAR42 (VAR42),
.VAR289 (VAR289),
.VAR121 (VAR121),
.VAR198 (VAR198),
.VAR313 (VAR313),
.VAR107 (VAR107),
.VAR48 (VAR48),
.VAR310 (VAR310),
.VAR110 (VAR110)
)
VAR119
(
.VAR24 (VAR24),
.clk (clk),
.VAR329 (VAR329),
.VAR135 (VAR135),
.rst (rst),
.VAR181 (VAR181),
.VAR213 (VAR213),
.VAR327 (VAR327),
.VAR89 (VAR212),
.VAR50 (VAR233),
.VAR278 (VAR278),
.VAR298 (VAR298),
.VAR128 (VAR128),
.VAR191 (VAR191),
.VAR222 (VAR222),
.VAR112 (VAR112),
.VAR3 (VAR3),
.VAR220 (VAR220),
.VAR253 (VAR253),
.VAR53 (VAR53),
.VAR301 (VAR301),
.VAR261 (VAR261),
.VAR109 (VAR109),
.VAR153 (VAR153),
.VAR78 (VAR78),
.VAR328 (VAR328),
.VAR265 (VAR265),
.VAR118 (VAR118),
.VAR312 (VAR312),
.VAR137 (VAR137),
.VAR231 (VAR231),
.VAR54 (VAR54),
.VAR49 (VAR49),
.VAR108 (VAR108),
.VAR300 (VAR300),
.VAR183 (VAR183),
.VAR93 (VAR93),
.VAR246 (VAR246),
.VAR126 (VAR126)
);
VAR141 #
(
.VAR205 (VAR205),
.VAR160 (VAR160),
.VAR309 (VAR309),
.VAR158 (VAR158),
.VAR157 (VAR157),
.VAR22 (VAR131),
.VAR203 (VAR203),
.VAR10 (VAR307),
.VAR185 (VAR52),
.VAR34 (VAR34),
.VAR42 (VAR42),
.VAR289 (VAR289),
.VAR121 (VAR121),
.VAR198 (VAR198),
.VAR313 (VAR313),
.VAR107 (VAR107),
.VAR48 (VAR48),
.VAR310 (VAR310),
.VAR73 (VAR73)
)
VAR293
(
.clk (clk),
.rst (rst),
.VAR135 (VAR135),
.VAR181 (VAR181),
.VAR304 (VAR304),
.VAR266 (VAR266),
.VAR91 (VAR91),
.VAR133 (VAR134),
.VAR330 (VAR132),
.VAR264 (VAR281),
.VAR96 (VAR96),
.VAR275 (VAR172),
.VAR230 (VAR230),
.VAR218 (VAR218),
.VAR318 (VAR318),
.VAR251 (VAR251),
.VAR272 (VAR272),
.VAR101 (VAR101),
.VAR21 (VAR21),
.VAR94 (VAR94),
.VAR166 (VAR166),
.VAR241 (VAR241),
.VAR28 (VAR28),
.VAR344 (VAR344),
.VAR327 (VAR327),
.VAR213 (VAR213),
.VAR269 (VAR269),
.VAR19 (VAR19),
.VAR189 (VAR189),
.VAR311 (VAR311),
.VAR197 (VAR197),
.VAR288 (VAR288),
.VAR209 (VAR209)
);
VAR321 #
(
.VAR205 (VAR205),
.VAR10 (VAR307),
.VAR160 (VAR160),
.VAR158 (VAR158),
.VAR34 (VAR34),
.VAR157 (VAR157),
.VAR22 (VAR131),
.VAR203 (VAR203)
)
VAR171
(
.clk (clk),
.rst (rst),
.VAR133 (VAR134),
.VAR147 (VAR147),
.VAR304 (VAR304),
.VAR89 (VAR212),
.VAR50 (VAR233),
.VAR61 (VAR61),
.VAR215 (VAR215),
.VAR343 (VAR343),
.VAR264 (VAR281),
.VAR330 (VAR132),
.VAR9 (VAR9),
.VAR208 (VAR208),
.VAR275 (VAR172),
.VAR96 (VAR96),
.VAR191 (VAR191),
.VAR298 (VAR298),
.VAR278 (VAR278),
.VAR128 (VAR128),
.VAR29 (VAR29),
.VAR16 (),
.VAR221 (),
.VAR79 (VAR79),
.VAR322 (VAR322),
.VAR253 (VAR253),
.VAR53 (VAR53),
.VAR301 (VAR301),
.VAR261 (VAR261),
.VAR222 (VAR222),
.VAR112 (VAR112),
.VAR3 (VAR3),
.VAR220 (VAR220)
);
always @(posedge clk)
generate
if (VAR307 == "VAR117") begin: VAR332
VAR145 #
(
.VAR205 (VAR205),
.VAR309 (VAR309),
.VAR160 (VAR160),
.VAR158 (VAR158),
.VAR106 (VAR106),
.VAR268 (VAR268),
.VAR242 (VAR242),
.VAR55 (5*VAR158-1),
.VAR201 (VAR201),
.VAR244 (VAR271)
)
VAR136
(
.clk (clk),
.rst (rst),
.VAR25 (VAR25),
.VAR15 (VAR15),
.VAR111 (VAR322),
.VAR79 (VAR79),
.VAR328 (VAR127[VAR160-1:0]),
.VAR266 (VAR266),
.VAR65 (VAR304),
.VAR122 (VAR122),
.VAR338 (VAR194),
.VAR139 (VAR138),
.VAR89 (VAR89),
.VAR146 (VAR334),
.VAR235 (VAR207),
.VAR277 (VAR195),
.VAR50 (VAR50),
.VAR200 (VAR200),
.VAR149 (VAR149),
.VAR63 (VAR317),
.VAR168 (VAR168),
.VAR297 (VAR297),
.VAR282 (),
.VAR51 (),
.VAR237 ()
);
end
endgenerate
VAR69 #
(
.VAR205 (VAR205),
.VAR280 (VAR280),
.VAR199 (VAR199),
.VAR152 (VAR152),
.VAR158 (VAR158),
.VAR160 (VAR160),
.VAR106 (VAR106),
.VAR167 (VAR167),
.VAR42 (VAR42),
.VAR289 (VAR289),
.VAR121 (VAR121),
.VAR198 (VAR198),
.VAR313 (VAR313),
.VAR107 (VAR107),
.VAR48 (VAR48),
.VAR310 (VAR310)
)
VAR331
(
.VAR24 (VAR24),
.clk (clk),
.VAR33 (VAR33),
.rst (rst),
.VAR269 (VAR269),
.VAR19 (VAR19),
.VAR189 (VAR189),
.VAR311 (VAR311),
.VAR197 (VAR197),
.VAR288 (VAR288),
.VAR329 (VAR329),
.VAR135 (VAR135),
.VAR181 (VAR181),
.VAR216 (VAR216),
.VAR133 (VAR134),
.VAR316 (VAR316),
.VAR328 (VAR328),
.VAR265 (VAR265),
.VAR118 (VAR118),
.VAR312 (VAR312),
.VAR137 (VAR137),
.VAR231 (VAR231),
.VAR54 (VAR54),
.VAR49 (VAR49),
.VAR105 (VAR105),
.VAR76 (VAR76),
.VAR165 (VAR165),
.VAR104 (VAR104),
.VAR127 (VAR127),
.VAR156 (VAR156),
.VAR248 (VAR248),
.VAR7 (VAR7),
.VAR163 (VAR163)
);
VAR342 #
(
.VAR205 (VAR205),
.VAR280 (VAR280),
.VAR199 (VAR199),
.VAR152 (VAR152),
.VAR160 (VAR160),
.VAR309 (VAR309),
.VAR158 (VAR158),
.VAR106 (VAR106),
.VAR34 (VAR34),
.VAR84 (VAR84),
.VAR176 (VAR176),
.VAR244 (VAR271),
.VAR203 (VAR203),
.VAR73 (VAR73)
)
VAR72
(
.clk (clk),
.rst (rst),
.VAR270 (VAR270),
.VAR18 (VAR18),
.VAR180 (VAR104),
.VAR266 (VAR266),
.VAR140 (VAR140),
.VAR11 (VAR11),
.VAR303 (VAR303),
.VAR245 (VAR245),
.VAR328 (VAR127[VAR160-1:0]),
.VAR265 (VAR127[2*VAR160-1:VAR160]),
.VAR118 (VAR127[3*VAR160-1:2*VAR160]),
.VAR312 (VAR127[4*VAR160-1:3*VAR160]),
.VAR19 (VAR251),
.VAR189 (VAR272),
.VAR197 (VAR101),
.VAR288 (VAR21),
.VAR213 (VAR94),
.VAR327 (VAR166),
.VAR109 (VAR109),
.VAR153 (VAR153),
.VAR316 (VAR316),
.VAR195 (VAR273),
.VAR334 (VAR334),
.VAR207 (VAR207),
.VAR78 (VAR78),
.VAR296 (VAR296),
.VAR320 (VAR320),
.VAR262 (VAR262),
.VAR308 (), .VAR85 (VAR85),
.VAR39 (VAR39),
.VAR250 (VAR250),
.VAR256 (VAR256),
.VAR38 (VAR38),
.VAR173 (VAR173),
.VAR2 (VAR2),
.VAR187 (VAR187),
.VAR259 (VAR259),
.VAR100 (VAR100),
.VAR285 (VAR285),
.VAR77 (VAR77),
.VAR292 (VAR292)
);
generate
if (VAR52 == "VAR117") begin: VAR97
VAR82 #
(
.VAR205 (VAR205),
.VAR309 (VAR309),
.VAR158 (VAR158),
.VAR116 (VAR116),
.VAR129 (VAR129),
.VAR190 (VAR190),
.VAR184 (VAR184),
.VAR244 (VAR271),
.VAR73 (VAR73)
)
VAR98
(
.clk (clk),
.rst (rst),
.VAR232 (VAR232),
.VAR91 (VAR91),
.VAR255 (VAR134),
.VAR115 (VAR104),
.VAR290 (VAR290),
.VAR83 (VAR83),
.VAR166 (VAR166),
.VAR241 (VAR241),
.VAR28 (VAR28),
.VAR344 (VAR344),
.VAR137 (VAR156[VAR158-1-:VAR158]),
.VAR231 (VAR156[2*VAR158-1-:VAR158]),
.VAR54 (VAR156[3*VAR158-1-:VAR158]),
.VAR49 (VAR156[4*VAR158-1-:VAR158]),
.VAR87 (VAR87),
.VAR245 (VAR245),
.VAR209 (VAR209),
.VAR252 (VAR252),
.VAR336 (VAR336),
.VAR324 (VAR324),
.VAR81 (VAR81),
.VAR186 (VAR186),
.VAR45 (VAR45),
.VAR319 (VAR319),
.VAR177 (VAR177),
.VAR295 (VAR295),
.VAR263 (VAR263),
.VAR148 (VAR148),
.VAR214 (VAR214),
.VAR291 (VAR291)
);
end else begin: VAR170
assign VAR91 = 1'b0;
assign VAR87 = 1'b0;
assign VAR241 = 'b0;
assign VAR28 = 'b0;
assign VAR344 = 'b0;
end
endgenerate
endmodule
|
gpl-2.0
|
elegabriel/myzju
|
junior1/CA/pipeline3/code/alu.v
| 2,062 |
module MODULE1(VAR3,VAR2,VAR4,VAR1
);
input wire [31:0] VAR3,VAR2;
input wire [4:0] VAR4;
output reg [31:0] VAR1;
always @*
begin
case(VAR4)
5'd0: VAR1=VAR3+VAR2;
5'd1: VAR1=VAR3+VAR2;
5'd2: VAR1=VAR3-VAR2;
5'd3: VAR1=VAR3-VAR2;
5'd4: VAR1=VAR3&VAR2;
5'd5: VAR1=VAR3|VAR2;
5'd6: VAR1=VAR3^VAR2;
5'd7: VAR1=~(VAR3|VAR2);
5'd8: VAR1=VAR3<VAR2;
5'd9: VAR1=VAR3<VAR2;
5'd10: VAR1=VAR2<<VAR3;
5'd11: VAR1=VAR2>>VAR3;
5'd12: begin
case(VAR3[4:0])
5'd0:VAR1=VAR2;
5'd1:VAR1={VAR2[31],VAR2[31:1]};
5'd2:VAR1={{2{VAR2[31]}},VAR2[31:2]};
5'd3:VAR1={{3{VAR2[31]}},VAR2[31:3]};
5'd4:VAR1={{4{VAR2[31]}},VAR2[31:4]};
5'd5:VAR1={{5{VAR2[31]}},VAR2[31:5]};
5'd6:VAR1={{6{VAR2[31]}},VAR2[31:6]};
5'd7:VAR1={{7{VAR2[31]}},VAR2[31:7]};
5'd8:VAR1={{8{VAR2[31]}},VAR2[31:8]};
5'd9:VAR1={{9{VAR2[31]}},VAR2[31:9]};
5'd10:VAR1={{10{VAR2[31]}},VAR2[31:10]};
5'd11:VAR1={{11{VAR2[31]}},VAR2[31:11]};
5'd12:VAR1={{12{VAR2[31]}},VAR2[31:12]};
5'd13:VAR1={{13{VAR2[31]}},VAR2[31:13]};
5'd14:VAR1={{14{VAR2[31]}},VAR2[31:14]};
5'd15:VAR1={{15{VAR2[31]}},VAR2[31:15]};
5'd16:VAR1={{16{VAR2[31]}},VAR2[31:16]};
5'd17:VAR1={{17{VAR2[31]}},VAR2[31:17]};
5'd18:VAR1={{18{VAR2[31]}},VAR2[31:18]};
5'd19:VAR1={{19{VAR2[31]}},VAR2[31:19]};
5'd20:VAR1={{20{VAR2[31]}},VAR2[31:20]};
5'd21:VAR1={{21{VAR2[31]}},VAR2[31:21]};
5'd22:VAR1={{22{VAR2[31]}},VAR2[31:22]};
5'd23:VAR1={{23{VAR2[31]}},VAR2[31:23]};
5'd24:VAR1={{24{VAR2[31]}},VAR2[31:24]};
5'd25:VAR1={{25{VAR2[31]}},VAR2[31:25]};
5'd26:VAR1={{26{VAR2[31]}},VAR2[31:26]};
5'd27:VAR1={{27{VAR2[31]}},VAR2[31:27]};
5'd28:VAR1={{28{VAR2[31]}},VAR2[31:28]};
5'd29:VAR1={{29{VAR2[31]}},VAR2[31:29]};
5'd30:VAR1={{30{VAR2[31]}},VAR2[31:30]};
5'd31:VAR1={32{VAR2[31]}};
endcase
end
5'd14: VAR1={VAR2[15:0],16'b0};
default:
begin
VAR1=0;
end
endcase
end
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/buf/gf180mcu_fd_sc_mcu9t5v0__buf_12.behavioral.v
| 1,098 |
module MODULE1( VAR2, VAR4 );
input VAR2;
output VAR4;
VAR5 VAR3(.VAR2(VAR2),.VAR4(VAR4));
VAR5 VAR1(.VAR2(VAR2),.VAR4(VAR4));
|
apache-2.0
|
Triple-Z/COExperiment_Repo
|
Project_2_OC/SingleCycleCPUOnBoard/single_cycle_cpu.v
| 9,408 |
module MODULE1(
input clk, input VAR74,
input [ 4:0] VAR49,
input [31:0] VAR2,
output [31:0] VAR61,
output [31:0] VAR93,
output [31:0] VAR46,
output [31:0] VAR32
);
reg [31:0] VAR70;
wire [31:0] VAR22;
wire [31:0] VAR62;
wire [31:0] VAR6;
wire VAR26;
assign VAR62[31:2] = VAR70[31:2] + 1'b1;
assign VAR62[1:0] = VAR70[1:0];
assign VAR22 = VAR26 ? VAR6 : VAR62;
always @ (posedge clk) begin
if (!VAR74) begin
VAR70 <= VAR51; end
else begin
VAR70 <= VAR22; end
end
wire [31:0] VAR71;
wire [31:0] VAR53;
assign VAR71 = VAR70; VAR81 VAR95( .addr (VAR71[6:2]), .VAR53 (VAR53 ) );
assign VAR46 = VAR70; assign VAR32 = VAR53;
wire [5:0] VAR11;
wire [4:0] VAR30;
wire [4:0] VAR58;
wire [4:0] rd;
wire [4:0] VAR90;
wire [5:0] VAR3;
wire [15:0] VAR79;
wire [15:0] VAR42;
wire [25:0] VAR94;
assign VAR11 = VAR53[31:26]; assign VAR30 = VAR53[25:21]; assign VAR58 = VAR53[20:16]; assign rd = VAR53[15:11]; assign VAR90 = VAR53[10:6]; assign VAR3 = VAR53[5:0]; assign VAR79 = VAR53[15:0]; assign VAR42 = VAR53[15:0]; assign VAR94 = VAR53[25:0];
wire VAR41; wire VAR60; assign VAR41 = ~(|VAR11);
assign VAR60 = ~(|VAR90);
wire VAR25, VAR23 , VAR12, VAR85;
wire VAR59 , VAR21 , VAR35, VAR36;
wire VAR67 , VAR64, VAR18, VAR43;
wire VAR75 , VAR78 , VAR76, VAR44;
assign VAR25 = VAR41 & VAR60 & (VAR3 == 6'b100001); assign VAR23 = VAR41 & VAR60 & (VAR3 == 6'b100011); assign VAR12 = VAR41 & VAR60 & (VAR3 == 6'b101010); assign VAR85 = VAR41 & VAR60 & (VAR3 == 6'b100100); assign VAR59 = VAR41 & VAR60 & (VAR3 == 6'b100111); assign VAR21 = VAR41 & VAR60 & (VAR3 == 6'b100101); assign VAR35 = VAR41 & VAR60 & (VAR3 == 6'b100110); assign VAR36 = VAR41 & (VAR30==5'd0) & (VAR3 == 6'b000000); assign VAR67 = VAR41 & (VAR30==5'd0) & (VAR3 == 6'b000010); assign VAR64 = (VAR11 == 6'b001001); assign VAR18 = (VAR11 == 6'b000100); assign VAR43 = (VAR11 == 6'b000101); assign VAR75 = (VAR11 == 6'b100011); assign VAR78 = (VAR11 == 6'b101011); assign VAR76 = (VAR11 == 6'b001111); assign VAR44 = (VAR11 == 6'b000010);
wire VAR4;
wire [31:0] VAR68;
assign VAR4 = VAR44;
assign VAR68 = {VAR70[31:28], VAR94, 2'b00};
wire VAR17;
wire VAR45;
wire [31:0] VAR83;
assign VAR17 = (VAR54 == VAR65); assign VAR45 = ~VAR17; assign VAR83[31:2] = VAR70[31:2] + {{14{VAR42[15]}}, VAR42};
assign VAR83[1:0] = VAR70[1:0];
assign VAR26 = VAR4 | VAR18 & VAR17
| VAR43 & VAR45;
assign VAR6 = VAR4 ? VAR68 : VAR83;
wire VAR20;
wire [4:0] VAR87;
wire [31:0] VAR55;
wire [31:0] VAR54, VAR65;
VAR52 VAR14(
.clk (clk ), .VAR24 (VAR20 ), .VAR77 (VAR30 ), .VAR37 (VAR58 ), .VAR33 (VAR87 ), .VAR82 (VAR55 ), .VAR39 (VAR54 ), .VAR31 (VAR65 ),
.VAR92(VAR49),
.VAR1(VAR61),
.VAR74(VAR74)
);
wire VAR91, VAR69, VAR16,VAR5;
wire VAR56, VAR89, VAR48, VAR84;
wire VAR13, VAR63, VAR29,VAR15;
assign VAR91 = VAR25 | VAR64 | VAR75 | VAR78; assign VAR69 = VAR23; assign VAR16 = VAR12; assign VAR5= 1'b0; assign VAR56 = VAR85; assign VAR89 = VAR59; assign VAR48 = VAR21; assign VAR84 = VAR35; assign VAR13 = VAR36; assign VAR63 = VAR67; assign VAR29 = 1'b0; assign VAR15 = VAR76;
wire [31:0] VAR80;
wire VAR10; wire VAR66; assign VAR80 = {{16{VAR79[15]}}, VAR79}; assign VAR10 = VAR36 | VAR67;
assign VAR66 = VAR64 | VAR76 | VAR75 | VAR78;
wire [31:0] VAR38;
wire [31:0] VAR50;
wire [11:0] VAR40;
assign VAR38 = VAR10 ? {27'd0,VAR90} : VAR54;
assign VAR50 = VAR66 ? VAR80 : VAR65;
assign VAR40 = {VAR91, VAR69,
VAR16,
VAR5,
VAR56,
VAR89,
VAR48,
VAR84,
VAR13,
VAR63,
VAR29,
VAR15};
wire [31:0] VAR57;
alu VAR73(
.VAR40 (VAR40 ), .VAR28 (VAR38), .VAR27 (VAR50), .VAR57 (VAR57 ) );
wire [3 :0] VAR34;
wire [31:0] VAR86;
wire [31:0] VAR9;
wire [31:0] VAR7;
assign VAR34 = {4{VAR78}} & VAR74; assign VAR86 = VAR57; assign VAR9 = VAR65; VAR47 VAR8(
.clk (clk ), .VAR24 (VAR34 ), .addr (VAR86[6:2]), .VAR82 (VAR9 ), .VAR72 (VAR7 ),
.VAR92(VAR2[6:2]),
.VAR1(VAR93 )
);
wire VAR19; wire VAR88; assign VAR19 = VAR64 | VAR75 | VAR76;
assign VAR88 = VAR25 | VAR23 | VAR12 | VAR85 | VAR59
| VAR21 | VAR35 | VAR36 | VAR67;
assign VAR20 = (VAR19 | VAR88) & VAR74;
assign VAR87 = VAR88 ? rd : VAR58; assign VAR55 = VAR75 ? VAR7 : VAR57;endmodule
|
mit
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/channel_128.v
| 9,868 |
module MODULE1 #(
parameter VAR63 = 9'd128,
parameter VAR85 = 2, parameter VAR75 = 1024,
parameter VAR70 = 512,
parameter VAR88 = 1024,
parameter VAR17 = VAR64((VAR63/32)+1)
)
(
input VAR7,
input VAR102,
input [2:0] VAR33, input [2:0] VAR1,
input [31:0] VAR62, input [VAR63-1:0] VAR3,
output VAR39, input VAR30, input VAR84, input VAR9,
output VAR79, input VAR10, input VAR67, input VAR81,
input VAR38, input VAR22, output [31:0] VAR50, output VAR35, input VAR20,
output VAR55, input VAR76, output [31:0] VAR13, output [31:0] VAR4, output [31:0] VAR57, output VAR96, input VAR32,
output VAR18, input VAR61, output [1:0] VAR71, output [63:0] VAR34, output [9:0] VAR40,
output VAR56, input VAR15, output [63:0] VAR12, output [9:0] VAR101, output [VAR63-1:0] VAR65, input VAR5, input VAR68,
input [VAR17-1:0] VAR103, input VAR43, input VAR77,
input [VAR17-1:0] VAR59, input VAR60, input VAR58,
input [VAR17-1:0] VAR41, input VAR91, input VAR42,
input VAR28, output VAR25, input VAR82, output VAR93, output [31:0] VAR99, output [30:0] VAR16, output [VAR63-1:0] VAR90, output VAR53, input VAR89,
input VAR94, input VAR45, output VAR8, input VAR48, input [31:0] VAR69, input [30:0] VAR104, input [VAR63-1:0] VAR37, input VAR11, output VAR36 );
wire [VAR63-1:0] VAR49;
wire VAR26;
wire VAR95;
wire VAR100;
wire VAR21;
VAR24 #(
.VAR63(VAR63),
.VAR23(VAR75),
.VAR88(VAR88),
.VAR85(VAR85)
) VAR6 (
.VAR102(VAR102),
.VAR7(VAR7),
.VAR33(VAR33),
.VAR39(VAR39),
.VAR46(VAR62),
.VAR30(VAR30),
.VAR84(VAR84),
.VAR9(VAR9),
.VAR79(VAR79),
.VAR51(VAR62),
.VAR10(VAR10),
.VAR67(VAR67),
.VAR81(VAR81),
.VAR47(VAR49),
.VAR27(VAR26),
.VAR19(VAR95),
.VAR78(VAR21),
.VAR29(VAR100),
.VAR54(VAR62),
.VAR72(VAR38),
.VAR14(VAR22),
.VAR31(VAR50),
.VAR97(VAR35),
.VAR98(VAR20),
.VAR18(VAR18),
.VAR61(VAR61),
.VAR71(VAR71),
.VAR34(VAR34),
.VAR40(VAR40),
.VAR52(VAR3),
.VAR103(VAR103),
.VAR43(VAR43),
.VAR77(VAR77),
.VAR73(VAR3),
.VAR59(VAR59),
.VAR60(VAR60),
.VAR58(VAR58),
.VAR87(VAR3),
.VAR41(VAR41),
.VAR91(VAR91),
.VAR42(VAR42),
.VAR2(VAR28),
.VAR25(VAR25),
.VAR82(VAR82),
.VAR93(VAR93),
.VAR99(VAR99),
.VAR16(VAR16),
.VAR90(VAR90),
.VAR53(VAR53),
.VAR89(VAR89)
);
VAR66 #(
.VAR63(VAR63),
.VAR74(VAR70)
) VAR83 (
.VAR7(VAR7),
.VAR102(VAR102),
.VAR1(VAR1),
.VAR86(VAR55),
.VAR80(VAR76),
.VAR44(VAR13),
.VAR92(VAR4),
.VAR31(VAR57),
.VAR97(VAR96),
.VAR98(VAR32),
.VAR47(VAR49),
.VAR27(VAR26),
.VAR19(VAR95),
.VAR78(VAR21),
.VAR29(VAR100),
.VAR56(VAR56),
.VAR15(VAR15),
.VAR12(VAR12),
.VAR101(VAR101),
.VAR65(VAR65),
.VAR5(VAR5),
.VAR68(VAR68),
.VAR2(VAR94),
.VAR45(VAR45),
.VAR8(VAR8),
.VAR48(VAR48),
.VAR69(VAR69),
.VAR104(VAR104),
.VAR37(VAR37),
.VAR11(VAR11),
.VAR36(VAR36)
);
endmodule
|
gpl-3.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.cache/ip/2017.2/8d6f9c45e1ea3378/zynq_design_1_auto_pc_1_stub.v
| 5,767 |
module MODULE1(VAR57, VAR73, VAR78, VAR39,
VAR58, VAR50, VAR5, VAR26, VAR13, VAR29,
VAR77, VAR65, VAR69, VAR15, VAR1, VAR6, VAR45,
VAR4, VAR59, VAR46, VAR42, VAR22, VAR16, VAR43,
VAR28, VAR61, VAR2, VAR67, VAR37, VAR31,
VAR33, VAR12, VAR17, VAR47, VAR35, VAR71, VAR9,
VAR25, VAR40, VAR76, VAR63, VAR3, VAR41, VAR56,
VAR7, VAR19, VAR54, VAR74, VAR66, VAR32,
VAR27, VAR55, VAR75, VAR38, VAR53, VAR23,
VAR10, VAR51, VAR49, VAR21, VAR64, VAR52, VAR30,
VAR14, VAR48, VAR18, VAR11, VAR44, VAR70,
VAR8, VAR72, VAR68, VAR60, VAR62, VAR20,
VAR34, VAR36, VAR79, VAR24)
;
input VAR57;
input VAR73;
input [11:0]VAR78;
input [31:0]VAR39;
input [3:0]VAR58;
input [2:0]VAR50;
input [1:0]VAR5;
input [1:0]VAR26;
input [3:0]VAR13;
input [2:0]VAR29;
input [3:0]VAR77;
input VAR65;
output VAR69;
input [11:0]VAR15;
input [31:0]VAR1;
input [3:0]VAR6;
input VAR45;
input VAR4;
output VAR59;
output [11:0]VAR46;
output [1:0]VAR42;
output VAR22;
input VAR16;
input [11:0]VAR43;
input [31:0]VAR28;
input [3:0]VAR61;
input [2:0]VAR2;
input [1:0]VAR67;
input [1:0]VAR37;
input [3:0]VAR31;
input [2:0]VAR33;
input [3:0]VAR12;
input VAR17;
output VAR47;
output [11:0]VAR35;
output [31:0]VAR71;
output [1:0]VAR9;
output VAR25;
output VAR40;
input VAR76;
output [11:0]VAR63;
output [31:0]VAR3;
output [7:0]VAR41;
output [2:0]VAR56;
output [1:0]VAR7;
output [0:0]VAR19;
output [3:0]VAR54;
output [2:0]VAR74;
output [3:0]VAR66;
output [3:0]VAR32;
output VAR27;
input VAR55;
output [31:0]VAR75;
output [3:0]VAR38;
output VAR53;
output VAR23;
input VAR10;
input [11:0]VAR51;
input [1:0]VAR49;
input VAR21;
output VAR64;
output [11:0]VAR52;
output [31:0]VAR30;
output [7:0]VAR14;
output [2:0]VAR48;
output [1:0]VAR18;
output [0:0]VAR11;
output [3:0]VAR44;
output [2:0]VAR70;
output [3:0]VAR8;
output [3:0]VAR72;
output VAR68;
input VAR60;
input [11:0]VAR62;
input [31:0]VAR20;
input [1:0]VAR34;
input VAR36;
input VAR79;
output VAR24;
endmodule
|
mit
|
dingzh/piplined-MIPS-CPU
|
src/LAB5/Top.v
| 5,098 |
module MODULE1(
input VAR6,
input wire VAR31,
input [2:0] VAR5,
output [7:0] VAR4,
input VAR29
);
wire VAR21;
reg [26:0] VAR27 = 0;
always@ (posedge VAR6) VAR27 = VAR27 + 1;
assign VAR21 = VAR29 ? VAR27[1] : VAR27[0];
assign VAR4[7] = VAR31;
assign VAR4[6] = VAR21;
wire [5:0] VAR15;
assign VAR4[5:0] = VAR15;
wire [31:0] VAR3;
wire [31:0] VAR18;
wire [7:0] VAR22;
assign VAR22[5:3] = VAR18;
assign VAR22[2:0] = VAR3;
wire [31:0] VAR19;
wire [5:0] VAR2;
wire [5:0] VAR32;
assign VAR2 = VAR5[0] ? VAR3:VAR22;
assign VAR32 = VAR5[1] ? VAR18:VAR2;
assign VAR15 = VAR5[2]? VAR19>>2:VAR32;
wire VAR26,
VAR1,
VAR30,
VAR24,
VAR13,
VAR20,
VAR9,
VAR16,
VAR17; wire [1:0] VAR12;
wire [3:0] VAR33;
wire [31:0] VAR10;
wire [31:0] VAR8; wire [4:0] VAR25; wire [31:0] VAR14;
wire [31:0] VAR28;
wire [31:0] VAR34;
wire [31:0] VAR23; wire [31:0] VAR11;
reg [31:0] VAR7[9:0];
|
gpl-3.0
|
alexforencich/hdg2000
|
fpga/lib/dsp/rtl/iq_join.v
| 2,794 |
module MODULE1 #
(
parameter VAR9 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR9-1:0] VAR14,
input wire VAR2,
output wire VAR11,
input wire [VAR9-1:0] VAR6,
input wire VAR13,
output wire VAR8,
output wire [VAR9-1:0] VAR10,
output wire [VAR9-1:0] VAR3,
output wire VAR7,
input wire VAR1
);
reg [VAR9-1:0] VAR5 = 0;
reg [VAR9-1:0] VAR4 = 0;
reg VAR12 = 0;
reg VAR15 = 0;
assign VAR11 = ~VAR12 | (VAR1 & VAR7);
assign VAR8 = ~VAR15 | (VAR1 & VAR7);
assign VAR10 = VAR5;
assign VAR3 = VAR4;
assign VAR7 = VAR12 & VAR15;
always @(posedge clk) begin
if (rst) begin
VAR5 <= 0;
VAR4 <= 0;
VAR12 <= 0;
VAR15 <= 0;
end else begin
if (VAR11 & VAR2) begin
VAR5 <= VAR14;
VAR12 <= 1;
end else if (VAR1 & VAR7) begin
VAR12 <= 0;
end
if (VAR8 & VAR13) begin
VAR4 <= VAR6;
VAR15 <= 1;
end else if (VAR1 & VAR7) begin
VAR15 <= 0;
end
end
end
endmodule
|
mit
|
kammce/LPCXpresso-Nexys4-Servo-Commander
|
ServoCommander.srcs/sources_1/new/SerialServo.v
| 5,031 |
module MODULE1(
input wire VAR15,
input wire VAR14,
input wire rst,
input wire VAR16,
input wire VAR9,
input wire VAR7,
input wire [VAR2-1:0] VAR12,
output reg VAR13
);
parameter VAR18 = 11;
parameter VAR2 = 5;
parameter VAR10 = 16;
reg [VAR10-1:0] buffer;
reg [VAR2-1:0] VAR23;
reg [VAR18-1:0] VAR11;
reg [VAR2-1:0] VAR6;
reg [VAR18-1:0] VAR5;
wire clk;
wire VAR21;
VAR20 VAR24(
.clk(VAR15),
.rst(rst),
.VAR4(VAR16),
.VAR22(clk)
);
VAR20 VAR8(
.clk(VAR15),
.rst(rst),
.VAR4(VAR9),
.VAR22(VAR21)
);
VAR17 VAR3 (
.pos(VAR11),
.VAR14(VAR14),
.rst(rst),
.VAR13(VAR1)
);
integer VAR19;
always @(posedge clk or posedge rst) begin
if(rst) begin
VAR11 = 0;
buffer = 0;
VAR19 = 0;
end
else if(!VAR21) begin
if(VAR19 < 15) begin
buffer[(VAR10-1)-VAR19] = VAR7;
VAR19 = VAR19 + 1;
end
else begin
VAR23 = buffer[VAR10-1:VAR10-5];
VAR11 = buffer[VAR10-6:0];
VAR5 = VAR11;
VAR13 = VAR16;
VAR6 = VAR23;
buffer = 0;
VAR19 = 0;
end
end
else begin
VAR11 = 0;
buffer = 0;
VAR19 = 0;
end
end
endmodule
end
|
bsd-3-clause
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/addh/gf180mcu_fd_sc_mcu9t5v0__addh_1.functional.pp.v
| 1,190 |
module MODULE1( VAR13, VAR12, VAR6, VAR16, VAR14, VAR2 );
input VAR12, VAR6;
inout VAR14, VAR2;
output VAR13, VAR16;
and VAR8( VAR13, VAR12, VAR6 );
wire VAR10;
not VAR1( VAR10, VAR6 );
wire VAR11;
and VAR15( VAR11, VAR10, VAR12 );
wire VAR4;
not VAR7( VAR4, VAR12 );
wire VAR9;
and VAR5( VAR9, VAR4, VAR6 );
or VAR3( VAR16, VAR11, VAR9 );
endmodule
|
apache-2.0
|
intelligenttoasters/CPC2.0
|
FPGA/Quartus/custom/usb/buffers/RxFifo.v
| 4,947 |
module MODULE1(
VAR23,
VAR24,
VAR6,
VAR5,
VAR29,
VAR8,
VAR15,
VAR18,
VAR3,
VAR34,
VAR17,
VAR31,
VAR27 );
parameter VAR7 = 64;
parameter VAR22 = 6;
input VAR23;
input VAR24;
input VAR6;
input VAR5;
input VAR29;
output VAR8;
input [2:0] VAR15;
input VAR18;
input VAR3;
input VAR34;
input [7:0] VAR17;
output [7:0] VAR31;
input [7:0] VAR27;
wire VAR23;
wire VAR24;
wire VAR6;
wire VAR5;
wire VAR29;
wire VAR8;
wire [2:0] VAR15;
wire VAR18;
wire VAR3;
wire VAR34;
wire [7:0] VAR17;
wire [7:0] VAR31;
wire [7:0] VAR27;
wire [7:0] VAR10;
wire VAR33;
wire VAR1;
wire VAR11;
wire [15:0] VAR12;
wire VAR4;
VAR25 #(8, VAR7, VAR22) VAR13(
.VAR26(VAR24),
.VAR20(VAR23),
.VAR32(VAR5),
.VAR28(VAR6),
.VAR36(VAR27),
.VAR21(VAR10),
.VAR29(VAR29),
.VAR33(VAR33),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR30(VAR11),
.VAR2(VAR1),
.VAR12(VAR12) );
VAR19 VAR14(
.address(VAR15),
.VAR35(VAR18),
.VAR9(VAR3),
.VAR23(VAR23),
.VAR24(VAR24),
.VAR6(VAR6),
.VAR16(VAR34),
.VAR27(VAR10),
.VAR17(VAR17),
.VAR31(VAR31),
.VAR33(VAR33),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR12(VAR12)
);
endmodule
|
gpl-3.0
|
hwstar/Timestamper-FPGA
|
channel.v
| 5,044 |
module MODULE3(out, clk, VAR26, in);
output reg out;
input clk;
input VAR26;
input in;
reg [4:0] VAR29;
reg VAR32;
always @(posedge clk or negedge VAR26) begin
if(VAR26 == 0) begin
VAR29 <= 0;
out <= 0;
end else begin
VAR29[4] <= VAR29[3];
VAR29[3] <= VAR29[2];
VAR29[2] <= VAR29[1];
VAR29[1] <= VAR29[0];
VAR29[0] <= in;
if(VAR29[2] & VAR29[3] & VAR29[4])
out <= 1;
if(~VAR29[2] & ~VAR29[3] & ~VAR29[4])
out <= 0;
end
end
endmodule
module MODULE1(clk, VAR26, VAR27, VAR38);
input clk;
input VAR26;
input VAR27;
output reg VAR38;
reg VAR5;
reg [3:0] VAR6;
always @(posedge clk or negedge VAR26) begin
if(VAR26 == 0) begin
VAR38 <= 0;
VAR5 <= 0;
VAR6 <= 4'b0000;
end else begin
VAR38 <= 0;
if(VAR6 < 15) begin VAR5 <= VAR27;
VAR6 <= VAR6 + 1'b1;
end
if(VAR6 == 13) begin
VAR38 <= 1; end
if((VAR6 == 15) && (VAR5 ^ VAR27)) begin
VAR38 <= 1; VAR5 <= VAR27; end
end
end
endmodule
module MODULE2(clk, VAR26, VAR27, VAR35, VAR2, VAR7, VAR15, VAR3, VAR36, VAR21, VAR17, VAR37);
input clk; input VAR26; input VAR27; input VAR35; input [62:0] VAR2; input [2:0] VAR7; input VAR15; output VAR3; output VAR36; output[7:0] VAR21; output VAR17;
output VAR37;
wire VAR8;
wire VAR23;
wire VAR33;
wire [VAR16-1:0] VAR12;
wire [63:0] VAR25;
wire [63:0] VAR4;
wire VAR18;
wire VAR22;
reg VAR19;
reg VAR30;
MODULE3 MODULE1(
.clk(clk),
.VAR26(VAR26),
.in(VAR27),
.out(VAR33)
);
MODULE1 MODULE2(
.clk(clk),
.VAR26(VAR26),
.VAR27(VAR33),
.VAR38(VAR18)
);
VAR14 VAR9(
.clk(clk),
.VAR26(VAR26),
.VAR18(VAR18),
.VAR10(VAR35),
.VAR27(VAR4),
.VAR21(VAR25),
.VAR8(VAR8),
.VAR23(VAR23),
.VAR12(VAR12)
);
VAR31 VAR1(
.sel(VAR7),
.VAR34(VAR25),
.VAR13(VAR21)
);
assign VAR4 = {VAR2, VAR33};
assign VAR22 = ~VAR8 | VAR19;
assign VAR36 = VAR30;
assign VAR3 = VAR19;
assign VAR17 = VAR18;
assign VAR37 = VAR35;
always @(posedge clk or negedge VAR26) begin
if(VAR26 == 0) begin
VAR19 = 0;
VAR30 = 0;
end else begin
VAR30 = VAR22;
if(VAR15 == 1)
VAR19 = 0;
end
else if(VAR23 & VAR18)
VAR19 = 1;
end
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dfsbp/sky130_fd_sc_lp__dfsbp.functional.pp.v
| 1,956 |
module MODULE1 (
VAR6 ,
VAR12 ,
VAR17 ,
VAR4 ,
VAR2,
VAR14 ,
VAR10 ,
VAR11 ,
VAR13
);
output VAR6 ;
output VAR12 ;
input VAR17 ;
input VAR4 ;
input VAR2;
input VAR14 ;
input VAR10 ;
input VAR11 ;
input VAR13 ;
wire VAR3;
wire VAR8 ;
not VAR5 (VAR8 , VAR2 );
VAR16 VAR15 VAR9 (VAR3 , VAR4, VAR17, VAR8, , VAR14, VAR10);
buf VAR1 (VAR6 , VAR3 );
not VAR7 (VAR12 , VAR3 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and4/sky130_fd_sc_hs__and4.blackbox.v
| 1,239 |
module MODULE1 (
VAR5,
VAR2,
VAR6,
VAR4,
VAR1
);
output VAR5;
input VAR2;
input VAR6;
input VAR4;
input VAR1;
supply1 VAR3;
supply0 VAR7;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/edfxtp/sky130_fd_sc_ls__edfxtp.functional.pp.v
| 1,947 |
module MODULE1 (
VAR6 ,
VAR11 ,
VAR10 ,
VAR4 ,
VAR14,
VAR2,
VAR16 ,
VAR13
);
output VAR6 ;
input VAR11 ;
input VAR10 ;
input VAR4 ;
input VAR14;
input VAR2;
input VAR16 ;
input VAR13 ;
wire VAR8 ;
wire VAR15;
VAR9 VAR12 (VAR15, VAR8, VAR10, VAR4 );
VAR3 VAR7 VAR5 (VAR8 , VAR15, VAR11, , VAR14, VAR2);
buf VAR1 (VAR6 , VAR8 );
endmodule
|
apache-2.0
|
onchipuis/mriscv_vivado
|
mriscv_vivado.srcs/sources_1/ip/ddr_axi/ddr_axi/user_design/rtl/phy/mig_7series_v4_0_ddr_phy_dqs_found_cal_hr.v
| 51,698 |
module MODULE1 #
(
parameter VAR126 = 100, parameter VAR71 = 2, parameter VAR37 = 5, parameter VAR138 = "0",
parameter VAR143 = 5, parameter VAR27 = "VAR32", parameter VAR117 = 1, parameter VAR9 = 3, parameter VAR103 = 8, parameter VAR12 = 8, parameter VAR50 = "VAR67", parameter VAR146 = "VAR57", parameter VAR13 = 3, parameter VAR51 = 3, parameter VAR40 = 12, parameter VAR23 = 3, parameter VAR45 = 4'b1111,
parameter VAR66 = 4'b0000,
parameter VAR141 = 4'b0000,
parameter VAR107 = 4'b0000,
parameter VAR125 = 4'b0000,
parameter VAR64 = 4'hc,
parameter VAR36 = 4'hf,
parameter VAR132 = 4'hf,
parameter VAR63 = 4'hf,
parameter VAR16 = 4'hf
)
(
input clk,
input rst,
input VAR4,
input VAR84,
input VAR53,
input VAR99,
input [VAR40-1:0] VAR131,
output reg [VAR23-1:0] VAR18,
output [5:0] VAR11,
output [5:0] VAR124,
output [5:0] VAR61,
output VAR80,
output VAR35,
output reg VAR19,
output [6*VAR117-1:0] VAR127,
output [6*VAR117-1:0] VAR148,
output [6*VAR117-1:0] VAR96,
output reg VAR87,
output reg VAR85,
output [6*VAR117-1:0] VAR60,
output [6*VAR117-1:0] VAR81,
output [6*VAR117-1:0] VAR52,
input [8:0] VAR8,
output VAR47,
output VAR55,
output [VAR51-1:0] VAR123,
output reg VAR111,
output reg VAR20,
output [255:0] VAR74
);
localparam VAR142 = (VAR138 == "VAR41-1") ? VAR37 - 1 : 0;
localparam VAR130 = (VAR50 == "VAR67") ? VAR143 + VAR142 + 1 : VAR143 + VAR142;
localparam VAR48 = 13;
localparam VAR54 = (VAR146 == "VAR57") ? 7 : 1;
localparam [19:0] VAR73 = {(VAR16[3] & VAR125[3]),
(VAR16[2] & VAR125[2]),
(VAR16[1] & VAR125[1]),
(VAR16[0] & VAR125[0]),
(VAR63[3] & VAR107[3]),
(VAR63[2] & VAR107[2]),
(VAR63[1] & VAR107[1]),
(VAR63[0] & VAR107[0]),
(VAR132[3] & VAR141[3]),
(VAR132[2] & VAR141[2]),
(VAR132[1] & VAR141[1]),
(VAR132[0] & VAR141[0]),
(VAR36[3] & VAR66[3]),
(VAR36[2] & VAR66[2]),
(VAR36[1] & VAR66[1]),
(VAR36[0] & VAR66[0]),
(VAR64[3] & VAR45[3]),
(VAR64[2] & VAR45[2]),
(VAR64[1] & VAR45[1]),
(VAR64[0] & VAR45[0])};
localparam VAR137 = 4'h0;
localparam VAR100 = 4'h1;
localparam VAR78 = 4'h2;
localparam VAR68 = 4'h3;
localparam VAR114 = 4'h4;
localparam VAR15 = 4'h5;
localparam VAR90 = 4'h6;
localparam VAR113 = 4'h7;
localparam VAR97 = 4'h8;
localparam VAR76 = 4'h9;
localparam VAR136 = 4'hA;
localparam VAR108 = 4'hB;
localparam VAR89 = 4'hC;
localparam VAR102 = 4'hD;
localparam VAR59 = 4'hE;
localparam VAR121 = 4'hF;
integer VAR30,VAR105,VAR72,VAR65,VAR25,VAR88,VAR39,VAR120;
reg VAR31;
reg [6*VAR23-1:0] VAR38[0:VAR117-1];
reg VAR149;
reg VAR58;
reg VAR5;
reg [VAR40-1:0] VAR69;
reg [VAR40-1:0] VAR147;
reg [VAR40-1:0] VAR118;
reg VAR133;
reg VAR106;
reg VAR44;
reg VAR29;
reg VAR94;
reg VAR70;
reg [1:0] VAR112;
reg [2:0 ] VAR83[0:VAR117-1];
reg [5:0 ] VAR34[0:VAR117-1];
reg [6*VAR23-1:0] VAR24[0:VAR117-1];
reg [6*VAR23-1:0] VAR115[0:VAR117-1];
reg [VAR23-1:0] VAR62;
reg [VAR23-1:0] VAR33;
reg [10*VAR23-1:0] VAR14;
reg VAR140;
wire [4*VAR23-1:0] VAR109;
reg [VAR23-1:0] VAR135;
reg [VAR23-1:0] VAR110;
reg [VAR23-1:0] VAR7;
reg [VAR23-1:0] VAR6;
reg [VAR23-1:0] VAR3;
reg VAR82;
reg [VAR51-1:0] VAR77;
reg [3:0] VAR93;
reg VAR95;
reg VAR42;
reg VAR104;
reg VAR49;
reg [5:0] VAR43;
reg [5:0] VAR92;
reg [5:0] VAR145;
reg VAR98;
reg VAR116;
reg VAR129;
reg VAR122;
reg [5:0] VAR10;
reg [5:0] VAR144;
reg [5:0] VAR79;
reg [3:0] VAR28;
assign VAR74[5:0] = VAR10;
assign VAR74[11:6] = VAR144;
assign VAR74[12] = VAR129;
assign VAR74[13] = VAR122;
assign VAR74[14] = VAR95;
assign VAR80 = VAR149;
assign VAR35 = VAR5;
generate
genvar VAR26;
if (VAR23 == 3) begin for (VAR26 = 0; VAR26 < VAR117; VAR26 = VAR26 + 1) begin: VAR22
assign VAR127[6*VAR26+:6] = VAR24[VAR26][5:0];
assign VAR148[6*VAR26+:6] = VAR24[VAR26][11:6];
assign VAR96[6*VAR26+:6] = VAR24[VAR26][17:12];
assign VAR60[6*VAR26+:6] = VAR115[VAR26][5:0];
assign VAR81[6*VAR26+:6] = VAR115[VAR26][11:6];
assign VAR52[6*VAR26+:6] = VAR115[VAR26][17:12];
end
end else if (VAR23 == 2) begin for (VAR26 = 0; VAR26 < VAR117; VAR26 = VAR26 + 1) begin: VAR22
assign VAR127[6*VAR26+:6] = VAR24[VAR26][5:0];
assign VAR148[6*VAR26+:6] = VAR24[VAR26][11:6];
assign VAR96[6*VAR26+:6] = 'd0;
assign VAR60[6*VAR26+:6] = VAR115[VAR26][5:0];
assign VAR81[6*VAR26+:6] = VAR115[VAR26][11:6];
assign VAR52[6*VAR26+:6] = 'd0;
end
end else begin for (VAR26 = 0; VAR26 < VAR117; VAR26 = VAR26 + 1) begin: VAR22
assign VAR127[6*VAR26+:6] = VAR24[VAR26][5:0];
assign VAR148[6*VAR26+:6] = 'd0;
assign VAR96[6*VAR26+:6] = 'd0;
assign VAR60[6*VAR26+:6] = VAR115[VAR26][5:0];
assign VAR81[6*VAR26+:6] = 'd0;
assign VAR52[6*VAR26+:6] = 'd0;
end
end
endgenerate
generate
if (VAR23 == 3) begin assign VAR11 = (~VAR44) ? VAR38[VAR112][0+:6] :
VAR24[VAR112][0+:6];
assign VAR124 = (~VAR44) ? VAR38[VAR112][6+:6] :
VAR24[VAR112][6+:6];
assign VAR61 = (~VAR44) ? VAR38[VAR112][12+:6] :
VAR24[VAR112][12+:6];
end else if (VAR23 == 2) begin assign VAR11 = (~VAR44) ? VAR38[VAR112][0+:6] :
VAR24[VAR112][0+:6];
assign VAR124 = (~VAR44) ? VAR38[VAR112][6+:6] :
VAR24[VAR112][6+:6];
assign VAR61 = 'd0;
end else begin
assign VAR11 = (~VAR44) ? VAR38[VAR112][0+:6] :
VAR24[VAR112][0+:6];
assign VAR124 = 'd0;
assign VAR61 = 'd0;
end
endgenerate
assign VAR47 = VAR133;
assign VAR123 = VAR77;
generate
if ((VAR40 == 4) || (VAR40 == 8) || (VAR40 == 12))
assign VAR109 = VAR118;
end
else if ((VAR40 == 3) || (VAR40 == 7) || (VAR40 == 11))
assign VAR109 = {1'b0, VAR118};
end
else if ((VAR40 == 6) || (VAR40 == 10))
assign VAR109 = {2'b00, VAR118};
end
else if ((VAR40 == 5) || (VAR40 == 9))
assign VAR109 = {3'b000, VAR118};
endgenerate
always @(posedge clk) begin
if (rst) begin
for (VAR30 = 0; VAR30 < VAR23; VAR30 = VAR30 + 1) begin: VAR17
end
end else if (VAR84) begin
for (VAR25 = 0; VAR25 < VAR23; VAR25 = VAR25 +1) begin: VAR46
(!VAR73[4*VAR25+1] | VAR109[4*VAR25+1]) &
(!VAR73[4*VAR25+2] | VAR109[4*VAR25+2]) &
(!VAR73[4*VAR25+3] | VAR109[4*VAR25+3]);
(VAR73[4*VAR25+1] & VAR109[4*VAR25+1]) |
(VAR73[4*VAR25+2] & VAR109[4*VAR25+2]) |
(VAR73[4*VAR25+3] & VAR109[4*VAR25+3]);
end
end
end
always @(posedge clk) begin
end
always @(posedge clk) begin
if (rst || (VAR28 == 'd0))
end
else if (VAR53 && (VAR28 > 'd0))
end
assign VAR55 = VAR95;
always @(posedge clk) begin
end
always @(posedge clk) begin
if(rst)begin
end else begin
case (VAR93)
VAR137: begin
if (VAR70) begin
if (VAR146 == "VAR56") begin
end else begin
end
end
end
VAR68: begin
if (~(|VAR7) && VAR49) begin
if (|VAR43)
end
else if (VAR98)
end
else
end
end
VAR100: begin
end
VAR78: begin
end
VAR114: begin
end
VAR15: begin
if (VAR77 == VAR51-1)
end
VAR90: begin
if (VAR77 != VAR51-1) begin
end else if (VAR77 == VAR51-1) begin
end
end
VAR113: begin
end
VAR97: begin
if (VAR53 && (VAR28 == 'd1))
end
else
end
VAR76: begin
if (VAR53 && ~(&VAR135)) begin
if (~VAR129 && (VAR145 == 'd63)) begin
end else if (~VAR129 && (VAR145 > 'd30) && (VAR79 > 'd29)) begin
end else if (~VAR129 || (VAR129 && (VAR79 < 'd30) && (VAR145 <= 'd32))) begin
if ((VAR145 == 'd12) || (VAR145 == 'd24)) begin
end else
end else if (VAR129 && (VAR145 > 'd32) && (VAR145 < 'd63) && (VAR79 < 'd30)) begin
if ((VAR145 == 'd36) || (VAR145 == 'd48) || (VAR145 == 'd60)) begin
end else
end else if (VAR129 && (VAR145 == 'd63)) begin
if (VAR79 < 'd30) begin
end else begin
end
end else begin
end
end else if (VAR53 && (&VAR135)) begin
if ((VAR145 == 'd12) || (VAR145 == 'd24) || (VAR145 == 'd36) ||
(VAR145 == 'd48) || (VAR145 == 'd60)) begin
end else if (VAR145 < 'd63) begin
end else begin
if (~VAR129 || (VAR10 > 'd33))
end
else
end
end
end
VAR136: begin
if (VAR99) begin
end
end
VAR108: begin
if ((VAR77 == VAR51-1) && (VAR43 > 'd0))
end
else if ((VAR77 == VAR51-1) && (VAR92 > 'd0))
end
VAR89: begin
if (VAR77 != VAR51-1) begin
end else if (VAR77 == VAR51-1) begin
if ((VAR92 > 'd0) || (VAR43 > 'd0))
end
else begin
if ((VAR43 == 'd0) && ~VAR116)
end
else
end
end
end
VAR102: begin
end
VAR59: begin
end
VAR121: begin
if (&VAR135) begin
end
end
endcase
end
end
always@(posedge clk)
always @(posedge clk) begin
if (rst)
end
else if (VAR133)
end
else if (VAR149)
end
always @(posedge clk) begin
if (rst || (|VAR62))
end
else if (&VAR135) begin
if (VAR112 == VAR117-1)
end
else
end
end
always @(posedge clk) begin
if (rst ||
(VAR133 && (VAR112 == VAR117-1)))
end
else if (&VAR135 && ~(&VAR110))
end
else
end
always @(posedge clk) begin
end
always @(posedge clk) begin
if (rst)
end
else if (&VAR135 && (VAR112 == VAR117-1) && VAR106 &&
(VAR93 == VAR121))
end
else
end
generate
if (VAR23 == 3) begin
always @(posedge clk) begin
if (rst || VAR33[0] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[0] && ~VAR135[0]) ||
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR33[1] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[1] && ~VAR135[1]) ||
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR33[2] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[2] && ~VAR135[2]) ||
(VAR38[VAR112][12+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[0])
else if (~VAR6[0] && ~VAR135[0])
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[1])
else if (~VAR6[1] && ~VAR135[1])
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[2])
else if (~VAR6[2] && ~VAR135[2])
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[0])
else
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[1])
else
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][12+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[2])
else
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[0] && (VAR14[0+:10] == VAR13) &&
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[1] && (VAR14[10+:10] == VAR13) &&
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[2] && (VAR14[20+:10] == VAR13) &&
(VAR38[VAR112][12+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst) begin
for (VAR88 = 0; VAR88 < VAR117; VAR88 = VAR88 + 1) begin: VAR119
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[0] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112][0+:6]
end
always @(posedge clk) begin
if (rst) begin
for (VAR39 = 0; VAR39 < VAR117; VAR39 = VAR39 + 1) begin: VAR91
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[1] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112][6+:6]
end
always @(posedge clk) begin
if (rst) begin
for (VAR120 = 0; VAR120 < VAR117; VAR120 = VAR120 + 1) begin: VAR128
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112][12+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[2] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112][12+:6]
end
end else if (VAR23 == 2) begin
always @(posedge clk) begin
if (rst || VAR33[0] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[0] && ~VAR135[0]) ||
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR33[1] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[1] && ~VAR135[1]) ||
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[0])
else if (~VAR6[0] && ~VAR135[0])
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[1])
else if (~VAR6[1] && ~VAR135[1])
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[0])
else
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[1])
else
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[0] && (VAR14[0+:10] == VAR13) &&
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[1] && (VAR14[10+:10] == VAR13) &&
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst) begin
for (VAR88 = 0; VAR88 < VAR117; VAR88 = VAR88 + 1) begin: VAR21
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[0] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112][0+:6]
end
always @(posedge clk) begin
if (rst) begin
for (VAR39 = 0; VAR39 < VAR117; VAR39 = VAR39 + 1) begin: VAR2
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112][6+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[1] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112][6+:6]
end
end else begin
always @(posedge clk) begin
if (rst) begin
for (VAR105 = 0; VAR105 < VAR117; VAR105 = VAR105 + 1) begin: VAR101
end
end else if ((VAR58 && ~VAR133) ||
(VAR38[VAR112] > (VAR37 + VAR142 + VAR48 - 1)))
end
else if (VAR31 && ~VAR135[0] &&
(VAR53 && (VAR28 == 'd1)) && ~VAR133 && ~VAR82)
VAR38[VAR112]
end
always @(posedge clk) begin
if (rst || VAR33[0] || VAR82)
end
else if ((VAR84 && ~VAR31) ||
(VAR6[0] && ~VAR135[0]) ||
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
always @(posedge clk) begin
if (rst || VAR82)
end
else if (VAR62[0])
else if (~VAR6[0] && ~VAR135[0])
end
always @(posedge clk) begin
if (rst || VAR149)
end
else if ((VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)) &&
~VAR135[0])
else
end
always @(posedge clk) begin
if (rst)
end
else if (~VAR135[0] && (VAR14[0+:10] == VAR13) &&
(VAR38[VAR112][0+:6] > (VAR37 + VAR142 + VAR48 - 1)))
end
end
endgenerate
always @(posedge clk) begin
if (rst)
end
else if (VAR42)
else
end
generate
genvar VAR139;
genvar VAR75;
for (VAR139 = 0; VAR139 < VAR117; VAR139 = VAR139 + 1) begin: VAR1
reg [5:0] VAR134 [VAR117-1:0];
if (VAR23 == 3) begin
always @ begin
case (VAR83[VAR139])
3'b000: VAR134[VAR139] = VAR24[VAR139][5:0];
3'b001: VAR134[VAR139] = VAR24[VAR139][11:6];
3'b010: VAR134[VAR139] = 'd0;
default: VAR134[VAR139] = 'd0;
endcase
end
end else begin
always @(*) begin
case (VAR83[VAR139])
3'b000: VAR134[VAR139] = VAR24[VAR139][5:0];
3'b001: VAR134[VAR139] = 'd0;
3'b010: VAR134[VAR139] = 'd0;
default: VAR134[VAR139] = 'd0;
endcase
end
end
always @(posedge clk) begin
if (rst)
end
else begin
3'b000: if ( | VAR73[3:0])
if (VAR34[VAR139] < VAR134[VAR139])
end
else
3'b001: if ( | VAR73[7:4])
if (VAR34[VAR139] < VAR134[VAR139])
end
else
3'b010: if ( | VAR73[11:8])
if (VAR34[VAR139] < VAR134[VAR139])
end
else
default:
endcase
end
end
always @(posedge clk)
if (rst) begin
end
else begin
end
for (VAR75 = 0; VAR75 < VAR23; VAR75 = VAR75 + 1) begin: VAR86
always @(posedge clk) begin
if (rst) begin
end
else begin
if (VAR133 && ~VAR106) begin
end
end
end
else if (VAR70 ) begin
end
end
end
end
end
end
endgenerate
always @(posedge clk) begin
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/mux2i/sky130_fd_sc_hd__mux2i_4.v
| 2,214 |
module MODULE2 (
VAR10 ,
VAR1 ,
VAR8 ,
VAR9 ,
VAR6,
VAR7,
VAR2 ,
VAR4
);
output VAR10 ;
input VAR1 ;
input VAR8 ;
input VAR9 ;
input VAR6;
input VAR7;
input VAR2 ;
input VAR4 ;
VAR5 VAR3 (
.VAR10(VAR10),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR10 ,
VAR1,
VAR8,
VAR9
);
output VAR10 ;
input VAR1;
input VAR8;
input VAR9 ;
supply1 VAR6;
supply0 VAR7;
supply1 VAR2 ;
supply0 VAR4 ;
VAR5 VAR3 (
.VAR10(VAR10),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/or3b/sky130_fd_sc_hs__or3b.pp.symbol.v
| 1,268 |
module MODULE1 (
input VAR1 ,
input VAR5 ,
input VAR2 ,
output VAR4 ,
input VAR6,
input VAR3
);
endmodule
|
apache-2.0
|
The7thPres/CFTP
|
CFTP_Sat/CFTP_Sat.srcs/sources_1/imports/Sources-On_Sat/Cache/SD/SD_Module_Interface.v
| 2,067 |
module MODULE1(
input VAR6,
input VAR16,
input VAR24,
output VAR7,
output VAR28,
output VAR5,
output [4:0] VAR26,
input VAR22,
input VAR8,
output VAR27,
input [29:0] VAR29,
input [4095:0] VAR12,
output[4095:0] VAR2
);
wire [7:0] VAR9, VAR20;
wire VAR25, VAR4, VAR23;
VAR13 VAR14(
.VAR5 (VAR5),
.VAR16 (VAR16),
.VAR17 (VAR9),
.VAR15 (VAR25),
.VAR4 (VAR4),
.VAR18 (VAR20),
.VAR28 (VAR28),
.VAR23 (VAR23),
.VAR26 (VAR26),
.VAR22 (VAR22),
.VAR8 (VAR8),
.VAR27 (VAR27),
.VAR29 (VAR29),
.VAR12 (VAR12),
.VAR2 (VAR2));
VAR10 VAR1(
.VAR6 (VAR6), .VAR16 (VAR16), .VAR19 (VAR20),
.VAR8 (VAR25), .VAR4 (VAR4), .VAR11 (VAR9),
.VAR23 (VAR23),
.VAR5 (VAR5),
.VAR21 (VAR24),
.VAR3 (VAR7));
endmodule
|
lgpl-3.0
|
ZiCog/xoro
|
rtl/Fifo.v
| 2,037 |
module MODULE1 (
input [7:0] VAR1,
output [7:0] VAR14,
input VAR17,
input VAR3,
output VAR10,
output VAR16,
input clk,
input reset);
wire [7:0] VAR6;
wire [7:0] VAR7;
wire VAR5;
reg [5:0] head;
reg [5:0] VAR4;
reg VAR8;
reg VAR13;
wire [5:0] VAR2;
wire [5:0] VAR11;
wire VAR15;
wire VAR18;
wire VAR12;
reg [7:0] VAR9 [0:63];
assign VAR7 = VAR1;
assign VAR5 = ((! VAR8) && VAR3);
always @ (posedge clk) begin
if(VAR5) begin
VAR9[head] <= VAR7;
end
end
assign VAR6 = VAR9[VAR4];
assign VAR2 = (head + (6'b000001));
assign VAR11 = (VAR4 + (6'b000001));
assign VAR15 = (VAR3 && (! VAR17));
assign VAR18 = ((! VAR3) && VAR17);
assign VAR12 = (VAR3 && VAR17);
assign VAR14 = VAR6;
assign VAR16 = VAR13;
assign VAR10 = VAR8;
always @ (posedge clk or posedge reset) begin
if (reset) begin
head <= (6'b000000);
VAR4 <= (6'b000000);
VAR8 <= 1'b0;
VAR13 <= 1'b1;
end else begin
if(VAR15)begin
if((! VAR8))begin
head <= VAR2;
VAR8 <= (VAR2 == VAR4);
VAR13 <= 1'b0;
end
end
if(VAR18)begin
if((! VAR13))begin
VAR4 <= VAR11;
VAR13 <= (VAR11 == head);
VAR8 <= 1'b0;
end
end
if(VAR12)begin
if(VAR8)begin
VAR4 <= VAR11;
VAR8 <= 1'b0;
end
if(VAR13)begin
head <= VAR2;
VAR13 <= 1'b0;
end
if(((! VAR8) && (! VAR13)))begin
VAR4 <= VAR11;
head <= VAR2;
end
end
end
end
endmodule
|
mit
|
Elphel/x353
|
compressor/compressor333.v
| 68,380 |
module MODULE3( clk, VAR155, VAR216, VAR287, VAR228,
VAR309, VAR301, VAR16, VAR162, VAR166,
VAR242, VAR223, VAR152, VAR87, VAR113, VAR134, VAR307, VAR85, VAR114,
VAR112, VAR298, VAR316, VAR8, VAR147, VAR281, VAR86, VAR157, VAR333, VAR33, VAR212, VAR111, VAR260, VAR230, VAR72, VAR286, VAR353, VAR282,
VAR186,
VAR69, VAR332 ,VAR247 ,VAR131 VAR42 VAR59
,VAR182 VAR243
,VAR211, VAR28, VAR183, VAR347, VAR54 VAR243
);
input clk;
input VAR155;
input VAR216;
input VAR287;
input VAR228;
input VAR309;
input VAR301;
input VAR16;
input VAR162;
input VAR166;
input [ 9:0] VAR242;
input [15:0] VAR223;
input VAR152; output VAR87;
output VAR113; output VAR134; output VAR307; output VAR85;
output VAR114;
input VAR112; input VAR298;
input [ 7:0] VAR316;
output [10:0] VAR8;
input VAR147;
output VAR281;
output VAR86;
output VAR157;
output VAR333; input VAR33;
input [ 1:0] VAR212;
input VAR111;
input [2:0] VAR260;
output VAR230;
output [15:0] VAR72;
input [31:0] VAR286;
input [19:0] VAR353;
output [15:0] VAR282;
output VAR186;
output [23:0] VAR69;
output [31:0] VAR332;
input VAR247; output [ 2:0] VAR131; VAR42 VAR59
output [31:0] VAR182;
output [3:0] VAR211;
output [3:0] VAR28;
output [3:0] VAR183;
output [3:0] VAR347;
output [7:0] VAR54;
wire [ 9:0] VAR181; wire [ 9:0] VAR68;
reg [15:0] VAR106;
reg VAR101;
wire [15:0] VAR282;
wire VAR186;
wire [ 1:0] VAR212;
wire [7:0] VAR21; wire [7:0] VAR219;
wire [15:0] VAR306;
reg VAR205;
wire VAR312;
wire VAR111;
reg VAR98;
wire VAR87;
wire VAR24;
wire VAR281;
wire VAR292; wire VAR11; wire VAR1; wire [ 9:0] VAR34; wire [ 2:0] VAR229; wire [ 8:0] VAR221; wire VAR179; wire VAR91;
wire VAR300;
wire VAR267; wire [12:0] VAR240;
wire VAR255; wire VAR50;
wire [17:0] VAR246; reg VAR10;
wire [12:0] VAR64;
wire VAR270;
wire [12:0] VAR95;
wire VAR215;
wire VAR354; wire [15:0] VAR276;
wire VAR123;
wire [15:0] VAR238;
wire [ 3:0] VAR76;
wire VAR208;
wire VAR110; wire VAR324;
wire [15:0] VAR258;
wire VAR150;
wire VAR126;
reg VAR185;
reg VAR113; reg VAR134; reg [1:0] VAR196;
reg VAR307;
reg [1:0] VAR329;
reg VAR85;
reg VAR331; reg VAR235;
reg VAR289;
reg VAR190;
reg VAR161;
reg VAR320;
reg VAR13;
reg VAR314; reg VAR46; wire VAR157;
wire VAR114; wire VAR75; wire VAR339; reg VAR63; reg [1:0] VAR65; reg VAR92;
wire [2:0] VAR203;
wire VAR151;
wire VAR102;
wire VAR201;
wire [ 2:0] VAR58;
wire VAR163;
wire [ 3:0] VAR291;
wire [ 1:0] VAR194;
wire [ 2:0] VAR89;
reg [ 2:0] VAR317;
reg VAR132;
reg [ 1:0] VAR142;
reg [ 2:0] VAR218;
reg [ 1:0] VAR349;
wire VAR348;
reg VAR88; reg VAR345;
reg VAR32;
reg [ 1:0] VAR31;
reg [ 2:0] VAR217; wire [1:0] VAR209;
wire [2:0] VAR251; wire VAR288; wire VAR70; wire VAR99; reg VAR136;
reg VAR285;
reg VAR30;
reg VAR4;
wire VAR333;
reg VAR237=0; reg VAR117; reg [ 1:0] VAR60;
assign VAR131={VAR114,VAR201,VAR151};
reg [1:0] VAR148;
always @ (negedge VAR155) begin
VAR148[1:0] <={VAR148[0], VAR247 && !VAR331};
end
assign VAR87=VAR24 || VAR92; assign VAR282[15:0]= VAR106[15:0];
assign VAR186= VAR101;
assign VAR348= VAR151 &&
VAR314 &&
VAR13 &&
!VAR114 &&
!VAR75 &&
!VAR65[1] &&
!VAR46;
always @ (posedge clk) begin
VAR30 <= VAR151;
VAR4 <= VAR30 && (VAR46 ||
(VAR4 && !VAR281));
VAR60[1:0] <= {VAR60[0], VAR298};
VAR237 <= VAR151 && (VAR281 || (VAR237 && !VAR46));
VAR117 <= VAR30 &&
!VAR4 &&
!VAR60[1] && !VAR60[0] &&
!VAR147 &&
(!VAR314 || VAR114); end
reg VAR250;
always @ (posedge clk) VAR250 <= VAR33;
assign VAR157= VAR4;
assign VAR333=VAR46;
VAR274 VAR310 (.VAR81(VAR155),.VAR84(VAR112),.VAR325(VAR203[2]), .VAR241(1'b1), .VAR290(VAR203[0]));
VAR141 VAR22 (.VAR81(clk), .VAR241(VAR203[0]), .VAR290(VAR203[1]));
VAR141 VAR207 (.VAR81(clk), .VAR241(VAR203[1] & !VAR203[2]),.VAR290(VAR203[2]));
VAR141 VAR5 (.VAR290(VAR114),.VAR81(clk), .VAR241(VAR151 && (VAR46 || (VAR114 && !VAR87)) ));
VAR214 VAR187(.VAR290(VAR75),.VAR241(VAR114), .VAR222(clk), .VAR356(1'b1), .VAR97(1'b1), .VAR175(1'b1), .VAR36(1'b0));
always @ (negedge VAR155) begin
VAR63 <= VAR331 && (VAR339 || (VAR63 && !VAR126));
end
always @ (posedge clk) begin
VAR65[1:0] <= {VAR65[0],VAR63};
VAR92 <= VAR65[0] && !VAR65[1];
end
always @ (posedge clk) begin VAR161 <= VAR152; VAR320 <= VAR161 || !VAR250;
VAR13 <= !VAR161 || !VAR250;
VAR314 <= VAR151 && (VAR102 || (VAR201 && VAR320) || (VAR314 && !VAR46));
VAR46 <= VAR348;
if (VAR348) begin
VAR317[2:0]<=VAR58[2:0];
VAR132 <=VAR163;
VAR142[1:0]<=VAR194[1:0];
VAR218[2:0]<= VAR89[2:0];
case (VAR291[3:0])
4'h0: begin VAR88 <= 1;
VAR345 <= 0;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 2; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{VAR89[0]}};
end
4'h1: begin VAR88 <= 0;
VAR345 <= 0;
VAR32 <= 0;
VAR31[1:0] <= 1;
VAR217[2:0] <= 0; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{VAR89[0]}};
end
4'h2: begin VAR88 <= 1;
VAR345 <= 0;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 3; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{VAR89[0]}};
end
4'h3: begin VAR88 <= 1;
VAR345 <= 0;
VAR32 <= 1;
VAR31[1:0] <= 0;
VAR217[2:0] <= 3; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{VAR89[0]}};
end
4'h4: begin VAR88 <= 0;
VAR345 <= 0;
VAR32 <= 0;
VAR31[1:0] <= 2;
VAR217[2:0] <= 1; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'h5: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 3; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'h6: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 1;
VAR31[1:0] <= 0;
VAR217[2:0] <= 3; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'h7: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 4; VAR136 <=0;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'h8: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 4; VAR136 <=0;
VAR285 <=1;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'h9: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 4; VAR136 <=1;
VAR285 <=0;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'ha: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 4; VAR136 <=1;
VAR285 <=1;
VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
4'he: begin VAR88 <= 1;
VAR345 <= 1;
VAR32 <= 0;
VAR31[1:0] <= 0;
VAR217[2:0] <= 2; VAR349[1:0] <= (VAR212[1:0]+VAR209[1:0]) ^ {2{~VAR89[0]}};
end
endcase
end
end
always @ (negedge VAR155) begin
VAR331 <= VAR151;
VAR235 <= VAR292;
end
always @ (posedge VAR155) begin
end
always @ (negedge VAR155) begin VAR185 <= !VAR235 && VAR331 && (VAR185 || VAR126 );
VAR134 <= !VAR235 && VAR331 && (VAR134 || VAR185);
VAR196[1:0] <= {VAR196[0],VAR126};
VAR307 <= VAR196[0] && !VAR196[1];
VAR329[1:0] <= {VAR329[0],VAR179 & VAR300};
VAR85 <= (VAR229[2:0]==3'h0) &&VAR329[0] && !VAR329[1]; end
always @ (posedge clk) begin VAR113 <= !VAR292 && VAR151 && (VAR113 || VAR87);
end
always @ (posedge VAR155) begin
VAR106[15:0] <= VAR258[15:0];
VAR101 <= VAR150;
end
wire VAR164;
reg [3:0] VAR211, VAR28;
reg VAR144;
always @ (negedge VAR155) begin
VAR144 <= VAR123;
if (VAR126) VAR211 <=0;
end
else if (VAR144) VAR211 <= VAR211+1; end
always @ (posedge clk) begin
if (VAR46) VAR28 <= 0;
end
else if (VAR123) VAR28 <= VAR28+1;
end
always @ (negedge VAR155) VAR190 <= VAR126;
always @ (posedge VAR155) VAR205 <= VAR190; wire VAR263=(VAR229[2:0]==3'h0) && VAR179; reg VAR130; reg VAR313; wire VAR49; always @ (posedge clk) begin
if (VAR300) VAR130 <= VAR263;
if (VAR255) VAR313 <= VAR130;
end
MODULE1 MODULE2 (.clk(clk), .VAR122(VAR155), .VAR216(VAR216), .VAR309(VAR309), .VAR223(VAR223[15:0]), .VAR292(VAR292), .VAR246(VAR246[17:0]), .VAR151(VAR151), .VAR102(VAR102), .VAR201(VAR201), .VAR58(VAR58), .VAR163(VAR163), .VAR291(VAR291), .VAR220(VAR89[2:0]), .VAR194(VAR194), .VAR209(VAR209), .VAR114(VAR114), .VAR63(VAR63),
.VAR339(VAR339));
wire VAR107;
wire [17:0] VAR39; VAR243
VAR248 VAR12(.clk(clk), .en(VAR151), .VAR343(VAR132), .VAR139(VAR46), .VAR176(VAR246[17:0]), .VAR87(VAR24), .VAR181(VAR181[9:0]), .VAR68(VAR68[9:0]), .VAR88(VAR88), .VAR345(VAR345), .VAR32(VAR32), .VAR31(VAR31[1:0]), .VAR89(VAR218[2:0]), .VAR217(VAR217[2:0]), .VAR136(VAR136), .VAR285(VAR285), .VAR203(VAR203[2]), .VAR223(VAR316[7:0]), .VAR227(VAR8[10:0]), .VAR167(VAR147), .VAR195(VAR281), .VAR86(VAR86), .VAR117(VAR117), .VAR156(VAR11),
.do(VAR34[9:0]), .VAR249(VAR221[8:0]), .VAR43(VAR1), .VAR56(VAR300), .VAR295(VAR229[2:0]), .VAR323(VAR179), .VAR338(VAR91), .VAR21(VAR21[7:0]), .VAR219(VAR219[7:0]), .VAR212(VAR349[1:0]), .VAR251(VAR251[2:0]), .VAR288(VAR288), .VAR70(VAR70), .VAR99(VAR99) VAR42 VAR59
,.VAR304(VAR107)
,.VAR27(VAR39[17:0])
);
VAR231 VAR18 ( .clk(clk), .en(VAR151), .VAR277(VAR300), .VAR121(VAR34[9:0]), .VAR334(VAR255), .VAR213(VAR50),
.VAR43(VAR267), .dout(VAR240[12:0]));
always @ (posedge clk) VAR10 <= VAR50;
always @ (posedge clk) begin
if (!VAR111) VAR98 <=1'b0;
end
else if (VAR300 && VAR179 && (VAR229[2:0]==3'b001)) VAR98 <=1'b1; end
wire [15:0] VAR115;
wire [2:0] VAR26;
VAR319 VAR38(.clk(clk), .en(VAR151), .VAR122(VAR155), .VAR301(VAR301), .VAR16(VAR16), .VAR242(VAR242[8:0]), .VAR74(VAR223[15:0]), .VAR40(VAR288), .VAR283(VAR221[8:0]), .VAR23(VAR263),
.VAR53(VAR300), .VAR224(VAR317[2:0]), .VAR261(VAR50),
.VAR172(VAR313), .VAR47(VAR49),
.VAR223(VAR240[12:0]), .do(VAR64[12:0]), .VAR43(), .VAR56(VAR270), .VAR153(VAR115[15:0]), .VAR98(VAR98), .VAR260(VAR260), .VAR179(VAR179), .VAR26(VAR26), .VAR312(VAR312),
.VAR306(VAR306[15:0]),
.VAR21(VAR21[7:0]), .VAR219(VAR219[7:0]));
VAR199 VAR299(.clk(clk), .en(VAR151), .VAR122(VAR155), .VAR25(VAR166), .VAR242(VAR242[9:0]), .VAR74(VAR223[15:0]), .VAR29(VAR142[1:0]), .VAR3(VAR179), .VAR45(VAR91), .VAR177(VAR229[2:0]), .VAR53(VAR300), .VAR277(VAR10), .VAR223(VAR240[12:0]), .VAR270(VAR270), .VAR346(VAR64[12:0]), .VAR115(VAR115[15:0]), .do(VAR95[12:0]), .VAR56(VAR215), .VAR332(VAR332[31:0]) );
MODULE2 MODULE1( .VAR122(VAR155),
.VAR98(VAR98), .VAR205(VAR205), .VAR312(VAR312), .VAR306(VAR306[15:0]), .VAR230(VAR230), .VAR72(VAR72[15:0]) );
VAR90 VAR135(.clk(clk),
.en(VAR151),
.VAR45(VAR91), .VAR271(VAR263), .VAR146(VAR251[2:0]), .VAR149(VAR70), .VAR330(VAR288), .VAR254(VAR99), .VAR53(VAR300), .VAR48(VAR95[12:0]), .VAR192(VAR49), .VAR127(VAR215), .VAR338(VAR354), .do(VAR276[15:0]),
.VAR43(VAR123)
);
wire VAR191, VAR233;
VAR344 VAR256 (.VAR6(clk), .clk(VAR155), .en(VAR151), .VAR25(VAR162), .VAR242(VAR242[8:0]), .VAR74(VAR223[15:0]), .VAR223(VAR276[15:0]), .VAR56(VAR123), .VAR278(VAR324), .do(VAR238), .VAR159(VAR76), .VAR43(VAR208), .VAR110(VAR110),
.VAR191(VAR191),
.VAR233(),
.VAR178(VAR233));
reg VAR265,VAR269;
always @ (negedge VAR155) begin
VAR265 <=VAR87;
VAR269 <= VAR331 && (VAR269?(!VAR164):VAR265);
end
reg [31:0] VAR182;
reg [1:0] VAR52;
reg [1:0] VAR294;
reg [1:0] VAR171;
reg [1:0] VAR264;
reg [1:0] VAR340;
reg [1:0] VAR279;
reg [1:0] VAR51;
reg [1:0] VAR128;
always @ ( negedge VAR155) begin
VAR52 [1:0] <= {VAR52[0], VAR110 && VAR269};
VAR171[1:0] <= {VAR171[0],VAR191};
VAR264 [1:0] <= {VAR264[0], VAR233};
VAR340[1:0] <= {VAR340[0],VAR46};
VAR279 [1:0] <= {VAR279[0], VAR114};
end
reg VAR7;
always @ ( posedge clk) begin
VAR294 [1:0] <= {VAR294[0], VAR87};
VAR128 [1:0] <= {VAR128[0], VAR314};
VAR51 [1:0] <= {VAR51[0], VAR107};
if (VAR46) VAR182[15:0] <= 0;
end
else if (VAR281) VAR182[14:0] <= VAR182[14:0] + 1; if (VAR46) VAR182[30:16] <= VAR182[14:0];
if (VAR128[1:0]==2'h1) VAR7 <= 1'b0;
else if (VAR147) VAR7 <= 1'b1;
if (VAR46) VAR182[31] <= VAR7;
VAR182[15] <= VAR147;
end
VAR120 VAR44 (.clk(VAR155), .en(VAR331), .VAR148(VAR148[1]), .VAR110(VAR110 || VAR339), .VAR53(VAR208), .VAR159(VAR76), .VAR104(VAR238), .VAR179(VAR179), .VAR286(VAR286[31:0]),
.VAR353(VAR353[19:0]),
.VAR278(VAR324), .VAR282(VAR258), .VAR186(VAR150), .VAR326(VAR126),
.VAR69 (VAR69[23:0]), .VAR62(VAR164)
,.VAR165(VAR183[3:0]) ,.VAR347(VAR347[3:0])
,.VAR54(VAR54[7:0])
);
always @ (negedge VAR155) VAR289 <= VAR287;
VAR82 #(.VAR232(1'b0)) VAR14 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 0]),.VAR290(VAR181[0]));
VAR82 #(.VAR232(1'b0)) VAR293 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 1]),.VAR290(VAR181[1]));
VAR82 #(.VAR232(1'b0)) VAR173 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 2]),.VAR290(VAR181[2]));
VAR82 #(.VAR232(1'b0)) VAR284 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 3]),.VAR290(VAR181[3]));
VAR82 #(.VAR232(1'b1)) VAR337 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 4]),.VAR290(VAR181[4]));
VAR82 #(.VAR232(1'b0)) VAR202 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 5]),.VAR290(VAR181[5]));
VAR82 #(.VAR232(1'b0)) VAR342 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 6]),.VAR290(VAR181[6]));
VAR82 #(.VAR232(1'b1)) VAR180 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 7]),.VAR290(VAR181[7]));
VAR82 #(.VAR232(1'b0)) VAR154 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 8]),.VAR290(VAR181[8]));
VAR82 #(.VAR232(1'b0)) VAR118 (.VAR81(VAR155),.VAR84(VAR287),.VAR241(VAR223[ 9]),.VAR290(VAR181[9]));
VAR82 #(.VAR232(1'b0)) VAR145 (.VAR81(VAR155),.VAR84(VAR287), .VAR241(VAR223[12]),.VAR290(VAR68[0]));
VAR82 #(.VAR232(1'b1)) VAR17 (.VAR81(VAR155),.VAR84(VAR287), .VAR241(VAR223[13]),.VAR290(VAR68[1]));
VAR82 #(.VAR232(1'b1)) VAR280 (.VAR81(VAR155),.VAR84(VAR287), .VAR241(VAR223[14]),.VAR290(VAR68[2]));
VAR82 #(.VAR232(1'b0)) VAR80 (.VAR81(VAR155),.VAR84(VAR287), .VAR241(VAR223[15]),.VAR290(VAR68[3]));
VAR82 #(.VAR232(1'b1)) VAR315 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 0]),.VAR290(VAR68[4]));
VAR82 #(.VAR232(1'b1)) VAR234 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 1]),.VAR290(VAR68[5]));
VAR82 #(.VAR232(1'b0)) VAR94 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 2]),.VAR290(VAR68[6]));
VAR82 #(.VAR232(1'b1)) VAR275 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 3]),.VAR290(VAR68[7]));
VAR82 #(.VAR232(1'b0)) VAR96 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 4]),.VAR290(VAR68[8]));
VAR82 #(.VAR232(1'b0)) VAR124 (.VAR81(VAR155),.VAR84(VAR289),.VAR241(VAR223[ 5]),.VAR290(VAR68[9]));
VAR82 VAR20 (.VAR81(VAR155),.VAR84(VAR228),.VAR241(VAR223[ 0]),.VAR290(VAR26[0]));
VAR82 VAR236 (.VAR81(VAR155),.VAR84(VAR228),.VAR241(VAR223[ 1]),.VAR290(VAR26[1]));
VAR82 VAR188 (.VAR81(VAR155),.VAR84(VAR228),.VAR241(VAR223[ 2]),.VAR290(VAR26[2]));
endmodule
module MODULE1 (clk, VAR122, VAR216, VAR309, VAR223, VAR292, VAR246, VAR151, VAR102, VAR201, VAR58, VAR163, VAR291, VAR220, VAR194, VAR209, VAR114, VAR63,
VAR339);
input clk,
VAR122,
VAR216;
input VAR309;
input [15:0] VAR223;
output VAR292;
output [17:0] VAR246;
output VAR151; output VAR102; output VAR201; output [ 2:0] VAR58; output VAR163; output [ 3:0] VAR291; output [ 2:0] VAR220; output [ 1:0] VAR194; output [ 1:0] VAR209; input VAR114; input VAR63;
output VAR339;
reg VAR151; wire VAR102; reg VAR201; reg [ 2:0] VAR58; reg VAR163; reg [ 3:0] VAR291; reg [ 2:0] VAR220; reg [ 1:0] VAR194; reg [ 1:0] VAR209; wire [ 1:0] VAR226;
wire VAR253; wire VAR350; wire VAR169; wire [ 2:0] VAR119; wire VAR137; wire [ 3:0] VAR341; wire [ 2:0] VAR143; wire [ 1:0] VAR308; wire [ 1:0] VAR302;
reg [17:0] VAR246;
reg [15:0] VAR262;
reg [17:0] VAR252;
reg [17:0] VAR206;
wire [23:0] VAR125;
wire [1:0] VAR9;
wire VAR292;
wire [1:0] VAR71;
assign VAR9[1:0]={VAR216 && VAR309, VAR216 && ~VAR309};
reg VAR168, VAR318;
reg [2:0] VAR225;
reg [1:0] VAR193; reg [1:0] VAR170=2'h0; reg VAR339;
reg VAR116; reg VAR140; reg [6:0] VAR67;
reg VAR37;
reg VAR245; always @ (negedge VAR122) begin
VAR37 <= VAR253;
end
VAR140 <= VAR170[1] && ((!VAR253 && VAR37) || (VAR140 && (VAR67[6:0]!= 7'h0))); if (!VAR140) VAR67[6:0] <= 7'h50; else VAR67[6:0] <=VAR67[6:0]-1;
VAR116 <= VAR253 || VAR37 || VAR140;
VAR245<= VAR193[1] && !VAR253 && VAR37;
end
always @ (negedge VAR122) begin
VAR168 <= VAR9[0];
VAR225[2:0]<={VAR225[1:0],VAR9[1]};
VAR318 <= VAR168;
VAR170[1:0] <= {VAR170[0],
VAR114 | (VAR63 & VAR170[1])};
VAR193[1:0] <= {VAR193[0], VAR114};
VAR339 <= VAR245 ||(VAR225[2] && VAR193[1] && (VAR206[17:0] != VAR252[17:0]));
end
VAR82 VAR273 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 0]),.VAR290(VAR125[ 0]));
VAR82 VAR296 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 1]),.VAR290(VAR125[ 1]));
VAR82 VAR19 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 2]),.VAR290(VAR125[ 2]));
VAR82 VAR138 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 3]),.VAR290(VAR125[ 3]));
VAR82 VAR100 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 4]),.VAR290(VAR125[ 4]));
VAR82 VAR257 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 5]),.VAR290(VAR125[ 5]));
VAR82 VAR66 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 6]),.VAR290(VAR125[ 6]));
VAR82 VAR297 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 7]),.VAR290(VAR125[ 7]));
VAR82 VAR55 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 8]),.VAR290(VAR125[ 8]));
VAR82 VAR303 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[ 9]),.VAR290(VAR125[ 9]));
VAR82 VAR108 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[10]),.VAR290(VAR125[10]));
VAR82 VAR61 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[11]),.VAR290(VAR125[11]));
VAR82 VAR105 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[12]),.VAR290(VAR125[12]));
VAR82 VAR103 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[13]),.VAR290(VAR125[13]));
VAR82 VAR129 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[14]),.VAR290(VAR125[14]));
VAR82 VAR305 (.VAR81(VAR122),.VAR84(VAR9[0]),.VAR241(VAR223[15]),.VAR290(VAR125[15]));
VAR82 VAR83 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 0]),.VAR290(VAR125[16]));
VAR82 VAR204 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 1]),.VAR290(VAR125[17]));
VAR82 VAR189 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 2]),.VAR290(VAR125[18]));
VAR82 VAR268 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 3]),.VAR290(VAR125[19]));
VAR82 VAR93 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 4]),.VAR290(VAR125[20]));
VAR82 VAR336 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 5]),.VAR290(VAR125[21]));
VAR82 VAR210 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 6]),.VAR290(VAR125[22]));
VAR82 VAR35 (.VAR81(VAR122),.VAR84(VAR168),.VAR241(VAR223[ 7]),.VAR290(VAR125[23]));
VAR274 VAR184 (.VAR81(VAR122),.VAR84(VAR168),.VAR325(VAR292),.VAR241(1'b1), .VAR290(VAR71[0]));
VAR141 VAR174 (.VAR81(clk), .VAR241(VAR71[0] ),.VAR290(VAR71[1]));
VAR141 VAR73 (.VAR81(clk), .VAR241(VAR71[1] & !VAR292),.VAR290(VAR292 ));
always @ (negedge VAR122) if (VAR9[1]) VAR262[15:0] <= VAR223[15:0];
always @ (negedge VAR122) if (VAR225[0]) VAR252[17:0] <= {VAR223[ 1:0],VAR262[15:0]};
always @ (negedge VAR122) if (VAR225[2]) VAR206[17:0] <= VAR252[17:0];
VAR82 VAR322 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[2]), .VAR241(VAR125[1:0]!=2'h0),.VAR290(VAR253));
VAR82 VAR79 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[2]), .VAR241(VAR125[1:0]==2'h3),.VAR290(VAR169));
VAR335 VAR321 (.VAR81(VAR122),.VAR241 (VAR318 && VAR125[2] && ((VAR125[1:0]==2'h2) || ((VAR125[1:0]==2'h3) && ! VAR169 ))),.VAR290(VAR350));
VAR82 VAR327 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[6]), .VAR241(VAR125[ 3]), .VAR290(VAR119[0]));
VAR82 VAR328 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[6]), .VAR241(VAR125[ 4]), .VAR290(VAR119[1]));
VAR82 VAR109 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[6]), .VAR241(VAR125[ 5]), .VAR290(VAR119[2]));
VAR82 VAR357 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[8]), .VAR241(VAR125[ 7]), .VAR290(VAR137));
VAR82 VAR239 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[13]),.VAR241(VAR125[ 9]), .VAR290(VAR341[0]));
VAR82 VAR41 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[13]),.VAR241(VAR125[10]), .VAR290(VAR341[1]));
VAR82 VAR355 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[13]),.VAR241(VAR125[11]), .VAR290(VAR341[2]));
VAR82 VAR197 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[13]),.VAR241(VAR125[12]), .VAR290(VAR341[3]));
VAR82 VAR57 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[17]),.VAR241(VAR125[14]), .VAR290(VAR143[0]));
VAR82 VAR77 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[17]),.VAR241(VAR125[15]), .VAR290(VAR143[1]));
VAR82 VAR259 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[17]),.VAR241(VAR125[16]), .VAR290(VAR143[2]));
VAR82 VAR158 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[20]),.VAR241(VAR125[18]), .VAR290(VAR302[0]));
VAR82 VAR160 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[20]),.VAR241(VAR125[19]), .VAR290(VAR302[1]));
VAR82 VAR2 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[23]),.VAR241(VAR125[21]), .VAR290(VAR308[0]));
VAR82 VAR244 (.VAR81(VAR122),.VAR84(VAR318 && VAR125[23]),.VAR241(VAR125[22]), .VAR290(VAR308[1]));
always @ (posedge clk) begin
VAR246[17:0] <=VAR252[17:0]; VAR151 <= VAR116; VAR201 <= VAR169;
VAR58[2:0] <= VAR119[2:0];
VAR163 <=VAR137;
VAR291[3:0] <=VAR341[3:0];
VAR220[2:0] <=VAR143[2:0];
VAR209[1:0] <=VAR302[1:0];
VAR194[1:0] <=VAR308[1:0];
end
VAR274 VAR198 (.VAR81(VAR122),.VAR84(VAR350), .VAR325(VAR102),.VAR241(1'b1), .VAR290(VAR226[0]));
VAR141 VAR272 (.VAR81(clk), .VAR241(VAR226[0] ),.VAR290(VAR226[1]));
VAR141 VAR15 (.VAR81(clk), .VAR241(VAR226[1] & !VAR102),.VAR290(VAR102 ));
endmodule
module MODULE2 ( VAR122,
VAR98, VAR205, VAR312, VAR306, VAR230, VAR72 );
input VAR122, VAR98, VAR205,VAR312;
input [15:0] VAR306;
output VAR230;
output [15:0] VAR72;
reg [15:0] VAR72;
reg VAR311;
reg VAR230;
reg VAR133;
reg VAR200;
reg VAR352;
reg [ 4:0] VAR78;
always @ (posedge VAR122) begin
VAR133 <= VAR98;
VAR311 <= VAR133 && VAR312 && !VAR311;
VAR72[15:0] <= VAR311?VAR306[15:0]:16'h0;
VAR230 <= VAR311 || VAR200;
VAR352 <= VAR205 && (VAR230?(VAR78[3:0]==4'hf):(VAR78[3:0]==4'h0) );
if (!VAR133) VAR78[3:0] <= 4'h0;
end
else if (VAR230) VAR78[3:0] <= VAR78[3:0]+1;
VAR78[4] <= VAR133 && ((VAR200 && ((VAR78[3:0]==4'hf)^VAR78[4]) || VAR352));
VAR200 <= VAR133 && (VAR205 || (VAR200 && (VAR78[4:1]!=4'hf)));
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a21oi/sky130_fd_sc_ls__a21oi.functional.pp.v
| 2,006 |
module MODULE1 (
VAR15 ,
VAR8 ,
VAR7 ,
VAR4 ,
VAR16,
VAR2,
VAR5 ,
VAR12
);
output VAR15 ;
input VAR8 ;
input VAR7 ;
input VAR4 ;
input VAR16;
input VAR2;
input VAR5 ;
input VAR12 ;
wire VAR13 ;
wire VAR14 ;
wire VAR10;
and VAR11 (VAR13 , VAR8, VAR7 );
nor VAR9 (VAR14 , VAR4, VAR13 );
VAR6 VAR1 (VAR10, VAR14, VAR16, VAR2);
buf VAR3 (VAR15 , VAR10 );
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/ifu/rtl/sparc_ifu_imd.v
| 8,453 |
module MODULE1(
VAR3, VAR20, VAR23, VAR29,
VAR35, VAR30, VAR13, VAR22,
VAR33, VAR59, VAR7,
VAR38, VAR60, VAR55, VAR9, VAR27,
VAR26, VAR47,
VAR54, VAR50, VAR39, VAR51, VAR32,
VAR1, VAR53,
VAR2, VAR49,
VAR63, VAR34,
VAR28, VAR17,
VAR56, VAR19
);
input VAR54,
VAR50,
VAR39;
input [31:0] VAR51;
input VAR32;
input VAR1, VAR53,
VAR2,
VAR49;
input VAR63, VAR34,
VAR28,
VAR17;
input VAR56; input VAR19;
output [31:0] VAR3; output [31:0] VAR20;
output [4:0] VAR23,
VAR29;
output [7:0] VAR35; output [8:0] VAR30; output VAR13;
output [6:0] VAR22;
output [6:0] VAR33;
output [3:0] VAR59;
output [7:0] VAR7;
output VAR38;
output VAR60;
output [4:0] VAR55,
VAR9,
VAR27;
output [8:0] VAR26;
output [1:0] VAR47;
wire [4:0] VAR21;
wire [31:0] VAR5;
wire [31:0] VAR20,
VAR11,
VAR10,
VAR41,
VAR15,
VAR61,
VAR8,
VAR42,
VAR4,
VAR46;
wire clk, VAR12;
assign clk = VAR54;
VAR25 #(32) VAR37(.din (VAR51),
.clk (clk),
.VAR58 (VAR20),
.VAR50 (VAR50), .VAR39(), .VAR60());
VAR25 #(1) VAR57(.din (VAR51[13]),
.clk (clk),
.VAR58 (VAR12),
.VAR50 (VAR50), .VAR39(), .VAR60());
assign VAR38 = VAR20[29];
assign VAR11 = {{19{VAR20[12]}},VAR20[12:0]};
assign VAR10 = {{21{VAR20[10]}},VAR20[10:0]};
assign VAR41 = {{22{VAR20[9]}},VAR20[9:0]};
assign VAR15 = {VAR20[21:0], 10'b0};
VAR18 #(32) VAR24(.dout (VAR5),
.VAR14 (VAR11),
.VAR44 (VAR10),
.VAR52 (VAR41),
.VAR6 (VAR15),
.VAR62 (VAR1),
.VAR16 (VAR53),
.VAR40 (VAR49),
.VAR45 (VAR2));
assign VAR61 = {{14{VAR20[21]}}, VAR20[21:20],
VAR20[13:0], 2'b0};
assign VAR8 = {{8{VAR20[21]}}, VAR20[21:0], 2'b0};
assign VAR42 = {{11{VAR20[18]}}, VAR20[18:0], 2'b0};
assign VAR4 = {VAR20[29:0], 2'b0};
VAR18 #(32) VAR36(.dout (VAR46[31:0]),
.VAR14 (VAR4[31:0]), .VAR44 (VAR61[31:0]), .VAR52 (VAR8[31:0]), .VAR6 (VAR42[31:0]), .VAR62 (VAR63),
.VAR16 (VAR34),
.VAR40 (VAR28),
.VAR45 (VAR17));
VAR64 #(32) VAR43(.dout (VAR3[31:0]),
.VAR14 (VAR5[31:0]),
.VAR44 (VAR46[31:0]),
.sel (VAR56));
assign VAR59 = VAR20[28:25];
assign VAR7 = VAR20[17:10];
assign VAR23[3:0] = VAR20[28:25] | {4{VAR19}};
assign VAR23[4] = (VAR20[29] & ~VAR19) ^
(VAR23[3] & VAR32);
VAR25 #(5) VAR48(.din (VAR23[4:0]),
.clk (clk),
.VAR58 (VAR29[4:0]),
.VAR50 (VAR50), .VAR39(), .VAR60());
VAR64 #(5) VAR31(.dout (VAR21[4:0]),
.VAR14 (VAR20[18:14]), .VAR44 (VAR20[29:25]), .sel (VAR20[23]));
assign VAR22 = {VAR20[19], {VAR20[20] & ~VAR20[19]}, VAR21[4:0]};
assign VAR33 = VAR22;
assign VAR35[7:0] = VAR20[12:5];
assign VAR30[8:0] = VAR20[13:5];
assign VAR13 = ~VAR12;
assign VAR27 = VAR20[29:25];
assign VAR47 = VAR20[26:25];
assign VAR55 = VAR20[18:14];
assign VAR26 = VAR20[13:5];
assign VAR9 = VAR20[4:0];
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/sdfrtp/sky130_fd_sc_ms__sdfrtp.symbol.v
| 1,510 |
module MODULE1 (
input VAR2 ,
output VAR5 ,
input VAR10,
input VAR6 ,
input VAR8 ,
input VAR3
);
supply1 VAR9;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
hakehuang/pycpld
|
ips/ip/spi_slave/spi_slave.v
| 4,314 |
module MODULE1(
clk,VAR20,VAR9,VAR16,VAR15,VAR7,VAR11
);
input clk;
input VAR7;
input VAR20,VAR9,VAR15;
output VAR16;
output VAR11;
reg VAR11;
reg[2:0] VAR1;
reg[2:0] VAR19;
reg[1:0] VAR3;
reg[2:0] VAR10;
reg[7:0] VAR6;
reg VAR18; reg [7:0] VAR8;
reg[7:0] VAR21;
reg [7:0] VAR12;
reg [7:0] VAR17;
wire VAR22;
wire VAR5;
wire VAR2;
wire VAR4;
wire VAR14;
wire VAR13;
always @(posedge clk or negedge VAR7)begin
if(!VAR7)
VAR1 <= 3'h0;
end
else
VAR1 <= {VAR1[1:0],VAR20};
end
assign VAR5 = (VAR1[2:1] == 2'b01) ? 1'b1 : 1'b0;
assign VAR2 = (VAR1[2:1] == 2'b10) ? 1'b1 : 1'b0;
always @(posedge clk or negedge VAR7)begin
if(!VAR7)
VAR19 <= 3'h0;
end
else
VAR19 <= {VAR19[1:0],VAR15};
end
assign VAR22 = (~VAR19[1]) ? 1'b1 : 1'b0; assign VAR4 = (VAR19[2:1]==2'b10) ? 1'b1 : 1'b0; assign VAR14 = (VAR19[2:1]==2'b01) ? 1'b1 : 1'b0;
always @(posedge clk or negedge VAR7)begin
if(!VAR7)
VAR3 <= 2'h0;
end
else
VAR3 <={VAR3[0],VAR9};
end
assign VAR13 = VAR3[1];
always @(posedge clk or negedge VAR7)begin
if(!VAR7)begin
VAR10 <= 3'b000;
VAR8 <= 8'h0;
end
else begin
if(~VAR22)
VAR10 <= 3'b000;
end
else begin
if(VAR5)begin
VAR10 <= VAR10 + 3'b001;
VAR8 <= {VAR8[6:0], VAR13};
end
else begin
VAR10 <= VAR10;
VAR8 <= VAR8;
end
end
end
end
always @(posedge clk or negedge VAR7) begin
if(!VAR7)
VAR18 <= 1'b0;
end
else
VAR18 <= VAR22 && VAR5 && (VAR10==3'b111);
end
always @(posedge clk or negedge VAR7) begin
if(!VAR7)begin
VAR6 <= 8'h0;
VAR21 <= 8'h0;
end
else begin
if(VAR18) begin
VAR6 <= VAR6 + 1'b1;
VAR21 <= (VAR8 == VAR6) ? (VAR21 + 1'b1) : VAR21;
end
else begin
VAR6 <= VAR6;
VAR21 <= VAR21;
end
end
end
always @(posedge clk or negedge VAR7) begin
if(!VAR7)
VAR17<= 8'h0;
end
else begin
if(VAR18)
end
VAR17<=VAR17+8'h1; else
VAR17<=VAR17;
end
end
always @(posedge clk or negedge VAR7) begin
if(!VAR7)
VAR12 <= 8'h0;
end
else begin
if(VAR22 && VAR2) begin
if(VAR10==3'b001)
end
VAR12 <= VAR17; else
VAR12 <= {VAR12[6:0], 1'b0};
end
else
VAR12 <= VAR12;
end
end
assign VAR16 = VAR12[7];
always @(posedge clk or negedge VAR7) begin
if(!VAR7)
VAR11 <= 1'b0;
end
else
VAR11 <= (VAR21 == 8'd64) ? 1'b1 : 1'b0;
end
endmodule
|
mit
|
GSejas/Karatsuba_FPU
|
FPGA_FLOW/Karat/source/rtl/pipelined_multiplier.v
| 1,087 |
module MODULE1 #(parameter VAR8=32)(
input wire VAR6,
input wire [VAR8-1:0] VAR4,
input wire [VAR8-1:0] VAR12,
output reg [(VAR8-1) * 2:0] VAR9
);
reg [(VAR8-1):0] VAR13, VAR3;
wire [(VAR8-1) * 2:0] VAR1;
reg [(VAR8-1) * 2:0] VAR7, VAR10, VAR11, VAR2, VAR5;
assign VAR1 = VAR13 * VAR3;
always @(posedge VAR6) begin
VAR13 <= VAR4; VAR3 <= VAR12;
VAR7 <= VAR1;
VAR10 <= VAR7;
VAR11 <= VAR10;
VAR2 <= VAR11;
VAR5 <= VAR2;
VAR9 <= VAR5;
end
endmodule
|
gpl-3.0
|
VectorBlox/PYNQ
|
Pynq-Z1/vivado/ip/pmod_io_switch_1.0/hdl/pmod_io_switch_v1_0.v
| 4,243 |
module MODULE1 #
(
parameter integer VAR4 = 32,
parameter integer VAR40 = 4
)
(
output wire [7:0] VAR10,
input wire [7:0] VAR60,
input wire [7:0] VAR65,
input wire [7:0] VAR3,
output wire [7:0] VAR30,
output wire [7:0] VAR21,
output wire VAR29,
input wire VAR42,
input wire VAR66,
output wire VAR27,
input wire VAR9,
input wire VAR31,
output wire VAR36,
input wire VAR1,
input wire VAR59,
output wire VAR24,
input wire VAR72,
input wire VAR35,
output wire VAR38,
input wire VAR17,
input wire VAR11,
output wire VAR55,
input wire VAR8,
input wire VAR69,
output wire VAR32,
input wire VAR15,
input wire VAR51,
output wire VAR70,
input wire VAR5,
input wire VAR39,
input wire VAR28,
input wire VAR53,
input wire [VAR40-1 : 0] VAR26,
input wire [2 : 0] VAR61,
input wire VAR23,
output wire VAR67,
input wire [VAR4-1 : 0] VAR22,
input wire [(VAR4/8)-1 : 0] VAR50,
input wire VAR13,
output wire VAR74,
output wire [1 : 0] VAR49,
output wire VAR63,
input wire VAR34,
input wire [VAR40-1 : 0] VAR25,
input wire [2 : 0] VAR77,
input wire VAR48,
output wire VAR76,
output wire [VAR4-1 : 0] VAR68,
output wire [1 : 0] VAR71,
output wire VAR33,
input wire VAR16
);
VAR56 # (
.VAR57(VAR4),
.VAR41(VAR40)
) VAR64 (
.VAR10(VAR10),
.VAR60(VAR60),
.VAR65(VAR65),
.VAR3(VAR3),
.VAR30(VAR30),
.VAR21(VAR21),
.VAR29(VAR29),
.VAR42(VAR42),
.VAR66(VAR66),
.VAR27(VAR27),
.VAR9(VAR9),
.VAR31(VAR31),
.VAR36(VAR36),
.VAR1(VAR1),
.VAR59(VAR59),
.VAR24(VAR24),
.VAR72(VAR72),
.VAR35(VAR35),
.VAR38(VAR38),
.VAR17(VAR17),
.VAR11(VAR11),
.VAR55(VAR55),
.VAR8(VAR8),
.VAR69(VAR69),
.VAR32(VAR32),
.VAR15(VAR15),
.VAR51(VAR51),
.VAR70(VAR70),
.VAR5(VAR5),
.VAR39(VAR39),
.VAR46(VAR28),
.VAR19(VAR53),
.VAR75(VAR26),
.VAR6(VAR61),
.VAR18(VAR23),
.VAR52(VAR67),
.VAR20(VAR22),
.VAR78(VAR50),
.VAR37(VAR13),
.VAR47(VAR74),
.VAR14(VAR49),
.VAR58(VAR63),
.VAR54(VAR34),
.VAR62(VAR25),
.VAR7(VAR77),
.VAR12(VAR48),
.VAR44(VAR76),
.VAR2(VAR68),
.VAR73(VAR71),
.VAR45(VAR33),
.VAR43(VAR16)
);
endmodule
|
bsd-3-clause
|
velizarefremov/MIPS
|
Part 4/Verilog Code/control_unit.v
| 4,992 |
module MODULE1(
output reg VAR5, output reg VAR12, output reg VAR13, output reg VAR9, output reg [1:0] VAR15, output reg VAR8, output reg VAR11, output reg VAR16, output reg VAR10, input [15:0] VAR7, input [15:0] VAR2 );
reg VAR4; wire [3:0] VAR3; wire [3:0] VAR6; wire VAR1; wire VAR14;
assign VAR3 = VAR7[11:8];
assign VAR6 = VAR7[15:12];
assign VAR1 = VAR7[6];
assign VAR14 = VAR7[7];
always @
begin
VAR5 = 0;
VAR12 = 0;
VAR13 = 0;
VAR9 = 0;
VAR15 = 2'b00;
VAR8 = 0;
VAR11 = 0;
VAR16 = 0;
VAR10 = 0;
case(VAR6)
4'b0000: begin
VAR12 = 1; VAR9 = 0; VAR15 = 2'b10; VAR16 = 1; end
4'b0100: if(VAR14) begin
if(VAR1) begin VAR8 = VAR4; end
else begin VAR8 = 1'b1; VAR16 = 1'b1; VAR15 = 2'b01; end
end
else begin
if(VAR1) begin VAR10 = 1; end
else begin VAR15 = 2'b00; VAR16 = 1; end
end
4'b1100: VAR11 = VAR4;
4'b1000: begin
VAR16 = 1; VAR15 = 2'b11; if(VAR1) begin VAR13 = 0; end
else begin VAR13 = 1; end
end
4'b0101, 4'b1001, 4'b1011: begin
VAR16 = 1; VAR15 = 2'b10; VAR9 = 1; VAR12 = 0; VAR5 = 1; end
4'b0001, 4'b0010, 4'b0011, 4'b1101, 4'b1111:
begin
VAR16 = 1; VAR15 = 2'b10; VAR9 = 1; VAR12 = 0; VAR5 = 0; end
default: begin
end
endcase
end
endmodule
|
gpl-2.0
|
marco-c/leon-nexys2
|
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_sprs.v
| 18,642 |
module MODULE1(
clk, rst,
VAR10, VAR29, flag, VAR57, VAR84, VAR5,
VAR69, VAR50, VAR76, VAR74, VAR70,
VAR85, VAR77, VAR62, VAR58,
VAR18, VAR66, VAR11, VAR14, VAR15, VAR46, VAR86, VAR45,
VAR79, VAR44, VAR65, VAR37, VAR78,
VAR1, VAR87, VAR41,
VAR51, VAR3, VAR49,
VAR20, VAR33, VAR16, VAR81,
VAR30, VAR61, VAR72,
VAR28, VAR54
);
parameter VAR17 = VAR59;
input clk; input rst; input VAR10; input VAR29; output flag; input VAR57; input VAR84; output VAR5; input [VAR17-1:0] VAR69; input [15:0] VAR50; input [VAR17-1:0] VAR76; input [VAR19-1:0] VAR74; input [VAR67-1:0] VAR70; input [VAR17-1:0] VAR85; input [VAR17-1:0] VAR77; input [VAR31-1:0] VAR62; input VAR58; output [VAR17-1:0] VAR18; output VAR66; output VAR11; output VAR14; output VAR15; output VAR46; output [VAR31-1:0] VAR86; output [VAR31-1:0] VAR45; input [31:0] VAR79; input [31:0] VAR44; input [31:0] VAR65; input [31:0] VAR37; input [31:0] VAR78;
input [31:0] VAR1; input [31:0] VAR87; input [31:0] VAR41; input [31:0] VAR51; input [31:0] VAR3; input [31:0] VAR49; output [31:0] VAR20; output [31:0] VAR33; output [31:0] VAR16; output VAR81;
input [VAR17-1:0] VAR30; input [VAR17-1:0] VAR61; input VAR72; input VAR28; output [VAR17-1:0] VAR54;
reg [VAR31-1:0] VAR45; reg VAR48; reg VAR23; reg [VAR17-1:0] VAR18; wire VAR40; wire VAR68; wire VAR53; wire VAR9; wire VAR42; wire VAR43; wire VAR47; wire VAR82; wire [31:0] VAR12; wire VAR39; wire [VAR19-1:0] VAR64; reg [31:0] VAR83;
assign VAR39 = VAR72 | VAR28;
assign VAR64 = VAR28 ? VAR24 : VAR72 ? VAR55 : VAR74;
assign VAR20 = VAR39 ? VAR30 : VAR69 | {16'h0000, VAR50};
assign VAR33 = VAR28 ? VAR61 : VAR76;
assign VAR54 = VAR28 ? VAR61 : VAR72 ? VAR18 : VAR76;
assign VAR81 = VAR28 | VAR48;
assign VAR16 = VAR83 & {32{VAR23 | VAR48}};
always @(VAR20)
case (VAR20[VAR75]) VAR32'd00: VAR83 = 32'b00000000000000000000000000000001;
endcase
assign VAR86[VAR80:VAR8] =
(VAR70 == VAR36) ? VAR62[VAR80:VAR8] :
(VAR48 && VAR42) ? {1'b1, VAR33[VAR80-1:VAR8]}:
VAR45[VAR80:VAR8];
assign VAR86[VAR27] =
(VAR70 == VAR36) ? VAR62[VAR27] :
VAR84 ? VAR57 :
(VAR48 && VAR42) ? VAR33[VAR27] :
VAR45[VAR27];
assign VAR86[VAR13] =
(VAR70 == VAR36) ? VAR62[VAR13] :
VAR29 ? VAR10 :
(VAR48 && VAR42) ? VAR33[VAR13] :
VAR45[VAR13];
assign VAR86[VAR63:VAR25] =
(VAR70 == VAR36) ? VAR62[VAR63:VAR25] :
(VAR48 && VAR42) ? VAR33[VAR63:VAR25]:
VAR45[VAR63:VAR25];
assign VAR40 = (VAR16[VAR56] && (VAR20[10:4] == VAR52));
assign VAR68 = (VAR16[VAR56] && (VAR20[10:5] == VAR73));
assign VAR53 = (VAR16[VAR56] && (VAR20[10:0] == VAR26));
assign VAR9 = (VAR16[VAR56] && (VAR20[10:0] == VAR2));
assign VAR42 = (VAR16[VAR56] && (VAR20[10:0] == VAR60));
assign VAR43 = (VAR16[VAR56] && (VAR20[10:0] == VAR22));
assign VAR47 = (VAR16[VAR56] && (VAR20[10:0] == VAR6));
assign VAR82 = (VAR16[VAR56] && (VAR20[10:0] == VAR38));
assign VAR46 = (VAR48 && VAR42) | (VAR70 == VAR36) | VAR29 | VAR84;
assign VAR15 = (VAR48 && (VAR53 | VAR9));
assign VAR66 = (VAR48 && VAR43);
assign VAR11 = (VAR48 && VAR47);
assign VAR14 = (VAR48 && VAR82);
assign VAR12 = (VAR79 & {32{VAR23 & VAR40}}) |
(VAR44 & {32{VAR23 & VAR68}}) |
(VAR65 & {32{VAR23 & VAR53}}) |
(VAR37 & {32{VAR23 & VAR9}}) |
({{32-VAR31{1'b0}},VAR45} & {32{VAR23 & VAR42}}) |
(VAR85 & {32{VAR23 & VAR43}}) |
(VAR77 & {32{VAR23 & VAR47}}) |
({{32-VAR31{1'b0}},VAR62} & {32{VAR23 & VAR82}});
assign flag = VAR45[VAR13];
assign VAR5 = VAR45[VAR27];
always @(posedge clk or posedge rst)
if (rst)
VAR45 <= {1'b1, VAR34, {VAR31-3{1'b0}}, 1'b1};
else if (VAR58) begin
VAR45[VAR25] <= 1'b1;
VAR45[VAR71] <= 1'b0;
VAR45[VAR35] <= 1'b0;
VAR45[VAR4] <= 1'b0;
VAR45[VAR7] <= 1'b0;
end
else if (VAR46)
VAR45 <= VAR86[VAR31-1:0];
always @(VAR64 or VAR20 or VAR12 or VAR78 or VAR1 or VAR41 or
VAR51 or VAR3 or VAR49 or VAR87) begin
case (VAR64) VAR24 : begin
VAR48 = 1'b1;
VAR23 = 1'b0;
VAR18 = 32'b0;
end
casex (VAR20[VAR75]) VAR21:
VAR18 = VAR87;
VAR18 = VAR1;
VAR18 = VAR41;
VAR18 = VAR51;
VAR18 = VAR3;
VAR18 = VAR78;
VAR18 = VAR49;
VAR18 = VAR12;
default:
VAR18 = 32'b0;
endcase
VAR48 = 1'b0;
VAR23 = 1'b1;
end
default : begin
VAR48 = 1'b0;
VAR23 = 1'b0;
VAR18 = 32'b0;
end
endcase
end
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/clkinv/gf180mcu_fd_sc_mcu9t5v0__clkinv_16.behavioral.pp.v
| 1,187 |
module MODULE1( VAR5, VAR1, VAR2, VAR4 );
input VAR5;
inout VAR2, VAR4;
output VAR1;
VAR6 VAR7(.VAR5(VAR5),.VAR1(VAR1),.VAR2(VAR2),.VAR4(VAR4));
VAR6 VAR3(.VAR5(VAR5),.VAR1(VAR1),.VAR2(VAR2),.VAR4(VAR4));
|
apache-2.0
|
olgirard/openmsp430
|
fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_dp.v
| 4,511 |
module MODULE1 (
VAR3, VAR14,
VAR7, VAR2, VAR1, VAR8, VAR16, VAR9, VAR12, VAR15, VAR18, VAR13 );
parameter VAR10 = 6; parameter VAR19 = 256;
output [15:0] VAR3; output [15:0] VAR14;
input [VAR10:0] VAR7; input VAR2; input VAR1; input [15:0] VAR8; input [1:0] VAR16; input [VAR10:0] VAR9; input VAR12; input VAR15; input [15:0] VAR18; input [1:0] VAR13;
reg [15:0] VAR6 [0:(VAR19/2)-1];
reg [VAR10:0] VAR11;
reg [VAR10:0] VAR17;
wire [15:0] VAR4 = VAR6[VAR7];
wire [15:0] VAR5 = VAR6[VAR9];
always @(posedge VAR1)
if (~VAR2 && (VAR7<(VAR19/2)))
begin
if (VAR16==2'b00) VAR6[VAR7] <= VAR8;
end
else if (VAR16==2'b01) VAR6[VAR7] <= {VAR8[15:8], VAR4[7:0]};
else if (VAR16==2'b10) VAR6[VAR7] <= {VAR4[15:8], VAR8[7:0]};
VAR11 <= VAR7;
end
assign VAR3 = VAR6[VAR11];
always @(posedge VAR15)
if (~VAR12 && (VAR9<(VAR19/2)))
begin
if (VAR13==2'b00) VAR6[VAR9] <= VAR18;
end
else if (VAR13==2'b01) VAR6[VAR9] <= {VAR18[15:8], VAR5[7:0]};
else if (VAR13==2'b10) VAR6[VAR9] <= {VAR5[15:8], VAR18[7:0]};
VAR17 <= VAR9;
end
assign VAR14 = VAR6[VAR17];
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21a/sky130_fd_sc_hdll__o21a_2.v
| 2,264 |
module MODULE2 (
VAR5 ,
VAR9 ,
VAR4 ,
VAR6 ,
VAR8,
VAR3,
VAR2 ,
VAR10
);
output VAR5 ;
input VAR9 ;
input VAR4 ;
input VAR6 ;
input VAR8;
input VAR3;
input VAR2 ;
input VAR10 ;
VAR7 VAR1 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR5 ,
VAR9,
VAR4,
VAR6
);
output VAR5 ;
input VAR9;
input VAR4;
input VAR6;
supply1 VAR8;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR10 ;
VAR7 VAR1 (
.VAR5(VAR5),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a21o/sky130_fd_sc_ls__a21o.functional.pp.v
| 1,994 |
module MODULE1 (
VAR6 ,
VAR2 ,
VAR13 ,
VAR10 ,
VAR12,
VAR7,
VAR11 ,
VAR9
);
output VAR6 ;
input VAR2 ;
input VAR13 ;
input VAR10 ;
input VAR12;
input VAR7;
input VAR11 ;
input VAR9 ;
wire VAR1 ;
wire VAR3 ;
wire VAR5;
and VAR15 (VAR1 , VAR2, VAR13 );
or VAR16 (VAR3 , VAR1, VAR10 );
VAR14 VAR4 (VAR5, VAR3, VAR12, VAR7);
buf VAR8 (VAR6 , VAR5 );
endmodule
|
apache-2.0
|
FelixWinterstein/LEAP-HLS
|
filtering_algorithm/wrappers/verilog/bus_bridge.v
| 4,670 |
module MODULE1
parameter VAR30 = 32,
parameter VAR9 = 32
)
(
input clk,
input VAR21,
output VAR35,
output VAR34,
input VAR38,
input VAR43,
input VAR17,
input [VAR9-1:0] address,
input [31:0] VAR1,
input [VAR30-1:0] VAR22,
output reg [VAR30-1:0] VAR29,
output VAR27,
output [VAR30-1:0] VAR3,
output [VAR9-1:0] VAR7,
input VAR4,
output VAR5,
output [VAR9-1:0] VAR40,
input VAR42,
input [VAR30-1:0] VAR8
);
reg [1:0] state;
parameter VAR18=0, VAR28=1, VAR41=2, VAR14=3;
parameter VAR6 = VAR30 + VAR9 + 1;
wire [VAR6-1:0] VAR16;
wire [VAR6-1:0] VAR26;
wire VAR37;
wire VAR24;
wire VAR36;
wire VAR33;
wire VAR31;
wire VAR19;
wire [VAR9-1:0] VAR11;
wire [VAR30-1:0] VAR25;
wire VAR10;
wire VAR23;
always @(posedge clk) begin
if ( ~VAR21 ) begin
state = VAR18;
end else begin
case (state)
VAR18:
if ( VAR38 )
state = VAR28;
VAR28:
if ( VAR31 )
state = VAR41;
end
else if ( VAR19 )
state = VAR14;
VAR41:
if ( VAR10 ) begin
if ( ~VAR36 )
state = VAR28;
end
else
state = VAR18;
end
VAR14:
if ( VAR23 ) begin
if ( ~VAR36 )
state = VAR28;
end
else
state = VAR18;
end
default : state = VAR18;
endcase
end
end
assign VAR37 = ( state == VAR28 && VAR33 == 1'b0 ) ? 1'b1 : 1'b0;
assign VAR16 = {VAR43, VAR22, address};
VAR39 #(
.VAR30( VAR6 ),
.VAR2( 3 )
)
VAR15(
.clk( clk ),
.VAR21( VAR21 ),
.din ( VAR16 ),
.VAR20 ( VAR38 ),
.VAR13 ( VAR37 ),
.dout ( VAR26 ),
.VAR32 ( VAR24 ),
.VAR12 ( VAR36 ),
.valid ( VAR33 )
);
assign VAR31 = VAR26[VAR30+VAR9] & VAR33;
assign VAR19 = ~VAR26[VAR30+VAR9] & VAR33;
assign VAR11 = VAR26[VAR9-1:0];
assign VAR25 = VAR26[VAR30+VAR9-1:VAR9];
assign VAR10 = ( state == VAR41 && VAR4 == 1'b1 ) ? 1'b1 : 1'b0;
assign VAR23 = ( state == VAR14 && VAR42 == 1'b1 ) ? 1'b1 : 1'b0;
always @(posedge clk) begin
if ( VAR23 )
VAR29 = VAR8;
end
assign VAR35 = (state == VAR18 ) ? 1'b1 : 1'b0;
assign VAR34 = (state == VAR18) ? 1'b1 : 1'b0;
assign VAR27 = VAR31;
assign VAR7 = VAR11;
assign VAR3 = VAR25;
assign VAR5 = VAR19;
assign VAR40 = VAR11;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/and4b/sky130_fd_sc_lp__and4b_m.v
| 2,297 |
module MODULE1 (
VAR1 ,
VAR4 ,
VAR3 ,
VAR6 ,
VAR2 ,
VAR11,
VAR7,
VAR8 ,
VAR9
);
output VAR1 ;
input VAR4 ;
input VAR3 ;
input VAR6 ;
input VAR2 ;
input VAR11;
input VAR7;
input VAR8 ;
input VAR9 ;
VAR5 VAR10 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR1 ,
VAR4,
VAR3 ,
VAR6 ,
VAR2
);
output VAR1 ;
input VAR4;
input VAR3 ;
input VAR6 ;
input VAR2 ;
supply1 VAR11;
supply0 VAR7;
supply1 VAR8 ;
supply0 VAR9 ;
VAR5 VAR10 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
Sajid3/orp
|
hardware/mselSoC/src/systems/geophyte/rtl/verilog/sdhc/rtl/verilog/sd_wishbone.v
| 5,611 |
module MODULE1 (
input wire VAR18,
input wire VAR40,
input wire VAR12,
output reg VAR8,
input wire [31:0] VAR52,
input wire VAR41,
input wire VAR29,
output reg VAR10,
input wire [31:0] VAR6,
output wire VAR21,
output reg [6:0] VAR37,
output reg VAR47,
output reg [31:0] VAR45,
input wire [31:0] VAR1,
output wire VAR4,
output reg [6:0] VAR11,
output wire VAR24,
output wire [31:0] VAR42,
input wire [31:0] VAR35,
output wire VAR48, output reg [31:0] VAR7, input wire [31:0] VAR50, output wire [31:0] VAR31, output reg [3:0] VAR20, output reg VAR13, output reg VAR9, output reg VAR23, input wire VAR46, output reg [2:0] VAR16, output reg [1:0] VAR17 );
assign VAR48 = VAR18;
assign VAR31 = VAR35;
assign VAR21 = VAR48;
assign VAR4 = VAR48;
reg [4:0] state;
parameter [4:0] VAR27 = 'd0,
VAR3 = 'd4,
VAR53 = 'd8,
VAR38 = 'd9,
VAR51 = 'd10,
VAR44 = 'd11,
VAR15 = 'd12,
VAR33 = 'd13,
VAR25 = 'd14,
VAR28 = 'd15,
VAR14 = 'd16,
VAR26 = 'd17,
VAR36 = 'd31;
wire [6:0] VAR30 = VAR37 + 1'b1;
reg [15:0] VAR19;
reg [31:0] VAR39;
reg [31:0] VAR32;
reg VAR34;
reg VAR49;
reg VAR2;
wire VAR5;
VAR43 VAR22(VAR40, VAR5, VAR18);
always @(posedge VAR48) begin
VAR34 <= VAR12;
VAR49 <= VAR29;
VAR2 <= VAR46;
VAR20 <= 4'b1111;
VAR13 <= 0;
VAR9 <= 0;
VAR23 <= 0;
VAR16 <= 3'b000;
VAR17 <= 2'b00;
VAR47 <= 0;
VAR8 <= 0;
case(state)
VAR27: begin
state <= VAR3;
end
VAR3: begin
if(~VAR34 & VAR12) begin
VAR39 <= VAR52;
VAR19 <= 0;
VAR37 <= -1;
state <= VAR53;
end
if(~VAR49 & VAR29) begin
VAR32 <= VAR6;
VAR19 <= 0;
VAR11 <= 0;
VAR10 <= 0;
state <= VAR33;
end
end
VAR53: begin
VAR13 <= 1;
if(~VAR46) begin
VAR7 <= VAR39 * 512 + VAR30 * 4;
VAR9 <= 1;
end
if(VAR46 & ~VAR2) begin
VAR37 <= VAR30;
VAR45 <= VAR50;
VAR47 <= 1;
VAR9 <= 0;
VAR19 <= VAR19 + 16'h4;
if(VAR19 + 16'h4 == 512) begin
state <= VAR38;
end
end
end
VAR38: begin
VAR8 <= 1;
if(VAR41) state <= VAR3;
end
VAR33: begin
VAR13 <= 1;
VAR23 <= 1;
if(~VAR46) begin
VAR7 <= VAR32 * 512 + VAR11 * 4;
VAR9 <= 1;
end
if(VAR46 & ~VAR2) begin
VAR11 <= VAR11 + 1;
VAR9 <= 0;
VAR19 <= VAR19 + 16'h4;
if(VAR19 + 16'h4 == 512) begin
state <= VAR25;
end
end
end
VAR25: begin
VAR10 <= 1;
state <= VAR3;
end
endcase
if(~VAR5) begin
state <= VAR27;
end
end
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_xres4v2/sky130_fd_io__top_xres4v2.pp.blackbox.v
| 2,470 |
module MODULE1 (
VAR2 ,
VAR20 ,
VAR25 ,
VAR22 ,
VAR11,
VAR23 ,
VAR7 ,
VAR4 ,
VAR17 ,
VAR21 ,
VAR8 ,
VAR3 ,
VAR1 ,
VAR15 ,
VAR9 ,
VAR6 ,
VAR14 ,
VAR12 ,
VAR13 ,
VAR19 ,
VAR5 ,
VAR24 ,
VAR10 ,
VAR18 ,
VAR16
);
output VAR2 ;
inout VAR20 ;
inout VAR25 ;
inout VAR22 ;
input VAR11;
input VAR23 ;
input VAR7 ;
input VAR4 ;
input VAR17 ;
inout VAR21 ;
input VAR8 ;
inout VAR3 ;
output VAR1 ;
output VAR15 ;
inout VAR9 ;
input VAR6 ;
input VAR14 ;
input VAR12 ;
input VAR13 ;
input VAR19 ;
input VAR5 ;
input VAR24 ;
input VAR10 ;
input VAR18 ;
input VAR16 ;
endmodule
|
apache-2.0
|
neale/CS-program
|
474-VLSI/Lab_ADC/ADC_CTRL.v
| 2,123 |
module MODULE1 (
VAR11,
VAR15,
VAR7,
VAR9,
VAR8,
VAR18,
VAR6,
VAR12,
VAR4,
VAR14
);
input VAR11;
input VAR15;
input VAR7;
input VAR9;
input [2:0] VAR8;
output [7:0] VAR18;
output VAR6;
output VAR12;
output VAR4;
input VAR14;
reg VAR2;
reg VAR13;
wire [2:0] VAR16;
reg VAR3;
reg [3:0] VAR17;
reg [3:0] VAR1;
reg [11:0] VAR10;
reg [7:0] VAR5;
assign VAR12 = ~VAR13;
assign VAR4 = (VAR13)? VAR15:1;
assign VAR6 = VAR2;
assign VAR16 = VAR8;
assign VAR18 = VAR5;
always@(posedge VAR9 or negedge VAR11)
begin
if(!VAR11)
VAR13 <= 0;
end
else
begin
if(VAR9)
VAR13 <= 1;
end
end
always@(posedge VAR15 or negedge VAR13)
begin
if(!VAR13)
VAR17 <= 0;
end
else
begin
if(VAR15)
VAR17 <= VAR17 + 1;
end
end
always@(posedge VAR7)
begin
if(VAR7)
VAR1 <= VAR17;
end
always@(posedge VAR7 or negedge VAR13)
begin
if(!VAR13)
VAR2 <= 0;
end
else
begin
if(VAR7)
begin
if (VAR17 == 2)
VAR2 <= VAR8[2];
end
else if (VAR17 == 3)
VAR2 <= VAR8[1];
end
else if (VAR17 == 4)
VAR2 <= VAR8[0];
else
VAR2 <= 0;
end
end
end
always@(posedge VAR15 or negedge VAR13)
begin
if(!VAR13)
begin
VAR10 <= 0;
VAR5 <= 8'h00;
end
else
begin
if(VAR15)
begin
if (VAR1 == 4)
VAR10[11] <= VAR14;
end
else if (VAR1 == 5)
VAR10[10] <= VAR14;
end
else if (VAR1 == 6)
VAR10[9] <= VAR14;
end
else if (VAR1 == 7)
VAR10[8] <= VAR14;
else if (VAR1 == 8)
VAR10[7] <= VAR14;
else if (VAR1 == 9)
VAR10[6] <= VAR14;
else if (VAR1 == 10)
VAR10[5] <= VAR14;
else if (VAR1 == 11)
VAR10[4] <= VAR14;
else if (VAR1 == 12)
VAR10[3] <= VAR14;
else if (VAR1 == 13)
VAR10[2] <= VAR14;
else if (VAR1 == 14)
VAR10[1] <= VAR14;
else if (VAR1 == 15)
VAR10[0] <= VAR14;
else if (VAR1 == 1)
VAR5 <= VAR10[11:4];
end
end
end
endmodule
|
unlicense
|
LordRafa/Sobel-FPGA
|
Project_Without_Cache/ip/SIS/Sobel.v
| 6,439 |
module MODULE1 (
input clk,
input rst,
output [31:0] VAR26,
output VAR4,
input wire [8:0] VAR20,
output wire[31:0] VAR13,
input VAR23,
input VAR25,
output wire[3:0] VAR11,
output wire VAR6,
input wire[31:0] VAR37,
output wire[5:0] VAR22,
input VAR15,
output VAR39,
input [31:0] VAR34
);
parameter VAR17=32;
parameter VAR35 = 32;
parameter VAR36 = 4; parameter VAR33 = 6;
parameter VAR18 = 256;
parameter VAR12 = 8;
reg [18:0] VAR29;
reg [1:0] VAR21;
always @ (posedge clk or posedge rst) begin
if (rst == 1) begin
VAR21 <= 0;
end else begin
if (VAR15 == 1) begin
VAR21 <= 1;
end else begin
if (VAR29 == 0) begin
VAR21 <= 2;
end
if (VAR39 == 1) begin
VAR21 <= 0;
end
end
end
end
always @ (posedge clk) begin
if (VAR15 == 1) begin
VAR29 <= 384000;
end else begin
if (VAR4) begin
VAR29 <= VAR29 - 1;
end
end
end
reg [3:0] VAR31;
wire VAR32;
assign VAR32 = (VAR31 == 0) & (VAR29 > 0) & (VAR21 == 1) & (VAR20 < VAR18);
reg [9:0] VAR8;
reg [8:0] VAR9;
reg [31:0] VAR24;
always @(posedge clk) begin
if (VAR15 == 1) begin
VAR8 <= 0;
VAR9 <= 0;
VAR24 <= 0;
end else begin
if (VAR31 == 4) begin
if (VAR8 == 799) begin
VAR9 <= VAR9 + 1;
VAR8 <= 0;
end else begin
VAR8 <= VAR8 + 1;
end
VAR24 <= VAR24 + 4;
end
end
end
reg [3:0] VAR30;
always @(posedge clk) begin
if (VAR32 == 1) begin
if (VAR8 == 0) begin
VAR30 <= 9;
end else begin
VAR30 <= 3;
end
end else begin
if ((VAR31 == 1) && (VAR23 == 0)) begin
VAR30 <= VAR30 - 1;
end
end
end
reg [31:0] VAR16;
always @(posedge clk) begin
if (VAR32 == 1) begin
if (VAR8 == 0) begin
VAR16 <= VAR34 + VAR24 - 3204;
end else begin
VAR16 <= VAR34 + VAR24 - 3196;
end
end else begin
if ((VAR31 == 1) && (VAR23 == 0)) begin
if (VAR30 == 9) begin
VAR16 <= VAR16 + 3200;
end
if (VAR30 == 8) begin
VAR16 <= VAR16 + 3200;
end
if (VAR30 == 7) begin
VAR16 <= VAR16 - 6396;
end
if (VAR30 == 6) begin
VAR16 <= VAR16 + 3200;
end
if (VAR30 == 5) begin
VAR16 <= VAR16 + 3200;
end
if (VAR30 == 4) begin
VAR16 <= VAR16 - 6396;
end
if (VAR30 == 3) begin
VAR16 <= VAR16 + 3200;
end
if (VAR30 == 2) begin
VAR16 <= VAR16 + 3200;
end
end
end
end
reg [3:0] VAR40;
always @(posedge clk) begin
if (VAR32 == 1) begin
if (VAR8 == 0) begin
VAR40 <= 9;
end else begin
VAR40 <= 3;
end
end else begin
if ((VAR31 < 3) && (VAR25 == 1)) begin
VAR40 <= VAR40 - 1;
end
end
end
reg [2:0] VAR5;
reg [2:0] VAR42;
always @(posedge clk) begin
if (VAR15 == 1) begin
VAR5 <= 0;
VAR42 <= 0;
end else begin
if (VAR25 == 1) begin
if (VAR42 == 2) begin
VAR5 <= VAR5 + 1;
VAR42 <= 0;
end else begin
VAR42 <= VAR42 + 1;
end
end
if (VAR31 == 4) begin
if (VAR8 == 799) begin
VAR5 <= 0;
VAR42 <= 0;
end else begin
VAR5 <= 2;
VAR42 <= 0;
end
end
end
end
wire VAR1;
assign VAR1 = (VAR30 == 1) & (VAR31 == 1) & (VAR23 == 0);
wire VAR14;
assign VAR14 = (VAR40 == 0) & (VAR31 == 2);
always @(posedge clk or posedge rst) begin
if (rst == 1) begin
VAR31 <= 0;
end else begin
if ((VAR15 == 1) | (VAR31 == 9)) begin
VAR31 <= 0;
end else begin
if (VAR32 == 1) begin
VAR31 <= 1;
end
if (VAR1 == 1) begin
VAR31 <= 2;
end
if (VAR14 == 1) begin
VAR31 <= 3;
end else begin
if (VAR31 > 2) begin
VAR31 <= VAR31 + 1;
end
end
end
end
end
wire VAR38;
assign VAR38 = ((VAR8 + VAR5 - 2) == -1) | ((VAR8 + VAR5 - 2) == 800) | ((VAR9 + VAR42 - 2) == -1) | ((VAR9 + VAR42 - 2) == 480);
assign VAR13 = VAR16;
assign VAR11 = {VAR36{1'b1}};
assign VAR6 = (VAR31 == 1);
assign VAR22 = 1;
reg [10:0] VAR2;
reg [9:0] VAR28;
reg [10:0] VAR41;
reg [9:0] VAR10;
reg [20:0] VAR19;
reg [7:0] VAR3;
wire[10:0] VAR27;
reg [7:0] VAR7[0:2][0:2];
always @(posedge clk) begin
if (VAR32 == 1) begin
if (VAR8 != 0) begin
VAR7[0][0] <= VAR7[1][0];
VAR7[0][1] <= VAR7[1][1];
VAR7[0][2] <= VAR7[1][2];
VAR7[1][0] <= VAR7[2][0];
VAR7[1][1] <= VAR7[2][1];
VAR7[1][2] <= VAR7[2][2];
end
end
if (VAR25 == 1) begin
if (VAR38 == 0) begin
VAR7[VAR5][VAR42] <= VAR37[7:0];
end else begin
VAR7[VAR5][VAR42] <= 0;
end
end
if (VAR31 == 3) begin
VAR2 <= VAR7[0][0] + {VAR7[0][1], 1'b0} + VAR7[0][2];
VAR28 <= VAR7[2][0] + {VAR7[2][1], 1'b0} + VAR7[2][2];
VAR41 <= VAR7[0][0] + {VAR7[1][0], 1'b0} + VAR7[2][0];
VAR10 <= VAR7[0][2] + {VAR7[1][2], 1'b0} + VAR7[2][2];
end
if (VAR31 == 4) begin
VAR2 <= (VAR2 > VAR28)? VAR2 - VAR28 : VAR28 - VAR2; VAR41 <= (VAR41 > VAR10)? VAR41 - VAR10 : VAR10 - VAR41; end
if (VAR31 == 5) begin
VAR19 <= VAR2 + VAR41;
end
if (VAR31 == 7) begin
VAR3 <= VAR19 > 255? 255 : VAR19;
end
if (VAR31 == 8) begin
VAR3 <= 255 - VAR3;
end
end
assign VAR26 = {8'd0, {3{VAR3}}};
assign VAR4 = (VAR21 == 1) & (VAR31 == 9);
assign VAR39 = (VAR21 == 2);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o221ai/sky130_fd_sc_ms__o221ai_4.v
| 2,457 |
module MODULE2 (
VAR12 ,
VAR4 ,
VAR3 ,
VAR5 ,
VAR11 ,
VAR1 ,
VAR9,
VAR6,
VAR8 ,
VAR10
);
output VAR12 ;
input VAR4 ;
input VAR3 ;
input VAR5 ;
input VAR11 ;
input VAR1 ;
input VAR9;
input VAR6;
input VAR8 ;
input VAR10 ;
VAR7 VAR2 (
.VAR12(VAR12),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR12 ,
VAR4,
VAR3,
VAR5,
VAR11,
VAR1
);
output VAR12 ;
input VAR4;
input VAR3;
input VAR5;
input VAR11;
input VAR1;
supply1 VAR9;
supply0 VAR6;
supply1 VAR8 ;
supply0 VAR10 ;
VAR7 VAR2 (
.VAR12(VAR12),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
fbelavenuto/msx1fpga
|
src/audio/jt51/jt51_sh.v
| 1,136 |
module MODULE1 #(parameter VAR1=5, VAR4=32 )
(
input clk,
input [VAR1-1:0] din,
output [VAR1-1:0] VAR5
);
reg [VAR4-1:0] VAR3[VAR1-1:0];
genvar VAR6;
generate
for (VAR6=0; VAR6 < VAR1; VAR6=VAR6+1) begin: VAR2
always @(posedge clk)
VAR3[VAR6] <= {VAR3[VAR6][VAR4-2:0], din[VAR6]};
assign VAR5[VAR6] = VAR3[VAR6][VAR4-1];
end
endgenerate
endmodule
|
gpl-3.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.v
| 3,665 |
module MODULE1 (
input clk,
input VAR5,
input [31:0] VAR24,
input VAR18,
output reg VAR20,
input [23:0] VAR14,
input VAR15,
output reg VAR21,
input [31:0] VAR2,
input VAR17,
output reg VAR13,
input [31:0] VAR6,
input VAR12,
output reg VAR1,
input [31:0] VAR19,
input VAR25,
output reg VAR7,
output VAR16,
output reg [31:0] VAR9,
output reg VAR3,
output reg VAR10,
input VAR22,
input VAR23,
input [13:0] VAR4,
output VAR11
);
reg [3:0] VAR8 = 'h00;
assign VAR16 = VAR22;
assign VAR11 = VAR23;
always @(posedge clk) begin
if (VAR11 == 1'b0) begin
VAR8 <= 'h0;
end else begin
case (VAR8)
'h0: if (VAR18) VAR8 <= VAR8 + 1;
'h1: if (VAR15) VAR8 <= VAR8 + 1;
'h2: if (VAR17) VAR8 <= VAR8 + 1;
'h3: if (VAR12) VAR8 <= VAR8 + 1;
'h4: if (VAR12) VAR8 <= VAR8 + 1;
'h5: if (VAR25) VAR8 <= VAR8 + 1;
'h6: if (VAR25) VAR8 <= VAR8 + 1;
'h7: if (VAR18) VAR8 <= VAR8 + 1;
'h8: if (VAR15) VAR8 <= VAR8 + 1;
'h9: if (VAR17) VAR8 <= VAR8 + 1;
'ha: if (VAR12) VAR8 <= VAR8 + 1;
'hb: if (VAR12) VAR8 <= VAR8 + 1;
'hc: if (VAR25) VAR8 <= VAR8 + 1;
'hd: if (VAR25) VAR8 <= 'h00;
endcase
end
end
always @(posedge clk) begin
case (VAR8)
'h0: VAR9 <= VAR24;
'h1: VAR9 <= {8'h00,VAR14[23:0]};
'h2: VAR9 <= VAR2;
'h3: VAR9 <= VAR6;
'h4: VAR9 <= VAR6;
'h5: VAR9 <= VAR19;
'h6: VAR9 <= VAR19;
'h7: VAR9 <= VAR24;
'h8: VAR9 <= {8'h00,VAR14[23:0]};
'h9: VAR9 <= VAR2;
'ha: VAR9 <= VAR6;
'hb: VAR9 <= VAR6;
'hc: VAR9 <= VAR19;
'hd: VAR9 <= VAR19;
endcase
end
always @(posedge clk) begin
if (VAR11 == 1'b0 || VAR4[VAR8] == 1'b0) begin
VAR3 <= 1'b0;
end else begin
case (VAR8)
'h0: VAR3 <= VAR18;
'h1: VAR3 <= VAR15;
'h2: VAR3 <= VAR17;
'h3: VAR3 <= VAR12;
'h4: VAR3 <= VAR12;
'h5: VAR3 <= VAR25;
'h6: VAR3 <= VAR25;
'h7: VAR3 <= VAR18;
'h8: VAR3 <= VAR15;
'h9: VAR3 <= VAR17;
'ha: VAR3 <= VAR12;
'hb: VAR3 <= VAR12;
'hc: VAR3 <= VAR25;
'hd: VAR3 <= VAR25;
endcase
end
end
always @(posedge clk) begin
if (VAR8 == 'h00) begin
VAR10 <= 1'b1;
end else if (VAR3 == 1'b1) begin
VAR10 = 1'b0;
end
end
always @ begin
case (VAR8)
'h1: VAR21 <= 1'b1;
'h8: VAR21 <= 1'b1;
default: VAR21 <= 1'b0;
endcase
end
always @ begin
case (VAR8)
'h3: VAR1 <= 1'b1;
'h4: VAR1 <= 1'b1;
'ha: VAR1 <= 1'b1;
'hb: VAR1 <= 1'b1;
default: VAR1 <= 1'b0;
endcase
end
always @(*) begin
case (VAR8)
'h5: VAR7 <= 1'b1;
'h6: VAR7 <= 1'b1;
'hc: VAR7 <= 1'b1;
'hd: VAR7 <= 1'b1;
default: VAR7 <= 1'b0;
endcase
end
endmodule
|
gpl-3.0
|
rurume/openrisc_vision_hardware
|
ISE/or1200_wb_biu.v
| 14,161 |
module MODULE1(
clk, rst, VAR40,
VAR26, VAR12, VAR5, VAR19, VAR32, VAR2,
VAR25, VAR39, VAR1, VAR28, VAR14, VAR10,
VAR38,
VAR11, VAR24,
VAR33, VAR43, VAR9, VAR37, VAR29, VAR21, VAR34,
VAR7, VAR17, VAR20
);
parameter VAR44 = VAR6;
parameter VAR8 = VAR6;
input clk; input rst; input [1:0] VAR40;
input VAR26; input VAR12; input VAR5; input VAR19; input VAR32; input [VAR44-1:0] VAR2; output VAR25; output [VAR8-1:0] VAR39; output VAR1; output VAR28; output [3:0] VAR14; output [VAR44-1:0] VAR10; VAR35 VAR13
output VAR38; VAR41
output [2:0] VAR11; output [1:0] VAR24; VAR41
input [VAR44-1:0] VAR33; input [VAR8-1:0] VAR43; input VAR9; input VAR37; input VAR29; input VAR34; input [3:0] VAR21; output [31:0] VAR7; output VAR17; output VAR20;
reg [1:0] VAR16; VAR35 VAR18
reg [VAR8-1:0] VAR39; reg VAR25; reg VAR1; reg VAR28; reg [3:0] VAR14; VAR35 VAR13
reg VAR38; VAR41
reg [1:0] VAR23; reg [2:0] VAR11; VAR41
reg [VAR44-1:0] VAR10; VAR41
reg VAR30; reg VAR4; reg [VAR44-1:0] VAR7; else
wire VAR30; wire VAR4; VAR41
wire VAR3; reg VAR15; wire VAR42; VAR35 VAR31
reg [VAR31-1:0] VAR22; VAR41
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR39 <= {VAR8{1'b0}};
else if ((VAR9 & VAR37) & ~VAR5 & ~VAR3 & ~(VAR1 & ~VAR5))
VAR39 <= VAR43;
assign VAR39 = VAR43;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR7 <= 32'h00000000;
else if (VAR5)
VAR7 <= VAR2;
assign VAR7 = VAR2;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR10 <= {VAR44{1'b0}};
else if ((VAR9 & VAR37) & ~VAR5 & ~VAR3)
VAR10 <= VAR33;
assign VAR10 = VAR33;
always @(posedge clk or posedge rst)
if (rst)
VAR16 <= 2'b0;
else
VAR16 <= VAR16 + 1'd1;
assign VAR17 = VAR30
& (VAR16[0] | ~VAR40[0])
& (VAR16[1] | ~VAR40[1])
;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR30 <= 1'b0;
else
VAR30 <= VAR5 & ~VAR3;
assign VAR30 = VAR5 & ~VAR15;
assign VAR20 = VAR4
& (VAR16[0] | ~VAR40[0])
& (VAR16[1] | ~VAR40[1])
;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR4 <= 1'b0;
else
VAR4 <= VAR19 & ~VAR3;
assign VAR4 = VAR19 & ~VAR15;
assign VAR42 = VAR32 | (|VAR22);
assign VAR42 = 1'b0;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR22 <= 1'b0;
else if (VAR32)
VAR22 <= {VAR31{1'b1}};
else if (VAR22)
VAR22 <= VAR22 - 7'd1;
assign VAR3 = VAR1 & ~(VAR9 & VAR37) & ~(VAR5 | VAR19);
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR15 <= 1'b0;
else if (VAR5 | VAR19)
VAR15 <= 1'b0;
else if (VAR3)
VAR15 <= 1'b1;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR25 <= 1'b0;
else
VAR25 <= VAR9 & ~VAR5 & ~VAR42 | VAR3 & ~VAR5;
VAR25 <= VAR9 & ~VAR5 & ~VAR42 | VAR34 | VAR3 & ~VAR5;
assign VAR25 = VAR9 & ~VAR42;
assign VAR25 = VAR9 | VAR34 & ~VAR42;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR1 <= 1'b0;
else
VAR1 <= (VAR9 & VAR37) & ~VAR5 & ~VAR42 | VAR3 & ~VAR5;
assign VAR1 = VAR9 & VAR37;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR28 <= 1'b0;
else
VAR28 <= VAR9 & VAR37 & VAR29 | VAR3 & VAR28;
assign VAR28 = VAR9 & VAR37 & VAR29;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR14 <= 4'b0000;
else
VAR14 <= VAR21;
assign VAR14 = VAR21;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR38 <= 1'b0;
else
VAR38 <= VAR34;
assign VAR38 = VAR34;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR23 <= 2'b00;
else if (VAR34 && VAR23 && VAR5)
VAR23 <= VAR23 - 1'b1;
else if (~VAR34)
VAR23 <= 2'b11;
always @(posedge VAR26 or posedge VAR12)
if (VAR12)
VAR11 <= 3'b000; VAR35 VAR36
else
VAR11 <= 3'b111; else
else if (VAR34 && VAR23[1])
VAR11 <= 3'b010; else if (VAR34 && VAR5)
VAR11 <= 3'b111; VAR41 else
VAR27 !!!;
assign VAR24 = 2'b01;
endmodule
|
gpl-2.0
|
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
|
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/Tiger4NSC_v1_2_3/ff169405/src/d_r_message_buffer_X.v
| 4,924 |
module MODULE1
parameter VAR19 = 2,
parameter VAR2 = 8,
parameter VAR5 = 16
)
(
VAR6,
VAR18,
VAR8,
VAR35,
VAR24,
VAR30,
VAR31,
VAR9,
VAR12,
VAR17,
VAR20,
VAR14,
VAR23
);
input VAR6;
input VAR18;
input [VAR19-1:0] VAR8;
input [VAR19-1:0] VAR35;
input [VAR2*VAR19-1:0] VAR24;
input [VAR5-1:0] VAR30;
input VAR31;
input [VAR19-1:0] VAR9;
input [VAR2*VAR19-1:0] VAR12;
output [VAR5-1:0] VAR17;
input VAR20;
input VAR14;
input VAR23;
wire VAR37;
wire VAR29;
wire [VAR5-1:0] VAR22;
wire [VAR5-1:0] VAR4;
wire [VAR2-1:0] VAR10;
wire [VAR2-1:0] VAR11;
wire [VAR2+3-1:0] VAR33;
wire [VAR2+3-1:0] VAR32;
reg [2:0] VAR15;
reg [2:0] VAR26;
assign VAR37 = VAR8[0];
assign VAR29 = VAR9[0];
assign VAR22 = VAR30;
assign VAR17 = VAR4;
assign VAR10 = VAR24[VAR2-1:0];
assign VAR11 = VAR12[VAR2-1:0];
assign VAR33 = {VAR15, VAR10};
assign VAR32 = {VAR26, VAR11};
always @ (posedge VAR6) begin
if (VAR18)
VAR15 <= 0;
end
else begin
if (VAR23)
VAR15 <= (VAR15 == 3'b100) ? 3'b000 : VAR15 + 1'b1;
end
else
VAR15 <= VAR15;
end
end
always @ (posedge VAR6) begin
if (VAR18)
VAR26 <= 0;
end
else begin
if (VAR14)
VAR26 <= (VAR26 == 3'b100) ? 3'b000 : VAR26 + 1'b1;
end
else
VAR26 <= VAR26;
end
end
VAR25
VAR36
(
.VAR27 (VAR6 ),
.VAR3 (VAR37 ),
.VAR34 (VAR37 ),
.VAR1 (VAR33 ),
.VAR16 (VAR22 ),
.VAR21 (VAR6 ),
.VAR28 (VAR29 ),
.VAR13 (VAR32 ),
.VAR7 (VAR4 )
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
models/udp_dff_ps_pp_pg_n/sky130_fd_sc_ls__udp_dff_ps_pp_pg_n.symbol.v
| 1,478 |
module MODULE1 (
input VAR7 ,
output VAR4 ,
input VAR6 ,
input VAR1 ,
input VAR5,
input VAR2 ,
input VAR3
);
endmodule
|
apache-2.0
|
Obijuan/open-fpga-verilog-tutorial
|
tutorial/ICESTICK/T17-tones/tones.v
| 1,250 |
module MODULE1(input wire clk, output wire VAR19, VAR6, VAR13, VAR2);
parameter VAR12 = VAR18;
parameter VAR3 = VAR14;
parameter VAR1 = VAR5;
parameter VAR9 = VAR8;
VAR16 #(VAR12)
VAR4 (
.VAR10(clk),
.VAR11(VAR19)
);
VAR16 #(VAR3)
VAR15 (
.VAR10(clk),
.VAR11(VAR6)
);
VAR16 #(VAR1)
VAR17 (
.VAR10(clk),
.VAR11(VAR13)
);
VAR16 #(VAR9)
VAR7 (
.VAR10(clk),
.VAR11(VAR2)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2.blackbox.v
| 1,323 |
module MODULE1 (
VAR2,
VAR3
);
output VAR2;
input VAR3;
supply1 VAR6;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.