repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
peteasa/oh
src/common/dv/dv_driver.v
4,055
module MODULE1 #( parameter VAR29 = 1, parameter VAR14 = 32, parameter VAR22 = 104, parameter VAR30 = 12, parameter VAR27 = "none", parameter VAR20 = 1, parameter VAR21 = 16 ) ( input VAR2, input VAR5, input VAR9, input VAR28, input [VAR30-1:0] VAR6, input [VAR29-1:0] VAR12, input [VAR29*VAR22-1:0] VAR23, input [VAR29-1:0] VAR10, output [VAR29-1:0] VAR15, output [VAR29*VAR22-1:0] VAR13, output [VAR29-1:0] VAR24, output VAR26 ); reg [VAR30-1:0] VAR8; wire [VAR29*32-1:0] VAR3; wire [VAR29-1:0] VAR18; wire [VAR29*VAR30-1:0] VAR17; wire [VAR29*VAR22-1:0] VAR19; wire [VAR29-1:0] VAR16; wire [VAR29-1:0] VAR1; assign VAR26 = &(VAR18[VAR29-1:0]); genvar VAR4; generate for(VAR4=0;VAR4<VAR29;VAR4=VAR4+1) begin : VAR11 if(VAR4<VAR20) begin VAR7 #(.VAR22(VAR22), .VAR25(VAR4), .VAR27(VAR27)) VAR7 ( .VAR15 (VAR15[0]), .VAR13 (VAR13[(VAR4+1)*VAR22-1:VAR4*VAR22]), .VAR3 (VAR3[(VAR4+1)*32-1:VAR4*32]), .VAR26 (VAR18[VAR4]), .VAR24 (VAR24[VAR4]), .clk (VAR2), .VAR9 (VAR9), .VAR28 (VAR28), .VAR10 (VAR10[VAR4]) ); end else begin assign VAR15[VAR4] = 'b0; assign VAR13[(VAR4+1)*VAR22-1:VAR4*VAR22] = 'b0; assign VAR3[(VAR4+1)*32-1:VAR4*32] = 'b0; assign VAR18[VAR4] = 'b1; assign VAR24[VAR4] = 'b0; end end endgenerate begin end
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a31oi/sky130_fd_sc_ls__a31oi.behavioral.v
1,544
module MODULE1 ( VAR12 , VAR9, VAR3, VAR8, VAR5 ); output VAR12 ; input VAR9; input VAR3; input VAR8; input VAR5; supply1 VAR11; supply0 VAR14; supply1 VAR13 ; supply0 VAR4 ; wire VAR7 ; wire VAR10; and VAR2 (VAR7 , VAR8, VAR9, VAR3 ); nor VAR1 (VAR10, VAR5, VAR7 ); buf VAR6 (VAR12 , VAR10 ); endmodule
apache-2.0
YosysHQ/yosys
techlibs/gowin/brams_map.v
9,019
function [255:0] VAR51; \ input integer VAR147; \ integer VAR84; \ for (VAR84 = 0; VAR84 < 32; VAR84 = VAR84 + 1) begin \ VAR51[VAR84*8+:8] = VAR88[(VAR147 * 32 + VAR84) * 9+:8]; \ end \ endfunction \ function [287:0] VAR14; \ input integer VAR147; \ VAR14 = VAR88[VAR147 * 288+:288]; \ endfunction \ .VAR27(VAR141('h00)), \ .VAR26(VAR141('h01)), \ .VAR66(VAR141('h02)), \ .VAR144(VAR141('h03)), \ .VAR155(VAR141('h04)), \ .VAR36(VAR141('h05)), \ .VAR10(VAR141('h06)), \ .VAR7(VAR141('h07)), \ .VAR90(VAR141('h08)), \ .VAR92(VAR141('h09)), \ .VAR158(VAR141('h0a)), \ .VAR4(VAR141('h0b)), \ .VAR117(VAR141('h0c)), \ .VAR150(VAR141('h0d)), \ .VAR126(VAR141('h0e)), \ .VAR22(VAR141('h0f)), \ .VAR45(VAR141('h10)), \ .VAR143(VAR141('h11)), \ .VAR16(VAR141('h12)), \ .VAR96(VAR141('h13)), \ .VAR60(VAR141('h14)), \ .VAR127(VAR141('h15)), \ .VAR148(VAR141('h16)), \ .VAR46(VAR141('h17)), \ .VAR52(VAR141('h18)), \ .VAR33(VAR141('h19)), \ .VAR95(VAR141('h1a)), \ .VAR136(VAR141('h1b)), \ .VAR70(VAR141('h1c)), \ .VAR134(VAR141('h1d)), \ .VAR137(VAR141('h1e)), \ .VAR102(VAR141('h1f)), \ .VAR94(VAR141('h20)), \ .VAR6(VAR141('h21)), \ .VAR108(VAR141('h22)), \ .VAR32(VAR141('h23)), \ .VAR125(VAR141('h24)), \ .VAR17(VAR141('h25)), \ .VAR93(VAR141('h26)), \ .VAR81(VAR141('h27)), \ .VAR113(VAR141('h28)), \ .VAR116(VAR141('h29)), \ .VAR132(VAR141('h2a)), \ .VAR57(VAR141('h2b)), \ .VAR5(VAR141('h2c)), \ .VAR58(VAR141('h2d)), \ .VAR162(VAR141('h2e)), \ .VAR29(VAR141('h2f)), \ .VAR91(VAR141('h30)), \ .VAR2(VAR141('h31)), \ .VAR61(VAR141('h32)), \ .VAR112(VAR141('h33)), \ .VAR78(VAR141('h34)), \ .VAR104(VAR141('h35)), \ .VAR42(VAR141('h36)), \ .VAR138(VAR141('h37)), \ .VAR133(VAR141('h38)), \ .VAR122(VAR141('h39)), \ .VAR103(VAR141('h3a)), \ .VAR107(VAR141('h3b)), \ .VAR19(VAR141('h3c)), \ .VAR44(VAR141('h3d)), \ .VAR114(VAR141('h3e)), \ .VAR64(VAR141('h3f)), module MODULE2 (...); parameter VAR88 = 0; parameter VAR59 = "VAR30"; parameter VAR149 = 36; parameter VAR55 = 4; parameter VAR62 = 0; input VAR151; input VAR3; input VAR39; input VAR83; input VAR106; input [13:0] VAR65; input [VAR55-1:0] VAR154; input [VAR149-1:0] VAR129; output [VAR149-1:0] VAR124; wire VAR73 = VAR59 == "VAR30" ? VAR83 : VAR106; wire VAR53 = VAR110(VAR149, VAR39, VAR154); wire [13:0] VAR74 = VAR161(VAR149, VAR65, VAR154); generate if (VAR149 < 9) begin wire [31:0] VAR109 = VAR130(VAR129); wire [31:0] VAR23; assign VAR124 = VAR85(VAR23); VAR21 #( .VAR99(1'b0), .VAR157(VAR62), .VAR100(VAR63(VAR149)), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR38(VAR151), .VAR120(VAR3), .VAR53(VAR53), .VAR47(VAR73), .VAR54(1'b0), .VAR74(VAR74), .VAR109(VAR109), .VAR23(VAR23), ); end else begin wire [35:0] VAR109 = VAR129; wire [35:0] VAR23; assign VAR124 = VAR23; VAR9 #( .VAR99(1'b0), .VAR157(VAR62), .VAR100(VAR149), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR38(VAR151), .VAR120(VAR3), .VAR53(VAR53), .VAR47(VAR73), .VAR54(1'b0), .VAR74(VAR74), .VAR109(VAR109), .VAR23(VAR23), ); end endgenerate endmodule module MODULE3 (...); parameter VAR88 = 0; parameter VAR59 = "VAR30"; parameter VAR149 = 18; parameter VAR55 = 2; parameter VAR62 = 0; parameter VAR24 = 18; parameter VAR97 = 2; parameter VAR160 = 0; input VAR151; input VAR3; input VAR39; input VAR83; input VAR106; input [13:0] VAR65; input [VAR55-1:0] VAR154; input [VAR149-1:0] VAR129; output [VAR149-1:0] VAR124; input VAR18; input VAR41; input VAR34; input VAR111; input VAR20; input [13:0] VAR105; input [VAR55-1:0] VAR37; input [VAR149-1:0] VAR75; output [VAR149-1:0] VAR35; wire VAR67 = VAR59 == "VAR30" ? VAR83 : VAR106; wire VAR89 = VAR59 == "VAR30" ? VAR111 : VAR20; wire VAR142 = VAR110(VAR149, VAR39, VAR154); wire VAR76 = VAR110(VAR24, VAR34, VAR37); wire [13:0] VAR131 = VAR161(VAR149, VAR65, VAR154); wire [13:0] VAR77 = VAR161(VAR24, VAR105, VAR37); generate if (VAR149 < 9 || VAR24 < 9) begin wire [15:0] VAR79 = VAR130(VAR129); wire [15:0] VAR140 = VAR130(VAR75); wire [15:0] VAR87; wire [15:0] VAR123; assign VAR124 = VAR85(VAR87); assign VAR35 = VAR85(VAR123); VAR56 #( .VAR31(1'b0), .VAR68(1'b0), .VAR25(VAR62), .VAR135(VAR160), .VAR156(VAR63(VAR149)), .VAR115(VAR63(VAR24)), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR145(VAR151), .VAR98(VAR3), .VAR142(VAR142), .VAR72(VAR67), .VAR118(1'b0), .VAR131(VAR131), .VAR79(VAR79), .VAR87(VAR87), .VAR139(VAR18), .VAR48(VAR41), .VAR76(VAR76), .VAR119(VAR89), .VAR121(1'b0), .VAR77(VAR77), .VAR140(VAR140), .VAR123(VAR123), ); end else begin wire [17:0] VAR79 = VAR129; wire [17:0] VAR140 = VAR75; wire [17:0] VAR87; wire [17:0] VAR123; assign VAR124 = VAR87; assign VAR35 = VAR123; VAR28 #( .VAR31(1'b0), .VAR68(1'b0), .VAR25(VAR62), .VAR135(VAR160), .VAR156(VAR149), .VAR115(VAR24), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR145(VAR151), .VAR98(VAR3), .VAR142(VAR142), .VAR72(VAR67), .VAR118(1'b0), .VAR131(VAR131), .VAR79(VAR79), .VAR87(VAR87), .VAR139(VAR18), .VAR48(VAR41), .VAR76(VAR76), .VAR119(VAR89), .VAR121(1'b0), .VAR77(VAR77), .VAR140(VAR140), .VAR123(VAR123), ); end endgenerate endmodule module MODULE1 (...); parameter VAR88 = 0; parameter VAR59 = "VAR30"; parameter VAR12 = 18; parameter VAR82 = 18; parameter VAR71 = 2; input VAR86; input VAR49; input VAR128; input VAR1; input [13:0] VAR80; output [VAR12-1:0] VAR101; input VAR50; input VAR153; input VAR40; input [13:0] VAR159; input [VAR71-1:0] VAR15; input [VAR82-1:0] VAR152; wire VAR73 = VAR59 == "VAR30" ? VAR128 : VAR1; wire VAR53 = VAR110(VAR82, VAR40, VAR15); wire [13:0] VAR43 = VAR161(VAR82, VAR159, VAR15); generate if (VAR82 < 9 || VAR12 < 9) begin wire [31:0] VAR109 = VAR130(VAR152); wire [31:0] VAR23; assign VAR101 = VAR85(VAR23); VAR13 #( .VAR99(1'b0), .VAR156(VAR63(VAR82)), .VAR115(VAR63(VAR12)), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR145(VAR50), .VAR98(VAR153), .VAR142(VAR53), .VAR72(1'b0), .VAR131(VAR43), .VAR109(VAR109), .VAR139(VAR86), .VAR48(VAR49), .VAR76(1'b0), .VAR119(VAR73), .VAR54(1'b0), .VAR77(VAR80), .VAR23(VAR23), ); end else begin wire [35:0] VAR109 = VAR152; wire [35:0] VAR23; assign VAR101 = VAR23; VAR146 #( .VAR99(1'b0), .VAR156(VAR82), .VAR115(VAR12), .VAR8(3'b000), .VAR11(VAR59), ) VAR69 ( .VAR8(3'b000), .VAR145(VAR50), .VAR98(VAR153), .VAR142(VAR53), .VAR72(1'b0), .VAR131(VAR43), .VAR109(VAR109), .VAR139(VAR86), .VAR48(VAR49), .VAR76(1'b0), .VAR119(VAR73), .VAR54(1'b0), .VAR77(VAR80), .VAR23(VAR23), ); end endgenerate endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor2/sky130_fd_sc_hd__xor2.behavioral.v
1,381
module MODULE1 ( VAR6, VAR4, VAR1 ); output VAR6; input VAR4; input VAR1; supply1 VAR2; supply0 VAR7; supply1 VAR10 ; supply0 VAR8 ; wire VAR3; xor VAR5 (VAR3, VAR1, VAR4 ); buf VAR9 (VAR6 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfstp/sky130_fd_sc_hd__sdfstp_4.v
2,511
module MODULE2 ( VAR9 , VAR1 , VAR3 , VAR5 , VAR7 , VAR6, VAR11 , VAR8 , VAR4 , VAR2 ); output VAR9 ; input VAR1 ; input VAR3 ; input VAR5 ; input VAR7 ; input VAR6; input VAR11 ; input VAR8 ; input VAR4 ; input VAR2 ; VAR10 VAR12 ( .VAR9(VAR9), .VAR1(VAR1), .VAR3(VAR3), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6), .VAR11(VAR11), .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR9 , VAR1 , VAR3 , VAR5 , VAR7 , VAR6 ); output VAR9 ; input VAR1 ; input VAR3 ; input VAR5 ; input VAR7 ; input VAR6; supply1 VAR11; supply0 VAR8; supply1 VAR4 ; supply0 VAR2 ; VAR10 VAR12 ( .VAR9(VAR9), .VAR1(VAR1), .VAR3(VAR3), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand2/sky130_fd_sc_hvl__nand2.blackbox.v
1,243
module MODULE1 ( VAR2, VAR6, VAR5 ); output VAR2; input VAR6; input VAR5; supply1 VAR4; supply0 VAR3; supply1 VAR7 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/isobufsrc/sky130_fd_sc_hdll__isobufsrc_1.v
2,258
module MODULE1 ( VAR5 , VAR6, VAR9 , VAR7 , VAR8 , VAR3 , VAR2 ); output VAR5 ; input VAR6; input VAR9 ; input VAR7 ; input VAR8 ; input VAR3 ; input VAR2 ; VAR4 VAR1 ( .VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR9), .VAR7(VAR7), .VAR8(VAR8), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR5 , VAR6, VAR9 ); output VAR5 ; input VAR6; input VAR9 ; supply1 VAR7; supply0 VAR8; supply1 VAR3 ; supply0 VAR2 ; VAR4 VAR1 ( .VAR5(VAR5), .VAR6(VAR6), .VAR9(VAR9) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o22ai/sky130_fd_sc_ms__o22ai.pp.symbol.v
1,376
module MODULE1 ( input VAR2 , input VAR1 , input VAR6 , input VAR4 , output VAR9 , input VAR3 , input VAR7, input VAR8, input VAR5 ); endmodule
apache-2.0
revaldinho/opc
system/blackice/system_32.v
4,619
module MODULE1 ( input VAR58, output VAR16, output VAR38, output VAR45, output VAR42, input VAR25, input VAR60, input VAR49, input VAR30, input VAR69, input VAR44, output VAR1, output VAR17, output VAR6, output [17:0] VAR10, inout [15:0] VAR40, input VAR21, output VAR7); parameter VAR35 = 50000000; parameter VAR19 = 115200; parameter VAR53 = 12; wire clk; wire [31:0] VAR28; wire [31:0] VAR68; wire [31:0] VAR14; wire [31:0] VAR3; wire [15:0] VAR34; wire [19:0] address; wire VAR70; wire VAR74; wire VAR73; wire VAR13; wire VAR2; wire VAR46; reg VAR52 = 0; wire VAR27; reg VAR62; reg VAR41; wire VAR57 = !(VAR13); wire VAR47 = !((VAR74 || VAR73) && (address[19:8] < 12'h00E)); wire VAR75 = !((VAR74 || VAR73) && (address[19:8] >= 12'h00E)); wire [15:0] VAR72; wire [15:0] VAR66; wire VAR36; assign VAR72 = VAR40; assign VAR40 = VAR36 ? VAR66 : 16'VAR4; VAR24 #( .VAR59(6'VAR76 101001), ) VAR61 [15:0] ( .VAR65(VAR40), .VAR37(VAR36), .VAR55(VAR66), .VAR56(VAR72), ); assign VAR28 = VAR57 ? (VAR47 ? VAR3 : VAR14) : {16'b0, VAR34}; reg [1:0] VAR26 = 2'b00; always @(posedge VAR58) begin VAR26 <= VAR26 + 1; end assign clk = VAR26[0]; reg [9:0] VAR5 = 0; wire VAR63 = &VAR5; always @(posedge clk) begin VAR52 <= VAR2; if (!VAR63) VAR5 <= VAR5 + 1; VAR62 <= VAR44; VAR41 <= VAR62 & VAR63; end assign VAR2 = !VAR52 | VAR47; assign VAR27 = !VAR41 | (VAR75 ? VAR2 : VAR46); assign VAR16 = 0; assign VAR38 = 1; assign VAR45 = !VAR21; assign VAR42 = !VAR7; VAR43 VAR39 ( .din(VAR28), .clk(clk), .VAR41(VAR41), .VAR12(2'b11), .VAR20(VAR27), .VAR74(VAR74), .VAR73(VAR73), .VAR13(VAR13), .dout(VAR68), .address(address), .VAR70(VAR70) ); VAR54 # ( .VAR48(32), .VAR29(20) ) VAR11 ( .VAR32 (clk), .VAR41 (VAR41), .VAR75 (VAR75), .VAR77 (VAR70), .VAR27 (VAR46), .VAR64 (address), .VAR68 (VAR68), .VAR3 (VAR3), .VAR47 (VAR6), .VAR22 (VAR17), .VAR67 (VAR1), .VAR71 (VAR72), .VAR15 (VAR66), .VAR8 (VAR36), .VAR23 (VAR10) ); VAR50 VAR51 ( .din(VAR68), .dout(VAR14), .address(address[VAR53-1:0]), .VAR70(VAR70), .clk(clk), .VAR18(VAR47) ); VAR33 #(VAR35, VAR19) VAR9 ( .din(VAR68[15:0]), .dout(VAR34), .VAR31(address[0]), .VAR70(VAR70), .clk(clk), .VAR41(VAR41), .VAR18(VAR57), .VAR21(VAR21), .VAR7(VAR7) ); endmodule
gpl-3.0
ptracton/UART_ECHO
rtl/fifo.v
6,762
module MODULE1 ( VAR13, VAR7, VAR9, VAR11, VAR10, VAR20, VAR17, VAR2, VAR3, VAR16 ) ; parameter VAR1 = 32; parameter VAR5 = 3; parameter VAR6 = 2 ** VAR5; input VAR11; input VAR10; input VAR20; input VAR17; input [VAR1 - 1:0] VAR2; input VAR3; input VAR16; output [VAR1 - 1:0] VAR13; output VAR7; output VAR9; reg VAR9; reg VAR7; reg [VAR1 -1:0] memory[0:VAR6-1]; reg [VAR5:0] VAR12; reg [VAR5:0] VAR8; wire [VAR1-1:0] VAR13; wire [VAR5:0] VAR14; wire [VAR5:0] VAR15; wire VAR18; wire VAR4; assign VAR14 = (VAR12 == VAR6-1) ? 0 :VAR12 + 1; assign VAR15 = (VAR8 == VAR6-1) ? 0 :VAR8 + 1; assign VAR18 = (VAR3 && VAR20 && !VAR17 && !VAR7) || (VAR3 && VAR16 && VAR20); assign VAR4 = (VAR16 && VAR20 && !VAR17 && !VAR9) || (VAR3 && VAR16 && VAR20); assign VAR13 = (VAR20) ? memory[VAR8]: 'b0; always @(posedge VAR11) if (VAR10) begin VAR12 <= 'b0; end else if (VAR20) begin if (VAR17) begin VAR12 <= 'b0; end else begin if (VAR18) begin VAR12 <= VAR14; end end end else begin VAR12 <= 'b0; end always @(posedge VAR11) if (VAR10) begin VAR8 <= 'b0; end else if (VAR20) begin if (VAR17) begin VAR8 <= 'b0; end else begin if (VAR4) begin VAR8 <= VAR15; end end end else begin VAR8 <= 'b0; end always @(posedge VAR11) if (VAR10) begin VAR9 <= 1'b1; end else if (VAR20) begin if (VAR17) begin VAR9 <= 1'b1; end else begin if (VAR9 && VAR18) begin VAR9 <= 1'b0; end if (VAR4 && (VAR15 == VAR12)) begin VAR9 <= 1'b1; end end end else begin VAR9 <= 1'b1; end always @(posedge VAR11) if (VAR10) begin VAR7 <= 1'b0; end else if (VAR20) begin if (VAR17) begin VAR7 <= 1'b0; end else begin if (VAR18 && (VAR14 == VAR8)) begin VAR7 <= 1; end else if (VAR7 && VAR4) begin VAR7 <= 0; end end end else begin VAR7 <= 1'b0; end integer VAR19; always @(posedge VAR11) if (VAR10) begin for (VAR19=0; VAR19< (VAR6); VAR19=VAR19+1) begin memory[VAR19] <= 'b0; end end else if (VAR20) begin if (VAR17) begin for (VAR19=0; VAR19< (VAR6); VAR19=VAR19+1) begin memory[VAR19] <= 'b0; end end else if (VAR18) begin memory[VAR12] <= VAR2; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfxtp/sky130_fd_sc_lp__dfxtp.behavioral.pp.v
1,788
module MODULE1 ( VAR15 , VAR2 , VAR6 , VAR4, VAR12, VAR8 , VAR13 ); output VAR15 ; input VAR2 ; input VAR6 ; input VAR4; input VAR12; input VAR8 ; input VAR13 ; wire VAR9 ; reg VAR3 ; wire VAR14 ; wire VAR11; wire VAR7 ; VAR1 VAR5 (VAR9 , VAR14, VAR11, VAR3, VAR4, VAR12); assign VAR7 = ( VAR4 === 1'b1 ); buf VAR10 (VAR15 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2bb2o/sky130_fd_sc_hd__a2bb2o.behavioral.pp.v
2,231
module MODULE1 ( VAR3 , VAR16, VAR12, VAR10 , VAR6 , VAR18, VAR19, VAR17 , VAR9 ); output VAR3 ; input VAR16; input VAR12; input VAR10 ; input VAR6 ; input VAR18; input VAR19; input VAR17 ; input VAR9 ; wire VAR15 ; wire VAR7 ; wire VAR13 ; wire VAR1; and VAR11 (VAR15 , VAR10, VAR6 ); nor VAR4 (VAR7 , VAR16, VAR12 ); or VAR8 (VAR13 , VAR7, VAR15 ); VAR2 VAR14 (VAR1, VAR13, VAR18, VAR19); buf VAR5 (VAR3 , VAR1 ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_adcfifo/axi_adcfifo_wr.v
14,794
module MODULE1 ( VAR12, VAR61, VAR63, VAR82, VAR83, VAR79, VAR40, VAR81, VAR99, VAR18, VAR15, VAR92, VAR41, VAR57, VAR28, VAR50, VAR7, VAR65, VAR90, VAR17, VAR89, VAR38, VAR93, VAR85, VAR62, VAR25, VAR88, VAR48, VAR21, VAR98, VAR67, VAR4, VAR33, VAR47, VAR34); parameter VAR29 = 512; parameter VAR2 = 2; parameter VAR22 = 16; parameter VAR39 = 32'h00000000; parameter VAR44 = 32'h00000000; localparam VAR75 = VAR29/8; localparam VAR36 = VAR22 * VAR75; localparam VAR59 = 8'd6; localparam VAR94 = 8'd250; input VAR12; output VAR61; output [ 31:0] VAR63; input VAR82; input VAR83; input VAR79; input [VAR29-1:0] VAR40; input VAR81; input VAR99; output VAR18; output [ 3:0] VAR15; output [ 1:0] VAR92; output VAR41; output [ 3:0] VAR57; output [ 2:0] VAR28; output [ 3:0] VAR50; output [ 3:0] VAR7; output [ 7:0] VAR65; output [ 2:0] VAR90; output [ 31:0] VAR17; input VAR89; output VAR38; output [VAR29-1:0] VAR93; output [VAR75-1:0] VAR85; output VAR62; output [ 3:0] VAR25; input VAR88; input VAR48; input [ 3:0] VAR21; input [ 1:0] VAR98; input [ 3:0] VAR67; output VAR4; output VAR33; output VAR47; output VAR34; reg [ 2:0] VAR37 = 'd0; reg VAR51 = 'd0; reg VAR76 = 'd0; reg VAR54 = 'd0; reg [ 31:0] VAR20 = 'd0; reg [ 7:0] VAR49 = 'd0; reg [ 7:0] VAR101 = 'd0; reg VAR10 = 'd0; reg VAR53 = 'd0; reg [ 7:0] VAR56 = 'd0; reg [ 2:0] VAR42 = 'd0; reg [ 7:0] VAR23 = 'd0; reg [ 7:0] VAR95 = 'd0; reg [ 7:0] VAR45 = 'd0; reg [ 7:0] VAR9 = 'd0; reg [ 7:0] VAR78 = 'd0; reg VAR100 = 'd0; reg VAR47 = 'd0; reg VAR6 = 'd0; reg VAR33 = 'd0; reg [ 2:0] VAR52 = 'd0; reg VAR58 = 'd0; reg [ 7:0] VAR32 = 'd0; reg VAR5 = 'd0; reg VAR1 = 'd0; reg VAR69 = 'd0; reg VAR87 = 'd0; reg [VAR29-1:0] VAR13 = 'd0; reg VAR61 = 'd0; reg [ 31:0] VAR63 = 'd0; reg VAR18 = 'd0; reg [ 31:0] VAR17 = 'd0; reg VAR34 = 'd0; reg VAR8 = 'd0; wire VAR96; wire [ 8:0] VAR30; wire VAR27; wire VAR84; wire VAR72; wire VAR3; wire [VAR29-1:0] VAR14; function [7:0] VAR71; input [7:0] VAR70; reg [7:0] VAR19; begin VAR19[7] = VAR70[7]; VAR19[6] = VAR70[7] ^ VAR70[6]; VAR19[5] = VAR70[6] ^ VAR70[5]; VAR19[4] = VAR70[5] ^ VAR70[4]; VAR19[3] = VAR70[4] ^ VAR70[3]; VAR19[2] = VAR70[3] ^ VAR70[2]; VAR19[1] = VAR70[2] ^ VAR70[1]; VAR19[0] = VAR70[1] ^ VAR70[0]; VAR71 = VAR19; end endfunction function [7:0] VAR43; input [7:0] VAR19; reg [7:0] VAR70; begin VAR70[7] = VAR19[7]; VAR70[6] = VAR70[7] ^ VAR19[6]; VAR70[5] = VAR70[6] ^ VAR19[5]; VAR70[4] = VAR70[5] ^ VAR19[4]; VAR70[3] = VAR70[4] ^ VAR19[3]; VAR70[2] = VAR70[3] ^ VAR19[2]; VAR70[1] = VAR70[2] ^ VAR19[1]; VAR70[0] = VAR70[1] ^ VAR19[0]; VAR43 = VAR70; end endfunction always @(posedge VAR83) begin if (VAR82 == 1'b1) begin VAR49 <= 'd0; VAR101 <= 'd0; VAR37 <= 'd0; VAR51 <= 'd0; VAR76 <= 'd0; VAR54 <= 'd0; VAR20 <= 'd0; VAR10 <= 'd0; VAR53 <= 'd0; VAR56 <= 'd0; end else begin if ((VAR79 == 1'b1) && (VAR54 == 1'b1)) begin VAR49 <= VAR49 + 1'b1; end VAR101 <= VAR71(VAR49); VAR37 <= {VAR37[1:0], VAR12}; VAR51 <= VAR37[1] & ~VAR37[2]; if (VAR51 == 1'b1) begin VAR76 <= 1'd1; end else if ((VAR20 >= VAR44) || (VAR54 == 1'b0)) begin VAR76 <= 1'd0; end if (VAR51 == 1'b1) begin VAR54 <= 1'b1; VAR20 <= VAR39; end else if ((VAR49[1:0] == 2'h3) && (VAR79 == 1'b1)) begin VAR54 <= VAR37[2] & VAR76; VAR20 <= VAR20 + VAR36; end if (VAR49[1:0] == 2'h3) begin VAR10 <= VAR79; end else begin VAR10 <= 1'd0; end if (VAR10 == 1'b1) begin VAR53 <= ~VAR53; VAR56 <= VAR49; end end end assign VAR96 = VAR42[2] ^ VAR42[1]; always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR42 <= 'd0; VAR23 <= 'd0; VAR95 <= 'd0; VAR45 <= 'd0; VAR9 <= 'd0; end else begin VAR42 <= {VAR42[1:0], VAR53}; if (VAR96 == 1'b1) begin VAR23 <= VAR56; end VAR95 <= VAR101; VAR45 <= VAR95; VAR9 <= VAR43(VAR45); end end assign VAR30 = {1'b1, VAR9} - VAR32; always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR78 <= 'd0; VAR100 <= 'd0; VAR47 <= 'd0; VAR6 <= 'd0; VAR33 <= 'd0; end else begin VAR78 <= VAR30[7:0]; if (VAR78 > VAR94) begin VAR100 <= 1'b1; VAR47 <= VAR6; end else begin VAR100 <= 1'b0; VAR47 <= 1'b0; end if (VAR78 < VAR59) begin VAR6 <= 1'b1; VAR33 <= VAR100; end else begin VAR6 <= 1'b0; VAR33 <= 1'b0; end end end always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR52 <= 'd0; VAR58 <= 'd0; end else begin VAR52 <= {VAR52[1:0], VAR12}; VAR58 <= VAR52[1] & ~VAR52[2]; end end assign VAR27 = ~VAR38 | VAR88; assign VAR84 = (VAR23 == VAR32) ? 1'b0 : VAR27; assign VAR72 = (VAR32[1:0] == 2'h0) ? VAR84 : 1'b0; assign VAR3 = (VAR32[1:0] == 2'h3) ? VAR84 : 1'b0; always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR32 <= 'd0; VAR5 <= 'd0; VAR1 <= 'd0; VAR69 <= 'd0; VAR87 <= 'd0; VAR13 <= 'd0; end else begin if (VAR84 == 1'b1) begin VAR32 <= VAR32 + 1'b1; end VAR5 <= VAR84; VAR1 <= VAR3; VAR69 <= VAR5; VAR87 <= VAR1; VAR13 <= VAR14; end end always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR61 <= 'd0; VAR63 <= 'd0; end else begin VAR61 <= VAR3; if (VAR58 == 1'b1) begin VAR63 <= VAR39; end else if (VAR61 == 1'b1) begin VAR63 <= VAR63 + VAR36; end end end assign VAR15 = 4'b0000; assign VAR92 = 2'b01; assign VAR41 = 1'b0; assign VAR57 = 4'b0010; assign VAR28 = 3'b000; assign VAR50 = 4'b0000; assign VAR7 = 4'b0001; assign VAR65 = VAR22 - 1; assign VAR90 = VAR2; always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR18 <= 'd0; VAR17 <= 'd0; end else begin if (VAR18 == 1'b1) begin if (VAR89 == 1'b1) begin VAR18 <= 1'b0; end end else begin if (VAR72 == 1'b1) begin VAR18 <= 1'b1; end end if (VAR58 == 1'b1) begin VAR17 <= VAR39; end else if ((VAR18 == 1'b1) && (VAR89 == 1'b1)) begin VAR17 <= VAR17 + VAR36; end end end assign VAR85 = {VAR75{1'b1}}; assign VAR25 = 4'b0000; assign VAR4 = 1'b1; always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR34 <= 'd0; end else begin VAR34 <= VAR48 & VAR4 & VAR98[1]; end end always @(posedge VAR81 or negedge VAR99) begin if (VAR99 == 1'b0) begin VAR8 <= 1'b1; end else begin VAR8 <= 1'b0; end end VAR26 #(.VAR11(VAR29)) VAR35 ( .clk (VAR81), .rst (VAR8), .valid (VAR69), .VAR97 (VAR87), .VAR74 (VAR13), .VAR77 (VAR38), .VAR46 (VAR62), .VAR68 (VAR93), .VAR91 (VAR88)); VAR16 #(.VAR11(VAR29), .VAR80(8)) VAR64 ( .VAR55 (VAR83), .VAR60 (VAR79), .VAR66 (VAR49), .VAR73 (VAR40), .VAR31 (VAR81), .VAR24 (VAR32), .VAR86 (VAR14)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a32oi/sky130_fd_sc_hdll__a32oi.functional.pp.v
2,260
module MODULE1 ( VAR12 , VAR1 , VAR15 , VAR3 , VAR7 , VAR6 , VAR9, VAR14, VAR13 , VAR16 ); output VAR12 ; input VAR1 ; input VAR15 ; input VAR3 ; input VAR7 ; input VAR6 ; input VAR9; input VAR14; input VAR13 ; input VAR16 ; wire VAR8 ; wire VAR10 ; wire VAR2 ; wire VAR11; nand VAR4 (VAR8 , VAR15, VAR1, VAR3 ); nand VAR20 (VAR10 , VAR6, VAR7 ); and VAR5 (VAR2 , VAR8, VAR10 ); VAR18 VAR17 (VAR11, VAR2, VAR9, VAR14); buf VAR19 (VAR12 , VAR11 ); endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v
6,735
module MODULE1 import VAR23::VAR13; ( input VAR13 VAR5 ,input VAR13 VAR22 ,input VAR27 ,output VAR35 ); wire VAR32; wire VAR20 = ~VAR27; VAR30 VAR19; wire VAR17; wire VAR24, VAR26; VAR3 ,.VAR4(1) ) VAR29 (.VAR5(VAR5) ,.VAR34(VAR19) ); VAR3 ,.VAR4(1) ) VAR11 (.VAR5(VAR22) ,.VAR34(VAR17) ); wire VAR12, VAR21; wire VAR33; wire [15:0] VAR9; assign #(VAR9) VAR33 = VAR32; VAR30 VAR1; always @(posedge VAR35 or posedge VAR27) VAR1 <= (VAR27)? '0 : ((VAR17)? VAR19 : VAR1); assign VAR9 = 125+((1<<VAR10(VAR1))-VAR1)*5; VAR39 VAR2 (.VAR28(VAR33) ,.VAR37 (VAR17 ) ,.VAR6(VAR22.en ) ,.VAR7(VAR20 ) ,.VAR38(VAR19.VAR18[0] ) ,.VAR31(VAR24 ) ,.VAR36(VAR12 ) ); VAR41 VAR40 (.VAR28 (VAR12) ,.VAR8 (VAR24) ,.VAR7(VAR20 ) ,.VAR38 (VAR19.VAR14 ) ,.VAR31 (VAR26) ,.VAR36 (VAR21) ); VAR16 VAR42 (.VAR28 (VAR21) ,.VAR8 (VAR26) ,.VAR7(VAR20) ,.VAR38 (VAR19.VAR15) ,.VAR36 (VAR32) ,.VAR25 (VAR35) ); endmodule
bsd-3-clause
Tsung-Wei/OpenTimer
benchmark/c499/c499.v
14,553
module MODULE1 ( VAR3, VAR16, VAR302, VAR12, VAR290, VAR172, VAR24, VAR132, VAR149, VAR167, VAR160, VAR70, VAR328, VAR71, VAR39, VAR207, VAR87, VAR158, VAR366, VAR159, VAR113, VAR179, VAR229, VAR29, VAR321, VAR193, VAR136, VAR192, VAR344, VAR349, VAR168, VAR208, VAR300, VAR295, VAR143, VAR354, VAR196, VAR378, VAR28, VAR42, VAR215, VAR201, VAR202, VAR162, VAR38, VAR217, VAR345, VAR243, VAR293, VAR9, VAR129, VAR84, VAR85, VAR173, VAR373, VAR187, VAR73, VAR255, VAR301, VAR59, VAR109, VAR244, VAR25, VAR51, VAR62, VAR342, VAR66, VAR147, VAR326, VAR96, VAR110, VAR200, VAR153); input VAR3; input VAR16; input VAR302; input VAR12; input VAR290; input VAR172; input VAR24; input VAR132; input VAR149; input VAR167; input VAR160; input VAR70; input VAR328; input VAR71; input VAR39; input VAR207; input VAR87; input VAR158; input VAR366; input VAR159; input VAR113; input VAR179; input VAR229; input VAR29; input VAR321; input VAR193; input VAR136; input VAR192; input VAR344; input VAR349; input VAR168; input VAR208; input VAR300; input VAR295; input VAR143; input VAR354; input VAR196; input VAR378; input VAR28; input VAR42; input VAR215; output VAR201; output VAR202; output VAR162; output VAR38; output VAR217; output VAR345; output VAR243; output VAR293; output VAR9; output VAR129; output VAR84; output VAR85; output VAR173; output VAR373; output VAR187; output VAR73; output VAR255; output VAR301; output VAR59; output VAR109; output VAR244; output VAR25; output VAR51; output VAR62; output VAR342; output VAR66; output VAR147; output VAR326; output VAR96; output VAR110; output VAR200; output VAR153; wire VAR393; wire VAR409; wire VAR162; wire VAR86; wire VAR361; wire VAR302; wire VAR5; wire VAR356; wire VAR4; wire VAR287; wire VAR52; wire VAR24; wire VAR252; wire VAR10; wire VAR245; wire VAR301; wire VAR294; wire VAR45; wire VAR63; wire VAR232; wire VAR54; wire VAR138; wire VAR99; wire VAR71; wire VAR68; wire VAR87; wire VAR200; wire VAR158; wire VAR366; wire VAR317; wire VAR322; wire VAR229; wire VAR65; wire VAR1; wire VAR115; wire VAR321; wire VAR390; wire VAR165; wire VAR192; wire VAR40; wire VAR139; wire VAR318; wire VAR73; wire VAR391; wire VAR109; wire VAR103; wire VAR384; wire VAR8; wire VAR300; wire VAR295; wire VAR143; wire VAR354; wire VAR238; wire VAR196; wire VAR223; wire VAR57; wire VAR272; wire VAR215; wire VAR400; wire VAR104; wire VAR184; wire VAR394; wire VAR3; wire VAR46; wire VAR154; wire VAR243; wire VAR330; wire VAR293; wire VAR290; wire VAR20; wire VAR34; wire VAR324; wire VAR149; wire VAR255; wire VAR181; wire VAR206; wire VAR218; wire VAR61; wire VAR382; wire VAR50; wire VAR211; wire VAR39; wire VAR367; wire VAR341; wire VAR235; wire VAR96; wire VAR316; wire VAR110; wire VAR254; wire VAR307; wire VAR268; wire VAR292; wire VAR345; wire VAR273; wire VAR403; wire VAR84; wire VAR186; wire VAR349; wire VAR340; wire VAR385; wire VAR107; wire VAR187; wire VAR357; wire VAR128; wire VAR25; wire VAR266; wire VAR16; wire VAR256; wire VAR74; wire VAR377; wire VAR173; wire VAR85; wire VAR242; wire VAR106; wire VAR118; wire VAR237; wire VAR286; wire VAR101; wire VAR368; wire VAR132; wire VAR315; wire VAR160; wire VAR70; wire VAR62; wire VAR205; wire VAR241; wire VAR11; wire VAR180; wire VAR240; wire VAR326; wire VAR153; wire VAR113; wire VAR179; wire VAR202; wire VAR221; wire VAR325; wire VAR359; wire VAR55; wire VAR193; wire VAR9; wire VAR17; wire VAR136; wire VAR76; wire VAR208; wire VAR59; wire VAR49; wire VAR53; wire VAR339; wire VAR183; wire VAR397; wire VAR269; wire VAR311; wire VAR66; wire VAR312; wire VAR335; wire VAR120; wire VAR365; wire VAR264; wire VAR379; wire VAR283; wire VAR348; wire VAR38; wire VAR47; wire VAR358; wire VAR12; wire VAR129; wire VAR172; wire VAR398; wire VAR320; wire VAR373; wire VAR100; wire VAR352; wire VAR190; wire VAR191; wire VAR167; wire VAR124; wire VAR244; wire VAR402; wire VAR369; wire VAR328; wire VAR285; wire VAR133; wire VAR64; wire VAR182; wire VAR207; wire VAR166; wire VAR260; wire VAR201; wire VAR159; wire VAR32; wire VAR319; wire VAR217; wire VAR29; wire VAR150; wire VAR189; wire VAR344; wire VAR168; wire VAR329; wire VAR338; wire VAR151; wire VAR155; wire VAR98; wire VAR130; wire VAR267; wire VAR51; wire VAR342; wire VAR134; wire VAR79; wire VAR298; wire VAR239; wire VAR203; wire VAR378; wire VAR28; wire VAR91; wire VAR147; wire VAR42; wire VAR327; VAR146 VAR265 ( .VAR248(VAR124), .VAR140(VAR99), .VAR148(VAR315) ); VAR89 VAR88 ( .VAR347(VAR130), .VAR148(VAR244), .VAR19(VAR70) ); VAR146 VAR48 ( .VAR148(VAR218), .VAR140(VAR132), .VAR248(VAR12) ); VAR146 VAR41 ( .VAR248(VAR211), .VAR140(VAR391), .VAR148(VAR254) ); VAR146 VAR284 ( .VAR140(VAR91), .VAR148(VAR317), .VAR248(VAR98) ); VAR82 VAR177 ( .VAR407(VAR269), .VAR19(VAR3), .VAR347(VAR159) ); VAR146 VAR274 ( .VAR140(VAR400), .VAR248(VAR138), .VAR148(VAR335) ); VAR82 VAR111 ( .VAR407(VAR268), .VAR19(VAR366), .VAR347(VAR42) ); VAR280 VAR164 ( .VAR148(VAR256), .VAR140(VAR76), .VAR248(VAR138) ); VAR82 VAR296 ( .VAR407(VAR283), .VAR19(VAR16), .VAR347(VAR215) ); VAR146 VAR117 ( .VAR248(VAR45), .VAR148(VAR139), .VAR140(VAR205) ); VAR89 VAR209 ( .VAR347(VAR107), .VAR148(VAR73), .VAR19(VAR321) ); VAR146 VAR116 ( .VAR140(VAR99), .VAR148(VAR252), .VAR248(VAR359) ); VAR146 VAR299 ( .VAR140(VAR256), .VAR148(VAR40), .VAR248(VAR98) ); VAR89 VAR198 ( .VAR148(VAR318), .VAR347(VAR394), .VAR19(VAR104) ); VAR353 VAR97 ( .VAR19(VAR98), .VAR148(VAR340) ); VAR89 VAR224 ( .VAR347(VAR242), .VAR148(VAR373), .VAR19(VAR349) ); VAR89 VAR83 ( .VAR148(VAR409), .VAR347(VAR268), .VAR19(VAR155) ); VAR82 VAR250 ( .VAR407(VAR134), .VAR19(VAR136), .VAR347(VAR158) ); VAR146 VAR105 ( .VAR148(VAR330), .VAR140(VAR132), .VAR248(VAR149) ); VAR94 VAR332 ( .VAR140(VAR211), .VAR148(VAR76), .VAR372(VAR298), .VAR248(VAR223) ); VAR82 VAR81 ( .VAR407(VAR49), .VAR19(VAR168), .VAR347(VAR208) ); VAR82 VAR351 ( .VAR407(VAR104), .VAR19(VAR321), .VAR347(VAR71) ); VAR94 VAR169 ( .VAR248(VAR340), .VAR148(VAR150), .VAR140(VAR341), .VAR372(VAR115) ); VAR89 VAR231 ( .VAR347(VAR65), .VAR148(VAR200), .VAR19(VAR158) ); VAR146 VAR44 ( .VAR148(VAR368), .VAR248(VAR45), .VAR140(VAR256) ); VAR82 VAR131 ( .VAR407(VAR357), .VAR19(VAR302), .VAR347(VAR3) ); VAR89 VAR72 ( .VAR148(VAR53), .VAR19(VAR113), .VAR347(VAR159) ); VAR89 VAR15 ( .VAR148(VAR189), .VAR347(VAR181), .VAR19(VAR120) ); VAR89 VAR331 ( .VAR347(VAR367), .VAR148(VAR84), .VAR19(VAR24) ); VAR89 VAR56 ( .VAR148(VAR61), .VAR19(VAR189), .VAR347(VAR64) ); VAR89 VAR310 ( .VAR347(VAR68), .VAR148(VAR153), .VAR19(VAR207) ); VAR146 VAR213 ( .VAR140(VAR397), .VAR248(VAR98), .VAR148(VAR245) ); VAR89 VAR197 ( .VAR148(VAR379), .VAR19(VAR357), .VAR347(VAR382) ); VAR146 VAR401 ( .VAR140(VAR55), .VAR148(VAR5), .VAR248(VAR183) ); VAR146 VAR395 ( .VAR148(VAR238), .VAR248(VAR124), .VAR140(VAR8) ); VAR89 VAR174 ( .VAR347(VAR312), .VAR148(VAR147), .VAR19(VAR215) ); VAR89 VAR323 ( .VAR347(VAR206), .VAR148(VAR301), .VAR19(VAR136) ); VAR89 VAR93 ( .VAR347(VAR393), .VAR148(VAR59), .VAR19(VAR354) ); VAR82 VAR122 ( .VAR407(VAR369), .VAR19(VAR328), .VAR347(VAR136) ); VAR89 VAR210 ( .VAR347(VAR124), .VAR19(VAR211), .VAR148(VAR232) ); VAR146 VAR125 ( .VAR248(VAR359), .VAR140(VAR391), .VAR148(VAR260) ); VAR146 VAR246 ( .VAR140(VAR55), .VAR148(VAR130), .VAR248(VAR211) ); VAR89 VAR251 ( .VAR148(VAR338), .VAR19(VAR61), .VAR347(VAR190) ); VAR146 VAR233 ( .VAR148(VAR103), .VAR140(VAR320), .VAR248(VAR184) ); VAR89 VAR313 ( .VAR148(VAR385), .VAR19(VAR134), .VAR347(VAR294) ); VAR89 VAR170 ( .VAR148(VAR266), .VAR19(VAR46), .VAR347(VAR356) ); VAR353 VAR102 ( .VAR148(VAR124), .VAR19(VAR223) ); VAR280 VAR195 ( .VAR148(VAR91), .VAR248(VAR400), .VAR140(VAR352) ); VAR89 VAR288 ( .VAR148(VAR356), .VAR19(VAR365), .VAR347(VAR53) ); VAR146 VAR212 ( .VAR248(VAR241), .VAR148(VAR128), .VAR140(VAR4) ); VAR146 VAR226 ( .VAR148(VAR273), .VAR140(VAR99), .VAR248(VAR183) ); VAR82 VAR343 ( .VAR407(VAR322), .VAR19(VAR229), .VAR347(VAR168) ); VAR146 VAR225 ( .VAR248(VAR359), .VAR148(VAR327), .VAR140(VAR8) ); VAR89 VAR282 ( .VAR347(VAR128), .VAR148(VAR293), .VAR19(VAR196) ); VAR89 VAR137 ( .VAR148(VAR348), .VAR19(VAR46), .VAR347(VAR79) ); VAR146 VAR2 ( .VAR248(VAR183), .VAR148(VAR390), .VAR140(VAR391) ); VAR89 VAR355 ( .VAR347(VAR106), .VAR148(VAR38), .VAR19(VAR328) ); VAR353 VAR337 ( .VAR19(VAR211), .VAR148(VAR329) ); VAR89 VAR178 ( .VAR347(VAR252), .VAR148(VAR51), .VAR19(VAR290) ); VAR89 VAR36 ( .VAR148(VAR211), .VAR347(VAR180), .VAR19(VAR292) ); VAR89 VAR253 ( .VAR347(VAR390), .VAR148(VAR129), .VAR19(VAR300) ); VAR89 VAR145 ( .VAR148(VAR319), .VAR19(VAR20), .VAR347(VAR118) ); VAR353 VAR123 ( .VAR19(VAR241), .VAR148(VAR205) ); VAR89 VAR7 ( .VAR148(VAR86), .VAR347(VAR319), .VAR19(VAR325) ); VAR146 VAR408 ( .VAR140(VAR139), .VAR248(VAR32), .VAR148(VAR115) ); VAR89 VAR360 ( .VAR148(VAR203), .VAR19(VAR172), .VAR347(VAR70) ); VAR146 VAR396 ( .VAR140(VAR256), .VAR148(VAR65), .VAR248(VAR100) ); VAR280 VAR350 ( .VAR148(VAR99), .VAR140(VAR377), .VAR248(VAR32) ); VAR89 VAR75 ( .VAR148(VAR341), .VAR347(VAR338), .VAR19(VAR385) ); VAR228 VAR14 ( .VAR140(VAR241), .VAR248(VAR45), .VAR148(VAR182), .VAR372(VAR239) ); VAR89 VAR387 ( .VAR148(VAR45), .VAR347(VAR235), .VAR19(VAR402) ); VAR146 VAR222 ( .VAR148(VAR272), .VAR140(VAR132), .VAR248(VAR193) ); VAR89 VAR227 ( .VAR148(VAR241), .VAR347(VAR358), .VAR19(VAR47) ); VAR146 VAR30 ( .VAR148(VAR52), .VAR140(VAR132), .VAR248(VAR39) ); VAR89 VAR161 ( .VAR347(VAR361), .VAR148(VAR342), .VAR19(VAR3) ); VAR89 VAR188 ( .VAR148(VAR118), .VAR19(VAR321), .VAR347(VAR16) ); VAR82 VAR236 ( .VAR407(VAR365), .VAR19(VAR42), .VAR347(VAR354) ); VAR82 VAR289 ( .VAR407(VAR133), .VAR19(VAR229), .VAR347(VAR24) ); VAR146 VAR121 ( .VAR248(VAR45), .VAR148(VAR242), .VAR140(VAR4) ); VAR82 VAR18 ( .VAR407(VAR285), .VAR19(VAR24), .VAR347(VAR208) ); VAR94 VAR406 ( .VAR140(VAR100), .VAR148(VAR377), .VAR248(VAR340), .VAR372(VAR103) ); VAR89 VAR171 ( .VAR148(VAR394), .VAR19(VAR295), .VAR347(VAR172) ); VAR82 VAR336 ( .VAR407(VAR181), .VAR19(VAR71), .VAR347(VAR215) ); VAR146 VAR108 ( .VAR148(VAR398), .VAR140(VAR132), .VAR248(VAR87) ); VAR146 VAR364 ( .VAR248(VAR124), .VAR148(VAR107), .VAR140(VAR391) ); VAR146 VAR175 ( .VAR248(VAR98), .VAR140(VAR4), .VAR148(VAR106) ); VAR89 VAR127 ( .VAR148(VAR180), .VAR19(VAR266), .VAR347(VAR240) ); VAR230 VAR43 ( .VAR148(VAR391), .VAR248(VAR340), .VAR140(VAR32), .VAR372(VAR154) ); VAR89 VAR156 ( .VAR347(VAR5), .VAR148(VAR326), .VAR19(VAR229) ); VAR89 VAR383 ( .VAR148(VAR235), .VAR19(VAR54), .VAR347(VAR272) ); VAR89 VAR257 ( .VAR148(VAR50), .VAR19(VAR74), .VAR347(VAR49) ); VAR89 VAR262 ( .VAR347(VAR191), .VAR148(VAR217), .VAR19(VAR344) ); VAR89 VAR176 ( .VAR148(VAR316), .VAR19(VAR379), .VAR347(VAR79) ); VAR89 VAR277 ( .VAR148(VAR223), .VAR347(VAR151), .VAR19(VAR318) ); VAR89 VAR258 ( .VAR148(VAR64), .VAR19(VAR322), .VAR347(VAR203) ); VAR89 VAR219 ( .VAR347(VAR315), .VAR148(VAR85), .VAR19(VAR71) ); VAR146 VAR305 ( .VAR148(VAR298), .VAR140(VAR150), .VAR248(VAR182) ); VAR89 VAR80 ( .VAR148(VAR1), .VAR19(VAR349), .VAR347(VAR196) ); VAR89 VAR204 ( .VAR347(VAR101), .VAR148(VAR345), .VAR19(VAR172) ); VAR399 VAR388 ( .VAR140(VAR45), .VAR148(VAR32), .VAR248(VAR205) ); VAR146 VAR119 ( .VAR148(VAR361), .VAR140(VAR91), .VAR248(VAR241) ); VAR89 VAR37 ( .VAR148(VAR325), .VAR347(VAR285), .VAR19(VAR63) ); VAR82 VAR281 ( .VAR407(VAR264), .VAR19(VAR300), .VAR347(VAR167) ); VAR146 VAR114 ( .VAR140(VAR8), .VAR248(VAR211), .VAR148(VAR191) ); VAR89 VAR308 ( .VAR148(VAR47), .VAR347(VAR269), .VAR19(VAR186) ); VAR82 VAR199 ( .VAR407(VAR34), .VAR19(VAR349), .VAR347(VAR143) ); VAR89 VAR220 ( .VAR148(VAR151), .VAR19(VAR316), .VAR347(VAR398) ); VAR89 VAR234 ( .VAR148(VAR183), .VAR347(VAR307), .VAR19(VAR286) ); VAR82 VAR314 ( .VAR407(VAR20), .VAR19(VAR300), .VAR347(VAR28) ); VAR89 VAR194 ( .VAR347(VAR273), .VAR148(VAR187), .VAR19(VAR167) ); VAR89 VAR185 ( .VAR148(VAR311), .VAR19(VAR86), .VAR347(VAR218) ); VAR146 VAR279 ( .VAR148(VAR166), .VAR140(VAR55), .VAR248(VAR359) ); VAR146 VAR291 ( .VAR148(VAR312), .VAR140(VAR99), .VAR248(VAR211) ); VAR89 VAR6 ( .VAR347(VAR10), .VAR148(VAR62), .VAR19(VAR159) ); VAR146 VAR392 ( .VAR140(VAR91), .VAR148(VAR68), .VAR248(VAR100) ); VAR146 VAR142 ( .VAR148(VAR190), .VAR140(VAR132), .VAR248(VAR192) ); VAR82 VAR247 ( .VAR407(VAR165), .VAR19(VAR143), .VAR347(VAR378) ); VAR82 VAR303 ( .VAR407(VAR74), .VAR19(VAR28), .VAR347(VAR290) ); VAR89 VAR67 ( .VAR347(VAR339), .VAR148(VAR201), .VAR19(VAR378) ); VAR82 VAR23 ( .VAR407(VAR63), .VAR19(VAR295), .VAR347(VAR344) ); VAR146 VAR58 ( .VAR148(VAR339), .VAR248(VAR241), .VAR140(VAR256) ); VAR82 VAR381 ( .VAR407(VAR186), .VAR19(VAR196), .VAR347(VAR378) ); VAR280 VAR26 ( .VAR148(VAR4), .VAR248(VAR138), .VAR140(VAR352) ); VAR353 VAR275 ( .VAR148(VAR100), .VAR19(VAR341) ); VAR89 VAR371 ( .VAR148(VAR237), .VAR347(VAR356), .VAR19(VAR379) ); VAR146 VAR92 ( .VAR148(VAR154), .VAR140(VAR103), .VAR248(VAR341) ); VAR89 VAR278 ( .VAR148(VAR46), .VAR19(VAR165), .VAR347(VAR11) ); VAR146 VAR22 ( .VAR148(VAR240), .VAR140(VAR132), .VAR248(VAR29) ); VAR89 VAR152 ( .VAR347(VAR403), .VAR148(VAR173), .VAR19(VAR302) ); VAR146 VAR309 ( .VAR248(VAR183), .VAR148(VAR138), .VAR140(VAR267) ); VAR146 VAR404 ( .VAR248(VAR100), .VAR140(VAR4), .VAR148(VAR206) ); VAR146 VAR163 ( .VAR248(VAR45), .VAR148(VAR57), .VAR140(VAR397) ); VAR89 VAR263 ( .VAR148(VAR307), .VAR19(VAR348), .VAR347(VAR52) ); VAR89 VAR304 ( .VAR148(VAR79), .VAR347(VAR1), .VAR19(VAR369) ); VAR146 VAR21 ( .VAR140(VAR397), .VAR248(VAR100), .VAR148(VAR393) ); VAR89 VAR216 ( .VAR347(VAR368), .VAR148(VAR96), .VAR19(VAR143) ); VAR89 VAR60 ( .VAR148(VAR384), .VAR19(VAR237), .VAR347(VAR330) ); VAR89 VAR276 ( .VAR148(VAR286), .VAR19(VAR264), .VAR347(VAR133) ); VAR89 VAR386 ( .VAR347(VAR57), .VAR148(VAR255), .VAR19(VAR113) ); VAR89 VAR214 ( .VAR347(VAR327), .VAR148(VAR9), .VAR19(VAR208) ); VAR82 VAR270 ( .VAR407(VAR382), .VAR19(VAR366), .VAR347(VAR207) ); VAR82 VAR405 ( .VAR407(VAR120), .VAR19(VAR167), .VAR347(VAR290) ); VAR82 VAR90 ( .VAR407(VAR17), .VAR19(VAR302), .VAR347(VAR113) ); VAR89 VAR27 ( .VAR148(VAR359), .VAR347(VAR384), .VAR19(VAR50) ); VAR89 VAR362 ( .VAR347(VAR317), .VAR148(VAR162), .VAR19(VAR366) ); VAR89 VAR297 ( .VAR148(VAR294), .VAR19(VAR207), .VAR347(VAR354) ); VAR230 VAR306 ( .VAR148(VAR8), .VAR140(VAR139), .VAR248(VAR340), .VAR372(VAR154) ); VAR89 VAR346 ( .VAR148(VAR98), .VAR347(VAR311), .VAR19(VAR409) ); VAR280 VAR141 ( .VAR148(VAR55), .VAR140(VAR377), .VAR248(VAR139) ); VAR89 VAR95 ( .VAR347(VAR238), .VAR148(VAR25), .VAR19(VAR295) ); VAR89 VAR376 ( .VAR148(VAR358), .VAR19(VAR287), .VAR347(VAR221) ); VAR82 VAR112 ( .VAR407(VAR324), .VAR19(VAR344), .VAR347(VAR70) ); VAR89 VAR363 ( .VAR148(VAR54), .VAR19(VAR325), .VAR347(VAR64) ); VAR94 VAR135 ( .VAR248(VAR124), .VAR148(VAR352), .VAR372(VAR298), .VAR140(VAR329) ); VAR146 VAR126 ( .VAR248(VAR241), .VAR148(VAR10), .VAR140(VAR397) ); VAR82 VAR370 ( .VAR407(VAR11), .VAR19(VAR179), .VAR347(VAR158) ); VAR94 VAR259 ( .VAR148(VAR320), .VAR248(VAR223), .VAR140(VAR329), .VAR372(VAR335) ); VAR89 VAR157 ( .VAR347(VAR166), .VAR148(VAR110), .VAR19(VAR168) ); VAR146 VAR389 ( .VAR148(VAR101), .VAR248(VAR124), .VAR140(VAR55) ); VAR280 VAR261 ( .VAR148(VAR397), .VAR248(VAR400), .VAR140(VAR76) ); VAR146 VAR374 ( .VAR148(VAR403), .VAR140(VAR91), .VAR248(VAR45) ); VAR89 VAR334 ( .VAR347(VAR40), .VAR148(VAR66), .VAR19(VAR179) ); VAR89 VAR333 ( .VAR148(VAR287), .VAR347(VAR319), .VAR19(VAR189) ); VAR89 VAR271 ( .VAR347(VAR260), .VAR148(VAR109), .VAR19(VAR28) ); VAR399 VAR31 ( .VAR140(VAR183), .VAR148(VAR400), .VAR248(VAR267) ); VAR228 VAR380 ( .VAR248(VAR183), .VAR140(VAR359), .VAR148(VAR184), .VAR372(VAR232) ); VAR146 VAR249 ( .VAR248(VAR183), .VAR140(VAR8), .VAR148(VAR367) ); VAR89 VAR13 ( .VAR148(VAR292), .VAR347(VAR324), .VAR19(VAR283) ); VAR89 VAR77 ( .VAR148(VAR402), .VAR19(VAR34), .VAR347(VAR17) ); VAR146 VAR33 ( .VAR148(VAR221), .VAR140(VAR132), .VAR248(VAR160) ); VAR89 VAR144 ( .VAR347(VAR100), .VAR19(VAR98), .VAR148(VAR239) ); VAR82 VAR69 ( .VAR407(VAR155), .VAR19(VAR328), .VAR347(VAR179) ); VAR353 VAR78 ( .VAR19(VAR359), .VAR148(VAR267) ); VAR89 VAR375 ( .VAR347(VAR254), .VAR148(VAR202), .VAR19(VAR16) ); VAR89 VAR35 ( .VAR347(VAR245), .VAR148(VAR243), .VAR19(VAR42) ); endmodule
gpl-3.0
parallella/oh
common/hdl/oh_crc.v
1,364
module MODULE1 #( parameter VAR5 = "VAR9", parameter VAR8 = 8) ( input [VAR8-1:0] VAR6, input [VAR7-1:0] VAR1, output [VAR7-1:0] VAR3 ); localparam VAR7 = 32; generate if(VAR5=="VAR9") begin if(VAR8==8) VAR10 VAR4( .VAR3 (VAR3[31:0]), .VAR6 (VAR6[7:0]), .VAR1 (VAR1[31:0])); end else if(VAR8==64) VAR2 VAR4( .VAR3 (VAR3[31:0]), .VAR6 (VAR6[63:0]), .VAR1 (VAR1[31:0])); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o311ai/sky130_fd_sc_lp__o311ai.pp.symbol.v
1,387
module MODULE1 ( input VAR7 , input VAR9 , input VAR6 , input VAR2 , input VAR8 , output VAR5 , input VAR10 , input VAR3, input VAR1, input VAR4 ); endmodule
apache-2.0
fpgasystems/caribou
hw/src/nukv/nukv_predicate_eval.v
11,345
module MODULE1 #( parameter VAR39 = 512, parameter VAR31 = 96, parameter VAR37 = 1, parameter VAR38 = 0 ) ( input wire clk, input wire rst, input wire [VAR31+VAR39-1:0] VAR11, input wire VAR32, input wire VAR47, output reg VAR30, input wire [VAR39-1:0] VAR20, input wire VAR40, input wire VAR18, input wire VAR43, output wire VAR41, output wire [VAR39-1:0] VAR25, output wire VAR8, output wire VAR52, output wire VAR45, input wire VAR61, output reg VAR5, output reg[15:0] VAR53, output reg[VAR31-1:0] VAR22, input wire VAR54, input VAR17, output reg VAR29 ); localparam[2:0] VAR56 = 0, VAR58 = 1, VAR13 = 2; reg[2:0] state; wire[16+31:0] VAR15; wire[VAR31-1: 0] VAR23; assign VAR15 = VAR11[VAR31 +: 48]; assign VAR23 = VAR11[0 +: VAR31]; reg[11:0] VAR28; reg[3:0] VAR4; reg[31:0] VAR9; reg VAR62; wire VAR14; reg[VAR39-1:0] VAR1; reg VAR2; reg VAR19; wire VAR24; wire VAR44; wire[VAR39-1:0] VAR63; wire VAR59; wire VAR6; reg[VAR31-1: 0] VAR42; reg VAR48; reg[15:0] VAR33; reg[15:0] VAR64; reg[15:0] VAR36; reg VAR55; reg VAR12; wire VAR26; reg VAR10; wire VAR3 = (state==VAR56) ? (VAR32 | VAR55) : VAR10; assign VAR41 = (VAR3 & VAR14); reg[31:0] VAR50[0:61]; reg[VAR39-1:0] VAR51; reg VAR27; reg VAR49; reg VAR7; integer VAR21; always @(posedge clk) begin if (rst) begin state <= VAR56; VAR62 <= 0; VAR30 <= 0; VAR55 <= 0; if (VAR37==1) begin VAR5 <= 0; end VAR12 <= 0; VAR29 <= 0; VAR10 <= 0; VAR27 <= 0; end else begin VAR29 <= 0; if (VAR37==1 && VAR5==1 && VAR54==1) begin VAR5 <= 0; end if (VAR62==1 && VAR14==1) begin VAR62 <= 0; VAR19 <= 0; VAR2 <= 0; end VAR30 <= 0; if (VAR27==1 && VAR14==1) begin VAR27 <= 0; end if (VAR40==1 && VAR41==1) begin for (VAR21=0; VAR21<61; VAR21=VAR21+1) begin VAR50[VAR21][31:0] <= VAR20[VAR21*8 +: 32]; end VAR51 <= VAR20; VAR49 <= VAR43; VAR27 <= 1; VAR7 <= VAR18; end case (state) VAR56: begin if (VAR32==1 && VAR40==1 && VAR14==1 && (VAR38==0 || VAR17==0 || (VAR38==1 && VAR47==1))) begin VAR55 <= VAR38==1 ? VAR47 : 0; VAR12 <= VAR47; VAR30 <= 1; VAR28 <= VAR15[11:0]; VAR4 <= VAR15[15:12]; VAR9 <= VAR15[16 +: 32]; VAR42 <= VAR23; VAR33 <= 0; VAR36 <= 128; VAR64 <= VAR20[15:0]; state <= VAR58; VAR48 <= 0; VAR2 <= 0; VAR10 <= 1; if (VAR20[15:0] <= 64) begin state <= VAR13; if (VAR40==1 && VAR41==1) begin VAR10 <= 0; end end end else if (VAR38==1 && VAR55==1 && VAR40==1 && VAR14==1) begin VAR12 <= 1; VAR33 <= 0; VAR36 <= 128; VAR64 <= VAR20[15:0]; state <= VAR58; VAR48 <= 0; VAR2 <= 0; VAR10 <= 1; if (VAR20[15:0] <= 64) begin if (VAR20>0) begin state <= VAR13; end else begin state <= VAR56; end if (VAR40==1 && VAR41==1) begin VAR10 <= 0; end end end else if (VAR38==1 && VAR32==1 && VAR47==1 && VAR30==0) begin VAR55 <= 1; VAR30 <= 1; VAR28 <= VAR15[11:0]; VAR4 <= VAR15[15:12]; VAR9 <= VAR15[16 +: 32]; VAR42 <= VAR23; end end VAR58: begin if (VAR27==1 && VAR14==1) begin VAR33 <= VAR33+64; VAR36 <= VAR33+64+128; if (VAR36>=VAR64) begin state <= VAR13; if (VAR40==1 && VAR41==1) begin VAR10 <= 0; end end else begin state <= VAR58; end if (VAR28!=0 && VAR28<VAR33+64 && VAR28>=VAR33) begin VAR48 <= 0; case (VAR4) 4'b0000 : if (VAR50[VAR28]!=VAR9) begin VAR48 <= 1; end 4'b0001 : if (VAR50[VAR28]>VAR9) begin VAR48 <= 1; end 4'b0010 : if (VAR50[VAR28]<VAR9) begin VAR48 <= 1; end 4'b0011 : if (VAR50[VAR28]==VAR9) begin VAR48 <= 1; end endcase end VAR62 <= 1; VAR2 <= 0; VAR19 <= 0; VAR1 <= VAR51; end end VAR13: begin if (VAR40==1 && VAR10==0) begin VAR10 <= 1; end else begin VAR10 <= 0; end if (VAR40==1 && VAR41==1) begin VAR10 <= 0; end if (VAR27==1 && VAR14==1) begin VAR62 <= 1; VAR2 <= VAR49 | VAR48; VAR19 <= 1; VAR1 <= VAR51; if (VAR37==1 && VAR55==1 && VAR12==1) begin VAR5 <= 1; VAR53 <= (VAR64+7)/8; VAR22 <= VAR42; if (VAR64==0 || VAR64>1024) begin VAR29 <= 1; VAR53 <= 4; VAR2 <= 1; end end if (VAR28!=0 && VAR28<VAR33+64 && VAR28>=VAR33) begin case (VAR4) 4'b0000 : if (VAR50[VAR28]!=VAR9) begin VAR2 <= 1; end 4'b0001 : if (VAR50[VAR28]>VAR9) begin VAR2 <= 1; end 4'b0010 : if (VAR50[VAR28]<VAR9) begin VAR2 <= 1; end 4'b0011 : if (VAR50[VAR28]==VAR9) begin VAR2 <= 1; end endcase end state <= VAR56; VAR10 <= 0; VAR12 <= 0; end end endcase end end VAR34 #( .VAR16(VAR39+2) ) VAR57 ( .clk(clk), .rst(rst), .VAR46(VAR62), .VAR60(VAR14), .VAR35({VAR2, VAR19, VAR1}), .VAR62(VAR8), .VAR14(VAR61), .VAR1({VAR45, VAR52, VAR25}) ); endmodule
gpl-3.0
samyk/proxmark3
fpga/min_max_tracker.v
2,395
module MODULE1(input clk, input [7:0] VAR7, input [7:0] VAR1, output [7:0] VAR6, output [7:0] VAR5); reg [7:0] VAR2 = 255; reg [7:0] VAR4 = 0; reg [7:0] VAR8 = 255; reg [7:0] VAR3 = 0; reg [1:0] state = 0; always @(posedge clk) begin case (state) 0: begin if (VAR3 >= ({1'b0, VAR7} + VAR1)) state <= 2; end else if (VAR7 >= ({1'b0, VAR8} + VAR1)) state <= 1; if (VAR3 <= VAR7) VAR3 <= VAR7; end else if (VAR7 <= VAR8) VAR8 <= VAR7; end 1: begin if (VAR3 <= VAR7) VAR3 <= VAR7; end else if (({1'b0, VAR7} + VAR1) <= VAR3) begin state <= 2; VAR8 <= VAR7; VAR4 <= VAR3; end end 2: begin if (VAR7 <= VAR8) VAR8 <= VAR7; end else if (VAR7 >= ({1'b0, VAR8} + VAR1)) begin state <= 1; VAR3 <= VAR7; VAR2 <= VAR8; end end endcase end assign VAR6 = VAR2; assign VAR5 = VAR4; endmodule
gpl-2.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/decoder_axi_modules/decoder_axi_m_v1_0.v
5,819
module MODULE1 # ( parameter integer VAR13 = 16, parameter integer VAR94 = 1, parameter integer VAR53 = 32, parameter integer VAR73 = 32, parameter integer VAR4 = 0, parameter integer VAR116 = 0, parameter integer VAR97 = 0, parameter integer VAR100 = 0, parameter integer VAR76 = 0 ) ( output wire [31:0] VAR35, output wire VAR64, output wire VAR25, output wire VAR2, output wire VAR120, input wire VAR28, input wire VAR109, input wire [VAR53-1:0] VAR30, input wire [VAR53-1:0] VAR50, input wire [31:0] VAR117, output wire VAR51, input wire VAR118, input wire VAR54, output wire [VAR94-1 : 0] VAR65, output wire [VAR53-1 : 0] VAR58, output wire [7 : 0] VAR36, output wire [2 : 0] VAR47, output wire [1 : 0] VAR95, output wire VAR101, output wire [3 : 0] VAR85, output wire [2 : 0] VAR108, output wire [3 : 0] VAR105, output wire [VAR4-1 : 0] VAR33, output wire VAR102, input wire VAR27, output wire [VAR73-1 : 0] VAR79, output wire [VAR73/8-1 : 0] VAR86, output wire VAR41, output wire [VAR97-1 : 0] VAR84, output wire VAR83, input wire VAR61, input wire [VAR94-1 : 0] VAR52, input wire [1 : 0] VAR114, input wire [VAR76-1 : 0] VAR60, input wire VAR111, output wire VAR34, output wire [VAR94-1 : 0] VAR98, output wire [VAR53-1 : 0] VAR93, output wire [7 : 0] VAR69, output wire [2 : 0] VAR91, output wire [1 : 0] VAR59, output wire VAR82, output wire [3 : 0] VAR68, output wire [2 : 0] VAR38, output wire [3 : 0] VAR112, output wire [VAR116-1 : 0] VAR89, output wire VAR29, input wire VAR10, input wire [VAR94-1 : 0] VAR45, input wire [VAR73-1 : 0] VAR66, input wire [1 : 0] VAR37, input wire VAR7, input wire [VAR100-1 : 0] VAR22, input wire VAR21, output wire VAR96 ); VAR80 # ( .VAR67(VAR13), .VAR113(VAR94), .VAR18(VAR53), .VAR6(VAR73), .VAR46(VAR4), .VAR3(VAR116), .VAR107(VAR97), .VAR11(VAR100), .VAR14(VAR76) ) VAR17 ( .VAR28 ( VAR28 ), .VAR109 ( VAR109 ), .VAR30 ( VAR30 ), .VAR50 ( VAR50 ), .VAR117 ( VAR117 ), .VAR120 ( VAR120 ), .VAR25 ( VAR25 ), .VAR2 ( VAR2 ), .VAR32(VAR51), .VAR70(VAR118), .VAR40(VAR54), .VAR77(VAR65), .VAR62(VAR58), .VAR31(VAR36), .VAR43(VAR47), .VAR75(VAR95), .VAR72(VAR101), .VAR24(VAR85), .VAR71(VAR108), .VAR92(VAR105), .VAR74(VAR33), .VAR42(VAR102), .VAR12(VAR27), .VAR23(VAR79), .VAR16(VAR86), .VAR39(VAR41), .VAR106(VAR84), .VAR119(VAR83), .VAR48(VAR61), .VAR103(VAR52), .VAR49(VAR114), .VAR88(VAR60), .VAR9(VAR111), .VAR20(VAR34), .VAR8(VAR98), .VAR15(VAR93), .VAR44(VAR69), .VAR19(VAR91), .VAR57(VAR59), .VAR104(VAR82), .VAR115(VAR68), .VAR1(VAR38), .VAR99(VAR112), .VAR78(VAR89), .VAR110(VAR29), .VAR63(VAR10), .VAR26(VAR45), .VAR55(VAR66), .VAR81(VAR37), .VAR5(VAR7), .VAR90(VAR22), .VAR87(VAR21), .VAR56(VAR96) ); assign VAR35 = VAR66; assign VAR64 = VAR21 && VAR96; endmodule
gpl-3.0
Arlet/vga16
async_fifo.v
1,276
module MODULE1( input VAR16, input [17:0] in, input wr, output VAR11, input VAR27, output [17:0] out, input rd, output VAR15 ); reg [10:0] heada = 0; reg [10:0] headab = 0; reg [10:0] headb = 0; reg [10:0] VAR23 = 0; reg [10:0] VAR2 = 0; reg [10:0] VAR3 = 0; wire [10:0] VAR1 = (heada - VAR3); assign VAR11 = (VAR1 >= 11'h200); assign VAR15 = (VAR23 == headb); VAR4 VAR6( .VAR13(VAR16), .VAR8(heada[9:0]), .VAR26(in[15:0]), .VAR19(in[17:16]), .VAR5(1'b1), .VAR10(wr & ~VAR11), .VAR17(1'b0), .VAR25(VAR27), .VAR9(VAR23[9:0]), .VAR24(out[15:0]), .VAR7(out[17:16]), .VAR21(rd & ~VAR15), .VAR20(1'b0), .VAR22(1'b0) ); VAR18 VAR18( .VAR16(VAR16), .VAR27(VAR27), .VAR12(VAR12), .VAR14(VAR14) ); always @(posedge VAR16) if( wr & ~VAR11 ) heada <= heada + 1; always @(posedge VAR16) if( VAR12 ) begin headab <= heada; VAR3 <= VAR2; end always @(posedge VAR27) if( VAR14 ) begin headb <= headab; VAR2 <= VAR23; end always @(posedge VAR27) if( rd & ~VAR15 ) VAR23 <= VAR23 + 1; endmodule
lgpl-2.1
oddball/genMem
rtl/twoPortMem.v
4,543
module MODULE1 ( VAR9, VAR12, VAR13, VAR3, VAR8, VAR4, VAR11, VAR7); parameter VAR1 = 32; parameter VAR10 = 8; parameter VAR2 = 0; parameter VAR5 = 1; localparam VAR6 =VAR14(VAR1); input [VAR6-1:0] VAR9; input VAR12; input [VAR5-1:0] VAR13; input [VAR10-1:0] VAR3; input [VAR6-1:0] VAR8; input VAR4; input VAR11; output [VAR10-1:0] VAR7; generate if((VAR1==0)&&(VAR10==0)) begin begin begin begin begin begin begin end begin begin begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/or3/sky130_fd_sc_ms__or3.functional.pp.v
1,801
module MODULE1 ( VAR5 , VAR13 , VAR14 , VAR12 , VAR6, VAR9, VAR3 , VAR7 ); output VAR5 ; input VAR13 ; input VAR14 ; input VAR12 ; input VAR6; input VAR9; input VAR3 ; input VAR7 ; wire VAR1 ; wire VAR2; or VAR8 (VAR1 , VAR14, VAR13, VAR12 ); VAR10 VAR4 (VAR2, VAR1, VAR6, VAR9); buf VAR11 (VAR5 , VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/ebufn/sky130_fd_sc_hs__ebufn.functional.v
1,764
module MODULE1 ( VAR10, VAR9, VAR5 , VAR8 , VAR3 ); input VAR10; input VAR9; output VAR5 ; input VAR8 ; input VAR3; wire VAR11 ; wire VAR1; VAR7 VAR4 (VAR11 , VAR8, VAR10, VAR9 ); VAR7 VAR6 (VAR1, VAR3, VAR10, VAR9 ); bufif0 VAR2 (VAR5 , VAR11, VAR1); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o221a/sky130_fd_sc_lp__o221a.behavioral.v
1,662
module MODULE1 ( VAR6 , VAR11, VAR3, VAR8, VAR5, VAR16 ); output VAR6 ; input VAR11; input VAR3; input VAR8; input VAR5; input VAR16; supply1 VAR10; supply0 VAR14; supply1 VAR15 ; supply0 VAR2 ; wire VAR12 ; wire VAR1 ; wire VAR13; or VAR4 (VAR12 , VAR5, VAR8 ); or VAR7 (VAR1 , VAR3, VAR11 ); and VAR9 (VAR13, VAR12, VAR1, VAR16); buf VAR17 (VAR6 , VAR13 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dfrtp/sky130_fd_sc_hdll__dfrtp.functional.pp.v
1,881
module MODULE1 ( VAR13 , VAR9 , VAR6 , VAR4, VAR7 , VAR8 , VAR5 , VAR3 ); output VAR13 ; input VAR9 ; input VAR6 ; input VAR4; input VAR7 ; input VAR8 ; input VAR5 ; input VAR3 ; wire VAR11; wire VAR14; not VAR2 (VAR14 , VAR4 ); VAR10 VAR1 VAR12 (VAR11 , VAR6, VAR9, VAR14, , VAR7, VAR8); buf VAR15 (VAR13 , VAR11 ); endmodule
apache-2.0
chasingegg/Computer_Systems
CS334_computer organization lab/source/lab3_alu/alu.v
1,545
module MODULE1( output VAR2, output [31:0] VAR4, input [31:0] VAR5, input [31:0] VAR1, input [3:0] VAR3 ); reg VAR2; reg [31:0] VAR4; always @ (VAR5 or VAR1 or VAR3) begin if(VAR3 == 4'b0010) VAR4 = VAR5 + VAR1; end else if(VAR3 == 4'b0110) begin VAR4 = VAR5 - VAR1; if(VAR4 == 0) VAR2 = 1; end else VAR2 = 0; end else if(VAR3 == 4'b0000) begin VAR4 = VAR5 & VAR1; if(VAR4 == 0) VAR2 = 1; end else VAR2 = 0; end else if(VAR3 == 4'b0001) begin VAR4 = VAR5 | VAR1; if(VAR4 == 0) VAR2 = 1; end else VAR2 = 0; end else if(VAR3 == 4'b0111) begin if(VAR5 < VAR1) begin VAR2 = 0; VAR4 = 1; end else begin VAR2 = 1; VAR4 = 0; end end else if(VAR3 == 4'b1100) begin VAR4 = ~(VAR5 | VAR1); if(VAR4 == 0) VAR2 = 1; end else VAR2 = 0; end end endmodule
mit
alexforencich/verilog-ethernet
rtl/gmii_phy_if.v
4,067
module MODULE1 # ( parameter VAR13 = "VAR26", parameter VAR36 = "VAR14", parameter VAR40 = "VAR43" ) ( input wire clk, input wire rst, output wire VAR37, output wire VAR44, output wire [7:0] VAR16, output wire VAR31, output wire VAR2, output wire VAR4, output wire VAR6, input wire [7:0] VAR29, input wire VAR32, input wire VAR25, input wire VAR8, input wire [7:0] VAR15, input wire VAR18, input wire VAR11, input wire VAR34, output wire VAR19, output wire [7:0] VAR22, output wire VAR9, output wire VAR30, input wire VAR24 ); VAR27 # ( .VAR13(VAR13), .VAR40(VAR40), .VAR39(10) ) VAR5 ( .VAR10(VAR8), .VAR3({VAR15, VAR18, VAR11}), .VAR38(VAR37), .VAR42({VAR16, VAR31, VAR2}) ); VAR7 # ( .VAR13(VAR13), .VAR36(VAR36), .VAR39(10) ) VAR12 ( .clk(VAR4), .VAR3({VAR29, VAR32, VAR25}), .VAR38(VAR19), .VAR42({VAR22, VAR9, VAR30}) ); generate if (VAR13 == "VAR21") begin VAR28 VAR17 ( .VAR33(clk), .VAR35(VAR34), .VAR23(VAR24), .VAR1(VAR4) ); end else begin assign VAR4 = VAR24 ? VAR34 : clk; end endgenerate reg [3:0] VAR41 = 4'hf; assign VAR6 = VAR41[0]; always @(posedge VAR4 or posedge rst) begin if (rst) begin VAR41 <= 4'hf; end else begin VAR41 <= {1'b0, VAR41[3:1]}; end end reg [3:0] VAR20 = 4'hf; assign VAR44 = VAR20[0]; always @(posedge VAR37 or posedge rst) begin if (rst) begin VAR20 <= 4'hf; end else begin VAR20 <= {1'b0, VAR20[3:1]}; end end endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v
3,968
module MODULE1 , parameter [VAR4-1:0] VAR3(VAR35) ) (input VAR31 , input VAR17 , input [VAR4-1:0] VAR12 , input VAR36 , output VAR30 , output VAR5 ); enum logic {VAR15, VAR7} VAR25, VAR32; wire VAR26 = (VAR32 == VAR15); wire VAR18 = (VAR32 == VAR7); assign VAR30 = VAR26; assign VAR5 = VAR18; wire [VAR4-1:0] VAR14 = VAR12 - VAR35 + (VAR4)'(1); logic [VAR4-1:0] VAR9, VAR27; wire VAR8 = (VAR9 == (VAR4)'(1)); wire VAR24 = (VAR27 == (VAR4)'(1)); wire VAR34 = (VAR9 == '0); wire VAR20 = (VAR27 == '0); wire VAR21 = VAR26 & VAR34 & VAR36; VAR28 ,.VAR16(0) ,.VAR6(0) ) VAR10 (.VAR31(VAR31) ,.VAR17(VAR17) ,.VAR22(VAR21) ,.VAR13(VAR35) ,.VAR1(VAR26 & VAR36) ,.VAR23(VAR9) ); VAR28 ,.VAR16(0) ,.VAR6(0) ) VAR2 (.VAR31(VAR31) ,.VAR17(VAR17) ,.VAR22(VAR21) ,.VAR13(VAR14) ,.VAR1(VAR18 & VAR36) ,.VAR23(VAR27) ); wire VAR19; if (VAR35 == 1) assign VAR19 = (VAR36 & VAR26 & VAR14 != '0); else assign VAR19 = (VAR36 & VAR8 & ~VAR20); wire VAR11 = VAR36 & VAR24; VAR33 case (VAR32) VAR15 : VAR25 = (VAR19)? VAR7 : VAR15; VAR7 : VAR25 = (VAR11)? VAR15 : VAR7; default: VAR25 = VAR15; endcase VAR29 @(posedge VAR31) if (VAR17) VAR32 <= VAR15; else VAR32 <= VAR25; endmodule
bsd-3-clause
elleandroculia/dflow-doc
tech/osu035/osu035_stdcells.v
24,007
module MODULE1 (VAR1, VAR2, VAR3); input VAR1 ; input VAR2 ; output VAR3 ; and (VAR3, VAR1, VAR2);
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor3/sky130_fd_sc_hd__xor3_2.v
2,199
module MODULE1 ( VAR10 , VAR2 , VAR5 , VAR6 , VAR8, VAR9, VAR3 , VAR7 ); output VAR10 ; input VAR2 ; input VAR5 ; input VAR6 ; input VAR8; input VAR9; input VAR3 ; input VAR7 ; VAR1 VAR4 ( .VAR10(VAR10), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6), .VAR8(VAR8), .VAR9(VAR9), .VAR3(VAR3), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR10, VAR2, VAR5, VAR6 ); output VAR10; input VAR2; input VAR5; input VAR6; supply1 VAR8; supply0 VAR9; supply1 VAR3 ; supply0 VAR7 ; VAR1 VAR4 ( .VAR10(VAR10), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
esonghori/TinyGarbled
circuit_synthesis/stack_machine/stackMachine.v
1,724
module MODULE1 parameter VAR1=8, parameter VAR9=8 ) ( clk, rst, VAR2, VAR4, VAR8 ); input clk; input rst; input signed [VAR1-1:0] VAR2; input [2:0] VAR4; output reg signed [VAR1-1:0] VAR8; reg signed [VAR1-1:0] alu; reg signed [VAR1-1:0] VAR7[VAR9-1:0]; reg VAR3; reg VAR6; reg VAR5; integer VAR10; always@ begin if(VAR3) begin VAR8 <= VAR2; end else begin VAR8 <= alu; end end always@(posedge clk or posedge rst) begin if(rst) begin for(VAR10=0;VAR10<VAR9;VAR10=VAR10+1) begin VAR7[VAR10] <= 0; end end else begin if(VAR3) begin VAR7[0] <= VAR2; for(VAR10=1;VAR10<VAR9;VAR10=VAR10+1) begin VAR7[VAR10] <= VAR7[VAR10-1]; end end else if(VAR6) begin VAR7[0] <= alu; end else if(VAR5) begin VAR7[0] <= alu; for(VAR10=1;VAR10<VAR9-1;VAR10=VAR10+1) begin VAR7[VAR10] <= VAR7[VAR10+1]; end end end end endmodule
gpl-3.0
ncos/Xilinx-Verilog
GYRACC/src/GYRO/data_select.v
1,943
module MODULE1( VAR1, VAR5, VAR3, VAR2, VAR4, sel ); input [15:0] VAR1; input [15:0] VAR5; input [15:0] VAR3; input [7:0] VAR2; input [1:0] sel; output [15:0] VAR4; reg [15:0] VAR4; always @(sel, VAR1, VAR5, VAR3, VAR2) begin case (sel) 2'b00 : VAR4 <= VAR1; 2'b01 : VAR4 <= VAR5; 2'b10 : VAR4 <= VAR3; 2'b11 : VAR4 <= {8'h00, VAR2}; endcase end endmodule
mit
eSedano/vrudy
rtl/ctrl_top.v
5,762
module MODULE1 ( input wire clk, input wire VAR21, input wire [1:0] VAR12, input wire VAR4, output reg VAR11, output reg VAR8, output reg VAR17, output reg VAR2, output reg VAR15, output reg VAR9, output reg VAR7, output reg [1:0] VAR6, output reg VAR13, output reg VAR16, output reg VAR20 ); localparam VAR3 = 3'b000; localparam VAR10 = 3'b001; localparam VAR19 = 3'b010; localparam VAR18 = 3'b011; localparam VAR5 = 3'b100; localparam VAR14 = 3'b101; reg [2:0] state; reg [2:0] VAR1; always @(posedge clk, negedge VAR21) begin if (VAR21 == 1'b0) state <= VAR3; end else state <= VAR1; end always @(*) begin VAR1 = state; VAR11 = 1'b0; VAR8 = 1'b0; VAR17 = 1'b0; VAR2 = 1'b0; VAR15 = 1'b0; VAR9 = 1'b0; VAR7 = 1'b0; VAR6 = 2'b00; VAR13 = 1'b0; VAR16 = 1'b0; VAR20 = 1'b0; case (state) VAR3: begin VAR1 = VAR10; VAR8 = 1'b1; VAR17 = 1'b1; end VAR10: begin case (VAR12) 2'b00: VAR1 = VAR19; 2'b01: VAR1 = VAR18; 2'b10: if (VAR4 == 1'b0) VAR1 = VAR3; end else VAR1 = VAR14; 2'b11: VAR1 = VAR5; endcase VAR11 = 1'b1; VAR2 = 1'b1; VAR6 = 1'b1; end VAR19: begin VAR1 = VAR3; VAR15 = 1'b1; VAR9 = 1'b1; VAR7 = 1'b1; VAR13 = 1'b1; end VAR18: begin VAR1 = VAR3; VAR7 = 1'b1; VAR16 = 1'b1; end VAR5: begin VAR1 = VAR10; VAR8 = 1'b1; VAR17 = 1'b1; VAR15 = 1'b1; VAR9 = 1'b1; VAR6 = 2'b10; VAR13 = 1'b1; VAR20 = 1'b1; end VAR14: begin VAR1 = VAR10; VAR8 = 1'b1; VAR17 = 1'b1; VAR7 = 1'b1; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor2/sky130_fd_sc_hdll__nor2_8.v
2,102
module MODULE2 ( VAR1 , VAR3 , VAR2 , VAR9, VAR6, VAR7 , VAR8 ); output VAR1 ; input VAR3 ; input VAR2 ; input VAR9; input VAR6; input VAR7 ; input VAR8 ; VAR4 VAR5 ( .VAR1(VAR1), .VAR3(VAR3), .VAR2(VAR2), .VAR9(VAR9), .VAR6(VAR6), .VAR7(VAR7), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR1, VAR3, VAR2 ); output VAR1; input VAR3; input VAR2; supply1 VAR9; supply0 VAR6; supply1 VAR7 ; supply0 VAR8 ; VAR4 VAR5 ( .VAR1(VAR1), .VAR3(VAR3), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21oi/sky130_fd_sc_ms__a21oi.blackbox.v
1,334
module MODULE1 ( VAR2 , VAR6, VAR4, VAR8 ); output VAR2 ; input VAR6; input VAR4; input VAR8; supply1 VAR1; supply0 VAR5; supply1 VAR7 ; supply0 VAR3 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnrnq/gf180mcu_fd_sc_mcu7t5v0__dffnrnq_4.behavioral.v
3,689
module MODULE1( VAR19, VAR21, VAR6, VAR31 ); input VAR19, VAR21, VAR6; output VAR31; reg VAR9; VAR32 VAR26(.VAR19(VAR19),.VAR21(VAR21),.VAR6(VAR6),.VAR31(VAR31),.VAR9(VAR9)); VAR32 VAR23(.VAR19(VAR19),.VAR21(VAR21),.VAR6(VAR6),.VAR31(VAR31),.VAR9(VAR9)); not VAR8(VAR15,VAR21); and VAR11(VAR16,VAR6,VAR15); and VAR18(VAR4,VAR6,VAR21); buf VAR29(VAR10,VAR6); not VAR3(VAR20,VAR19); not VAR1(VAR2,VAR21); and VAR5(VAR28,VAR2,VAR20); not VAR25(VAR12,VAR19); and VAR13(VAR22,VAR21,VAR12); not VAR30(VAR27,VAR21); and VAR24(VAR17,VAR27,VAR19); and VAR7(VAR14,VAR21,VAR19);
apache-2.0
CospanDesign/nysa-verilog
verilog/generic/adapter_bram_2_axi_stream.v
6,458
module MODULE1 #( parameter VAR3 = 32, parameter VAR17 = 8, parameter VAR33 = VAR3 / 8, parameter VAR7 = 0, parameter VAR22 = 1 )( input clk, input rst, input [VAR22 - 1:0] VAR34, input VAR20, input [VAR17 - 1:0] VAR29, output reg [VAR17 - 1:0] VAR28, input [VAR3 - 1:0] VAR21, output [VAR22 - 1:0] VAR26, input VAR16, output [VAR3 - 1:0] VAR15, output VAR23, output VAR8 ); function integer VAR30 (input integer VAR11); begin for(VAR30=0; VAR11>0; VAR30=VAR30+1) VAR11 = VAR11 >> 1; end endfunction localparam VAR14 = 0; localparam VAR2 = 1; localparam VAR31 = 2; localparam VAR19 = 3; localparam VAR12 = 4; localparam VAR27 = 1; localparam VAR25 = 4; localparam VAR18 = 2; reg [3:0] state; reg [VAR18 - 1:0] VAR4; reg [VAR18 - 1:0] VAR5; reg [VAR3 - 1:0] VAR9[0:VAR25 - 1]; wire VAR1; wire VAR32; wire VAR13; wire VAR24; wire VAR10; reg VAR35; assign VAR26 = VAR34; assign VAR1 = (VAR4 == VAR5); assign VAR32 = (VAR5 == (1 << VAR18) - 1) ? (VAR4 == 0) : ((VAR5 + 1) == VAR4); assign VAR13 = (VAR5 == (1 << VAR18) - 2) ? (VAR4 == 0) : (VAR5 == (1 << VAR18) - 1) ? (VAR4 == 1) : ((VAR5 + 2) == VAR4); assign VAR24 = (VAR4 == (1 << VAR18) - 1) ? (VAR5 == 0) : ((VAR4 + 1) == VAR5); assign VAR23 = ((VAR28 > VAR29) || !VAR20) && VAR24 && (state == VAR12); assign VAR8 = (!VAR1 && VAR35); assign VAR10 = (VAR8 && VAR16); assign VAR15 = VAR9[VAR4]; integer VAR6; always @ (posedge clk) begin if (rst) begin state <= VAR14; VAR28 <= 0; VAR4 <= 0; VAR5 <= 0; VAR35 <= 0; for (VAR6 = 0; VAR6 < VAR25; VAR6 = VAR6 + 1) begin VAR9[VAR6] <= 0; end end else begin case (state) VAR14: begin VAR28 <= 0; VAR4 <= 0; VAR5 <= 0; if (VAR20) begin state <= VAR2; end end VAR2: begin if (!VAR32) begin VAR9[VAR5] <= VAR21; VAR5 <= VAR5 + 1; VAR28 <= VAR28 + 1; if ((VAR28 + 1) >= VAR29) begin state <= VAR12; end else begin state <= VAR31; end end end VAR31: begin if (VAR28 >= VAR29) begin state <= VAR12; end else if (VAR32) begin state <= VAR2; end else begin state <= VAR19; VAR28 <= VAR28 + 1; end end VAR19: begin VAR9[VAR5] <= VAR21; VAR5 <= VAR5 + 1; if (VAR28 > VAR29) begin state <= VAR12; end else if (VAR32 || VAR13) begin state <= VAR2; end else begin VAR28 <= VAR28 + 1; end end VAR12: begin if (!VAR20) begin state <= VAR14; VAR35 <= 0; end end endcase if (!VAR20) begin state <= VAR14; end if (!VAR35 && VAR32) begin VAR35 <= 1; end else if (VAR1 && (VAR28 >= VAR29)) begin VAR35 <= 0; end if (VAR10) begin VAR4 <= VAR4 + 1; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor3b/sky130_fd_sc_ls__nor3b.blackbox.v
1,331
module MODULE1 ( VAR3 , VAR7 , VAR2 , VAR4 ); output VAR3 ; input VAR7 ; input VAR2 ; input VAR4; supply1 VAR8; supply0 VAR6; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
bangonkali/quartus-sockit
soc_system/synthesis/submodules/alt_vipvfr131_common_avalon_mm_master.v
3,279
module MODULE1 ( VAR8, reset, VAR6, VAR47, VAR32, VAR13, VAR28, VAR37, VAR49, VAR18, VAR41, VAR21, addr, VAR11, VAR20, VAR5, VAR27, VAR54, write, VAR48, read, VAR43); parameter VAR44 = 16; parameter VAR36 = 16; parameter VAR46 = 11; parameter VAR56 = 1; parameter VAR45 = 1; parameter VAR33 = 8; parameter VAR7 = 8; parameter VAR3 = 8; parameter VAR2 = 5; parameter VAR19 = 5; parameter VAR14 = 1; parameter VAR51 = 6; input VAR8; input reset; input VAR6; input VAR47; output [VAR44-1 : 0] VAR32; output [VAR51-1 : 0] VAR13; output [VAR36-1 : 0] VAR28; input [VAR36-1 : 0] VAR37; output VAR49; output VAR18; input VAR41; input VAR21; input [VAR44-1 : 0] addr; input VAR11; input VAR20; input VAR5; input [VAR46-1 : 0] VAR27; input [VAR36-1 : 0] VAR54; input write; output [VAR36-1 : 0] VAR48; input read; output VAR43; VAR40 .VAR36 (VAR36), .VAR56 (VAR56), .VAR45 (VAR45), .VAR31 (VAR3), .VAR50 (VAR33), .VAR22 (VAR7), .VAR52 (VAR2), .VAR25 (VAR19), .VAR39 (VAR14), .VAR24 (0), .VAR17 (VAR46), .VAR51 (VAR51), .VAR35 (0), .VAR15 (8)) VAR55 ( .VAR8 (VAR8), .reset (reset), .VAR29 (!VAR43), .ready (), .VAR43 (VAR43), .addr (addr), .write (VAR5), .VAR30 (VAR20), .VAR10 (VAR27), .VAR12 (1'b1), .VAR34 (VAR11), .VAR16 (VAR54), .VAR23 (1'b1), .VAR4 (write), .VAR53 (VAR48), .VAR38 (1'b1), .VAR9 (read), .VAR26 (), .VAR32 (VAR32), .VAR13 (VAR13), .VAR28 (VAR28), .VAR42 (), .VAR49 (VAR49), .VAR18 (VAR18), .VAR6 (VAR6), .VAR47 (VAR47), .VAR37 (VAR37), .VAR41 (VAR41), .VAR21 (VAR21), .VAR1 (8'd0)); endmodule
mit
SeanZarzycki/openSPARC-FPU
project/src/fpu_rptr_groups_hier.v
56,765
module MODULE1 ( VAR106, VAR92, VAR2, VAR95, VAR23, VAR50, VAR44, VAR70, VAR100, VAR42, VAR33, VAR55, VAR7, VAR18, VAR63, VAR26, VAR41, VAR19, VAR65, VAR75, VAR11, VAR48, VAR9, VAR68, VAR53, VAR25, VAR117, VAR80, VAR32, VAR109, VAR37, VAR86, VAR13, VAR89, VAR99, VAR36, VAR4, VAR77, VAR82, VAR81, VAR57, VAR85, VAR103, VAR76, VAR58, VAR15, VAR105, VAR78, VAR96, VAR27, VAR38, VAR115, VAR16, VAR108, VAR62, VAR69, VAR88, VAR111, VAR6, VAR40, VAR101, VAR34, VAR43, VAR1, VAR52, VAR46, VAR84, VAR51, VAR114, VAR22, VAR17, VAR14, VAR66, VAR93, VAR29, VAR45, VAR91, VAR87, VAR83, VAR10, VAR28, VAR61, VAR112, VAR49, VAR94, VAR98, VAR24, VAR74, VAR71, VAR110, VAR73, VAR31, VAR59, VAR72, VAR97, VAR64, VAR60, VAR35, VAR47, VAR8, VAR116, VAR90, VAR54, VAR107, VAR12, VAR39, VAR20, VAR3, VAR104, VAR30, VAR79, VAR113, VAR5, VAR56, VAR102, VAR21, VAR67 ); input [63:0] VAR106; input [63:0] VAR92; input [4:0] VAR2; input [7:0] VAR95; input [1:0] VAR23; input [123:0] VAR86; input [7:0] VAR89; input [144:0] VAR99; input [155:0] VAR36; output [63:0] VAR4; output [63:0] VAR77; output [63:0] VAR82; output [63:0] VAR81; output [63:0] VAR57; output [63:0] VAR85; output [4:0] VAR103; output [4:0] VAR76; output [4:0] VAR58; output [7:0] VAR15; output [7:0] VAR105; output [7:0] VAR78; output [1:0] VAR96; output [1:0] VAR27; output [1:0] VAR38; output [123:0] VAR5; output [7:0] VAR102; output [144:0] VAR21; output [155:0] VAR67; input VAR50, VAR44, VAR70, VAR100, VAR42, VAR33, VAR55, VAR7, VAR18, VAR63, VAR26, VAR41, VAR19, VAR65, VAR75, VAR11, VAR48, VAR9, VAR68, VAR53, VAR25, VAR117, VAR80, VAR32, VAR109, VAR37, VAR13; output VAR115, VAR16, VAR108, VAR62, VAR69, VAR88, VAR111, VAR6, VAR40, VAR101, VAR34, VAR43, VAR1, VAR52, VAR46, VAR84, VAR51, VAR114, VAR22, VAR17, VAR14, VAR66, VAR93, VAR29, VAR45, VAR91, VAR87, VAR83, VAR10, VAR28, VAR61, VAR112, VAR49, VAR94, VAR98, VAR24, VAR74, VAR71, VAR110, VAR73, VAR31, VAR59, VAR72, VAR97, VAR64, VAR60, VAR35, VAR47, VAR8, VAR116, VAR90, VAR54, VAR107, VAR12, VAR39, VAR20, VAR3, VAR104, VAR30, VAR79, VAR113, VAR56; wire VAR108, VAR88, VAR40, VAR43, VAR46, VAR114, VAR14, VAR29, VAR87, VAR28, VAR61, VAR112, VAR49, VAR94, VAR98, VAR24, VAR74, VAR71, VAR59, VAR116, VAR39, VAR20, VAR3, VAR30, VAR79, VAR113, VAR56; assign VAR77[63] = VAR82[63]; assign VAR4[63] = VAR82[63]; assign VAR82[63] = VAR106[63]; assign VAR77[62] = VAR82[62]; assign VAR4[62] = VAR82[62]; assign VAR82[62] = VAR106[62]; assign VAR77[61] = VAR82[61]; assign VAR4[61] = VAR82[61]; assign VAR82[61] = VAR106[61]; assign VAR77[60] = VAR82[60]; assign VAR4[60] = VAR82[60]; assign VAR82[60] = VAR106[60]; assign VAR77[59] = VAR82[59]; assign VAR4[59] = VAR82[59]; assign VAR82[59] = VAR106[59]; assign VAR77[58] = VAR82[58]; assign VAR4[58] = VAR82[58]; assign VAR82[58] = VAR106[58]; assign VAR77[57] = VAR82[57]; assign VAR4[57] = VAR82[57]; assign VAR82[57] = VAR106[57]; assign VAR77[56] = VAR82[56]; assign VAR4[56] = VAR82[56]; assign VAR82[56] = VAR106[56]; assign VAR77[55] = VAR82[55]; assign VAR4[55] = VAR82[55]; assign VAR82[55] = VAR106[55]; assign VAR77[54] = VAR82[54]; assign VAR4[54] = VAR82[54]; assign VAR82[54] = VAR106[54]; assign VAR77[53] = VAR82[53]; assign VAR4[53] = VAR82[53]; assign VAR82[53] = VAR106[53]; assign VAR77[52] = VAR82[52]; assign VAR4[52] = VAR82[52]; assign VAR82[52] = VAR106[52]; assign VAR77[51] = VAR82[51]; assign VAR4[51] = VAR82[51]; assign VAR82[51] = VAR106[51]; assign VAR77[50] = VAR82[50]; assign VAR4[50] = VAR82[50]; assign VAR82[50] = VAR106[50]; assign VAR77[49] = VAR82[49]; assign VAR4[49] = VAR82[49]; assign VAR82[49] = VAR106[49]; assign VAR77[48] = VAR82[48]; assign VAR4[48] = VAR82[48]; assign VAR82[48] = VAR106[48]; assign VAR77[47] = VAR82[47]; assign VAR4[47] = VAR82[47]; assign VAR82[47] = VAR106[47]; assign VAR77[46] = VAR82[46]; assign VAR4[46] = VAR82[46]; assign VAR82[46] = VAR106[46]; assign VAR77[45] = VAR82[45]; assign VAR4[45] = VAR82[45]; assign VAR82[45] = VAR106[45]; assign VAR77[44] = VAR82[44]; assign VAR4[44] = VAR82[44]; assign VAR82[44] = VAR106[44]; assign VAR77[43] = VAR82[43]; assign VAR4[43] = VAR82[43]; assign VAR82[43] = VAR106[43]; assign VAR77[42] = VAR82[42]; assign VAR4[42] = VAR82[42]; assign VAR82[42] = VAR106[42]; assign VAR77[41] = VAR82[41]; assign VAR4[41] = VAR82[41]; assign VAR82[41] = VAR106[41]; assign VAR77[40] = VAR82[40]; assign VAR4[40] = VAR82[40]; assign VAR82[40] = VAR106[40]; assign VAR77[39] = VAR82[39]; assign VAR4[39] = VAR82[39]; assign VAR82[39] = VAR106[39]; assign VAR77[38] = VAR82[38]; assign VAR4[38] = VAR82[38]; assign VAR82[38] = VAR106[38]; assign VAR77[37] = VAR82[37]; assign VAR4[37] = VAR82[37]; assign VAR82[37] = VAR106[37]; assign VAR77[36] = VAR82[36]; assign VAR4[36] = VAR82[36]; assign VAR82[36] = VAR106[36]; assign VAR77[35] = VAR82[35]; assign VAR4[35] = VAR82[35]; assign VAR82[35] = VAR106[35]; assign VAR77[34] = VAR82[34]; assign VAR4[34] = VAR82[34]; assign VAR82[34] = VAR106[34]; assign VAR77[33] = VAR82[33]; assign VAR4[33] = VAR82[33]; assign VAR82[33] = VAR106[33]; assign VAR77[32] = VAR82[32]; assign VAR4[32] = VAR82[32]; assign VAR82[32] = VAR106[32]; assign VAR77[31] = VAR82[31]; assign VAR4[31] = VAR82[31]; assign VAR82[31] = VAR106[31]; assign VAR77[30] = VAR82[30]; assign VAR4[30] = VAR82[30]; assign VAR82[30] = VAR106[30]; assign VAR77[29] = VAR82[29]; assign VAR4[29] = VAR82[29]; assign VAR82[29] = VAR106[29]; assign VAR77[28] = VAR82[28]; assign VAR4[28] = VAR82[28]; assign VAR82[28] = VAR106[28]; assign VAR77[27] = VAR82[27]; assign VAR4[27] = VAR82[27]; assign VAR82[27] = VAR106[27]; assign VAR77[26] = VAR82[26]; assign VAR4[26] = VAR82[26]; assign VAR82[26] = VAR106[26]; assign VAR77[25] = VAR82[25]; assign VAR4[25] = VAR82[25]; assign VAR82[25] = VAR106[25]; assign VAR77[24] = VAR82[24]; assign VAR4[24] = VAR82[24]; assign VAR82[24] = VAR106[24]; assign VAR77[23] = VAR82[23]; assign VAR4[23] = VAR82[23]; assign VAR82[23] = VAR106[23]; assign VAR77[22] = VAR82[22]; assign VAR4[22] = VAR82[22]; assign VAR82[22] = VAR106[22]; assign VAR77[21] = VAR82[21]; assign VAR4[21] = VAR82[21]; assign VAR82[21] = VAR106[21]; assign VAR77[20] = VAR82[20]; assign VAR4[20] = VAR82[20]; assign VAR82[20] = VAR106[20]; assign VAR77[19] = VAR82[19]; assign VAR4[19] = VAR82[19]; assign VAR82[19] = VAR106[19]; assign VAR77[18] = VAR82[18]; assign VAR4[18] = VAR82[18]; assign VAR82[18] = VAR106[18]; assign VAR77[17] = VAR82[17]; assign VAR4[17] = VAR82[17]; assign VAR82[17] = VAR106[17]; assign VAR77[16] = VAR82[16]; assign VAR4[16] = VAR82[16]; assign VAR82[16] = VAR106[16]; assign VAR77[15] = VAR82[15]; assign VAR4[15] = VAR82[15]; assign VAR82[15] = VAR106[15]; assign VAR77[14] = VAR82[14]; assign VAR4[14] = VAR82[14]; assign VAR82[14] = VAR106[14]; assign VAR77[13] = VAR82[13]; assign VAR4[13] = VAR82[13]; assign VAR82[13] = VAR106[13]; assign VAR77[12] = VAR82[12]; assign VAR4[12] = VAR82[12]; assign VAR82[12] = VAR106[12]; assign VAR77[11] = VAR82[11]; assign VAR4[11] = VAR82[11]; assign VAR82[11] = VAR106[11]; assign VAR77[10] = VAR82[10]; assign VAR4[10] = VAR82[10]; assign VAR82[10] = VAR106[10]; assign VAR77[9] = VAR82[9]; assign VAR4[9] = VAR82[9]; assign VAR82[9] = VAR106[9]; assign VAR77[8] = VAR82[8]; assign VAR4[8] = VAR82[8]; assign VAR82[8] = VAR106[8]; assign VAR77[7] = VAR82[7]; assign VAR4[7] = VAR82[7]; assign VAR82[7] = VAR106[7]; assign VAR77[6] = VAR82[6]; assign VAR4[6] = VAR82[6]; assign VAR82[6] = VAR106[6]; assign VAR77[5] = VAR82[5]; assign VAR4[5] = VAR82[5]; assign VAR82[5] = VAR106[5]; assign VAR77[4] = VAR82[4]; assign VAR4[4] = VAR82[4]; assign VAR82[4] = VAR106[4]; assign VAR77[3] = VAR82[3]; assign VAR4[3] = VAR82[3]; assign VAR82[3] = VAR106[3]; assign VAR77[2] = VAR82[2]; assign VAR4[2] = VAR82[2]; assign VAR82[2] = VAR106[2]; assign VAR77[1] = VAR82[1]; assign VAR4[1] = VAR82[1]; assign VAR82[1] = VAR106[1]; assign VAR77[0] = VAR82[0]; assign VAR4[0] = VAR82[0]; assign VAR82[0] = VAR106[0]; assign VAR57[63] = VAR85[63]; assign VAR81[63] = VAR85[63]; assign VAR85[63] = VAR92[63]; assign VAR57[62] = VAR85[62]; assign VAR81[62] = VAR85[62]; assign VAR85[62] = VAR92[62]; assign VAR57[61] = VAR85[61]; assign VAR81[61] = VAR85[61]; assign VAR85[61] = VAR92[61]; assign VAR57[60] = VAR85[60]; assign VAR81[60] = VAR85[60]; assign VAR85[60] = VAR92[60]; assign VAR57[59] = VAR85[59]; assign VAR81[59] = VAR85[59]; assign VAR85[59] = VAR92[59]; assign VAR57[58] = VAR85[58]; assign VAR81[58] = VAR85[58]; assign VAR85[58] = VAR92[58]; assign VAR57[57] = VAR85[57]; assign VAR81[57] = VAR85[57]; assign VAR85[57] = VAR92[57]; assign VAR57[56] = VAR85[56]; assign VAR81[56] = VAR85[56]; assign VAR85[56] = VAR92[56]; assign VAR57[55] = VAR85[55]; assign VAR81[55] = VAR85[55]; assign VAR85[55] = VAR92[55]; assign VAR57[54] = VAR85[54]; assign VAR81[54] = VAR85[54]; assign VAR85[54] = VAR92[54]; assign VAR57[53] = VAR85[53]; assign VAR81[53] = VAR85[53]; assign VAR85[53] = VAR92[53]; assign VAR57[52] = VAR85[52]; assign VAR81[52] = VAR85[52]; assign VAR85[52] = VAR92[52]; assign VAR57[51] = VAR85[51]; assign VAR81[51] = VAR85[51]; assign VAR85[51] = VAR92[51]; assign VAR57[50] = VAR85[50]; assign VAR81[50] = VAR85[50]; assign VAR85[50] = VAR92[50]; assign VAR57[49] = VAR85[49]; assign VAR81[49] = VAR85[49]; assign VAR85[49] = VAR92[49]; assign VAR57[48] = VAR85[48]; assign VAR81[48] = VAR85[48]; assign VAR85[48] = VAR92[48]; assign VAR57[47] = VAR85[47]; assign VAR81[47] = VAR85[47]; assign VAR85[47] = VAR92[47]; assign VAR57[46] = VAR85[46]; assign VAR81[46] = VAR85[46]; assign VAR85[46] = VAR92[46]; assign VAR57[45] = VAR85[45]; assign VAR81[45] = VAR85[45]; assign VAR85[45] = VAR92[45]; assign VAR57[44] = VAR85[44]; assign VAR81[44] = VAR85[44]; assign VAR85[44] = VAR92[44]; assign VAR57[43] = VAR85[43]; assign VAR81[43] = VAR85[43]; assign VAR85[43] = VAR92[43]; assign VAR57[42] = VAR85[42]; assign VAR81[42] = VAR85[42]; assign VAR85[42] = VAR92[42]; assign VAR57[41] = VAR85[41]; assign VAR81[41] = VAR85[41]; assign VAR85[41] = VAR92[41]; assign VAR57[40] = VAR85[40]; assign VAR81[40] = VAR85[40]; assign VAR85[40] = VAR92[40]; assign VAR57[39] = VAR85[39]; assign VAR81[39] = VAR85[39]; assign VAR85[39] = VAR92[39]; assign VAR57[38] = VAR85[38]; assign VAR81[38] = VAR85[38]; assign VAR85[38] = VAR92[38]; assign VAR57[37] = VAR85[37]; assign VAR81[37] = VAR85[37]; assign VAR85[37] = VAR92[37]; assign VAR57[36] = VAR85[36]; assign VAR81[36] = VAR85[36]; assign VAR85[36] = VAR92[36]; assign VAR57[35] = VAR85[35]; assign VAR81[35] = VAR85[35]; assign VAR85[35] = VAR92[35]; assign VAR57[34] = VAR85[34]; assign VAR81[34] = VAR85[34]; assign VAR85[34] = VAR92[34]; assign VAR57[33] = VAR85[33]; assign VAR81[33] = VAR85[33]; assign VAR85[33] = VAR92[33]; assign VAR57[32] = VAR85[32]; assign VAR81[32] = VAR85[32]; assign VAR85[32] = VAR92[32]; assign VAR57[31] = VAR85[31]; assign VAR81[31] = VAR85[31]; assign VAR85[31] = VAR92[31]; assign VAR57[30] = VAR85[30]; assign VAR81[30] = VAR85[30]; assign VAR85[30] = VAR92[30]; assign VAR57[29] = VAR85[29]; assign VAR81[29] = VAR85[29]; assign VAR85[29] = VAR92[29]; assign VAR57[28] = VAR85[28]; assign VAR81[28] = VAR85[28]; assign VAR85[28] = VAR92[28]; assign VAR57[27] = VAR85[27]; assign VAR81[27] = VAR85[27]; assign VAR85[27] = VAR92[27]; assign VAR57[26] = VAR85[26]; assign VAR81[26] = VAR85[26]; assign VAR85[26] = VAR92[26]; assign VAR57[25] = VAR85[25]; assign VAR81[25] = VAR85[25]; assign VAR85[25] = VAR92[25]; assign VAR57[24] = VAR85[24]; assign VAR81[24] = VAR85[24]; assign VAR85[24] = VAR92[24]; assign VAR57[23] = VAR85[23]; assign VAR81[23] = VAR85[23]; assign VAR85[23] = VAR92[23]; assign VAR57[22] = VAR85[22]; assign VAR81[22] = VAR85[22]; assign VAR85[22] = VAR92[22]; assign VAR57[21] = VAR85[21]; assign VAR81[21] = VAR85[21]; assign VAR85[21] = VAR92[21]; assign VAR57[20] = VAR85[20]; assign VAR81[20] = VAR85[20]; assign VAR85[20] = VAR92[20]; assign VAR57[19] = VAR85[19]; assign VAR81[19] = VAR85[19]; assign VAR85[19] = VAR92[19]; assign VAR57[18] = VAR85[18]; assign VAR81[18] = VAR85[18]; assign VAR85[18] = VAR92[18]; assign VAR57[17] = VAR85[17]; assign VAR81[17] = VAR85[17]; assign VAR85[17] = VAR92[17]; assign VAR57[16] = VAR85[16]; assign VAR81[16] = VAR85[16]; assign VAR85[16] = VAR92[16]; assign VAR57[15] = VAR85[15]; assign VAR81[15] = VAR85[15]; assign VAR85[15] = VAR92[15]; assign VAR57[14] = VAR85[14]; assign VAR81[14] = VAR85[14]; assign VAR85[14] = VAR92[14]; assign VAR57[13] = VAR85[13]; assign VAR81[13] = VAR85[13]; assign VAR85[13] = VAR92[13]; assign VAR57[12] = VAR85[12]; assign VAR81[12] = VAR85[12]; assign VAR85[12] = VAR92[12]; assign VAR57[11] = VAR85[11]; assign VAR81[11] = VAR85[11]; assign VAR85[11] = VAR92[11]; assign VAR57[10] = VAR85[10]; assign VAR81[10] = VAR85[10]; assign VAR85[10] = VAR92[10]; assign VAR57[9] = VAR85[9]; assign VAR81[9] = VAR85[9]; assign VAR85[9] = VAR92[9]; assign VAR57[8] = VAR85[8]; assign VAR81[8] = VAR85[8]; assign VAR85[8] = VAR92[8]; assign VAR57[7] = VAR85[7]; assign VAR81[7] = VAR85[7]; assign VAR85[7] = VAR92[7]; assign VAR57[6] = VAR85[6]; assign VAR81[6] = VAR85[6]; assign VAR85[6] = VAR92[6]; assign VAR57[5] = VAR85[5]; assign VAR81[5] = VAR85[5]; assign VAR85[5] = VAR92[5]; assign VAR57[4] = VAR85[4]; assign VAR81[4] = VAR85[4]; assign VAR85[4] = VAR92[4]; assign VAR57[3] = VAR85[3]; assign VAR81[3] = VAR85[3]; assign VAR85[3] = VAR92[3]; assign VAR57[2] = VAR85[2]; assign VAR81[2] = VAR85[2]; assign VAR85[2] = VAR92[2]; assign VAR57[1] = VAR85[1]; assign VAR81[1] = VAR85[1]; assign VAR85[1] = VAR92[1]; assign VAR57[0] = VAR85[0]; assign VAR81[0] = VAR85[0]; assign VAR85[0] = VAR92[0]; assign VAR76[4] = VAR58[4]; assign VAR103[4] = VAR58[4]; assign VAR58[4] = VAR2[4]; assign VAR76[3] = VAR58[3]; assign VAR103[3] = VAR58[3]; assign VAR58[3] = VAR2[3]; assign VAR76[2] = VAR58[2]; assign VAR103[2] = VAR58[2]; assign VAR58[2] = VAR2[2]; assign VAR76[1] = VAR58[1]; assign VAR103[1] = VAR58[1]; assign VAR58[1] = VAR2[1]; assign VAR76[0] = VAR58[0]; assign VAR103[0] = VAR58[0]; assign VAR58[0] = VAR2[0]; assign VAR78[7] = VAR105[7]; assign VAR15[7] = VAR105[7]; assign VAR105[7] = VAR95[7]; assign VAR78[6] = VAR105[6]; assign VAR15[6] = VAR105[6]; assign VAR105[6] = VAR95[6]; assign VAR78[5] = VAR105[5]; assign VAR15[5] = VAR105[5]; assign VAR105[5] = VAR95[5]; assign VAR78[4] = VAR105[4]; assign VAR15[4] = VAR105[4]; assign VAR105[4] = VAR95[4]; assign VAR78[3] = VAR105[3]; assign VAR15[3] = VAR105[3]; assign VAR105[3] = VAR95[3]; assign VAR78[2] = VAR105[2]; assign VAR15[2] = VAR105[2]; assign VAR105[2] = VAR95[2]; assign VAR78[1] = VAR105[1]; assign VAR15[1] = VAR105[1]; assign VAR105[1] = VAR95[1]; assign VAR78[0] = VAR105[0]; assign VAR15[0] = VAR105[0]; assign VAR105[0] = VAR95[0]; assign VAR38[1] = VAR27[1]; assign VAR96[1] = VAR27[1]; assign VAR27[1] = VAR23[1]; assign VAR38[0] = VAR27[0]; assign VAR96[0] = VAR27[0]; assign VAR27[0] = VAR23[0]; assign VAR16 = VAR108; assign VAR115 = VAR108; assign VAR108 = VAR50; assign VAR69 = VAR88; assign VAR62 = VAR88; assign VAR88 = VAR44; assign VAR6 = VAR40; assign VAR111 = VAR40; assign VAR40 = VAR70; assign VAR34 = VAR43; assign VAR101 = VAR43; assign VAR43 = VAR100; assign VAR52 = VAR46; assign VAR1 = VAR46; assign VAR46 = VAR42; assign VAR51 = VAR114; assign VAR84 = VAR114; assign VAR114 = VAR33; assign VAR17 = VAR14; assign VAR22 = VAR14; assign VAR14 = VAR55; assign VAR93 = VAR29; assign VAR66 = VAR29; assign VAR29 = VAR7; assign VAR91 = VAR87; assign VAR45 = VAR87; assign VAR87 = VAR18; assign VAR10 = VAR28; assign VAR83 = VAR28; assign VAR28 = VAR63; assign VAR61 = VAR26; assign VAR112 = VAR41; assign VAR49 = VAR19; assign VAR94 = VAR65; assign VAR98 = VAR75; assign VAR24 = VAR11; assign VAR74 = VAR48; assign VAR71 = VAR9; assign VAR60 = VAR59; assign VAR64 = VAR59; assign VAR97 = VAR59; assign VAR72 = VAR59; assign VAR31 = VAR59; assign VAR73 = VAR59; assign VAR110 = VAR59; assign VAR59 = VAR68; assign VAR54 = VAR116; assign VAR90 = VAR116; assign VAR8 = VAR116; assign VAR47 = VAR116; assign VAR35 = VAR116; assign VAR116 = VAR53; assign VAR12 = VAR39; assign VAR107 = VAR39; assign VAR39 = VAR25; assign VAR20 = VAR117; assign VAR104 = VAR3; assign VAR3 = VAR80; assign VAR30 = VAR32; assign VAR79 = VAR109; assign VAR113 = VAR37; assign VAR5[123] = VAR86[123]; assign VAR5[122] = VAR86[122]; assign VAR5[121] = VAR86[121]; assign VAR5[120] = VAR86[120]; assign VAR5[119] = VAR86[119]; assign VAR5[118] = VAR86[118]; assign VAR5[117] = VAR86[117]; assign VAR5[116] = VAR86[116]; assign VAR5[115] = VAR86[115]; assign VAR5[114] = VAR86[114]; assign VAR5[113] = VAR86[113]; assign VAR5[112] = VAR86[112]; assign VAR5[111] = VAR86[111]; assign VAR5[110] = VAR86[110]; assign VAR5[109] = VAR86[109]; assign VAR5[108] = VAR86[108]; assign VAR5[107] = VAR86[107]; assign VAR5[106] = VAR86[106]; assign VAR5[105] = VAR86[105]; assign VAR5[104] = VAR86[104]; assign VAR5[103] = VAR86[103]; assign VAR5[102] = VAR86[102]; assign VAR5[101] = VAR86[101]; assign VAR5[100] = VAR86[100]; assign VAR5[99] = VAR86[99]; assign VAR5[98] = VAR86[98]; assign VAR5[97] = VAR86[97]; assign VAR5[96] = VAR86[96]; assign VAR5[95] = VAR86[95]; assign VAR5[94] = VAR86[94]; assign VAR5[93] = VAR86[93]; assign VAR5[92] = VAR86[92]; assign VAR5[91] = VAR86[91]; assign VAR5[90] = VAR86[90]; assign VAR5[89] = VAR86[89]; assign VAR5[88] = VAR86[88]; assign VAR5[87] = VAR86[87]; assign VAR5[86] = VAR86[86]; assign VAR5[85] = VAR86[85]; assign VAR5[84] = VAR86[84]; assign VAR5[83] = VAR86[83]; assign VAR5[82] = VAR86[82]; assign VAR5[81] = VAR86[81]; assign VAR5[80] = VAR86[80]; assign VAR5[79] = VAR86[79]; assign VAR5[78] = VAR86[78]; assign VAR5[77] = VAR86[77]; assign VAR5[76] = VAR86[76]; assign VAR5[75] = VAR86[75]; assign VAR5[74] = VAR86[74]; assign VAR5[73] = VAR86[73]; assign VAR5[72] = VAR86[72]; assign VAR5[71] = VAR86[71]; assign VAR5[70] = VAR86[70]; assign VAR5[69] = VAR86[69]; assign VAR5[68] = VAR86[68]; assign VAR5[67] = VAR86[67]; assign VAR5[66] = VAR86[66]; assign VAR5[65] = VAR86[65]; assign VAR5[64] = VAR86[64]; assign VAR5[63] = VAR86[63]; assign VAR5[62] = VAR86[62]; assign VAR5[61] = VAR86[61]; assign VAR5[60] = VAR86[60]; assign VAR5[59] = VAR86[59]; assign VAR5[58] = VAR86[58]; assign VAR5[57] = VAR86[57]; assign VAR5[56] = VAR86[56]; assign VAR5[55] = VAR86[55]; assign VAR5[54] = VAR86[54]; assign VAR5[53] = VAR86[53]; assign VAR5[52] = VAR86[52]; assign VAR5[51] = VAR86[51]; assign VAR5[50] = VAR86[50]; assign VAR5[49] = VAR86[49]; assign VAR5[48] = VAR86[48]; assign VAR5[47] = VAR86[47]; assign VAR5[46] = VAR86[46]; assign VAR5[45] = VAR86[45]; assign VAR5[44] = VAR86[44]; assign VAR5[43] = VAR86[43]; assign VAR5[42] = VAR86[42]; assign VAR5[41] = VAR86[41]; assign VAR5[40] = VAR86[40]; assign VAR5[39] = VAR86[39]; assign VAR5[38] = VAR86[38]; assign VAR5[37] = VAR86[37]; assign VAR5[36] = VAR86[36]; assign VAR5[35] = VAR86[35]; assign VAR5[34] = VAR86[34]; assign VAR5[33] = VAR86[33]; assign VAR5[32] = VAR86[32]; assign VAR5[31] = VAR86[31]; assign VAR5[30] = VAR86[30]; assign VAR5[29] = VAR86[29]; assign VAR5[28] = VAR86[28]; assign VAR5[27] = VAR86[27]; assign VAR5[26] = VAR86[26]; assign VAR5[25] = VAR86[25]; assign VAR5[24] = VAR86[24]; assign VAR5[23] = VAR86[23]; assign VAR5[22] = VAR86[22]; assign VAR5[21] = VAR86[21]; assign VAR5[20] = VAR86[20]; assign VAR5[19] = VAR86[19]; assign VAR5[18] = VAR86[18]; assign VAR5[17] = VAR86[17]; assign VAR5[16] = VAR86[16]; assign VAR5[15] = VAR86[15]; assign VAR5[14] = VAR86[14]; assign VAR5[13] = VAR86[13]; assign VAR5[12] = VAR86[12]; assign VAR5[11] = VAR86[11]; assign VAR5[10] = VAR86[10]; assign VAR5[9] = VAR86[9]; assign VAR5[8] = VAR86[8]; assign VAR5[7] = VAR86[7]; assign VAR5[6] = VAR86[6]; assign VAR5[5] = VAR86[5]; assign VAR5[4] = VAR86[4]; assign VAR5[3] = VAR86[3]; assign VAR5[2] = VAR86[2]; assign VAR5[1] = VAR86[1]; assign VAR5[0] = VAR86[0]; assign VAR56 = VAR13; assign VAR102[7] = VAR89[7]; assign VAR102[6] = VAR89[6]; assign VAR102[5] = VAR89[5]; assign VAR102[4] = VAR89[4]; assign VAR102[3] = VAR89[3]; assign VAR102[2] = VAR89[2]; assign VAR102[1] = VAR89[1]; assign VAR102[0] = VAR89[0]; assign VAR21[144] = VAR99[144]; assign VAR21[143] = VAR99[143]; assign VAR21[142] = VAR99[142]; assign VAR21[141] = VAR99[141]; assign VAR21[140] = VAR99[140]; assign VAR21[139] = VAR99[139]; assign VAR21[138] = VAR99[138]; assign VAR21[137] = VAR99[137]; assign VAR21[136] = VAR99[136]; assign VAR21[135] = VAR99[135]; assign VAR21[134] = VAR99[134]; assign VAR21[133] = VAR99[133]; assign VAR21[132] = VAR99[132]; assign VAR21[131] = VAR99[131]; assign VAR21[130] = VAR99[130]; assign VAR21[129] = VAR99[129]; assign VAR21[128] = VAR99[128]; assign VAR21[127] = VAR99[127]; assign VAR21[126] = VAR99[126]; assign VAR21[125] = VAR99[125]; assign VAR21[124] = VAR99[124]; assign VAR21[123] = VAR99[123]; assign VAR21[122] = VAR99[122]; assign VAR21[121] = VAR99[121]; assign VAR21[120] = VAR99[120]; assign VAR21[119] = VAR99[119]; assign VAR21[118] = VAR99[118]; assign VAR21[117] = VAR99[117]; assign VAR21[116] = VAR99[116]; assign VAR21[115] = VAR99[115]; assign VAR21[114] = VAR99[114]; assign VAR21[113] = VAR99[113]; assign VAR21[112] = VAR99[112]; assign VAR21[111] = VAR99[111]; assign VAR21[110] = VAR99[110]; assign VAR21[109] = VAR99[109]; assign VAR21[108] = VAR99[108]; assign VAR21[107] = VAR99[107]; assign VAR21[106] = VAR99[106]; assign VAR21[105] = VAR99[105]; assign VAR21[104] = VAR99[104]; assign VAR21[103] = VAR99[103]; assign VAR21[102] = VAR99[102]; assign VAR21[101] = VAR99[101]; assign VAR21[100] = VAR99[100]; assign VAR21[99] = VAR99[99]; assign VAR21[98] = VAR99[98]; assign VAR21[97] = VAR99[97]; assign VAR21[96] = VAR99[96]; assign VAR21[95] = VAR99[95]; assign VAR21[94] = VAR99[94]; assign VAR21[93] = VAR99[93]; assign VAR21[92] = VAR99[92]; assign VAR21[91] = VAR99[91]; assign VAR21[90] = VAR99[90]; assign VAR21[89] = VAR99[89]; assign VAR21[88] = VAR99[88]; assign VAR21[87] = VAR99[87]; assign VAR21[86] = VAR99[86]; assign VAR21[85] = VAR99[85]; assign VAR21[84] = VAR99[84]; assign VAR21[83] = VAR99[83]; assign VAR21[82] = VAR99[82]; assign VAR21[81] = VAR99[81]; assign VAR21[80] = VAR99[80]; assign VAR21[79] = VAR99[79]; assign VAR21[78] = VAR99[78]; assign VAR21[77] = VAR99[77]; assign VAR21[76] = VAR99[76]; assign VAR21[75] = VAR99[75]; assign VAR21[74] = VAR99[74]; assign VAR21[73] = VAR99[73]; assign VAR21[72] = VAR99[72]; assign VAR21[71] = VAR99[71]; assign VAR21[70] = VAR99[70]; assign VAR21[69] = VAR99[69]; assign VAR21[68] = VAR99[68]; assign VAR21[67] = VAR99[67]; assign VAR21[66] = VAR99[66]; assign VAR21[65] = VAR99[65]; assign VAR21[64] = VAR99[64]; assign VAR21[63] = VAR99[63]; assign VAR21[62] = VAR99[62]; assign VAR21[61] = VAR99[61]; assign VAR21[60] = VAR99[60]; assign VAR21[59] = VAR99[59]; assign VAR21[58] = VAR99[58]; assign VAR21[57] = VAR99[57]; assign VAR21[56] = VAR99[56]; assign VAR21[55] = VAR99[55]; assign VAR21[54] = VAR99[54]; assign VAR21[53] = VAR99[53]; assign VAR21[52] = VAR99[52]; assign VAR21[51] = VAR99[51]; assign VAR21[50] = VAR99[50]; assign VAR21[49] = VAR99[49]; assign VAR21[48] = VAR99[48]; assign VAR21[47] = VAR99[47]; assign VAR21[46] = VAR99[46]; assign VAR21[45] = VAR99[45]; assign VAR21[44] = VAR99[44]; assign VAR21[43] = VAR99[43]; assign VAR21[42] = VAR99[42]; assign VAR21[41] = VAR99[41]; assign VAR21[40] = VAR99[40]; assign VAR21[39] = VAR99[39]; assign VAR21[38] = VAR99[38]; assign VAR21[37] = VAR99[37]; assign VAR21[36] = VAR99[36]; assign VAR21[35] = VAR99[35]; assign VAR21[34] = VAR99[34]; assign VAR21[33] = VAR99[33]; assign VAR21[32] = VAR99[32]; assign VAR21[31] = VAR99[31]; assign VAR21[30] = VAR99[30]; assign VAR21[29] = VAR99[29]; assign VAR21[28] = VAR99[28]; assign VAR21[27] = VAR99[27]; assign VAR21[26] = VAR99[26]; assign VAR21[25] = VAR99[25]; assign VAR21[24] = VAR99[24]; assign VAR21[23] = VAR99[23]; assign VAR21[22] = VAR99[22]; assign VAR21[21] = VAR99[21]; assign VAR21[20] = VAR99[20]; assign VAR21[19] = VAR99[19]; assign VAR21[18] = VAR99[18]; assign VAR21[17] = VAR99[17]; assign VAR21[16] = VAR99[16]; assign VAR21[15] = VAR99[15]; assign VAR21[14] = VAR99[14]; assign VAR21[13] = VAR99[13]; assign VAR21[12] = VAR99[12]; assign VAR21[11] = VAR99[11]; assign VAR21[10] = VAR99[10]; assign VAR21[9] = VAR99[9]; assign VAR21[8] = VAR99[8]; assign VAR21[7] = VAR99[7]; assign VAR21[6] = VAR99[6]; assign VAR21[5] = VAR99[5]; assign VAR21[4] = VAR99[4]; assign VAR21[3] = VAR99[3]; assign VAR21[2] = VAR99[2]; assign VAR21[1] = VAR99[1]; assign VAR21[0] = VAR99[0]; assign VAR67[155] = VAR36[155]; assign VAR67[154] = VAR36[154]; assign VAR67[153] = VAR36[153]; assign VAR67[152] = VAR36[152]; assign VAR67[151] = VAR36[151]; assign VAR67[150] = VAR36[150]; assign VAR67[149] = VAR36[149]; assign VAR67[148] = VAR36[148]; assign VAR67[147] = VAR36[147]; assign VAR67[146] = VAR36[146]; assign VAR67[145] = VAR36[145]; assign VAR67[144] = VAR36[144]; assign VAR67[143] = VAR36[143]; assign VAR67[142] = VAR36[142]; assign VAR67[141] = VAR36[141]; assign VAR67[140] = VAR36[140]; assign VAR67[139] = VAR36[139]; assign VAR67[138] = VAR36[138]; assign VAR67[137] = VAR36[137]; assign VAR67[136] = VAR36[136]; assign VAR67[135] = VAR36[135]; assign VAR67[134] = VAR36[134]; assign VAR67[133] = VAR36[133]; assign VAR67[132] = VAR36[132]; assign VAR67[131] = VAR36[131]; assign VAR67[130] = VAR36[130]; assign VAR67[129] = VAR36[129]; assign VAR67[128] = VAR36[128]; assign VAR67[127] = VAR36[127]; assign VAR67[126] = VAR36[126]; assign VAR67[125] = VAR36[125]; assign VAR67[124] = VAR36[124]; assign VAR67[123] = VAR36[123]; assign VAR67[122] = VAR36[122]; assign VAR67[121] = VAR36[121]; assign VAR67[120] = VAR36[120]; assign VAR67[119] = VAR36[119]; assign VAR67[118] = VAR36[118]; assign VAR67[117] = VAR36[117]; assign VAR67[116] = VAR36[116]; assign VAR67[115] = VAR36[115]; assign VAR67[114] = VAR36[114]; assign VAR67[113] = VAR36[113]; assign VAR67[112] = VAR36[112]; assign VAR67[111] = VAR36[111]; assign VAR67[110] = VAR36[110]; assign VAR67[109] = VAR36[109]; assign VAR67[108] = VAR36[108]; assign VAR67[107] = VAR36[107]; assign VAR67[106] = VAR36[106]; assign VAR67[105] = VAR36[105]; assign VAR67[104] = VAR36[104]; assign VAR67[103] = VAR36[103]; assign VAR67[102] = VAR36[102]; assign VAR67[101] = VAR36[101]; assign VAR67[100] = VAR36[100]; assign VAR67[99] = VAR36[99]; assign VAR67[98] = VAR36[98]; assign VAR67[97] = VAR36[97]; assign VAR67[96] = VAR36[96]; assign VAR67[95] = VAR36[95]; assign VAR67[94] = VAR36[94]; assign VAR67[93] = VAR36[93]; assign VAR67[92] = VAR36[92]; assign VAR67[91] = VAR36[91]; assign VAR67[90] = VAR36[90]; assign VAR67[89] = VAR36[89]; assign VAR67[88] = VAR36[88]; assign VAR67[87] = VAR36[87]; assign VAR67[86] = VAR36[86]; assign VAR67[85] = VAR36[85]; assign VAR67[84] = VAR36[84]; assign VAR67[83] = VAR36[83]; assign VAR67[82] = VAR36[82]; assign VAR67[81] = VAR36[81]; assign VAR67[80] = VAR36[80]; assign VAR67[79] = VAR36[79]; assign VAR67[78] = VAR36[78]; assign VAR67[77] = VAR36[77]; assign VAR67[76] = VAR36[76]; assign VAR67[75] = VAR36[75]; assign VAR67[74] = VAR36[74]; assign VAR67[73] = VAR36[73]; assign VAR67[72] = VAR36[72]; assign VAR67[71] = VAR36[71]; assign VAR67[70] = VAR36[70]; assign VAR67[69] = VAR36[69]; assign VAR67[68] = VAR36[68]; assign VAR67[67] = VAR36[67]; assign VAR67[66] = VAR36[66]; assign VAR67[65] = VAR36[65]; assign VAR67[64] = VAR36[64]; assign VAR67[63] = VAR36[63]; assign VAR67[62] = VAR36[62]; assign VAR67[61] = VAR36[61]; assign VAR67[60] = VAR36[60]; assign VAR67[59] = VAR36[59]; assign VAR67[58] = VAR36[58]; assign VAR67[57] = VAR36[57]; assign VAR67[56] = VAR36[56]; assign VAR67[55] = VAR36[55]; assign VAR67[54] = VAR36[54]; assign VAR67[53] = VAR36[53]; assign VAR67[52] = VAR36[52]; assign VAR67[51] = VAR36[51]; assign VAR67[50] = VAR36[50]; assign VAR67[49] = VAR36[49]; assign VAR67[48] = VAR36[48]; assign VAR67[47] = VAR36[47]; assign VAR67[46] = VAR36[46]; assign VAR67[45] = VAR36[45]; assign VAR67[44] = VAR36[44]; assign VAR67[43] = VAR36[43]; assign VAR67[42] = VAR36[42]; assign VAR67[41] = VAR36[41]; assign VAR67[40] = VAR36[40]; assign VAR67[39] = VAR36[39]; assign VAR67[38] = VAR36[38]; assign VAR67[37] = VAR36[37]; assign VAR67[36] = VAR36[36]; assign VAR67[35] = VAR36[35]; assign VAR67[34] = VAR36[34]; assign VAR67[33] = VAR36[33]; assign VAR67[32] = VAR36[32]; assign VAR67[31] = VAR36[31]; assign VAR67[30] = VAR36[30]; assign VAR67[29] = VAR36[29]; assign VAR67[28] = VAR36[28]; assign VAR67[27] = VAR36[27]; assign VAR67[26] = VAR36[26]; assign VAR67[25] = VAR36[25]; assign VAR67[24] = VAR36[24]; assign VAR67[23] = VAR36[23]; assign VAR67[22] = VAR36[22]; assign VAR67[21] = VAR36[21]; assign VAR67[20] = VAR36[20]; assign VAR67[19] = VAR36[19]; assign VAR67[18] = VAR36[18]; assign VAR67[17] = VAR36[17]; assign VAR67[16] = VAR36[16]; assign VAR67[15] = VAR36[15]; assign VAR67[14] = VAR36[14]; assign VAR67[13] = VAR36[13]; assign VAR67[12] = VAR36[12]; assign VAR67[11] = VAR36[11]; assign VAR67[10] = VAR36[10]; assign VAR67[9] = VAR36[9]; assign VAR67[8] = VAR36[8]; assign VAR67[7] = VAR36[7]; assign VAR67[6] = VAR36[6]; assign VAR67[5] = VAR36[5]; assign VAR67[4] = VAR36[4]; assign VAR67[3] = VAR36[3]; assign VAR67[2] = VAR36[2]; assign VAR67[1] = VAR36[1]; assign VAR67[0] = VAR36[0]; endmodule
gpl-3.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_gt_rx_valid_filter_7x.v
9,124
module MODULE1 #( parameter VAR19 = 28, parameter VAR44 = 1 ) ( output [1:0] VAR3, output [15:0] VAR6, output VAR26, output VAR17, output [ 2:0] VAR5, output VAR15, input [1:0] VAR21, input [15:0] VAR25, input VAR1, input VAR45, input [ 2:0] VAR34, input VAR13, input VAR38, input VAR27, input VAR22, input VAR7 ); localparam VAR2 = 5'b00001; localparam VAR24 = 5'b00010; localparam VAR43 = 5'b00100; localparam VAR39 = 5'b01000; localparam VAR32 = 5'b10000; localparam VAR30 = 8'hBC; localparam VAR42 = 8'h7C; localparam VAR8 = 8'hBC; localparam VAR46 = 8'h3C; reg [4:0] VAR28; wire [4:0] VAR41; reg VAR12; wire VAR29; reg VAR23; wire VAR9; localparam VAR36 = 4'b0001; localparam VAR35 = 4'b0010; localparam VAR14 = 4'b0100; localparam VAR20 = 4'b1000; reg [1:0] VAR11; reg [15:0] VAR18; reg VAR31; reg VAR4; reg [ 2:0] VAR10; reg VAR16; reg VAR37; reg VAR33; always @(posedge VAR22) begin if (VAR7) begin end else begin if(((VAR28 == 5'b10000)) && (VAR38) ) begin end else if (VAR45 && !VAR31) begin end else begin VAR31 <= VAR1; end if (VAR31) begin end else if (!VAR31 && VAR38) begin end else begin end if (VAR21[0] && VAR25[7:0] == VAR46) end else if (VAR21[1] && VAR25[15:8] == VAR46) end else case ( VAR41 ) VAR2 : begin if ((VAR11[0]) && (VAR18[7:0] == VAR30) && (VAR11[1]) && (VAR18[15:8] == VAR42)) begin end else if ((VAR11[1]) && (VAR18[15:8] == VAR30)) end else end VAR24 : begin if ((VAR11[0] && (VAR18[7:0] == VAR42)) && (VAR11[1] && (VAR18[15:8] == VAR42))) begin end else if (VAR11[0] && (VAR18[7:0] == VAR42)) begin end else end VAR43 : begin if ((VAR11[0] && (VAR18[7:0] == VAR42)) && (VAR11[1] && (VAR18[15:8] == VAR42))) begin end else end VAR39 : begin if ((VAR11[0]) && (VAR18[7:0] == VAR42)) begin end else end VAR32 : begin end endcase end end assign VAR41 = VAR28; assign VAR29 = VAR12; assign VAR9 = VAR23; wire VAR40 = ~VAR7; assign VAR26 = VAR31; assign VAR3[0] = VAR31 ? VAR11[0] : 1'b0; assign VAR3[1] = (VAR31 && !VAR9) ? VAR11[1] : 1'b0; assign VAR6[7:0] = VAR18[7:0]; assign VAR6[15:8] = VAR18[15:8]; assign VAR5 = VAR10; assign VAR15 = VAR16; assign VAR17 = VAR4; endmodule
gpl-2.0
eda-globetrotter/PicenoDecoders
extra_credit/spare/build1/decoder.v
1,236
module MODULE1 (VAR2,VAR3); output reg [14:0] VAR2; input [10:0] VAR4; reg [3:0] VAR1; always @(*) begin VAR1[0]=VAR4[0]^VAR4[1]^VAR4[3]^VAR4[4]^VAR4[6]^VAR4[8]^VAR4[10]; VAR1[1]=((VAR4[0]^VAR4[2])^(VAR4[3]^VAR4[5]))^((VAR4[6]^VAR4[9])^VAR4[10]); VAR1[2]=((VAR4[1]^VAR4[2])^(VAR4[3]^VAR4[7]))^((VAR4[8]^VAR4[9])^VAR4[10]); VAR1[3]=((VAR4[4]^VAR4[5])^(VAR4[6]^VAR4[7]))^((VAR4[8]^VAR4[9])^VAR4[10]); VAR2[2]=VAR4[0]; VAR2[4]=VAR4[1]; VAR2[5]=VAR4[2]; VAR2[6]=VAR4[3]; VAR2[8]=VAR4[4]; VAR2[9]=VAR4[5]; VAR2[10]=VAR4[6]; VAR2[11]=VAR4[7]; VAR2[12]=VAR4[8]; VAR2[13]=VAR4[9]; VAR2[14]=VAR4[10]; VAR2[0]=VAR1[0]; VAR2[1]=VAR1[1]; VAR2[3]=VAR1[2]; VAR2[7]=VAR1[3]; end endmodule
mit
m-labs/milkymist
cores/bt656cap/rtl/bt656cap_burstmem.v
1,141
module MODULE1( input VAR9, input VAR8, input [2:0] VAR4, input [31:0] VAR1, input [1:0] VAR5, output [63:0] rd ); reg [31:0] VAR6[0:3]; reg [31:0] VAR2; always @(posedge VAR9) begin if(VAR8 & ~VAR4[0]) VAR6[VAR4[2:1]] <= VAR1; VAR2 <= VAR6[VAR5]; end reg [31:0] VAR3[0:3]; reg [31:0] VAR7; always @(posedge VAR9) begin if(VAR8 & VAR4[0]) VAR3[VAR4[2:1]] <= VAR1; VAR7 <= VAR3[VAR5]; end assign rd = {VAR2, VAR7}; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sleep_pargate_plv/sky130_fd_sc_lp__sleep_pargate_plv_28.v
2,174
module MODULE2 ( VAR3, VAR4 , VAR2 , VAR1 , VAR7 ); output VAR3; input VAR4 ; input VAR2 ; input VAR1 ; input VAR7 ; VAR6 VAR5 ( .VAR3(VAR3), .VAR4(VAR4), .VAR2(VAR2), .VAR1(VAR1), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR3, VAR4 ); output VAR3; input VAR4 ; supply1 VAR2; supply1 VAR1 ; supply0 VAR7 ; VAR6 VAR5 ( .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
racerxdl/LVDS-7-to-1-Serializer
src/serializer.v
2,432
module MODULE1( input clk, input VAR16, input VAR11, input [6:0] VAR4, input rst, output out ); reg [6:0] buffer [1:0]; reg [1:0] VAR3 = 0; reg VAR2 = 0; reg [2:0] VAR18 = 0; reg VAR6 = 0; reg VAR20 = 0; VAR1 #( .VAR7("VAR17") ) VAR12 ( .VAR10(out), .VAR9(VAR16), .VAR8(VAR11), .VAR13(1'b1), .VAR5(VAR3[0]), .VAR19(VAR3[1]), .VAR14(1'b0), .VAR15(1'b0) ); always @(posedge clk or posedge rst) begin if(rst == 1'b1) begin buffer[0] <= 7'b0000000; buffer[1] <= 7'b0000000; VAR2 <= 0; VAR6 <= 0; end else begin VAR6 <= 1; VAR2 <= VAR2 + 1; buffer[VAR2] <= VAR4; end end always @(posedge VAR16 or posedge rst) begin if(rst == 1'b1) begin VAR18 <= 0; VAR3 <= 0; VAR20 <= 0; end else begin if(VAR18 == 6) VAR18 <= 0; end else VAR18 <= VAR18 + 1; if(VAR6 && VAR18 == 6) VAR20 <= 1; if(VAR20) begin case (VAR18) 0: VAR3 <= { buffer[0][0], buffer[0][1] }; 1: VAR3 <= { buffer[0][2], buffer[0][3] }; 2: VAR3 <= { buffer[0][4], buffer[0][5] }; 3: VAR3 <= { buffer[0][6], buffer[1][0] }; 4: VAR3 <= { buffer[1][1], buffer[1][2] }; 5: VAR3 <= { buffer[1][3], buffer[1][4] }; 6: VAR3 <= { buffer[1][5], buffer[1][6] }; endcase end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/edfxtp/sky130_fd_sc_ms__edfxtp.symbol.v
1,424
module MODULE1 ( input VAR4 , output VAR7 , input VAR3 , input VAR8 ); supply1 VAR1; supply0 VAR2; supply1 VAR6 ; supply0 VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/einvn/sky130_fd_sc_ms__einvn.functional.pp.v
1,872
module MODULE1 ( VAR1 , VAR5 , VAR4, VAR13, VAR3, VAR12 , VAR6 ); output VAR1 ; input VAR5 ; input VAR4; input VAR13; input VAR3; input VAR12 ; input VAR6 ; wire VAR10 ; wire VAR9; VAR11 VAR7 (VAR10 , VAR5, VAR13, VAR3 ); VAR11 VAR2 (VAR9, VAR4, VAR13, VAR3 ); notif0 VAR8 (VAR1 , VAR10, VAR9); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o21a/sky130_fd_sc_ls__o21a.behavioral.v
1,508
module MODULE1 ( VAR6 , VAR9, VAR1, VAR12 ); output VAR6 ; input VAR9; input VAR1; input VAR12; supply1 VAR7; supply0 VAR11; supply1 VAR13 ; supply0 VAR2 ; wire VAR8 ; wire VAR3; or VAR5 (VAR8 , VAR1, VAR9 ); and VAR4 (VAR3, VAR8, VAR12 ); buf VAR10 (VAR6 , VAR3 ); endmodule
apache-2.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/verilog/ANN_dadd_64ns_64ns_64_5_full_dsp.v
1,912
module MODULE1 VAR6 = 6, VAR9 = 5, VAR25 = 64, VAR22 = 64, VAR3 = 64 )( input wire clk, input wire reset, input wire VAR20, input wire [VAR25-1:0] VAR2, input wire [VAR22-1:0] VAR27, output wire [VAR3-1:0] dout ); wire VAR24; wire VAR26; wire VAR4; wire [63:0] VAR21; wire VAR15; wire [63:0] VAR12; wire VAR8; wire [63:0] VAR5; reg [VAR25-1:0] VAR23; reg [VAR22-1:0] VAR10; VAR11 VAR17 ( .VAR24 ( VAR24 ), .VAR26 ( VAR26 ), .VAR7 ( VAR4 ), .VAR1 ( VAR21 ), .VAR13 ( VAR15 ), .VAR19 ( VAR12 ), .VAR16 ( VAR8 ), .VAR14 ( VAR5 ) ); assign VAR24 = clk; assign VAR26 = VAR20; assign VAR4 = 1'b1; assign VAR21 = VAR23==='VAR18 ? 'b0 : VAR23; assign VAR15 = 1'b1; assign VAR12 = VAR10==='VAR18 ? 'b0 : VAR10; assign dout = VAR5; always @(posedge clk) begin if (VAR20) begin VAR23 <= VAR2; VAR10 <= VAR27; end end endmodule
gpl-3.0
petrmikheev/miksys
verilog/SHIFT_bb.v
3,310
module MODULE1 ( VAR2, VAR1, VAR4, VAR3); input [15:0] VAR2; input VAR1; input [3:0] VAR4; output [15:0] VAR3; endmodule
gpl-3.0
spesialstyrker/boula
gen/PCIe/example_design/xilinx_pcie_2_0_ep_v6.v
17,939
module MODULE1 # ( parameter VAR103 = "VAR21", parameter VAR79 = 64, parameter VAR101 = VAR79 / 8 ) ( output [0:0] VAR76, output [0:0] VAR89, input [0:0] VAR128, input [0:0] VAR94, output VAR114, output VAR66, output VAR13, input VAR24, input VAR41, input VAR109 ); wire VAR29; wire VAR5; wire VAR91; wire [5:0] VAR97; wire VAR45; wire VAR17; wire VAR118; wire VAR67; wire [3:0] VAR31; wire [VAR79-1:0] VAR40; wire [VAR101-1:0] VAR88; wire VAR35; wire VAR83; wire [VAR79-1:0] VAR107; wire [VAR101-1:0] VAR10; wire VAR7; wire VAR52; wire VAR106; wire [21:0] VAR74; wire VAR93; wire [11:0] VAR61; wire [7:0] VAR3; wire [11:0] VAR116; wire [7:0] VAR113; wire [11:0] VAR46; wire [7:0] VAR20; wire [2:0] VAR58; wire [31:0] VAR11; wire VAR4; wire [31:0] VAR47; wire [3:0] VAR73; wire [9:0] VAR95; wire VAR26; wire VAR18; wire VAR38; wire VAR23; wire VAR60; wire VAR49; wire VAR25; wire VAR124; wire VAR30; wire VAR72; wire [47:0] VAR16; wire VAR6; wire VAR81; wire VAR77; wire VAR120; wire [7:0] VAR123; wire [7:0] VAR69; wire [2:0] VAR84; wire VAR108; wire VAR57; wire VAR131; wire VAR119; wire VAR53; wire VAR27; wire VAR22; wire [7:0] VAR43; wire [4:0] VAR99; wire [2:0] VAR104; wire [15:0] VAR2; wire [15:0] VAR112; wire [15:0] VAR59; wire [15:0] VAR90; wire [15:0] VAR125; wire [15:0] VAR1; wire [15:0] VAR134; wire [2:0] VAR102; wire [63:0] VAR39; wire [2:0] VAR56; wire [1:0] VAR64; wire VAR96; wire VAR50; wire VAR100; wire [5:0] VAR87; wire VAR32; wire VAR130; wire [1:0] VAR65; wire VAR92; wire [1:0] VAR127; wire VAR9; wire [1:0] VAR34; wire VAR55; wire VAR36; wire VAR15; VAR48 VAR105 (.VAR110(VAR36), .VAR126(), .VAR80(VAR24), .VAR68(VAR41), .VAR117(1'b0)); VAR86 VAR51 (.VAR110(VAR15), .VAR80(VAR109)); VAR75 VAR129 (.VAR110(VAR114), .VAR80(VAR15)); VAR75 VAR70 (.VAR110(VAR66), .VAR80(VAR5)); VAR75 VAR14 (.VAR110(VAR13), .VAR80(!VAR91)); VAR63 #( .VAR85(1'b1) ) VAR12 ( .VAR8 (VAR91), .VAR82 (VAR98), .VAR44 (VAR29), .VAR54 (1'b0), .VAR111 (1'b0) ); VAR63 #( .VAR85(1'b1) ) VAR71 ( .VAR8 (VAR5), .VAR82 (VAR28), .VAR44 (VAR29), .VAR54 (1'b0), .VAR111 (1'b0) ); VAR133 #( .VAR103 ( VAR103 ) ) VAR115 ( .VAR76( VAR76 ), .VAR89( VAR89 ), .VAR128( VAR128 ), .VAR94( VAR94 ), .VAR132( VAR29 ), .VAR78( VAR28 ), .VAR91( VAR98 ), .VAR67( VAR67 ), .VAR40( VAR40 ), .VAR88( VAR88 ), .VAR31( VAR31 ), .VAR35( VAR35 ), .VAR83( VAR83 ), .VAR118( VAR118 ), .VAR45( VAR45 ), .VAR97( VAR97 ), .VAR17( VAR17 ), .VAR107( VAR107 ), .VAR10( VAR10 ), .VAR7( VAR7 ), .VAR52( VAR52 ), .VAR106( VAR106 ), .VAR74 ( VAR74 ), .VAR93( VAR93 ), .VAR61( VAR61 ), .VAR3( VAR3 ), .VAR116( VAR116 ), .VAR113( VAR113 ), .VAR46( VAR46 ), .VAR20( VAR20 ), .VAR58( VAR58 ), .VAR11( VAR11 ), .VAR4( VAR4), .VAR47( VAR47 ), .VAR73( VAR73 ), .VAR95( VAR95 ), .VAR26( VAR26 ), .VAR18( VAR18 ), .VAR38( VAR38 ), .VAR23( VAR23 ), .VAR60( VAR60 ), .VAR49( VAR49 ), .VAR25( VAR25 ), .VAR124( VAR124 ), .VAR30( VAR30 ), .VAR72( VAR72 ), .VAR16( VAR16 ), .VAR6( VAR6 ), .VAR81( VAR81 ), .VAR77( VAR77 ), .VAR120( VAR120 ), .VAR123( VAR123 ), .VAR69( VAR69 ), .VAR84( VAR84 ), .VAR108( VAR108 ), .VAR57( VAR57 ), .VAR131( VAR131 ), .VAR119( VAR119 ), .VAR53( VAR53 ), .VAR27( VAR27 ), .VAR22( VAR22 ), .VAR43( VAR43 ), .VAR99( VAR99 ), .VAR104( VAR104 ), .VAR2( VAR2 ), .VAR112( VAR112 ), .VAR59( VAR59 ), .VAR90( VAR90 ), .VAR125( VAR125 ), .VAR1( VAR1 ), .VAR134( VAR134 ), .VAR102( VAR102 ), .VAR39( VAR39 ), .VAR122( ), .VAR62( ), .VAR42( ), .VAR56( VAR56 ), .VAR64( VAR64 ), .VAR96( VAR96 ), .VAR50( VAR50 ), .VAR100( VAR100 ), .VAR87( VAR87 ), .VAR32( VAR32 ), .VAR130( VAR130 ), .VAR65( VAR65 ), .VAR92( VAR92 ), .VAR127( VAR127 ), .VAR9( VAR9 ), .VAR34( VAR34 ), .VAR55( VAR55 ), .VAR121( VAR36 ), .VAR19( !VAR15 ) ); VAR33 #( .VAR79( VAR79 ), .VAR101( VAR101 ) )VAR37 ( .VAR29( VAR29 ), .VAR5( VAR28 ), .VAR91( VAR98 ), .VAR97( VAR97 ), .VAR45( VAR45 ), .VAR17( VAR17 ), .VAR67( VAR67 ), .VAR40( VAR40 ), .VAR88( VAR88 ), .VAR31( VAR31 ), .VAR35( VAR35 ), .VAR83( VAR83 ), .VAR118( VAR118 ), .VAR107( VAR107 ), .VAR10( VAR10 ), .VAR7( VAR7 ), .VAR52( VAR52 ), .VAR106( VAR106 ), .VAR74 ( VAR74 ), .VAR93( VAR93 ), .VAR61( VAR61 ), .VAR3( VAR3 ), .VAR116( VAR116 ), .VAR113( VAR113 ), .VAR46( VAR46 ), .VAR20( VAR20 ), .VAR58( VAR58 ), .VAR11( VAR11 ), .VAR4( VAR4), .VAR47( VAR47 ), .VAR73( VAR73 ), .VAR95( VAR95 ), .VAR26( VAR26 ), .VAR18( VAR18 ), .VAR38( VAR38 ), .VAR23( VAR23 ), .VAR60( VAR60 ), .VAR49( VAR49 ), .VAR25( VAR25 ), .VAR124( VAR124 ), .VAR30( VAR30 ), .VAR72( VAR72 ), .VAR16( VAR16 ), .VAR6( VAR6 ), .VAR81( VAR81 ), .VAR77( VAR77 ), .VAR120( VAR120 ), .VAR123( VAR123 ), .VAR69( VAR69 ), .VAR84( VAR84 ), .VAR108( VAR108 ), .VAR57( VAR57 ), .VAR131( VAR131 ), .VAR119( VAR119 ), .VAR53( VAR53 ), .VAR27( VAR27 ), .VAR22( VAR22 ), .VAR43( VAR43 ), .VAR99( VAR99 ), .VAR104( VAR104 ), .VAR2( VAR2 ), .VAR112( VAR112 ), .VAR59( VAR59 ), .VAR90( VAR90 ), .VAR125( VAR125 ), .VAR1( VAR1 ), .VAR134( VAR134 ), .VAR102( VAR102 ), .VAR39( VAR39 ), .VAR56( VAR56 ), .VAR64( VAR64 ), .VAR96( VAR96 ), .VAR50( VAR50 ), .VAR100( VAR100 ), .VAR87( VAR87 ), .VAR32( VAR32 ), .VAR130( VAR130 ), .VAR65( VAR65 ), .VAR92( VAR92 ), .VAR127( VAR127 ), .VAR9( VAR9 ), .VAR34( VAR34 ), .VAR55( VAR55 ) ); endmodule
gpl-2.0
lbl-cal/StanfordNoC
router/src/rtr_flit_buffer.v
15,909
module MODULE1 (clk, reset, VAR97, VAR100, VAR105, VAR37, VAR67, VAR68, VAR2, VAR29, VAR30, VAR71, VAR64, VAR23, VAR46, VAR49, VAR13, VAR10); parameter VAR34 = 4; parameter VAR74 = 32; parameter VAR77 = 64; parameter VAR25 = 8; parameter VAR94 = VAR15; parameter VAR12 = 1; parameter VAR65 = 0; parameter VAR16 = VAR43; parameter VAR102 = 1; parameter VAR5 = 1; parameter VAR63 = 0; parameter VAR90 = VAR95; localparam VAR93 = VAR74 / VAR34; localparam VAR22 = VAR106(VAR74); localparam VAR76 = VAR106(VAR93); localparam VAR4 = VAR22 - VAR76; input clk; input reset; input VAR97; input VAR100; input VAR105; input VAR37; input [0:VAR34-1] VAR67; input [0:VAR77-1] VAR68; input VAR2; input VAR29; input [0:VAR34-1] VAR30; output [0:VAR77-1] VAR71; wire [0:VAR77-1] VAR71; output [0:VAR34-1] VAR64; wire [0:VAR34-1] VAR64; output [0:VAR25-1] VAR23; wire [0:VAR25-1] VAR23; output [0:VAR34-1] VAR46; wire [0:VAR34-1] VAR46; output [0:VAR34-1] VAR49; wire [0:VAR34-1] VAR49; output VAR13; wire VAR13; output [0:VAR34*2-1] VAR10; wire [0:VAR34*2-1] VAR10; wire [0:VAR22-1] VAR21; wire [0:VAR34*VAR22-1] VAR11; wire [0:VAR34*VAR22-1] VAR42; generate if(VAR5) begin wire [0:VAR34-1] VAR17, VAR82; assign VAR17 = VAR100 ? ((VAR82 & ~({VAR34{VAR105}} & VAR67)) | ({VAR34{VAR37}} & VAR67)) : VAR82; VAR73 .VAR90(VAR90)) VAR82 (.clk(clk), .reset(reset), .VAR55(VAR97), .VAR60(VAR17), .VAR27(VAR82)); assign VAR64 = VAR46 & VAR82; end case(VAR16) begin wire [0:VAR34*VAR22-1] VAR6; if(!VAR5) begin genvar VAR101; for(VAR101 = 0; VAR101 < VAR34; VAR101 = VAR101 + 1) begin:VAR81 wire VAR69; assign VAR69 = VAR67[VAR101]; wire VAR47; assign VAR47 = VAR100 & VAR69; wire [0:VAR22-1] VAR21; assign VAR21 = VAR6[VAR101*VAR22:(VAR101+1)*VAR22-1]; wire [0:VAR93-1] VAR45; if(VAR93 == 1) assign VAR45 = 1'b1; end else if(VAR93 > 1) begin wire [0:VAR76-1] VAR92; assign VAR92 = VAR21[VAR4:VAR22-1]; VAR59 .VAR48((VAR101 * VAR93) % (1 << VAR76))) VAR56 (.VAR9(VAR92), .VAR26(VAR45)); end wire [0:VAR93-1] VAR89, VAR33; assign VAR89 = VAR47 ? (({VAR93{VAR37}} & VAR45) | (VAR33 & ~VAR45)) : VAR33; VAR73 .VAR90(VAR90)) VAR33 (.clk(clk), .reset(1'b0), .VAR55(VAR97), .VAR60(VAR89), .VAR27(VAR33)); wire [0:VAR22-1] VAR38; assign VAR38 = VAR11[VAR101*VAR22:(VAR101+1)*VAR22-1]; wire [0:VAR93-1] VAR72; if(VAR93 == 1) assign VAR72 = 1'b1; end else if(VAR93 > 1) begin wire [0:VAR76-1] VAR31; assign VAR31 = VAR38[VAR4:VAR22-1]; VAR59 .VAR48((VAR101 * VAR93) % (1 << VAR76))) VAR80 (.VAR9(VAR31), .VAR26(VAR72)); end wire VAR24; VAR86 .VAR79(1)) VAR36 (.select(VAR72), .VAR9(VAR33), .VAR26(VAR24)); assign VAR64[VAR101] = VAR24; end end wire [0:VAR34-1] VAR32; VAR57 .VAR51(VAR93), .VAR63(VAR63), .VAR54(VAR102), .VAR90(VAR90)) VAR44 (.clk(clk), .reset(reset), .VAR97(VAR97), .VAR100(VAR100), .VAR20(VAR67), .VAR52(VAR6), .VAR2(VAR2), .VAR29(VAR29), .VAR87(VAR30), .VAR7(VAR11), .VAR104(VAR42), .VAR18(VAR46), .VAR3(VAR49), .VAR8(VAR32), .VAR103(VAR10)); VAR86 .VAR79(VAR22)) VAR53 (.select(VAR67), .VAR9(VAR6), .VAR26(VAR21)); assign VAR13 = &VAR32; end begin if(!VAR5) begin wire [0:VAR74-1] VAR45; VAR59 VAR56 (.VAR9(VAR21), .VAR26(VAR45)); wire [0:VAR74-1] VAR89, VAR33; assign VAR89 = VAR100 ? (({VAR74{VAR37}} & VAR45) | (VAR33 & ~VAR45)) : VAR33; VAR73 .VAR90(VAR90)) VAR33 (.clk(clk), .reset(1'b0), .VAR55(VAR97), .VAR60(VAR89), .VAR27(VAR33)); genvar VAR101; for(VAR101 = 0; VAR101 < VAR34; VAR101 = VAR101 + 1) begin:VAR81 wire [0:VAR22-1] VAR38; assign VAR38 = VAR11[VAR101*VAR22:(VAR101+1)*VAR22-1]; wire [0:VAR74-1] VAR72; VAR59 VAR80 (.VAR9(VAR38), .VAR26(VAR72)); wire VAR24; VAR86 .VAR79(1)) VAR36 (.select(VAR72), .VAR9(VAR33), .VAR26(VAR24)); assign VAR64[VAR101] = VAR24; end end VAR28 .VAR1(VAR74), .VAR63(VAR63), .VAR54(VAR102), .VAR90(VAR90)) VAR50 (.clk(clk), .reset(reset), .VAR97(VAR97), .VAR100(VAR100), .VAR20(VAR67), .VAR21(VAR21), .VAR2(VAR2), .VAR29(VAR29), .VAR87(VAR30), .VAR7(VAR11), .VAR104(VAR42), .VAR18(VAR46), .VAR3(VAR49), .VAR13(VAR13), .VAR103(VAR10)); end endcase endgenerate wire [0:VAR22-1] VAR38; VAR86 .VAR79(VAR22)) VAR88 (.select(VAR30), .VAR9(VAR11), .VAR26(VAR38)); wire VAR62; assign VAR62 = VAR97; wire [0:VAR22-1] VAR85; assign VAR85 = VAR21; wire [0:VAR77-1] VAR78; assign VAR78 = VAR68; wire [0:VAR77-1] VAR70; wire VAR41; wire [0:VAR22-1] VAR98; generate if(VAR12) begin wire VAR40; VAR86 .VAR79(1)) VAR58 (.select(VAR30), .VAR9(VAR49), .VAR26(VAR40)); if(VAR65) assign VAR41 = VAR100 & ~(VAR29 & VAR40); end else assign VAR41 = VAR100; assign VAR98 = VAR38; wire [0:VAR77-1] VAR39, VAR96; assign VAR39 = VAR29 ? (VAR40 ? VAR78 : VAR70) : VAR96; VAR73 .VAR90(VAR90)) VAR96 (.clk(clk), .reset(1'b0), .VAR55(VAR2), .VAR60(VAR39), .VAR27(VAR96)); assign VAR71 = VAR96; end else begin assign VAR41 = VAR100; wire [0:VAR22-1] VAR107, VAR35; assign VAR107 = VAR29 ? VAR38 : VAR35; VAR73 .VAR90(VAR90)) VAR35 (.clk(clk), .reset(1'b0), .VAR55(VAR2), .VAR60(VAR107), .VAR27(VAR35)); assign VAR98 = VAR35; assign VAR71 = VAR70; end if(VAR5) begin VAR14 .VAR79(VAR77), .VAR94(VAR94)) VAR91 (.clk(clk), .VAR62(VAR62), .VAR41(VAR41), .VAR99(VAR85), .VAR78(VAR78), .VAR83(VAR98), .VAR70(VAR70)); assign VAR23 = {VAR25{1'VAR75}}; end else begin wire [0:VAR22-1] VAR84; VAR86 .VAR79(VAR22)) VAR66 (.select(VAR30), .VAR9(VAR42), .VAR26(VAR84)); wire [0:VAR77-1] VAR61; VAR14 .VAR79(VAR77), .VAR19(2), .VAR94(VAR94)) VAR91 (.clk(clk), .VAR62(VAR62), .VAR41(VAR41), .VAR99(VAR85), .VAR78(VAR78), .VAR83({VAR98, VAR84}), .VAR70({VAR70, VAR61})); assign VAR23 = VAR61[0:VAR25-1]; end endgenerate endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dlatch_psa_pp_pkg_sn/sky130_fd_sc_hs__udp_dlatch_psa_pp_pkg_sn.symbol.v
1,590
module MODULE1 ( input VAR1 , output VAR2 , input VAR6 , input VAR5 , input VAR9 , input VAR8 , input VAR4, input VAR7 , input VAR3 ); endmodule
apache-2.0
victor1994y/BipedRobot_byFPGA
Project_BipedRobot.srcs/sources_1/new/Bluetooth/UART_top.v
2,299
module MODULE1(clk,VAR8,VAR3,VAR18,VAR24,VAR23,VAR28,VAR2); input clk,VAR8,VAR24; input [7:0] VAR23; input VAR3; output VAR18; output VAR28; output [7:0] VAR2; wire VAR14,VAR11; wire VAR16,VAR5; wire VAR30,VAR26; wire [7:0] VAR15; VAR6 VAR13( .VAR10(VAR26), .VAR4(VAR30), .VAR9(VAR8), .VAR29(clk) ); VAR21 VAR27( .clk(VAR30), .VAR8(VAR8), .VAR7(VAR14), .VAR1(VAR16) ); VAR19 VAR17( .clk(VAR30), .VAR8(VAR8), .VAR7(VAR14), .VAR1(VAR16), .VAR24(VAR24), .VAR15(VAR15), .VAR18(VAR18), .VAR2(VAR2) ); VAR21 VAR12( .clk(VAR26), .VAR8(VAR8), .VAR7(VAR11), .VAR1(VAR5) ); VAR22 VAR20( .clk(clk), .VAR8(VAR8), .VAR7(VAR11), .VAR1(VAR5), .VAR28(VAR28), .VAR25(VAR23), .VAR3(VAR3) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o2bb2ai/sky130_fd_sc_ms__o2bb2ai.blackbox.v
1,397
module MODULE1 ( VAR2 , VAR1, VAR4, VAR6 , VAR5 ); output VAR2 ; input VAR1; input VAR4; input VAR6 ; input VAR5 ; supply1 VAR3; supply0 VAR9; supply1 VAR8 ; supply0 VAR7 ; endmodule
apache-2.0
chebykinn/university
circuitry/lab4/src/hdl/ram_wb/ram_wb.v
1,429
module MODULE1 #( parameter VAR9 = 32'h0000ffff, parameter VAR16 = 32'h00001000) ( input [31:0] VAR14, output [31:0] VAR6, input [31:0] VAR4, input VAR21, input [3:0] VAR15, input VAR1, input VAR7, output reg VAR2, input [2:0] VAR5, input VAR18, input VAR8); wire [31:0] VAR19; assign VAR19[31:24] = VAR15[3] ? VAR14[31:24] : VAR6[31:24]; assign VAR19[23:16] = VAR15[2] ? VAR14[23:16] : VAR6[23:16]; assign VAR19[15: 8] = VAR15[1] ? VAR14[15: 8] : VAR6[15: 8]; assign VAR19[ 7: 0] = VAR15[0] ? VAR14[ 7: 0] : VAR6[ 7: 0]; VAR11 #(.VAR9(VAR9), .VAR16(VAR16), .VAR3(1'b0)) VAR10( .VAR13(VAR19), .VAR17(VAR6), .VAR12(VAR4), .VAR20(VAR21 & VAR2), .VAR18(VAR18)); always @ (posedge VAR18 or posedge VAR8) begin if (VAR8) VAR2 <= 1'b0; end else if (!VAR2) begin if (VAR1 & VAR7) VAR2 <= 1'b1; end else if ((VAR15 != 4'b1111) | (VAR5 == 3'b000) | (VAR5 == 3'b111)) VAR2 <= 1'b0; end endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/common/ad_lvds_clk.v
3,283
module MODULE1 ( VAR9, VAR12, clk); parameter VAR17 = 0; localparam VAR2 = 0; localparam VAR8 = 1; input VAR9; input VAR12; output clk; wire VAR3; VAR10 VAR16 ( .VAR14 (VAR9), .VAR11 (VAR12), .VAR13 (VAR3)); generate if (VAR17 == VAR8) begin VAR15 #(.VAR19("VAR7")) VAR6 ( .VAR1 (1'b0), .VAR4 (1'b1), .VAR14 (VAR3), .VAR13 (clk)); end else begin VAR18 VAR5 ( .VAR14 (VAR3), .VAR13 (clk)); end endgenerate endmodule
gpl-3.0
kigawas/MipsCPU
CPU/led.v
1,227
module MODULE1 ( input [15:0] VAR8, input clk, input VAR2, output reg [6:0] VAR4, output reg [3:0] VAR9, output wire VAR5 ); wire [1:0] VAR6; reg [3:0] VAR3; wire [3:0] VAR1; reg [19:0] VAR7; assign VAR5 = 1; assign VAR6[0] = VAR7[18]; assign VAR6[1] = VAR7[17]; assign VAR1 = 4'b1111; always @ ( * ) begin case (VAR6) 2'b00: VAR3 = VAR8[3:0]; 2'b01: VAR3 = VAR8[7:4]; 2'b10: VAR3 = VAR8[11:8]; 2'b11: VAR3 = VAR8[15:12]; default: VAR3 = VAR8[3:0]; endcase end always @ ( * ) case (VAR3) 0: VAR4 = 7'b0000001; 1: VAR4 = 7'b1001111; 2: VAR4 = 7'b0010010; 3: VAR4 = 7'b0000110; 4: VAR4 = 7'b1001100; 5: VAR4 = 7'b0100100; 6: VAR4 = 7'b0100000; 7: VAR4 = 7'b0001111; 8: VAR4 = 7'b0000000; 9: VAR4 = 7'b0000100; 'hA: VAR4 = 7'b0001000; 'hB: VAR4 = 7'b1100000; 'hC: VAR4 = 7'b0110001; 'hD: VAR4 = 7'b1000010; 'hE: VAR4 = 7'b0110000; 'hF: VAR4 = 7'b0111000; default: VAR4 = 7'b0000001; endcase always @ ( * ) begin VAR9 = 4'b1111; if (VAR1[VAR6] == 1) VAR9[VAR6] = 0; end always @ (posedge clk or posedge VAR2) begin if (VAR2 == 1) VAR7 <= 0; end else VAR7 <= VAR7 + 1; end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfrtn/sky130_fd_sc_hd__dfrtn.functional.pp.v
2,024
module MODULE1 ( VAR8 , VAR2 , VAR6 , VAR5, VAR14 , VAR13 , VAR7 , VAR17 ); output VAR8 ; input VAR2 ; input VAR6 ; input VAR5; input VAR14 ; input VAR13 ; input VAR7 ; input VAR17 ; wire VAR3 ; wire VAR12 ; wire VAR4; not VAR16 (VAR12 , VAR5 ); not VAR15 (VAR4, VAR2 ); VAR11 VAR10 VAR1 (VAR3 , VAR6, VAR4, VAR12, , VAR14, VAR13); buf VAR9 (VAR8 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o31a/sky130_fd_sc_hd__o31a_4.v
2,322
module MODULE2 ( VAR2 , VAR10 , VAR5 , VAR3 , VAR6 , VAR11, VAR1, VAR8 , VAR4 ); output VAR2 ; input VAR10 ; input VAR5 ; input VAR3 ; input VAR6 ; input VAR11; input VAR1; input VAR8 ; input VAR4 ; VAR7 VAR9 ( .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR3(VAR3), .VAR6(VAR6), .VAR11(VAR11), .VAR1(VAR1), .VAR8(VAR8), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR2 , VAR10, VAR5, VAR3, VAR6 ); output VAR2 ; input VAR10; input VAR5; input VAR3; input VAR6; supply1 VAR11; supply0 VAR1; supply1 VAR8 ; supply0 VAR4 ; VAR7 VAR9 ( .VAR2(VAR2), .VAR10(VAR10), .VAR5(VAR5), .VAR3(VAR3), .VAR6(VAR6) ); endmodule
apache-2.0
C-L-G/azpr_soc
azpr_soc/trunk/ic/digital/rtl/uart/uart.v
3,386
module MODULE1 ( input wire clk, input wire reset, input wire VAR19, input wire VAR20, input wire VAR17, input wire [VAR5] addr, input wire [VAR14] VAR8, output wire [VAR14] VAR22, output wire VAR7, output wire VAR1, output wire VAR21, input wire VAR6, output wire VAR10 ); wire VAR13; wire VAR23; wire [VAR15] VAR16; wire VAR18; wire VAR9; wire VAR11; wire [VAR15] VAR3; VAR2 VAR2 ( .clk (clk), .reset (reset), .VAR19 (VAR19), .VAR20 (VAR20), .VAR17 (VAR17), .addr (addr), .VAR8 (VAR8), .VAR22 (VAR22), .VAR7 (VAR7), .VAR1 (VAR1), .VAR21 (VAR21), .VAR13 (VAR13), .VAR23 (VAR23), .VAR16 (VAR16), .VAR18 (VAR18), .VAR9 (VAR9), .VAR11 (VAR11), .VAR3 (VAR3) ); VAR4 VAR4 ( .clk (clk), .reset (reset), .VAR11 (VAR11), .VAR3 (VAR3), .VAR18 (VAR18), .VAR9 (VAR9), .VAR10 (VAR10) ); VAR12 VAR12 ( .clk (clk), .reset (reset), .VAR13 (VAR13), .VAR23 (VAR23), .VAR16 (VAR16), .VAR6 (VAR6) ); endmodule
apache-2.0
qeedquan/fpga
de2-115/ledhex/ledhex.v
1,989
module MODULE1 ( input wire [3:0] VAR12, input wire clk, output reg [VAR2-1:0] VAR11, output reg [VAR8*7-1:0] VAR18 ); task VAR9(); integer VAR14; for (VAR14 = 0; VAR14 < VAR8*7; VAR14 = VAR14 + 1) begin VAR18[VAR14] = 1; end endtask task VAR16(input integer VAR3, input integer VAR15); reg [7:0] VAR6; integer VAR14; begin case (VAR15) 0: VAR6 = 7'b1000000; 1: VAR6 = 7'b1111001; 2: VAR6 = 7'b0100100; 3: VAR6 = 7'b0110000; 4: VAR6 = 7'b0011001; 5: VAR6 = 7'b0010010; 6: VAR6 = 7'b0000010; 7: VAR6 = 7'b1111000; 8: VAR6 = 7'b0000000; 9: VAR6 = 7'b0010000; default: VAR6 = 7'b1111111; endcase VAR3 = VAR3*7; for (VAR14 = 0; VAR14 < 7; VAR14 = VAR14 + 1) begin VAR18[VAR3+VAR14] = VAR6[VAR14]; end end endtask task VAR5(input integer VAR15); integer VAR14; begin VAR9(); for (VAR14 = 0; VAR14 < VAR8; VAR14 = VAR14 + 1) begin VAR16(VAR14, VAR15 % 10); VAR15 = VAR15 / 10; end end endtask parameter VAR10 = 50000000; parameter VAR1 = VAR10 / 25; parameter VAR20 = VAR10; parameter VAR4 = 100000000; reg [31:0] VAR13, VAR19, VAR17, VAR7; integer VAR14;
mit
dtysky/FPGA-Imaging-Library
LocalFilter/ErosionDilationBin/HDL/ErosionDilationBin.srcs/sources_1/new/ErosionDilationBin.v
4,530
module MODULE1( clk, VAR12, VAR4, VAR18, VAR7, VAR16, VAR2, VAR3); parameter[0 : 0] VAR1 = 0; parameter[3 : 0] VAR6 = 3; parameter VAR17 = 3; input clk; input VAR12; input VAR4; input[VAR6 * VAR6 - 1 : 0] VAR18; input VAR7; input [VAR6 * VAR6 - 1 : 0] VAR16; output VAR2; output VAR3; reg[VAR19 - 1 : 0] VAR5; reg[3 : 0] VAR10; function VAR9(input VAR14, VAR4, VAR18); VAR9 = VAR14 ^ VAR4 | ~VAR18; endfunction genvar VAR11, VAR13; generate always @(posedge clk or negedge VAR12 or negedge VAR7) begin if(~VAR12 || ~VAR7) VAR10 <= 0; end else if(VAR10 == VAR17) VAR10 <= VAR10; else VAR10 <= VAR10 + 1; end assign VAR2 = VAR10 == VAR17? 1 : 0; for (VAR11 = 0; VAR11 < VAR19; VAR11 = VAR11 + 1) begin if(VAR1 == 0) begin always @(*) VAR5[VAR11] = VAR16[VAR11]; end else begin always @(posedge VAR7) VAR5[VAR11] = VAR16[VAR11]; end end for (VAR11 = 0; VAR11 < VAR17; VAR11 = VAR11 + 1) begin : VAR8 reg[(VAR19 >> VAR11 + 1) - 1 : 0] VAR15; for (VAR13 = 0; VAR13 < VAR19 >> VAR11 + 1; VAR13 = VAR13 + 1) begin if(VAR11 == 0) begin if(VAR13 == 0 && ((VAR19 >> VAR11) % 2 != 0)) begin always @(posedge clk) VAR15[VAR13] <= VAR9(VAR5[VAR19 - 1], VAR4, VAR18[VAR19 - 1]) & VAR9(VAR5[2 * VAR13], VAR4, VAR18[2 * VAR13]) & VAR9(VAR5[2 * VAR13 + 1], VAR4, VAR18[2 * VAR13 + 1]); end else begin always @(posedge clk) VAR15[VAR13] <= VAR9(VAR5[2 * VAR13], VAR4, VAR18[2 * VAR13]) & VAR9(VAR5[2 * VAR13 + 1], VAR4, VAR18[2 * VAR13 + 1]); end end else begin if(VAR13 == 0 && ((VAR19 >> VAR11) % 2 != 0)) begin always @(posedge clk) VAR15[VAR13] <= VAR8[VAR11 - 1].VAR15[(VAR19 >> VAR11) - 1] & VAR8[VAR11 - 1].VAR15[2 * VAR13] & VAR8[VAR11 - 1].VAR15[2 * VAR13 + 1]; end else begin always @(posedge clk) VAR15[VAR13] <= VAR8[VAR11 - 1].VAR15[2 * VAR13] & VAR8[VAR11 - 1].VAR15[2 * VAR13 + 1]; end end end end assign VAR3 = VAR2 ? VAR8[VAR17 - 1].VAR15[0] ^ VAR4 : 0; endgenerate endmodule
lgpl-2.1
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_mout/rtl/jbi_jid_to_yid.v
5,224
module MODULE1 ( VAR25, VAR5, VAR24, VAR1, VAR9, VAR34, VAR20, VAR32, VAR10, VAR14, VAR7, VAR28, VAR6, VAR35, clk, VAR13 ); input [3:0] VAR20; output [9:0] VAR25; output VAR5; input [3:0] VAR32; output [9:0] VAR24; output VAR1; output VAR9; input VAR10; input [9:0] VAR14; output [3:0] VAR34; input VAR7; input [3:0] VAR28; input VAR6; input [3:0] VAR35; input clk; input VAR13; VAR8 VAR40 ( .VAR20 (VAR20), .VAR25 (VAR25), .VAR32 (VAR32), .VAR24 (VAR24), .VAR10 (VAR10), .VAR34 (VAR34), .VAR14 (VAR14), .clk (clk), .VAR13 (VAR13) ); VAR37 VAR26 ( .VAR17 (VAR17), .VAR15 (VAR34), .VAR27 (VAR10), .VAR18 (VAR7), .VAR30 (VAR28), .VAR16 (VAR6), .VAR11 (VAR35), .VAR20 (VAR20), .VAR5 (VAR5), .VAR32 (VAR32), .VAR1 (VAR1), .clk (clk), .VAR13 (VAR13) ); assign VAR9 = ~VAR17; always @(posedge clk) begin if (VAR9 && VAR10) begin VAR31 ("VAR12", 49, "%VAR21 %VAR29: VAR38 - VAR2 VAR23 VAR39 VAR22 VAR33 VAR36 VAR3 none VAR19 VAR4.", ); end end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a211o/sky130_fd_sc_hs__a211o.pp.blackbox.v
1,336
module MODULE1 ( VAR7 , VAR4 , VAR6 , VAR5 , VAR2 , VAR1, VAR3 ); output VAR7 ; input VAR4 ; input VAR6 ; input VAR5 ; input VAR2 ; input VAR1; input VAR3; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlxbp/sky130_fd_sc_hd__dlxbp.pp.symbol.v
1,358
module MODULE1 ( input VAR3 , output VAR4 , output VAR7 , input VAR5, input VAR6 , input VAR2, input VAR8, input VAR1 ); endmodule
apache-2.0
TalentlessAlpaca/Automated_Vacuum_Cleaner
crc_16/peripheral_crc_16.v
1,974
module MODULE1 (clk , rst , din , VAR2 , addr , rd , wr, dout ); input clk; input rst; input [15:0]din; input VAR2; input [3:0]addr; input rd; input wr; output reg [15:0]dout; reg [4:0] VAR6; reg [31:0] VAR1=0; reg VAR8=0; wire [15:0] VAR5; wire VAR4; always @(*) begin case (addr) 4'h0:begin VAR6 = (VAR2 && wr) ? 5'b00001 : 5'b00000 ;end 4'h2:begin VAR6 = (VAR2 && wr) ? 5'b00010 : 5'b00000 ;end 4'h4:begin VAR6 = (VAR2 && wr) ? 5'b00100 : 5'b00000 ;end 4'h6:begin VAR6 = (VAR2 && rd) ? 5'b01000 : 5'b00000 ;end 4'h8:begin VAR6 = (VAR2 && rd) ? 5'b10000 : 5'b00000 ;end default:begin VAR6 = 5'b00000 ; end endcase end always @(negedge clk) begin VAR1[31:16] = (VAR6[0]) ? din : VAR1[31:16]; VAR1[15:0] = (VAR6[1]) ? din : VAR1[15:0]; VAR8 = VAR6[2]; end always @(negedge clk) begin case (VAR6[4:3]) 2'b01: dout[0] = VAR4 ; 2'b10: dout[6:0] = VAR5 ; default: dout = 0 ; endcase end VAR7 VAR3 ( .clk(clk), .rst(rst), .VAR1(VAR1), .VAR8(VAR8), .VAR5(VAR5), .VAR4(VAR4) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/clkdlyinv3sd1/sky130_fd_sc_ms__clkdlyinv3sd1.symbol.v
1,357
module MODULE1 ( input VAR2, output VAR6 ); supply1 VAR1; supply0 VAR5; supply1 VAR3 ; supply0 VAR4 ; endmodule
apache-2.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/acl_vector_to_stream_converter_single.v
7,342
module MODULE1( VAR11, VAR4, VAR3, VAR13, VAR9, VAR5, VAR18, VAR19, VAR7, VAR14, VAR10); parameter VAR20 = 32; input VAR11, VAR4, VAR3, VAR19, VAR14, VAR13; input [VAR20-1:0] VAR9; input [VAR20-1:0] VAR5; output [VAR20-1:0] VAR18; output VAR7; output VAR10; reg [VAR20-1:0] VAR16 ; reg [VAR20-1:0] VAR1 ; reg VAR6 ; wire VAR17; assign VAR10 = VAR6; reg VAR15 ; always@(posedge VAR11 or negedge VAR3) begin if (~VAR3) begin VAR6 <= 1'b0; VAR15 <= 1'b0; VAR16 <= {VAR20{1'VAR8}}; VAR1 <= {VAR20{1'VAR8}}; end else begin VAR15 <= VAR13; if (~VAR17 | VAR13) VAR6 <= 1'b0; end else if (~VAR6) VAR6 <= VAR19; if (~VAR6) begin VAR16 <= VAR9; VAR1 <= VAR5; end end end reg VAR2, VAR12 ; begin begin begin begin end begin end begin begin begin end begin begin begin end begin begin end begin begin begin end begin
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and2b/sky130_fd_sc_ms__and2b.pp.blackbox.v
1,287
module MODULE1 ( VAR6 , VAR3 , VAR5 , VAR1, VAR7, VAR2 , VAR4 ); output VAR6 ; input VAR3 ; input VAR5 ; input VAR1; input VAR7; input VAR2 ; input VAR4 ; endmodule
apache-2.0
ptracton/Picoblaze
Picoblaze/UART_and_PicoTerm/ML605_design/uart6_ml605.v
12,479
module MODULE1 ( input VAR67, input VAR12, input VAR39, output VAR46 ); wire VAR9; wire clk; wire [11:0] address; wire [17:0] VAR36; wire VAR28; reg [7:0] VAR56; wire [7:0] VAR63; wire [7:0] VAR49; wire VAR25; wire VAR57; wire VAR50; wire interrupt; wire VAR18; wire VAR29; wire VAR15; wire VAR8; wire [7:0] VAR68; wire VAR52; wire VAR55; wire VAR34; wire VAR54; reg VAR23; wire [7:0] VAR14; reg VAR2; wire VAR19; wire VAR44; wire VAR61; reg VAR37; reg [4:0] VAR10; reg VAR65; VAR4 VAR11( .VAR59(VAR12), .VAR53(VAR39), .VAR60(VAR9)); VAR16 #( .VAR32("4"), .VAR6("VAR22")) VAR40 ( .VAR59(VAR9), .VAR60(clk), .VAR58(1'b1), .VAR62(1'b0)); VAR47 #( .VAR13 (12'h7F0), .VAR31(64), .VAR64 (8'h42)) VAR3 ( .address (address), .VAR36 (VAR36), .VAR28 (VAR28), .VAR49 (VAR49), .VAR25 (VAR25), .VAR57 (VAR57), .VAR63 (VAR63), .VAR50 (VAR50), .VAR56 (VAR56), .interrupt (interrupt), .VAR18 (VAR18), .reset (VAR15), .VAR35 (VAR29), .clk (clk)); assign VAR15 = VAR8; assign VAR29 = 1'b0; assign interrupt = VAR18; VAR42 #( .VAR48 ("VAR30"), .VAR7 (2), .VAR43 (1)) VAR38 ( .VAR8 (VAR8), .enable (VAR28), .address (address), .VAR36 (VAR36), .clk (clk)); VAR70 VAR5( .VAR66(VAR68), .VAR65(VAR65), .VAR21(VAR46), .VAR17(VAR52), .VAR69(VAR55), .VAR45(VAR34 ), .VAR51(VAR54), .VAR33(VAR23), .clk(clk)); VAR20 VAR41( .VAR24(VAR67), .VAR65(VAR65 ), .VAR27(VAR14 ), .VAR26(VAR2 ), .VAR69(VAR19 ), .VAR45(VAR44 ), .VAR51(VAR61 ), .VAR33(VAR37 ), .clk(clk )); always @ (posedge clk ) begin if (VAR10 == 5'b11010) begin VAR10 <= 5'b00000; VAR65 <= 1'b1; end else begin VAR10 <= VAR10 + 5'b00001; VAR65 <= 1'b0; end end always @ (posedge clk) begin case (VAR49[0]) 1'b0 : VAR56 <= { 2'b00, VAR61, VAR44, VAR19, VAR54, VAR34, VAR55 }; 1'b1 : VAR56 <= VAR14; default : VAR56 <= 8'VAR1 ; endcase; if ((VAR50 == 1'b1) && (VAR49[0] == 1'b1)) begin VAR2 <= 1'b1; end else begin VAR2 <= 1'b0; end end assign VAR68 = VAR63; assign VAR52 = VAR25 & VAR49[0]; always @ (posedge clk) begin if (VAR57 == 1'b1) begin if (VAR49[0] == 1'b1) begin VAR23 <= VAR63[0]; VAR37 <= VAR63[1]; end end end endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v
4,537
module MODULE1 ,parameter VAR29(VAR8) ,parameter VAR21 = 0 ,parameter VAR2 = 0 ) (input VAR10 ,input VAR13 ,input VAR14 ,output logic VAR12 ,input [VAR18-1:0] VAR24 ,output logic [VAR8-1:0][VAR18-1:0] VAR31 ,output logic VAR17 ,input VAR20 ); localparam VAR35 = VAR1(VAR8); logic [VAR8-1:0][VAR18-1:0] VAR9; if (VAR21 == 0) begin: VAR30 assign VAR31 = VAR9; end else begin: VAR28 VAR26 ,.VAR8(VAR8) ) VAR11 (.VAR22(VAR9) ,.VAR15(VAR31) ); end logic [VAR8-1:0] VAR3, VAR7; logic [VAR8-1:0] VAR34; assign VAR17 = & VAR34; VAR33 ,.VAR4(VAR8) ) VAR27 (.VAR10 (VAR10) ,.VAR13(VAR13) ,.VAR19(VAR14) ,.VAR12(VAR12) ,.VAR36(VAR3) ,.VAR32(VAR7) ); genvar VAR22; for (VAR22 = 0; VAR22 < VAR8; VAR22++) begin: VAR16 if (VAR22 == 0 && VAR2 == 0) begin: VAR6 VAR5 ) VAR37 (.VAR10 (VAR10) ,.VAR13(VAR13) ,.VAR12(VAR7[VAR22]) ,.VAR24 (VAR24) ,.VAR14 (VAR3[VAR22]) ,.VAR17 (VAR34[VAR22]) ,.VAR31 (VAR9[VAR22]) ,.VAR20 (VAR20) ); end else begin: VAR25 VAR23 ) VAR37 (.VAR10 (VAR10) ,.VAR13(VAR13) ,.VAR12(VAR7[VAR22]) ,.VAR24 (VAR24) ,.VAR14 (VAR3[VAR22]) ,.VAR17 (VAR34[VAR22]) ,.VAR31 (VAR9[VAR22]) ,.VAR20 (VAR20) ); end end endmodule
bsd-3-clause
hitomi2500/wasca
fpga_firmware/wasca/synthesis/submodules/wasca_altpll_1.v
11,301
module MODULE1 ( VAR7, VAR9, VAR5, VAR8) ; input VAR7; input VAR9; input [0:0] VAR5; output [0:0] VAR8; tri0 VAR7; tri1 VAR9; reg [0:0] VAR3; reg [0:0] VAR6; reg [0:0] VAR2; wire VAR1; wire VAR10; wire VAR4;
gpl-2.0
Feuerwerk/fpgaNES
audio_pll/audio_pll_0002.v
2,162
module MODULE1( input wire VAR52, input wire rst, output wire VAR16, output wire VAR18 ); VAR55 #( .VAR3("false"), .VAR39("50.0 VAR50"), .VAR20("VAR61"), .VAR45(1), .VAR12("11.288888 VAR50"), .VAR17("0 VAR32"), .VAR57(50), .VAR59("0 VAR50"), .VAR9("0 VAR32"), .VAR2(50), .VAR68("0 VAR50"), .VAR48("0 VAR32"), .VAR4(50), .VAR64("0 VAR50"), .VAR40("0 VAR32"), .VAR56(50), .VAR42("0 VAR50"), .VAR54("0 VAR32"), .VAR23(50), .VAR35("0 VAR50"), .VAR11("0 VAR32"), .VAR31(50), .VAR25("0 VAR50"), .VAR24("0 VAR32"), .VAR26(50), .VAR49("0 VAR50"), .VAR1("0 VAR32"), .VAR33(50), .VAR19("0 VAR50"), .VAR53("0 VAR32"), .VAR28(50), .VAR27("0 VAR50"), .VAR8("0 VAR32"), .VAR41(50), .VAR43("0 VAR50"), .VAR46("0 VAR32"), .VAR36(50), .VAR29("0 VAR50"), .VAR38("0 VAR32"), .VAR70(50), .VAR69("0 VAR50"), .VAR22("0 VAR32"), .VAR5(50), .VAR66("0 VAR50"), .VAR65("0 VAR32"), .VAR34(50), .VAR51("0 VAR50"), .VAR47("0 VAR32"), .VAR60(50), .VAR67("0 VAR50"), .VAR7("0 VAR32"), .VAR6(50), .VAR10("0 VAR50"), .VAR21("0 VAR32"), .VAR30(50), .VAR62("0 VAR50"), .VAR13("0 VAR32"), .VAR72(50), .VAR71("VAR44"), .VAR58("VAR44") ) VAR63 ( .rst (rst), .VAR37 ({VAR16}), .VAR18 (VAR18), .VAR14 ( ), .VAR15 (1'b0), .VAR52 (VAR52) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlxtn/sky130_fd_sc_hd__dlxtn_1.v
2,204
module MODULE1 ( VAR9 , VAR5 , VAR2, VAR4 , VAR7 , VAR3 , VAR8 ); output VAR9 ; input VAR5 ; input VAR2; input VAR4 ; input VAR7 ; input VAR3 ; input VAR8 ; VAR6 VAR1 ( .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR7(VAR7), .VAR3(VAR3), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR9 , VAR5 , VAR2 ); output VAR9 ; input VAR5 ; input VAR2; supply1 VAR4; supply0 VAR7; supply1 VAR3 ; supply0 VAR8 ; VAR6 VAR1 ( .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand4/sky130_fd_sc_hdll__nand4_2.v
2,269
module MODULE2 ( VAR8 , VAR10 , VAR11 , VAR9 , VAR2 , VAR7, VAR3, VAR6 , VAR1 ); output VAR8 ; input VAR10 ; input VAR11 ; input VAR9 ; input VAR2 ; input VAR7; input VAR3; input VAR6 ; input VAR1 ; VAR5 VAR4 ( .VAR8(VAR8), .VAR10(VAR10), .VAR11(VAR11), .VAR9(VAR9), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3), .VAR6(VAR6), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR8, VAR10, VAR11, VAR9, VAR2 ); output VAR8; input VAR10; input VAR11; input VAR9; input VAR2; supply1 VAR7; supply0 VAR3; supply1 VAR6 ; supply0 VAR1 ; VAR5 VAR4 ( .VAR8(VAR8), .VAR10(VAR10), .VAR11(VAR11), .VAR9(VAR9), .VAR2(VAR2) ); endmodule
apache-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ddr3_source/clocking/mig_7series_v1_9_iodelay_ctrl.v
10,172
module MODULE1 # ( parameter VAR30 = 100, parameter VAR45 = "VAR27", parameter VAR46 = "VAR16", parameter VAR2 = "VAR16", parameter VAR48 = "VAR44", parameter VAR23 = 1, parameter VAR34 = "VAR33" ) ( input VAR8, input VAR41, input VAR11, input VAR4, output VAR37, output VAR10, output VAR19, output VAR12, output VAR43, input VAR20 ); localparam VAR28 = 15; wire VAR6; wire VAR49; wire VAR43; reg [VAR28-1:0] VAR38 ; wire VAR42; generate if (VAR48 == "VAR33") VAR14 VAR21 ( .VAR3 (VAR4), .VAR50 (VAR10) ); else assign VAR10 = VAR4; endgenerate assign VAR42 = VAR23 ? ~VAR10: VAR10; generate if (VAR46 == "VAR16") begin: VAR35 VAR36 # ( .VAR17 (VAR34), .VAR39 ("VAR44") ) VAR25 ( .VAR3 (VAR8), .VAR5 (VAR41), .VAR50 (VAR49) ); VAR32 VAR29 ( .VAR50 (VAR6), .VAR3 (VAR49) ); end else if (VAR46 == "VAR18") begin : VAR31 VAR40 # ( .VAR39 ("VAR44") ) VAR25 ( .VAR3 (VAR11), .VAR50 (VAR49) ); VAR32 VAR29 ( .VAR50 (VAR6), .VAR3 (VAR49) ); end else if ((VAR46 == "VAR24") || (VAR46 == "VAR22" && VAR2 == "VAR24")) begin : VAR7 assign VAR6 = VAR11; end else if (VAR46 == "VAR22" && VAR2 != "VAR24") begin : VAR47 VAR32 VAR29 ( .VAR50 (VAR6), .VAR3 (VAR11) ); end endgenerate assign VAR37 = VAR6; assign VAR12 = VAR42 | (~VAR20); always @(posedge VAR6 or posedge VAR12) if (VAR12) end else assign VAR43 = VAR38[VAR28-1]; VAR13 VAR26 ( .VAR9 (VAR19), .VAR15 (VAR6), .VAR1 (VAR43) ); endmodule
gpl-2.0
kielfriedt/ece472
lab4/mux2.v
1,046
module MODULE1( sel, VAR2, VAR1, VAR3 ); parameter VAR4=32; input sel; input [VAR4-1:0] VAR2, VAR1; output [VAR4-1:0] VAR3; assign VAR3 = sel ? VAR1 : VAR2; endmodule
gpl-3.0
colinww/spi-core-generator
templates/spi_top_template.v
3,280
module MODULE1( VAR15, VAR18, VAR29, VAR19, VAR24, VAR16, VAR33, VAR34, VAR20, VAR26); input VAR16; input VAR33; output VAR15; output VAR18; output VAR29; input VAR34; input VAR20; input VAR26; inout VAR19; inout [36:0] VAR24; wire VAR11; wire VAR22; wire [2:0] VAR25; wire [7:0] VAR31; wire [7:0] VAR5; assign VAR15 = VAR11; VAR10 #(.VAR12(8), .VAR2(3), .VAR1(3)) VAR17( .VAR18 (VAR18), .VAR29 (VAR29), .VAR21 (VAR11), .VAR8 (VAR22), .VAR36 (VAR25), .VAR13 (VAR31), .VAR16 (VAR16), .VAR34 (VAR34), .VAR20 (VAR20), .VAR26 (VAR26), .VAR27 (1'b1), .VAR30 (1'b1), .VAR35 (1'b0), .VAR9 (VAR5)); VAR23 VAR4( .VAR28 (VAR5), .VAR16 (VAR16), .VAR6 (VAR11), .VAR14 (VAR31), .VAR7 (VAR22), .VAR32 (VAR25)); VAR38 VAR3( .VAR37 (VAR5), .VAR19 (VAR19), .VAR24 (VAR24), .VAR16 (VAR16), .VAR33 (VAR33), .VAR6 (VAR11), .VAR14 (VAR31), .VAR7 (VAR22), .VAR32 (VAR25)); endmodule
gpl-3.0
liqimai/ZPC
PersonalComputer/MainBoard.v
5,537
module MODULE1( input clk, input VAR5, input[4:0] VAR8, output[31:0] VAR2, output[31:0] VAR12, output[31:0] VAR3, output[31:0] VAR15, output VAR6, output VAR10, output VAR13, output VAR14, output VAR4, input VAR7, input VAR1, input VAR9, output VAR11 ); reg clk; reg VAR5; reg[4:0] VAR8; reg VAR7; reg VAR1;
gpl-2.0
rfotino/consolite-hardware
src/input_handler.v
1,199
module MODULE1 ( input clk, input [5:0] VAR8, input [7:0] VAR5, input [7:0] VAR9, input [7:0] VAR11, input [7:0] VAR7, input [7:0] VAR10, input [11:0] VAR6, input [11:0] VAR1, input [11:0] VAR14, input [11:0] VAR12, input [11:0] VAR16, input [11:0] VAR15, input [11:0] VAR4, input [11:0] VAR13, output reg [VAR3-1:0] VAR2 ); always @ (posedge clk) begin VAR2 <= { VAR13, VAR4, VAR15, VAR16, VAR12, VAR14, VAR1, VAR6, VAR10, VAR7, VAR11, VAR9, ~VAR5, ~VAR8 }; end endmodule
mit
trivoldus28/pulsarch-verilog
verif/env/cmp/sparc_pipe_flow.v
34,501
module MODULE1 (clk); input clk; integer VAR10; reg [31:0] VAR20; reg [31:0] VAR21; reg [8*6:0] VAR17; reg [8*6:0] VAR12; reg [8*6:0] VAR14; reg [8*6:0] VAR2; reg [8*6:0] VAR15; reg [8*6:0] VAR19; reg [8*80:0] VAR16; reg [47:0] VAR9 [63:0]; reg [31:0] VAR3 [63:0]; reg [63:0] VAR13; reg [5:0] VAR11; reg [5:0] VAR18; reg [7:0] VAR5; reg [7:0] VAR6; reg [7:0] VAR8; reg [7:0] VAR4; reg [7:0] VAR1; integer VAR22; reg VAR7; begin begin end begin begin begin begin begin begin begin begin begin begin begin begin begin end begin begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
elegabriel/myzju
junior1/CA/LAB/lab6/lab6_gxl_3120102146/code/StallControlLogic.v
2,819
module MODULE1( VAR11,VAR9,VAR16,VAR29, VAR6, VAR5, VAR22 ); input wire [4:0] VAR11, VAR9; input wire [5:0] VAR16, VAR29; input wire [4:0] VAR6; input wire VAR5; output wire VAR22; wire VAR13, VAR12; wire VAR27, VAR26, VAR14, VAR4, VAR30; wire VAR3,VAR17,VAR28,VAR18,VAR1,VAR24,VAR7,VAR21; wire VAR20,VAR8,VAR19,VAR25,VAR2,VAR23; and(VAR27,~VAR16[5],~VAR16[4],~VAR16[3],~VAR16[2],~VAR16[1],~VAR16[0]); or(VAR26,VAR14, VAR4, VAR30); and(VAR14, VAR27, ~VAR29[5], ~VAR29[4], ~VAR29[3], ~VAR29[2], ~VAR29[1], ~VAR29[0]); and(VAR4, VAR27, ~VAR29[5], ~VAR29[4], ~VAR29[3], ~VAR29[2], VAR29[1], ~VAR29[0]); and(VAR30, VAR27, ~VAR29[5], ~VAR29[4], ~VAR29[3], ~VAR29[2], VAR29[1], VAR29[0]); or(VAR24, VAR3, VAR17, VAR28, VAR18, VAR1, VAR15, VAR7, VAR21 ); and(VAR3,~VAR16[5],~VAR16[4], VAR16[3],~VAR16[2],~VAR16[1],~VAR16[0]); and(VAR17,~VAR16[5],~VAR16[4], VAR16[3], VAR16[2],~VAR16[1],~VAR16[0]); and(VAR28, ~VAR16[5],~VAR16[4], VAR16[3], VAR16[2],~VAR16[1], VAR16[0]); and(VAR18,~VAR16[5],~VAR16[4], VAR16[3], VAR16[2], VAR16[1],~VAR16[0]); and(VAR1,~VAR16[5],~VAR16[4], VAR16[3], ~VAR16[2], VAR16[1],~VAR16[0]); and(VAR7, VAR16[5],~VAR16[4],~VAR16[3],~VAR16[2], VAR16[1], VAR16[0]); and(VAR21, VAR16[5],~VAR16[4], VAR16[3],~VAR16[2], VAR16[1], VAR16[0]); or(VAR15, VAR20, VAR8, VAR19, VAR25, VAR2, VAR23); and(VAR20, ~VAR16[5],~VAR16[4],~VAR16[3], VAR16[2],~VAR16[1],~VAR16[0]); and(VAR8,~VAR16[5],~VAR16[4],~VAR16[3], ~VAR16[2],~VAR16[1],VAR16[0]); and(VAR19,~VAR16[5],~VAR16[4],~VAR16[3], VAR16[2],VAR16[1],VAR16[0]); and(VAR25,~VAR16[5],~VAR16[4],~VAR16[3], VAR16[2],VAR16[1],~VAR16[0]); and(VAR2,~VAR16[5],~VAR16[4],VAR16[3], ~VAR16[2],~VAR16[1],VAR16[0]); and(VAR23, ~VAR16[5],~VAR16[4],~VAR16[3], VAR16[2],~VAR16[1], VAR16[0]); wire VAR10; assign VAR13 = (VAR27 & ~VAR26) | VAR24; assign VAR12 = VAR27 | VAR21 | VAR15; assign VAR10 = VAR5 & VAR21 & (VAR9 == VAR6); assign VAR22 = (VAR5 & (((VAR11==VAR6) & VAR13) | ((VAR9==VAR6) & VAR12)))& ~VAR10 ; endmodule
gpl-2.0
orbancedric/DeepGate
other/Mojo Projects/Mojo-SDRAM/src/spi_slave.v
1,344
module MODULE1( input clk, input rst, input VAR14, input VAR12, output VAR10, input VAR5, output VAR20, input [7:0] din, output [7:0] dout ); reg VAR4, VAR6; reg VAR15, VAR2; reg VAR18, VAR23; reg VAR11, VAR22; reg [7:0] VAR7, VAR21; reg VAR1, VAR9; reg [2:0] VAR13, VAR3; reg [7:0] VAR16, VAR17; reg VAR19, VAR8; assign VAR10 = VAR8; assign VAR20 = VAR9; assign dout = VAR17; always @(*) begin VAR15 = VAR14; VAR4 = VAR12; VAR19 = VAR8; VAR18 = VAR5; VAR11 = VAR23; VAR7 = VAR21; VAR1 = 1'b0; VAR13 = VAR3; VAR16 = VAR17; if (VAR2) begin VAR13 = 3'b0; VAR7 = din; VAR19 = VAR21[7]; end else begin if (!VAR22 && VAR23) begin VAR7 = {VAR21[6:0], VAR6}; VAR13 = VAR3 + 1'b1; if (VAR3 == 3'b111) begin VAR16 = {VAR21[6:0], VAR6}; VAR1 = 1'b1; VAR7 = din; end end else if (VAR22 && !VAR23) begin VAR19 = VAR21[7]; end end end always @(posedge clk) begin if (rst) begin VAR9 <= 1'b0; VAR3 <= 3'b0; VAR17 <= 8'b0; VAR8 <= 1'b1; end else begin VAR9 <= VAR1; VAR3 <= VAR13; VAR17 <= VAR16; VAR8 <= VAR19; end VAR23 <= VAR18; VAR6 <= VAR4; VAR2 <= VAR15; VAR21 <= VAR7; VAR22 <= VAR11; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand2/sky130_fd_sc_hdll__nand2_16.v
2,119
module MODULE1 ( VAR8 , VAR7 , VAR1 , VAR3, VAR4, VAR2 , VAR9 ); output VAR8 ; input VAR7 ; input VAR1 ; input VAR3; input VAR4; input VAR2 ; input VAR9 ; VAR5 VAR6 ( .VAR8(VAR8), .VAR7(VAR7), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR2(VAR2), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR8, VAR7, VAR1 ); output VAR8; input VAR7; input VAR1; supply1 VAR3; supply0 VAR4; supply1 VAR2 ; supply0 VAR9 ; VAR5 VAR6 ( .VAR8(VAR8), .VAR7(VAR7), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlrtn/sky130_fd_sc_ms__dlrtn.behavioral.v
2,404
module MODULE1 ( VAR22 , VAR23, VAR1 , VAR7 ); output VAR22 ; input VAR23; input VAR1 ; input VAR7 ; supply1 VAR11; supply0 VAR3; supply1 VAR18 ; supply0 VAR8 ; wire VAR10 ; wire VAR19 ; reg VAR16 ; wire VAR2 ; wire VAR21 ; wire VAR20 ; wire VAR12; wire VAR9 ; wire VAR17 ; wire VAR14 ; wire VAR24 ; not VAR4 (VAR10 , VAR12 ); not VAR13 (VAR19, VAR21 ); VAR5 VAR6 (VAR9 , VAR2, VAR19, VAR10, VAR16, VAR11, VAR3); assign VAR17 = ( VAR11 === 1'b1 ); assign VAR14 = ( VAR17 && ( VAR12 === 1'b1 ) ); assign VAR24 = ( VAR17 && ( VAR23 === 1'b1 ) ); buf VAR15 (VAR22 , VAR9 ); endmodule
apache-2.0
deepakcu/maestro
fpga/DE4_Ethernet_0/src/prior_enc.v
4,515
module MODULE1 ( VAR4, VAR9, VAR8 ); parameter VAR3 = 64; parameter VAR1 = VAR11(VAR3); input [VAR3-1:0] VAR4; output [VAR1-1:0] VAR9; output VAR8; reg [VAR1-1:0] VAR9; reg VAR8; reg [VAR1-1:0] VAR6; integer VAR7, VAR2; always @(*) begin VAR2 = 0; for (VAR7=0; VAR7 < VAR3; VAR7=VAR7+1) if (VAR4[VAR7] == 1'b1) VAR2 = VAR7; VAR9 = VAR2; VAR8 = |{VAR4}; end function integer VAR11; input [31:0] VAR5; integer VAR10; begin VAR11 = 1; for (VAR10=0; 2**VAR10 < VAR5; VAR10=VAR10+1) VAR11 = VAR10 + 1; end endfunction endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o2111ai/sky130_fd_sc_lp__o2111ai_1.v
2,461
module MODULE1 ( VAR1 , VAR4 , VAR5 , VAR2 , VAR11 , VAR6 , VAR10, VAR12, VAR7 , VAR8 ); output VAR1 ; input VAR4 ; input VAR5 ; input VAR2 ; input VAR11 ; input VAR6 ; input VAR10; input VAR12; input VAR7 ; input VAR8 ; VAR9 VAR3 ( .VAR1(VAR1), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR11(VAR11), .VAR6(VAR6), .VAR10(VAR10), .VAR12(VAR12), .VAR7(VAR7), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR1 , VAR4, VAR5, VAR2, VAR11, VAR6 ); output VAR1 ; input VAR4; input VAR5; input VAR2; input VAR11; input VAR6; supply1 VAR10; supply0 VAR12; supply1 VAR7 ; supply0 VAR8 ; VAR9 VAR3 ( .VAR1(VAR1), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR11(VAR11), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21bo/sky130_fd_sc_hdll__a21bo_4.v
2,334
module MODULE2 ( VAR8 , VAR9 , VAR6 , VAR4, VAR1, VAR10, VAR7 , VAR2 ); output VAR8 ; input VAR9 ; input VAR6 ; input VAR4; input VAR1; input VAR10; input VAR7 ; input VAR2 ; VAR5 VAR3 ( .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6), .VAR4(VAR4), .VAR1(VAR1), .VAR10(VAR10), .VAR7(VAR7), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR8 , VAR9 , VAR6 , VAR4 ); output VAR8 ; input VAR9 ; input VAR6 ; input VAR4; supply1 VAR1; supply0 VAR10; supply1 VAR7 ; supply0 VAR2 ; VAR5 VAR3 ( .VAR8(VAR8), .VAR9(VAR9), .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
trnewman/VT-USRP-daughterboard-drivers_python
usrp/fpga/sdr_lib/bidir_reg.v
1,121
module MODULE1 ( inout wire [15:0] VAR4, input wire [15:0] VAR3, input wire [15:0] VAR1 ); assign VAR4[0] = VAR3[0] ? VAR1[0] : 1'VAR2; assign VAR4[1] = VAR3[1] ? VAR1[1] : 1'VAR2; assign VAR4[2] = VAR3[2] ? VAR1[2] : 1'VAR2; assign VAR4[3] = VAR3[3] ? VAR1[3] : 1'VAR2; assign VAR4[4] = VAR3[4] ? VAR1[4] : 1'VAR2; assign VAR4[5] = VAR3[5] ? VAR1[5] : 1'VAR2; assign VAR4[6] = VAR3[6] ? VAR1[6] : 1'VAR2; assign VAR4[7] = VAR3[7] ? VAR1[7] : 1'VAR2; assign VAR4[8] = VAR3[8] ? VAR1[8] : 1'VAR2; assign VAR4[9] = VAR3[9] ? VAR1[9] : 1'VAR2; assign VAR4[10] = VAR3[10] ? VAR1[10] : 1'VAR2; assign VAR4[11] = VAR3[11] ? VAR1[11] : 1'VAR2; assign VAR4[12] = VAR3[12] ? VAR1[12] : 1'VAR2; assign VAR4[13] = VAR3[13] ? VAR1[13] : 1'VAR2; assign VAR4[14] = VAR3[14] ? VAR1[14] : 1'VAR2; assign VAR4[15] = VAR3[15] ? VAR1[15] : 1'VAR2; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a22oi/sky130_fd_sc_hdll__a22oi.pp.symbol.v
1,384
module MODULE1 ( input VAR7 , input VAR5 , input VAR6 , input VAR4 , output VAR9 , input VAR8 , input VAR2, input VAR1, input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/buf/sky130_fd_sc_ls__buf.functional.pp.v
1,746
module MODULE1 ( VAR11 , VAR7 , VAR5, VAR8, VAR10 , VAR4 ); output VAR11 ; input VAR7 ; input VAR5; input VAR8; input VAR10 ; input VAR4 ; wire VAR9 ; wire VAR12; buf VAR6 (VAR9 , VAR7 ); VAR1 VAR2 (VAR12, VAR9, VAR5, VAR8); buf VAR3 (VAR11 , VAR12 ); endmodule
apache-2.0
CospanDesign/nysa-verilog
verilog/generic/template.v
1,562
module MODULE1 ( input clk, input rst ); localparam VAR1 = 32'h00000000; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand3b/sky130_fd_sc_hd__nand3b.behavioral.pp.v
1,971
module MODULE1 ( VAR16 , VAR15 , VAR7 , VAR8 , VAR13, VAR6, VAR3 , VAR9 ); output VAR16 ; input VAR15 ; input VAR7 ; input VAR8 ; input VAR13; input VAR6; input VAR3 ; input VAR9 ; wire VAR2 ; wire VAR5 ; wire VAR1; not VAR14 (VAR2 , VAR15 ); nand VAR12 (VAR5 , VAR7, VAR2, VAR8 ); VAR10 VAR11 (VAR1, VAR5, VAR13, VAR6); buf VAR4 (VAR16 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a2bb2oi/sky130_fd_sc_ms__a2bb2oi.pp.blackbox.v
1,474
module MODULE1 ( VAR5 , VAR1, VAR2, VAR3 , VAR6 , VAR7, VAR9, VAR8 , VAR4 ); output VAR5 ; input VAR1; input VAR2; input VAR3 ; input VAR6 ; input VAR7; input VAR9; input VAR8 ; input VAR4 ; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/ccx/rtl/cpx_dp_macc_l.v
4,810
module MODULE1( VAR8, VAR24, VAR36, VAR16, VAR33, VAR27, VAR14, VAR10, VAR31, VAR21, VAR19, VAR5, VAR28, VAR7 ); output [149:0] VAR8; output VAR24; output VAR36; input VAR16; input VAR33; input VAR27; input VAR14; input VAR10; input [149:0] VAR31; input [149:0] VAR21; input [149:0] VAR19; input VAR5; input VAR28; input VAR7; wire VAR20; wire [149:0] VAR6, VAR15; wire [149:0] VAR32, VAR35; wire [149:0] VAR34; wire VAR18, VAR2; reg VAR22, VAR1; assign VAR36 = VAR7; wire sel ; assign sel = ~VAR7 ; VAR4 VAR3 ( .clk (VAR18), .VAR5 (VAR5), .VAR26(~VAR10), .VAR13(sel)); VAR4 VAR9 ( .clk (VAR2), .VAR5 (VAR5), .VAR26(~VAR16), .VAR13(sel)); VAR25 #(1) VAR23( .din (VAR27), .VAR30 (VAR20), .clk (VAR5), .VAR17 (1'b0), .VAR11 (1'b0), .VAR12 ()); VAR25 #(150) VAR29( .din (VAR31[149:0]), .VAR30 (VAR32[149:0]), .clk (VAR2), .VAR17 (1'b0), .VAR11 (), .VAR12 ()); assign VAR15[149:0] = (VAR33 ? VAR31[149:0] : 150'd0) | (VAR14 ? VAR32[149:0] : 150'd0) ; VAR25 #(150) VAR37( .din (VAR15[149:0]), .VAR30 (VAR35[149:0]), .clk (VAR18), .VAR17 (1'b0), .VAR11 (), .VAR12 ()); assign VAR34[149:0] = ~(VAR20 ? VAR35[149:0] : 150'd0); assign VAR8[149:0] = VAR21[149:0] & VAR19[149:0] & VAR34[149:0]; endmodule
gpl-2.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/ip/Binary_VGA_Controller/hdl/Img_RAM.v
9,298
module MODULE1 ( VAR45, VAR31, VAR61, VAR6, VAR26, VAR3, VAR59); input [0:0] VAR45; input VAR31; input [18:0] VAR61; input [15:0] VAR6; input VAR26; input VAR3; output [7:0] VAR59; wire [7:0] VAR41; wire [7:0] VAR59 = VAR41[7:0]; VAR35 VAR39 ( .VAR52 (VAR31), .VAR19 (VAR26), .VAR43 (VAR3), .VAR54 (VAR61), .VAR58 (VAR6), .VAR47 (VAR45), .VAR24 (VAR41) , .VAR7 (), .VAR2 (), .VAR60 (), .VAR36 (), .VAR49 (), .VAR25 (), .VAR15 (), .VAR18 (), .VAR32 (), .VAR33 (), .VAR5 (), .VAR50 () ); VAR39.VAR12 = "VAR28 VAR16", VAR39.VAR1 = "VAR27", VAR39.VAR40 = "VAR38", VAR39.VAR53 = 1, VAR39.VAR8 = 19, VAR39.VAR21 = 307200, VAR39.VAR42 = 8, VAR39.VAR37 = 16, VAR39.VAR14 = 38400, VAR39.VAR13 = "VAR35", VAR39.VAR17 = 1, VAR39.VAR34 = "VAR9", VAR39.VAR10 = "VAR9", VAR39.VAR44 = "VAR48", VAR39.VAR4 = "VAR22", VAR39.VAR20 = "VAR22", VAR39.VAR46 = "VAR22", VAR39.VAR51 = "VAR56", VAR39.VAR55 = "VAR29.VAR30" VAR39.VAR55 = "VAR29.VAR23" , VAR39.VAR11 = "VAR57"; endmodule
gpl-3.0
AmeerAbdelhadi/Binary-to-BCD-Converter
bin2bcd.v
10,122
module MODULE1 integer VAR4,VAR3; always @(VAR5) begin for(VAR4 = 0; VAR4 <= VAR2+(VAR2-4)/3; VAR4 = VAR4+1) VAR1[VAR4] = 0; VAR1[VAR2-1:0] = VAR5; for(VAR4 = 0; VAR4 <= VAR2-4; VAR4 = VAR4+1) for(VAR3 = 0; VAR3 <= VAR4/3; VAR3 = VAR3+1) if (VAR1[VAR2-VAR4+4*VAR3 -: 4] > 4) VAR1[VAR2-VAR4+4*VAR3 -: 4] = VAR1[VAR2-VAR4+4*VAR3 -: 4] + 4'd3; end endmodule
bsd-3-clause