repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
kmod/bitcoin_mining
fpga/fpga.v
4,735
module MODULE1( input wire VAR7, input wire [7:0] VAR26, input wire [4:0] VAR28, output wire [7:0] VAR34, output wire [7:0] VAR23, output wire [3:0] VAR41, output wire VAR32, input wire VAR4 ); wire clk; VAR8 VAR8(.VAR42(VAR7), .VAR43(clk)); assign VAR34 = VAR26; reg [4:0] VAR10, VAR37; always @(posedge clk) begin {VAR10, VAR37} <= {VAR28, VAR10}; end wire [4:0] VAR49; genvar VAR17; generate for (VAR17=0; VAR17<5; VAR17=VAR17+1) begin: VAR9 VAR25 VAR36(.clk(clk), .in(VAR37[VAR17]), .out(VAR49[VAR17])); end endgenerate reg [4:0] VAR40; wire [15:0] VAR44; assign VAR44 = VAR53[31:16]; VAR1 #(.VAR38(16)) VAR1(.clk(clk), .in(VAR44), .VAR19(VAR23), .VAR41(VAR41)); wire VAR21, VAR18; wire [255:0] VAR50; assign VAR50[7:0] = 8'haa; assign VAR50[39:8] = VAR30; assign VAR50[47:40] = 8'haa; assign VAR50[255:192] = 64'hdead432987beefaa; assign VAR21 = VAR31; VAR39 #(.VAR47(694), .VAR29(5)) VAR46(.clk(clk), .VAR33(VAR50), .req(VAR21), .VAR12(VAR32)); reg VAR2=1, VAR22=1; always @(posedge clk) begin {VAR2, VAR22} <= {VAR4, VAR2}; end wire VAR20; wire [511:0] VAR5; wire [255:0] VAR3; wire [95:0] VAR15; assign VAR3 = VAR5[255:0]; assign VAR15 = VAR5[351:256]; reg [31:0] VAR53 = 32'h0; always @(posedge clk) begin if (VAR16) VAR53 <= VAR53 + 1; end VAR11 #(.VAR47(694), .VAR29(6)) VAR55(.clk(clk), .VAR33(VAR5), .valid(VAR20), .ack(1'b0), .VAR27(VAR22)); reg [31:0] VAR30; wire VAR16, VAR31; localparam VAR45 = 4; wire [255:0] VAR14[VAR45-1:0]; wire [31:0] VAR30[VAR45-1:0]; wire [VAR45-1:0] VAR6, VAR24; generate for (VAR17 = 0; VAR17 < VAR45; VAR17 = VAR17 + 1) begin: VAR51 assign VAR24[VAR17] = (VAR14[VAR17][255:224+8] == 0) && (VAR26[0] || (VAR14[VAR17][231:224] == 0)); VAR54 #(.VAR52(VAR17)) VAR48(.clk(clk), .VAR3(VAR3), .VAR15(VAR15), .VAR53(VAR53), .VAR35(VAR14[VAR17]), .VAR30(VAR30[VAR17]), .VAR16(VAR6[VAR17])); end endgenerate integer VAR13; always @(*) begin VAR30 = VAR30[0]; for (VAR13 = 1; VAR13 < VAR45; VAR13 = VAR13 + 1) begin if (VAR24[VAR13]) begin VAR30 = VAR30[VAR13]; end end end assign VAR16 = (VAR6 != 0); assign VAR31 = (VAR24 != 0); always @(posedge clk) begin VAR40 <= VAR49; end endmodule
gpl-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/tmp/spree/reg_file_pipe.v
3,967
module MODULE1(clk,VAR10, VAR5, VAR14, VAR8, VAR12, VAR11, VAR9, VAR13, VAR1, VAR4); parameter VAR6=32; parameter VAR3=32; parameter VAR7=5; input clk; input VAR10; input VAR8; input VAR9; input [VAR7-1:0] VAR5,VAR12,VAR13; output [VAR6-1:0] VAR14, VAR11; input [VAR6-1:0] VAR1; input VAR4; reg [VAR6-1:0] VAR14, VAR11; reg [31:0] VAR2 [31:0]; always @(posedge clk) begin if(VAR8) begin VAR14 <= VAR2[VAR5]; end if(VAR9) begin VAR11 <= VAR2[VAR12]; end if(VAR4&(|VAR13)) begin VAR2[VAR13] <= VAR1; end end endmodule
mit
vad-rulezz/megabot
fusesoc/orpsoc-cores/cores/xilinx_internal_jtag/rtl/verilog/xilinx_internal_jtag.v
17,631
module MODULE1 ( VAR7, VAR16, VAR17, VAR47, VAR31, VAR43, VAR45, VAR28, VAR29, VAR30 ); parameter VAR24 = 1; input VAR16; output VAR7; output VAR17; output VAR47; output VAR31; output VAR43; output VAR45; output VAR28; output VAR29; output VAR30; wire VAR16; wire VAR7; wire VAR12; wire VAR17; wire VAR47; wire VAR31; wire VAR43; wire VAR28; wire VAR29; wire VAR30; reg VAR45; wire VAR38; reg VAR5; VAR18 VAR22 ( .VAR37(VAR12), .VAR9(), .VAR42(VAR47), .VAR46(VAR30), .VAR36(), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR4(VAR16), .VAR1( 1'b0 ) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; always @ (negedge VAR7) begin if(VAR30 && !VAR43) VAR45 <= 1'b1; end else VAR45 <= 1'b0; end assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; wire VAR45; wire VAR38; reg VAR5; VAR48 VAR23 ( .VAR27(VAR45), .VAR37(VAR12), .VAR9(), .VAR42(VAR47), .VAR46(VAR30), .VAR36(), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR4(VAR16), .VAR1(1'b0) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; wire VAR45; VAR2 VAR41 ( .VAR27(VAR45), .VAR37(), .VAR9(), .VAR42(VAR47), .VAR46(VAR30), .VAR36(), .VAR13(VAR43), .VAR19(VAR7), .VAR15(VAR17), .VAR3(), .VAR49(VAR29), .VAR4(VAR16), .VAR1( 1'b0) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; reg VAR45; wire VAR38; reg VAR5; VAR25 VAR39 ( .VAR37(VAR12), .VAR9(), .VAR42(VAR47), .VAR46(VAR30), .VAR36(), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR4(VAR16), .VAR1( 1'b0) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; always @ (negedge VAR7) begin if(VAR30 && !VAR43) VAR45 <= 1'b1; end else VAR45 <= 1'b0; end assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; wire VAR45; wire VAR38; reg VAR5; VAR32 VAR44 ( .VAR27(VAR45), .VAR37(VAR12), .VAR9(), .VAR42(VAR47), .VAR46(VAR30), .VAR36(), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR4(VAR16), .VAR1( 1'b0 ) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; wire VAR45; wire VAR38; reg VAR5; VAR6 #( .VAR40(VAR24) ) VAR21 ( .VAR27(VAR45), .VAR34(VAR12), .VAR42(VAR47), .VAR33(VAR30), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR26( VAR16 ) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; wire VAR45; VAR8 #( .VAR40(1) ) VAR10 ( .VAR27(VAR45), .VAR34(VAR12), .VAR42(VAR47), .VAR20(), .VAR33(VAR30), .VAR13(VAR43), .VAR19(VAR7), .VAR15(VAR17), .VAR3(), .VAR49(VAR29), .VAR26(VAR16) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; wire VAR45; wire VAR38; reg VAR5; VAR11 #( .VAR40(VAR24) ) VAR35 ( .VAR27(VAR45), .VAR34(VAR12), .VAR42(VAR14), .VAR33(VAR30), .VAR13(VAR43), .VAR15(VAR17), .VAR49(VAR38), .VAR26(VAR16) ); assign VAR28 = 1'b0; assign VAR31 = 1'b0; assign VAR7 = (VAR12 & VAR30 & !VAR38); always @(posedge VAR38 or posedge VAR45 or negedge VAR30) begin if(VAR38) VAR5 <= 1'b1; end else if(VAR45) VAR5 <= 1'b0; else if(!VAR30) VAR5 <= 1'b0; end assign VAR29 = VAR5; endmodule
gpl-2.0
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_uartrx.v
11,082
module MODULE1( input VAR52, input reset, input VAR63, input VAR15, output VAR29, output [7:0] VAR56, input [15:0] VAR35 ); reg [1:0] VAR100; reg [31:0] VAR79; wire VAR83; wire VAR25; reg [11:0] VAR6; reg [31:0] VAR99; wire VAR40; wire VAR96; wire VAR57; wire pulse; wire [12:0] VAR12; wire [11:0] VAR24; wire [11:0] VAR59; wire VAR77; wire [11:0] VAR34; wire [11:0] VAR76; reg [2:0] VAR61; reg [31:0] VAR69; wire VAR13; wire VAR60; wire VAR80; wire VAR44; wire VAR32; wire VAR82; wire VAR87; wire VAR64; wire [3:0] VAR22; wire [3:0] VAR75; reg [4:0] VAR4; reg [31:0] VAR54; reg [3:0] counter; reg [31:0] VAR91; reg [7:0] VAR37; reg [31:0] VAR26; wire VAR58; wire VAR72; wire VAR39; wire [5:0] VAR62; wire [4:0] VAR85; wire [4:0] VAR78; wire [4:0] VAR94; reg valid; reg [31:0] VAR97; reg [1:0] state; reg [31:0] VAR66; wire VAR11; wire VAR27; wire VAR71; wire VAR8; wire VAR33; wire [2:0] VAR67; wire [1:0] VAR49; wire [1:0] VAR16; wire [2:0] VAR84; wire [1:0] VAR50; wire [1:0] VAR38; wire [4:0] VAR70; wire [1:0] VAR31; wire [1:0] VAR98; wire VAR9; wire [4:0] VAR20; wire [1:0] VAR30; wire [1:0] VAR21; wire VAR46; wire [4:0] VAR53; wire VAR65; wire [1:0] VAR14; wire VAR48; wire [1:0] VAR19; wire [3:0] VAR47; wire [1:0] VAR43; wire [3:0] VAR2; wire VAR88; wire [1:0] VAR74; wire [3:0] VAR7; wire VAR81; wire [4:0] VAR95; wire [3:0] VAR41; wire VAR1; wire [1:0] VAR55; wire VAR89; wire [6:0] VAR3; wire [7:0] VAR5; wire [7:0] VAR90; wire VAR51; wire [3:0] VAR28; wire [1:0] VAR86; wire VAR45; wire [7:0] VAR17; wire VAR68; wire VAR73; wire [3:0] VAR93; wire [1:0] VAR23; wire VAR36; wire [7:0] VAR18; wire VAR92; wire VAR42; wire [1:0] VAR10; assign VAR29 = valid; assign VAR56 = VAR37; assign VAR83 = VAR100 == 2'h3; assign VAR25 = VAR100 == 2'h0; assign VAR40 = VAR46; assign VAR96 = VAR73; assign VAR57 = VAR6 == 12'h0; assign pulse = VAR57 & VAR96; assign VAR12 = VAR6 - 12'h1; assign VAR24 = VAR12[11:0]; assign VAR59 = VAR96 ? VAR24 : VAR6; assign VAR77 = VAR40 | pulse; assign VAR34 = VAR35[15:4]; assign VAR76 = VAR77 ? VAR34 : VAR59; assign VAR13 = VAR61[0]; assign VAR60 = VAR61[1]; assign VAR80 = VAR61[2]; assign VAR44 = VAR13 & VAR60; assign VAR32 = VAR13 & VAR80; assign VAR82 = VAR44 | VAR32; assign VAR87 = VAR60 & VAR80; assign VAR64 = VAR82 | VAR87; assign VAR22 = {VAR61,VAR15}; assign VAR75 = pulse ? VAR22 : {{1'd0}, VAR61}; assign VAR58 = VAR4 == 5'h0; assign VAR72 = VAR58 & pulse; assign VAR39 = VAR92; assign VAR62 = VAR4 - 5'h1; assign VAR85 = VAR62[4:0]; assign VAR78 = pulse ? VAR85 : VAR4; assign VAR94 = VAR39 ? 5'hf : VAR78; assign VAR11 = 2'h0 == state; assign VAR27 = VAR15 == 1'h0; assign VAR71 = VAR27 == 1'h0; assign VAR8 = VAR25 == 1'h0; assign VAR33 = VAR71 & VAR8; assign VAR67 = VAR100 - 2'h1; assign VAR49 = VAR67[1:0]; assign VAR16 = VAR33 ? VAR49 : VAR100; assign VAR84 = VAR100 + 2'h1; assign VAR50 = VAR84[1:0]; assign VAR38 = VAR83 ? 2'h1 : state; assign VAR70 = VAR83 ? 5'h8 : VAR94; assign VAR31 = VAR27 ? VAR50 : VAR16; assign VAR98 = VAR27 ? VAR38 : state; assign VAR9 = VAR27 ? VAR83 : 1'h0; assign VAR20 = VAR27 ? VAR70 : VAR94; assign VAR30 = VAR11 ? VAR31 : VAR100; assign VAR21 = VAR11 ? VAR98 : state; assign VAR46 = VAR11 ? VAR9 : 1'h0; assign VAR53 = VAR11 ? VAR20 : VAR94; assign VAR65 = 2'h1 == state; assign VAR14 = VAR64 ? 2'h0 : VAR21; assign VAR48 = VAR64 == 1'h0; assign VAR19 = VAR48 ? 2'h2 : VAR14; assign VAR47 = VAR48 ? 4'h8 : counter; assign VAR43 = VAR72 ? VAR19 : VAR21; assign VAR2 = VAR72 ? VAR47 : counter; assign VAR88 = VAR65 ? VAR72 : 1'h0; assign VAR74 = VAR65 ? VAR43 : VAR21; assign VAR7 = VAR65 ? VAR2 : counter; assign VAR81 = 2'h2 == state; assign VAR95 = counter - 4'h1; assign VAR41 = VAR95[3:0]; assign VAR1 = counter == 4'h0; assign VAR55 = VAR1 ? 2'h0 : VAR74; assign VAR89 = VAR1 == 1'h0; assign VAR3 = VAR37[7:1]; assign VAR5 = {VAR64,VAR3}; assign VAR90 = VAR89 ? VAR5 : VAR37; assign VAR51 = VAR89 ? 1'h1 : VAR88; assign VAR28 = VAR72 ? VAR41 : VAR7; assign VAR86 = VAR72 ? VAR55 : VAR74; assign VAR45 = VAR72 ? VAR1 : 1'h0; assign VAR17 = VAR72 ? VAR90 : VAR37; assign VAR68 = VAR72 ? VAR51 : VAR88; assign VAR73 = VAR81 ? 1'h1 : VAR65; assign VAR93 = VAR81 ? VAR28 : VAR7; assign VAR23 = VAR81 ? VAR86 : VAR74; assign VAR36 = VAR81 ? VAR45 : 1'h0; assign VAR18 = VAR81 ? VAR17 : VAR37; assign VAR92 = VAR81 ? VAR68 : VAR88; assign VAR42 = VAR63 == 1'h0; assign VAR10 = VAR42 ? 2'h0 : VAR30; always @(posedge VAR52 or posedge reset) if (reset) begin VAR100 <= 2'h0; end else begin if (VAR42) begin VAR100 <= 2'h0; end else begin if (VAR11) begin if (VAR27) begin VAR100 <= VAR50; end else begin if (VAR33) begin VAR100 <= VAR49; end end end end end always @(posedge VAR52 or posedge reset) if (reset) begin VAR6 <= 12'h0; end else begin if (VAR77) begin VAR6 <= VAR34; end else begin if (VAR96) begin VAR6 <= VAR24; end end end always @(posedge VAR52 or posedge reset) if (reset) begin VAR61 <= 3'b0; VAR4 <= 5'h0; counter <= 4'b0; VAR37 <= 8'b0; end else begin VAR61 <= VAR75[2:0]; if (VAR11) begin if (VAR27) begin if (VAR83) begin VAR4 <= 5'h8; end else begin if (VAR39) begin VAR4 <= 5'hf; end else begin if (pulse) begin VAR4 <= VAR85; end end end end else begin if (VAR39) begin VAR4 <= 5'hf; end else begin if (pulse) begin VAR4 <= VAR85; end end end end else begin if (VAR39) begin VAR4 <= 5'hf; end else begin if (pulse) begin VAR4 <= VAR85; end end end if (VAR81) begin if (VAR72) begin counter <= VAR41; end else begin if (VAR65) begin if (VAR72) begin if (VAR48) begin counter <= 4'h8; end end end end end else begin if (VAR65) begin if (VAR72) begin if (VAR48) begin counter <= 4'h8; end end end end if (VAR81) begin if (VAR72) begin if (VAR89) begin VAR37 <= VAR5; end end end end always @(posedge VAR52 or posedge reset) if (reset) begin valid <= 1'h0; end else begin if (VAR81) begin if (VAR72) begin valid <= VAR1; end else begin valid <= 1'h0; end end else begin valid <= 1'h0; end end always @(posedge VAR52 or posedge reset) if (reset) begin state <= 2'h0; end else begin if (VAR81) begin if (VAR72) begin if (VAR1) begin state <= 2'h0; end else begin if (VAR65) begin if (VAR72) begin if (VAR48) begin state <= 2'h2; end else begin if (VAR64) begin state <= 2'h0; end else begin if (VAR11) begin if (VAR27) begin if (VAR83) begin state <= 2'h1; end end end end end end else begin if (VAR11) begin if (VAR27) begin if (VAR83) begin state <= 2'h1; end end end end end else begin if (VAR11) begin if (VAR27) begin if (VAR83) begin state <= 2'h1; end end end end end end else begin if (VAR65) begin if (VAR72) begin if (VAR48) begin state <= 2'h2; end else begin if (VAR64) begin state <= 2'h0; end else begin if (VAR11) begin if (VAR27) begin if (VAR83) begin state <= 2'h1; end end end end end end else begin state <= VAR21; end end else begin state <= VAR21; end end end else begin if (VAR65) begin if (VAR72) begin if (VAR48) begin state <= 2'h2; end else begin if (VAR64) begin state <= 2'h0; end else begin state <= VAR21; end end end else begin state <= VAR21; end end else begin state <= VAR21; end end end endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0/bd_0/ip/ip_7/synth/bd_350b_slot_2_aw_0.v
4,561
module MODULE1 ( VAR21, VAR19, dout ); input wire [0 : 0] VAR21; input wire [0 : 0] VAR19; output wire [1 : 0] dout; VAR38 #( .VAR3(1), .VAR11(1), .VAR8(1), .VAR18(1), .VAR9(1), .VAR20(1), .VAR4(1), .VAR48(1), .VAR47(1), .VAR37(1), .VAR10(1), .VAR28(1), .VAR23(1), .VAR13(1), .VAR64(1), .VAR65(1), .VAR6(1), .VAR1(1), .VAR29(1), .VAR66(1), .VAR22(1), .VAR62(1), .VAR25(1), .VAR16(1), .VAR44(1), .VAR57(1), .VAR35(1), .VAR52(1), .VAR26(1), .VAR68(1), .VAR67(1), .VAR53(1), .VAR24(2), .VAR42(2) ) VAR7 ( .VAR21(VAR21), .VAR19(VAR19), .VAR58(1'VAR61), .VAR15(1'VAR61), .VAR34(1'VAR61), .VAR55(1'VAR61), .VAR49(1'VAR61), .VAR32(1'VAR61), .VAR51(1'VAR61), .VAR39(1'VAR61), .VAR59(1'VAR61), .VAR12(1'VAR61), .VAR63(1'VAR61), .VAR69(1'VAR61), .VAR60(1'VAR61), .VAR45(1'VAR61), .VAR40(1'VAR61), .VAR56(1'VAR61), .VAR36(1'VAR61), .VAR2(1'VAR61), .VAR30(1'VAR61), .VAR27(1'VAR61), .VAR41(1'VAR61), .VAR54(1'VAR61), .VAR50(1'VAR61), .VAR31(1'VAR61), .VAR17(1'VAR61), .VAR14(1'VAR61), .VAR33(1'VAR61), .VAR5(1'VAR61), .VAR43(1'VAR61), .VAR46(1'VAR61), .dout(dout) ); endmodule
mit
P3Stor/P3Stor
ftl/Dynamic_Controller/ipcore_dir/clk_wiz_v3_3_exdes.v
5,491
module MODULE1 parameter VAR10 = 100 ) ( input VAR5, input VAR21, output [2:1] VAR15, input VAR17, output VAR11 ); localparam VAR1 = 16; localparam VAR18 = 2; genvar VAR19; wire VAR16 = !VAR11 || VAR17 || VAR21; reg [VAR18:1] VAR8; reg [VAR18:1] VAR20; reg [VAR18:1] VAR7; reg [VAR18:1] VAR12; wire [VAR18:1] VAR6; wire [VAR18:1] clk; reg [VAR1-1:0] counter [VAR18:1]; VAR13 VAR9 ( .VAR5 (VAR5), .VAR4 (VAR6[1]), .VAR14 (VAR6[2]), .VAR17 (VAR17), .VAR11 (VAR11)); assign clk[1] = VAR6[1]; assign clk[2] = VAR6[2]; generate for (VAR19 = 1; VAR19 <= VAR18; VAR19 = VAR19 + 1) begin: VAR3 always @(posedge VAR16 or posedge clk[VAR19]) begin if (VAR16) begin VAR8[VAR19] <= 1'b1; VAR20[VAR19]<= 1'b1; VAR7[VAR19]<= 1'b1; VAR12[VAR19]<= 1'b1; end else begin VAR8[VAR19] <= 1'b0; VAR20[VAR19] <= VAR8[VAR19]; VAR7[VAR19] <= VAR20[VAR19]; VAR12[VAR19] <= VAR7[VAR19]; end end end endgenerate generate for (VAR19 = 1; VAR19 <= VAR18; VAR19 = VAR19 + 1) begin: VAR2 always @(posedge clk[VAR19] or posedge VAR12[VAR19]) begin if (VAR12[VAR19]) begin end else begin end end assign VAR15[VAR19] = counter[VAR19][VAR1-1]; end endgenerate endmodule
gpl-2.0
DreamIP/GPStudio
support/process/gradient/hdl/gradient.v
11,458
module MODULE1( VAR52, VAR61, VAR33, VAR5, VAR2, VAR23, VAR25, VAR57, VAR40, VAR27, VAR58, VAR26, VAR55, VAR47, VAR4, VAR53 ); parameter VAR37 = 8; parameter VAR7 = 16; parameter VAR12 = 16; parameter VAR59 = 50000000; parameter VAR51 = 9; parameter VAR50 = 8; parameter VAR35 = 32'd41; parameter VAR22 = 32'd50; localparam VAR18 = 9; localparam VAR15 = 8; input VAR52; input VAR61; input VAR33; input VAR5; input VAR26; input [31:0] VAR47; input VAR55; input VAR4; input [VAR37-1:0] VAR2; output [31:0] VAR53; output [VAR7-1:0] VAR57; output [VAR12-1:0] VAR58; output VAR23; output VAR25; output VAR40; output VAR27; reg [31:0] VAR53, VAR34; reg [31:0] VAR62, VAR8; reg [31:0] VAR28, VAR48; reg [(VAR37-1):0] VAR13[2:0], VAR16[2:0], VAR17[2:0]; reg [VAR18-1:0] VAR31; reg [((VAR51 + VAR37)+1):0] VAR29, VAR10, VAR1, VAR19, VAR39, VAR36, VAR56; reg [VAR44(VAR15)-1:0] VAR24, VAR49, VAR11, VAR21, VAR9, VAR41, VAR14; reg [(VAR51 + VAR37 - VAR50):0] VAR46; reg [VAR44(VAR15)-1:0] VAR63; wire [(VAR37-1):0] VAR42, VAR54; wire VAR60; wire VAR3; wire VAR43; wire [((VAR51 + VAR37)+1):0] VAR6 [VAR15-1:0]; wire [VAR18-1:0] VAR20; wire signed [(VAR51-1):0] VAR30 [VAR15-1:0]; wire signed [(VAR51-1):0] VAR38 [VAR15-1:0]; integer VAR45, VAR32; generate always@ if (VAR55) case(VAR26) 1'd0: begin VAR8 = VAR47; VAR48 = VAR28; end 1'd1: begin VAR8 = VAR62; VAR48 = VAR47; end default: begin VAR8 = VAR62; VAR48 = VAR28; end endcase else begin VAR8 = VAR62; VAR48 = VAR28; end always @ (*) if (VAR4) case(VAR26) 1'd0: VAR34 = VAR62; 1'd1: VAR34 = VAR28; default: VAR34 = VAR53; endcase else VAR34 = VAR53; always @ (posedge VAR52 or negedge VAR61) if (VAR61 == 1'b0) begin VAR62 <= VAR35; VAR28 <= VAR22; VAR53 <= 32'b0; end else begin VAR62 <= VAR8; VAR28 <= VAR48; VAR53 <= VAR34; end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/buf/gf180mcu_fd_sc_mcu7t5v0__buf_8.behavioral.v
1,093
module MODULE1( VAR3, VAR5 ); input VAR3; output VAR5; VAR1 VAR4(.VAR3(VAR3),.VAR5(VAR5)); VAR1 VAR2(.VAR3(VAR3),.VAR5(VAR5));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfbbn/sky130_fd_sc_hd__sdfbbn.symbol.v
1,570
module MODULE1 ( input VAR2 , output VAR12 , output VAR11 , input VAR7, input VAR8 , input VAR1 , input VAR9 , input VAR6 ); supply1 VAR5; supply0 VAR4; supply1 VAR3 ; supply0 VAR10 ; endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/or1200/or1200_dpram.v
5,511
module MODULE1 ( VAR13, VAR4, VAR10, VAR12, VAR9, VAR14, VAR11, VAR5, VAR3 ); parameter VAR6 = 5; parameter VAR7 = 32; input VAR13; input VAR4; input [VAR6-1:0] VAR10; output [VAR7-1:0] VAR12; input VAR9; input VAR14; input VAR11; input [VAR6-1:0] VAR5; input [VAR7-1:0] VAR3; reg [VAR7-1:0] VAR1 [(1<<VAR6)-1:0] ; reg [VAR6-1:0] VAR8; integer VAR2; begin begin
mit
monotone-RK/FACE
IEICE-Trans/bandwidth/PCIe/src/ip_pcie/source/PCIeGen2x8If128_axi_basic_top.v
11,063
module MODULE1 #( parameter VAR4 = 128, parameter VAR45 = "VAR50", parameter VAR26 = "VAR10", parameter VAR6 = "VAR10", parameter VAR29 = 1, parameter VAR30 = (VAR4 == 128) ? 2 : 1, parameter VAR38 = VAR4 / 8 ) ( input [VAR4-1:0] VAR31, input VAR46, output VAR21, input [VAR38-1:0] VAR34, input VAR24, input [3:0] VAR12, output [VAR4-1:0] VAR40, output VAR8, input VAR32, output [VAR38-1:0] VAR49, output VAR44, output [21:0] VAR51, input VAR23, input VAR41, output [VAR4-1:0] VAR48, output VAR3, output VAR22, output VAR16, input VAR1, output VAR28, output [VAR30-1:0] VAR19, output VAR9, output VAR36, input [5:0] VAR33, output VAR25, input [127:0] VAR58, input VAR27, input VAR13, input VAR7, output VAR20, input VAR47, input [1:0] VAR55, input VAR11, input [6:0] VAR53, input VAR37, input VAR14, output VAR15, input VAR18, input [2:0] VAR56, input VAR52, input [1:0] VAR61, input [31:0] VAR42, input VAR35, input VAR59, output VAR60, output [2:0] VAR43, input VAR5, input VAR57 ); VAR54 #( .VAR4( VAR4 ), .VAR45( VAR45 ), .VAR29( VAR29 ), .VAR30( VAR30 ), .VAR38( VAR38 ) ) VAR39 ( .VAR40( VAR40 ), .VAR8( VAR8 ), .VAR32( VAR32 ), .VAR49( VAR49 ), .VAR44( VAR44 ), .VAR51( VAR51 ), .VAR58( VAR58[VAR4-1:0] ), .VAR27( VAR27 ), .VAR13( VAR13 ), .VAR7( VAR7 ), .VAR20( VAR20 ), .VAR47( VAR47 ), .VAR55( VAR55[VAR30-1:0] ), .VAR11( VAR11 ), .VAR53( VAR53 ), .VAR37( VAR37 ), .VAR43( VAR43 ), .VAR5( VAR5 ), .VAR57( VAR57 ) ); VAR17 #( .VAR4( VAR4 ), .VAR45( VAR45 ), .VAR26( VAR26 ), .VAR6( VAR6 ), .VAR29( VAR29 ), .VAR30( VAR30 ), .VAR38( VAR38 ) ) VAR2 ( .VAR31( VAR31 ), .VAR46( VAR46 ), .VAR21( VAR21 ), .VAR34( VAR34 ), .VAR24( VAR24 ), .VAR12( VAR12 ), .VAR23( VAR23 ), .VAR41( VAR41 ), .VAR48( VAR48 ), .VAR3( VAR3 ), .VAR22( VAR22 ), .VAR16( VAR16 ), .VAR1( VAR1 ), .VAR28( VAR28 ), .VAR19( VAR19 ), .VAR9( VAR9 ), .VAR36( VAR36 ), .VAR33( VAR33 ), .VAR25( VAR25 ), .VAR14( VAR14 ), .VAR15( VAR15 ), .VAR18( VAR18 ), .VAR56( VAR56 ), .VAR52( VAR52 ), .VAR61( VAR61 ), .VAR42( VAR42 ), .VAR35( VAR35 ), .VAR59( VAR59 ), .VAR60( VAR60 ), .VAR5( VAR5 ), .VAR57( VAR57 ) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dfrtp/sky130_fd_sc_hdll__dfrtp.symbol.v
1,403
module MODULE1 ( input VAR4 , output VAR5 , input VAR6, input VAR8 ); supply1 VAR2; supply0 VAR1; supply1 VAR7 ; supply0 VAR3 ; endmodule
apache-2.0
rakeshkadamati/MIPS-32-Bit-Verilog
gates.v
2,361
module MODULE1(VAR8,VAR12,out); input [31:0] VAR8; input [31:0] VAR12; output [31:0] out; and VAR37(out[0],VAR8[0],VAR12[0]); and VAR22(out[1],VAR8[1],VAR12[1]); and VAR29(out[2],VAR8[2],VAR12[2]); and VAR26(out[3],VAR8[3],VAR12[3]); and VAR20(out[4],VAR8[4],VAR12[4]); and VAR18(out[5],VAR8[5],VAR12[5]); and VAR1(out[6],VAR8[6],VAR12[6]); and VAR16(out[7],VAR8[7],VAR12[7]); and VAR21(out[8],VAR8[8],VAR12[8]); and VAR27(out[9],VAR8[9],VAR12[9]); and VAR24(out[10],VAR8[10],VAR12[10]); and VAR25(out[11],VAR8[11],VAR12[11]); and VAR17(out[12],VAR8[12],VAR12[12]); and VAR14(out[13],VAR8[13],VAR12[13]); and VAR36(out[14],VAR8[14],VAR12[14]); and VAR13(out[15],VAR8[15],VAR12[15]); and VAR5(out[16],VAR8[16],VAR12[16]); and VAR31(out[17],VAR8[17],VAR12[17]); and VAR38(out[18],VAR8[18],VAR12[18]); and VAR4(out[19],VAR8[19],VAR12[19]); and VAR3(out[20],VAR8[20],VAR12[20]); and VAR6(out[21],VAR8[21],VAR12[21]); and VAR33(out[22],VAR8[22],VAR12[22]); and VAR40(out[23],VAR8[23],VAR12[23]); and VAR19(out[24],VAR8[24],VAR12[24]); and VAR39(out[25],VAR8[25],VAR12[25]); and VAR7(out[26],VAR8[26],VAR12[26]); and VAR9(out[27],VAR8[27],VAR12[27]); and VAR23(out[28],VAR8[28],VAR12[28]); and VAR35(out[29],VAR8[29],VAR12[29]); and VAR15(out[30],VAR8[30],VAR12[30]); and VAR28(out[31],VAR8[31],VAR12[31]); endmodule module MODULE2(VAR8,VAR12,out); input [31:0] VAR8; input [31:0] VAR12; output [31:0] out; or o0(out[0],VAR8[0],VAR12[0]); or o1(out[1],VAR8[1],VAR12[1]); or o2(out[2],VAR8[2],VAR12[2]); or o3(out[3],VAR8[3],VAR12[3]); or o4(out[4],VAR8[4],VAR12[4]); or o5(out[5],VAR8[5],VAR12[5]); or o6(out[6],VAR8[6],VAR12[6]); or o7(out[7],VAR8[7],VAR12[7]); or VAR34(out[8],VAR8[8],VAR12[8]); or VAR11(out[9],VAR8[9],VAR12[9]); or o10(out[10],VAR8[10],VAR12[10]); or o11(out[11],VAR8[11],VAR12[11]); or o12(out[12],VAR8[12],VAR12[12]); or o13(out[13],VAR8[13],VAR12[13]); or o14(out[14],VAR8[14],VAR12[14]); or o15(out[15],VAR8[15],VAR12[15]); or o16(out[16],VAR8[16],VAR12[16]); or o17(out[17],VAR8[17],VAR12[17]); or VAR32(out[18],VAR8[18],VAR12[18]); or VAR10(out[19],VAR8[19],VAR12[19]); or o20(out[20],VAR8[20],VAR12[20]); or o21(out[21],VAR8[21],VAR12[21]); or o22(out[22],VAR8[22],VAR12[22]); or o23(out[23],VAR8[23],VAR12[23]); or o24(out[24],VAR8[24],VAR12[24]); or o25(out[25],VAR8[25],VAR12[25]); or o26(out[26],VAR8[26],VAR12[26]); or o27(out[27],VAR8[27],VAR12[27]); or VAR30(out[28],VAR8[28],VAR12[28]); or VAR2(out[29],VAR8[29],VAR12[29]); or o30(out[30],VAR8[30],VAR12[30]); or o31(out[31],VAR8[31],VAR12[31]); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inv/sky130_fd_sc_lp__inv.behavioral.pp.v
1,748
module MODULE1 ( VAR12 , VAR3 , VAR6, VAR10, VAR7 , VAR11 ); output VAR12 ; input VAR3 ; input VAR6; input VAR10; input VAR7 ; input VAR11 ; wire VAR1 ; wire VAR4; not VAR2 (VAR1 , VAR3 ); VAR9 VAR5 (VAR4, VAR1, VAR6, VAR10); buf VAR8 (VAR12 , VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkbuf/sky130_fd_sc_hs__clkbuf.behavioral.pp.v
1,670
module MODULE1 ( VAR2, VAR8, VAR3 , VAR6 ); input VAR2; input VAR8; output VAR3 ; input VAR6 ; wire VAR7 ; wire VAR1; buf VAR5 (VAR7 , VAR6 ); VAR9 VAR4 (VAR1, VAR7, VAR2, VAR8); buf VAR10 (VAR3 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlymetal6s2s/sky130_fd_sc_ms__dlymetal6s2s.pp.symbol.v
1,355
module MODULE1 ( input VAR3 , output VAR4 , input VAR2 , input VAR6, input VAR1, input VAR5 ); endmodule
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/trunk/cores/wb_altera_ddr_wrapper/rtl/verilog/dual_clock_fifo.v
3,744
module MODULE1 #( parameter VAR13 = 3, parameter VAR2 = 32 ) ( input wire VAR16, input wire VAR4, input wire VAR3, input wire [VAR2-1:0] VAR19, input wire VAR9, input wire VAR18, input wire VAR10, output reg [VAR2-1:0] VAR7, output reg VAR15, output reg VAR12 ); reg [VAR13-1:0] VAR21; reg [VAR13-1:0] VAR1; reg [VAR13-1:0] VAR6; reg [VAR13-1:0] VAR8; reg [VAR13-1:0] VAR22; reg [VAR13-1:0] VAR11; reg [VAR13-1:0] VAR17; reg [VAR13-1:0] VAR5; function [VAR13-1:0] VAR20; input [VAR13-1:0] in; begin VAR20 = {in[VAR13-1], in[VAR13-2:0] ^ in[VAR13-1:1]}; end endfunction always @(posedge VAR4) begin if (VAR16) begin VAR21 <= 0; VAR1 <= 0; end else if (VAR3) begin VAR21 <= VAR21 + 1; VAR1 <= VAR20(VAR21 + 1); end end always @(posedge VAR4) begin VAR17 <= VAR11; VAR5 <= VAR17; end always @(posedge VAR4) if (VAR16) VAR15 <= 0; end else if (VAR3) VAR15 <= VAR20(VAR21 + 2) == VAR5; else VAR15 <= VAR15 & (VAR20(VAR21 + 1) == VAR5); always @(posedge VAR18) begin if (VAR9) begin VAR22 <= 0; VAR11 <= 0; end else if (VAR10) begin VAR22 <= VAR22 + 1'b1; VAR11 <= VAR20(VAR22 + 1'b1); end end always @(posedge VAR18) begin VAR6 <= VAR1; VAR8 <= VAR6; end always @(posedge VAR18) if (VAR9) VAR12 <= 1'b1; end else if (VAR10) VAR12 <= VAR20(VAR22 + 1) == VAR8; else VAR12 <= VAR12 & (VAR20(VAR22) == VAR8); reg [VAR2-1:0] VAR14[(1<<VAR13)-1:0]; always @(posedge VAR18) if (VAR10) VAR7 <= VAR14[VAR22]; always @(posedge VAR4) if (VAR3) VAR14[VAR21] <= VAR19; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a32o/sky130_fd_sc_ms__a32o_1.v
2,469
module MODULE1 ( VAR4 , VAR8 , VAR6 , VAR7 , VAR11 , VAR12 , VAR5, VAR10, VAR1 , VAR2 ); output VAR4 ; input VAR8 ; input VAR6 ; input VAR7 ; input VAR11 ; input VAR12 ; input VAR5; input VAR10; input VAR1 ; input VAR2 ; VAR3 VAR9 ( .VAR4(VAR4), .VAR8(VAR8), .VAR6(VAR6), .VAR7(VAR7), .VAR11(VAR11), .VAR12(VAR12), .VAR5(VAR5), .VAR10(VAR10), .VAR1(VAR1), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR4 , VAR8, VAR6, VAR7, VAR11, VAR12 ); output VAR4 ; input VAR8; input VAR6; input VAR7; input VAR11; input VAR12; supply1 VAR5; supply0 VAR10; supply1 VAR1 ; supply0 VAR2 ; VAR3 VAR9 ( .VAR4(VAR4), .VAR8(VAR8), .VAR6(VAR6), .VAR7(VAR7), .VAR11(VAR11), .VAR12(VAR12) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dfsbp/sky130_fd_sc_hs__dfsbp.pp.symbol.v
1,377
module MODULE1 ( input VAR7 , output VAR4 , output VAR1 , input VAR3, input VAR5 , input VAR6 , input VAR2 ); endmodule
apache-2.0
takeshineshiro/fpga_linear_128
db/PLL_altpll.v
3,649
module MODULE1 ( clk, VAR11, VAR7) ; output [4:0] clk; input [1:0] VAR11; output VAR7; tri0 [1:0] VAR11; wire [4:0] VAR2; wire VAR12; wire VAR9; VAR14 VAR32 ( .VAR18(), .clk(VAR2), .VAR4(), .VAR27(VAR12), .VAR24(VAR12), .VAR11(VAR11), .VAR7(VAR9), .VAR26(), .VAR10(), .VAR33(), .VAR31(), .VAR40() , .VAR13(1'b0), .VAR44(1'b0), .VAR29(1'b0), .VAR6(1'b1), .VAR16({3{1'b0}}), .VAR17(1'b0), .VAR3(1'b0), .VAR8(1'b0), .VAR41(1'b1), .VAR5(1'b0) ); VAR32.VAR23 = "VAR37", VAR32.VAR15 = 1, VAR32.VAR25 = 50, VAR32.VAR42 = 2, VAR32.VAR19 = "0", VAR32.VAR39 = 5, VAR32.VAR22 = 50, VAR32.VAR1 = 2, VAR32.VAR21 = "0", VAR32.VAR36 = "VAR45", VAR32.VAR34 = 20000, VAR32.VAR35 = "VAR38", VAR32.VAR43 = "VAR37", VAR32.VAR30 = "VAR28", VAR32.VAR20 = "VAR14"; assign clk = VAR2, VAR7 = VAR9; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fahcon/sky130_fd_sc_ls__fahcon.blackbox.v
1,369
module MODULE1 ( VAR5, VAR9 , VAR4 , VAR1 , VAR3 ); output VAR5; output VAR9 ; input VAR4 ; input VAR1 ; input VAR3 ; supply1 VAR7; supply0 VAR8; supply1 VAR6 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand3/sky130_fd_sc_ls__nand3.functional.pp.v
1,819
module MODULE1 ( VAR8 , VAR2 , VAR9 , VAR6 , VAR12, VAR10, VAR7 , VAR5 ); output VAR8 ; input VAR2 ; input VAR9 ; input VAR6 ; input VAR12; input VAR10; input VAR7 ; input VAR5 ; wire VAR13 ; wire VAR4; nand VAR1 (VAR13 , VAR9, VAR2, VAR6 ); VAR11 VAR3 (VAR4, VAR13, VAR12, VAR10); buf VAR14 (VAR8 , VAR4 ); endmodule
apache-2.0
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/Clk_ctrl.v
5,246
module MODULE1( VAR15 , VAR10 , VAR23 , VAR6 , VAR8 , VAR18 , VAR12 , VAR22 , VAR3 , VAR5 ); input VAR15 ; input VAR10 ; input [2:0] VAR23 ; output VAR6 ;input VAR8 ; input VAR18 ; output VAR12 ; output VAR22 ; output VAR3 ; output VAR5 ; wire VAR24 ; wire VAR19 ; assign VAR6 =VAR10 ; assign VAR22 =VAR8 ; VAR20 VAR14( .VAR15 (VAR15 ), .VAR7 (VAR8 ), .VAR17 (VAR24 ) ); VAR20 VAR21( .VAR15 (VAR15 ), .VAR7 (VAR18 ), .VAR17 (VAR19 ) ); VAR1 VAR2( .VAR11 (VAR24 ), .VAR4 (VAR8 ), .VAR16 (VAR23[2] ), .VAR17 (VAR5 ) ); VAR1 VAR13( .VAR11 (VAR18 ), .VAR4 (VAR10 ), .VAR16 (VAR23[2] ), .VAR17 (VAR12 ) ); VAR1 VAR9( .VAR11 (VAR19 ), .VAR4 (VAR10 ), .VAR16 (VAR23[2] ), .VAR17 (VAR3 ) ); endmodule
apache-2.0
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/mig_7series_v1_8_infrastructure.v
18,349
module MODULE1 # ( parameter VAR13 = "VAR27", parameter VAR22 = 100, parameter VAR41 = 3000, parameter VAR16 = 2, parameter VAR17 = "VAR8", parameter VAR5 = 4, parameter VAR24 = 1, parameter VAR42 = 45.0, parameter VAR32 = 16, parameter VAR21 = 4, parameter VAR3 = 64, parameter VAR2 = 16, parameter VAR33 = 1 ) ( input VAR10, input VAR39, input VAR30, output clk, output VAR31, output VAR6, output VAR26, output VAR15, output VAR40, output VAR20, output VAR35, output VAR14, output VAR4, input VAR25 ); localparam VAR11 = 25; localparam VAR18 = (VAR11+1)/2; localparam real VAR23 = VAR41 / 1000.0; localparam VAR38 = 2 * VAR21; localparam integer VAR29 = (VAR23 * VAR24 * 1000) / VAR5; localparam VAR28 = VAR29 * VAR32; localparam VAR12 = VAR29 * VAR21; localparam VAR19 = VAR29 * VAR3; localparam VAR34 = VAR29 * VAR2; localparam VAR7 = VAR29 * VAR38; localparam VAR1 = (VAR13 == "VAR37") ? 22.5 : 168.75; localparam real VAR9 = VAR34 / 1000.0; localparam real VAR36 = VAR7 / 1000.0;
mit
fbelavenuto/msx1fpga
src/audio/jt51/jt51_exp2lin.v
1,231
module MODULE1( output reg signed [15:0] VAR2, input signed [9:0] VAR1, input [2:0] VAR3 ); always @(*) begin case( VAR3 ) 3'd7: VAR2 = { VAR1, 6'b0 }; 3'd6: VAR2 = { {1{VAR1[9]}}, VAR1, 5'b0 }; 3'd5: VAR2 = { {2{VAR1[9]}}, VAR1, 4'b0 }; 3'd4: VAR2 = { {3{VAR1[9]}}, VAR1, 3'b0 }; 3'd3: VAR2 = { {4{VAR1[9]}}, VAR1, 2'b0 }; 3'd2: VAR2 = { {5{VAR1[9]}}, VAR1, 1'b0 }; 3'd1: VAR2 = { {6{VAR1[9]}}, VAR1 }; 3'd0: VAR2 = 16'd0; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o32a/sky130_fd_sc_ls__o32a.pp.symbol.v
1,390
module MODULE1 ( input VAR8 , input VAR3 , input VAR10 , input VAR4 , input VAR7 , output VAR6 , input VAR1 , input VAR2, input VAR5, input VAR9 ); endmodule
apache-2.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_router.v
6,787
module MODULE1 # ( parameter VAR5 = "none", parameter integer VAR11 = 1, parameter integer VAR29 = 1, parameter integer VAR31 = 1, parameter integer VAR9 = 0 ) ( input wire VAR18, input wire VAR21, input wire [VAR11-1:0] VAR7, input wire VAR20, input wire VAR6, output wire VAR24, output wire [VAR11-1:0] VAR16, output wire VAR30, output wire [VAR29-1:0] VAR27, input wire [VAR29-1:0] VAR3, input wire [VAR31-1:0] VAR32, input wire VAR10, output wire VAR25 ); localparam integer VAR4 = (VAR9 <= 5) ? VAR9 : 5; function [VAR29-1:0] VAR2 ( input [VAR31-1:0] sel ); integer VAR17; begin for (VAR17=0; VAR17<VAR29; VAR17=VAR17+1) begin VAR2[VAR17] = (sel == VAR17); end end endfunction wire [VAR29-1:0] VAR23; wire [VAR31-1:0] VAR33; wire VAR35; wire VAR14; VAR1 # ( .VAR5 (VAR5), .VAR34 (VAR31), .VAR9 (VAR4), .VAR28 (1) ) VAR26 ( .VAR18 (VAR18), .VAR21 (VAR21), .VAR15 (VAR32), .VAR19 (VAR10), .VAR12 (VAR25), .VAR8 (VAR33), .VAR13 (VAR35), .VAR22 (VAR14) ); assign VAR23 = VAR2(VAR33); assign VAR16 = VAR7; assign VAR30 = VAR20; assign VAR14 = VAR35 & VAR6 & VAR20 & (|(VAR3 & VAR23)); assign VAR27 = {VAR29{VAR6 & VAR35}} & VAR23; assign VAR24 = VAR35 & (|(VAR3 & VAR23)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/conb/sky130_fd_sc_lp__conb.symbol.v
1,270
module MODULE1 ( output VAR4, output VAR5 ); supply1 VAR1; supply0 VAR2; supply1 VAR3 ; supply0 VAR6 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/aoi21/gf180mcu_fd_sc_mcu7t5v0__aoi21_4.functional.pp.v
1,386
module MODULE1( VAR12, VAR11, VAR13, VAR17, VAR7, VAR10 ); input VAR11, VAR12, VAR17; inout VAR7, VAR10; output VAR13; wire VAR2; not VAR4( VAR2, VAR11 ); wire VAR16; not VAR1( VAR16, VAR17 ); wire VAR9; and VAR5( VAR9, VAR2, VAR16 ); wire VAR8; not VAR6( VAR8, VAR12 ); wire VAR14; and VAR3( VAR14, VAR8, VAR16 ); or VAR15( VAR13, VAR9, VAR14 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand3/sky130_fd_sc_hvl__nand3_1.v
2,183
module MODULE1 ( VAR8 , VAR9 , VAR4 , VAR6 , VAR5, VAR1, VAR10 , VAR2 ); output VAR8 ; input VAR9 ; input VAR4 ; input VAR6 ; input VAR5; input VAR1; input VAR10 ; input VAR2 ; VAR7 VAR3 ( .VAR8(VAR8), .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6), .VAR5(VAR5), .VAR1(VAR1), .VAR10(VAR10), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8, VAR9, VAR4, VAR6 ); output VAR8; input VAR9; input VAR4; input VAR6; supply1 VAR5; supply0 VAR1; supply1 VAR10 ; supply0 VAR2 ; VAR7 VAR3 ( .VAR8(VAR8), .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6) ); endmodule
apache-2.0
jcrono/sd-host
src/dat/capa_fisica.v
13,698
module MODULE1(input logic VAR26, input logic VAR32, input logic [15:0] timeout , input logic VAR1, input logic VAR9, input logic reset, input logic VAR6, input logic VAR20, input logic [31:0] VAR18, input logic VAR25, output logic VAR27, output logic VAR2, output logic VAR24, output logic VAR29, output logic VAR22, output logic VAR31, output logic VAR4, output logic VAR37, output logic VAR36, output logic VAR8, output logic VAR42, output logic [31:0] VAR19, output logic [31:0] VAR5, output logic VAR3 ); parameter VAR41=9'b000000001; parameter VAR30 =9'b000000010; parameter VAR23=9'b000000100; parameter VAR14=9'b000001000; parameter VAR34=9'b000010000 ; parameter VAR33=9'b000100000 ; parameter VAR38=9'b001000000; parameter VAR13=9'b010000000; parameter VAR7=9'b100000000 ; logic [8:0] state; logic [8:0] VAR16; reg [15:0] VAR11; reg VAR10; reg [7:0] VAR40; wire [31:0] VAR17; wire VAR21; reg VAR12; VAR43 VAR15(.clk(VAR9),.reset(VAR12),.enable(VAR36) ,.in(VAR25),.out( VAR17),.VAR28(VAR20),.VAR40(VAR40)); VAR39 VAR35(.clk(VAR9),.reset(VAR10),.enable(VAR37) ,.in( VAR5),.out(VAR21),.VAR28(VAR6)); always @ ( posedge VAR9 ) begin if (reset == 1'b1) begin state <= VAR41; end else begin state <= VAR16; end end always @ (posedge VAR9) if (reset == 1'b1) begin VAR27<=0; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=32'b00000000000000000000000000000000; VAR10<=1; VAR12<=1; VAR40<=8'b00000000; VAR11=16'b0000000000000000; VAR19<=32'b00000000000000000000000000000000; VAR3<=0; end else begin case(state) VAR41 : begin VAR27<=0; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=32'b00000000000000000000000000000000; VAR10<=1; VAR12<=1; VAR40<=8'b00000000; VAR11=16'b0000000000000000; VAR19<=32'b00000000000000000000000000000000; VAR3<=0; end VAR30 : begin VAR27<=0; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=1; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=32'b00000000000000000000000000000000; VAR10<=0; VAR12<=0; VAR40<=8'b00000001; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end VAR23 : begin VAR27<=1; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=VAR18; VAR10<=0; VAR12<=1; VAR40<=8'b00001000; VAR11=16'b0000000000000000; VAR19<=VAR17; VAR3<=VAR21; end VAR14 : begin VAR27<=1; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=1; VAR36<=0; VAR8<=1; VAR42<=1; VAR5<=VAR18; VAR10<=0; VAR12<=0; VAR40<=8'b00001000; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end VAR34 : begin VAR27<=0; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=1; VAR37<=1; VAR36<=0; VAR8<=1; VAR42<=1; VAR5<=VAR18; VAR10<=0; VAR12<=0; VAR40<=8'b00001000; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end VAR33 : begin VAR27<=0; VAR40<=8'b00001000; VAR36<=1; VAR8<=0; VAR42<=1; VAR29<=0; VAR22<=0; VAR4<=0; VAR37<=0; VAR3<=32'b00000000000000000000000000000000; VAR2<=0; VAR10<=1; VAR12<=0; VAR19<=VAR17; VAR3<=VAR21; if (VAR32==1) begin if (VAR20==1) begin VAR2<=1; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR40<=8'b00001000; VAR24<=1; end else begin if (VAR11==timeout) begin VAR11=16'b0000000000000000; VAR31<=1; end else begin VAR11=VAR11+1; VAR31<=0; end end end else begin if (VAR20==1) begin VAR2<=1; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR40<=8'b00001000; end end end VAR38 : begin VAR27<=1; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=1; VAR8<=0; VAR42<=1; VAR5<=32'b00000000000000000000000000000000; VAR10<=0; VAR40<=8'b00100000; VAR11=16'b0000000000000000; VAR19<=32'b00000000000000000000000000000000; VAR12<=0; VAR3<=VAR21; end VAR13 : begin VAR27<=1; VAR2<=0; VAR24<=0; VAR29<=1; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=1; VAR8<=0; VAR42<=1; VAR5<=32'b00000000000000000000000000000000; VAR10<=0; VAR12<=0; VAR40<=8'b00100000; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end VAR7 : begin VAR27<=0; VAR2<=1; VAR12<=1; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=32'b00000000000000000000000000000000; VAR10<=0; VAR40<=00000001; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end default : begin VAR27<=0; VAR2<=0; VAR24<=0; VAR29<=0; VAR22<=0; VAR31<=0; VAR4<=0; VAR37<=0; VAR36<=0; VAR8<=0; VAR42<=0; VAR5<=32'b00000000000000000000000000000000; VAR10<=0; VAR40<=00100000; VAR11=16'b0000000000000000; VAR19<= VAR17; VAR3<=VAR21; end endcase end always @ ( * ) begin VAR16 = 9'b000000000; case(state) VAR41 : begin VAR16=VAR30; end VAR30 :if (VAR1== 1'b1) begin if (VAR26==1) begin VAR16=VAR23; end else begin VAR16=VAR38; end end else begin VAR16=VAR30; end VAR23 : begin VAR16=VAR14; end VAR14 :begin VAR16=VAR34; end VAR33 :if (VAR20== 1'b1) begin VAR16=VAR7; end else begin VAR16=VAR33; end VAR38 : if (VAR20== 1'b1) begin VAR16=VAR13; end else begin VAR16=VAR38; end VAR13 : begin VAR16=VAR7; end VAR7 : begin VAR16=VAR30; end VAR34 : if (VAR6== 1'b1) begin VAR16=VAR33; end else begin VAR16=VAR34; end default : begin VAR16=VAR30; end endcase end endmodule
gpl-3.0
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_aon_wrapper.v
15,158
module MODULE1( output VAR150, output VAR39, output VAR18, input VAR27, input VAR12, output [32-1:0] VAR19, output VAR134, output VAR91, output VAR123, output VAR32, output VAR165, input VAR37, input [2:0] VAR84, input [2:0] VAR50, input [2:0] VAR178, input [4:0] VAR54, input [28:0] VAR153, input [3:0] VAR4, input [31:0] VAR105, input VAR70, output VAR17, output [2:0] VAR40, output [1:0] VAR135, output [2:0] VAR174, output [4:0] VAR162, output [28:0] VAR111, output [3:0] VAR45, output [31:0] VAR76, output VAR44, input VAR155, input [2:0] VAR77, input [2:0] VAR102, input [2:0] VAR36, input [4:0] VAR107, input [28:0] VAR3, input [31:0] VAR86, input VAR129, input VAR52, output VAR163, output [2:0] VAR173, output [1:0] VAR28, output [2:0] VAR113, output [4:0] VAR125, output VAR149, output [1:0] VAR160, output [31:0] VAR74, output VAR22, output VAR64, input VAR109, input VAR94, output VAR11, output VAR140, input VAR49, output VAR151, output VAR46, output VAR15, output VAR179, output VAR31, input VAR57, output VAR93, output VAR138, output VAR119, output VAR101, output VAR82, input VAR132, input VAR16, input VAR97, input VAR142, output VAR133, output VAR21, output VAR127, output VAR100, output VAR80, input VAR95, output VAR168, output VAR166, output VAR176, output VAR96, output VAR60, input VAR116, output VAR99, output VAR118, output VAR63, output VAR58, output VAR35, input VAR26, output VAR34, output VAR115, output VAR130, output VAR47, output VAR181, input VAR41, output VAR106, output VAR9, output VAR2, output VAR7, output VAR10, output VAR1, output VAR170, output VAR59, output VAR117, input VAR180, input VAR89 ); wire VAR25; wire VAR72; wire VAR30; wire VAR157; wire VAR114; wire VAR53; wire VAR8; wire VAR48; wire VAR136; wire VAR110; wire VAR24; wire VAR90; wire VAR167; wire VAR43; wire VAR78; wire VAR69; wire VAR62; wire VAR88; wire VAR75; wire VAR92; wire VAR161; wire VAR23; wire VAR124; wire VAR147; wire VAR126; wire VAR51; wire VAR164; wire VAR87; wire VAR42; wire VAR55; wire VAR172; wire VAR61; wire VAR143; wire VAR171; wire VAR122; wire VAR81; wire VAR14; wire VAR85; wire VAR128; wire VAR159; wire VAR141; wire VAR146; VAR139 VAR67 ( .VAR29(VAR157), .reset(VAR117), .VAR108(VAR114), .VAR175(VAR53), .VAR165 (VAR165), .VAR37 (VAR37), .VAR84 (VAR84), .VAR50 (VAR50), .VAR178 (VAR178), .VAR54 (VAR54), .VAR153(VAR153), .VAR4 (VAR4), .VAR105 (VAR105), .VAR70 (VAR70), .VAR17 (VAR17), .VAR40 (VAR40), .VAR135 (VAR135), .VAR174 (VAR174), .VAR162 (VAR162), .VAR111(VAR111), .VAR45 (VAR45), .VAR76 (VAR76), .VAR44 (VAR44), .VAR155 (VAR155), .VAR77 (VAR77), .VAR102 (VAR102), .VAR36 (VAR36), .VAR107 (VAR107), .VAR3(VAR3), .VAR86 (VAR86), .VAR129 (VAR129), .VAR52 (VAR52), .VAR163 (VAR163), .VAR173 (VAR173), .VAR28 (VAR28), .VAR113 (VAR113), .VAR125 (VAR125), .VAR149 (VAR149), .VAR160(VAR160), .VAR74 (VAR74), .VAR22 (VAR22), .VAR64 (VAR64), .VAR109 (VAR109), .VAR94 (VAR94), .VAR20(VAR8), .VAR131(VAR48), .VAR169(VAR136), .VAR33(VAR110), .VAR152 (VAR24), .VAR120 (VAR90), .VAR137(VAR167), .VAR68(VAR69), .VAR144(VAR62), .VAR112(VAR88), .VAR98(VAR75), .VAR92(VAR92), .VAR180(VAR180) ); VAR177 VAR158 ( .VAR180(VAR180), .VAR29(VAR23), .reset(VAR124), .VAR56(VAR147) ); VAR177 VAR148 ( .VAR180(VAR180), .VAR29(VAR164), .reset(VAR87), .VAR56(VAR42) ); assign VAR123 = VAR110; assign VAR32 = VAR42; VAR154 VAR73 ( .VAR29(VAR171), .reset(VAR122), .VAR71(VAR81), .VAR103(VAR14) ); VAR154 VAR79 ( .VAR29(VAR55), .reset(VAR172), .VAR71(VAR61), .VAR103(VAR143) ); VAR154 VAR104 ( .VAR29(VAR85), .reset(VAR128), .VAR71(VAR159), .VAR103(VAR141) ); assign VAR11 = VAR114; assign VAR140 = VAR53; assign VAR151 = 1'h0; assign VAR46 = 1'h0; assign VAR15 = 1'h1; assign VAR179 = 1'h1; assign VAR31 = 1'h0; assign VAR93 = 1'h0; assign VAR138 = 1'h0; assign VAR119 = 1'h1; assign VAR101 = 1'h1; assign VAR82 = 1'h0; assign VAR99 = 1'h0; assign VAR118 = 1'h0; assign VAR63 = 1'h1; assign VAR58 = 1'h1; assign VAR35 = 1'h0; assign VAR133 = 1'h0; assign VAR21 = 1'h0; assign VAR127 = 1'h1; assign VAR100 = 1'h1; assign VAR80 = 1'h0; assign VAR168 = 1'h0; assign VAR166 = 1'h0; assign VAR176 = 1'h1; assign VAR96 = 1'h1; assign VAR60 = 1'h0; wire VAR6 = VAR134 ? 1'b0 : VAR27 ; wire VAR13 = VAR134 ? 1'b0 : VAR12 ; assign VAR150 = ({VAR30,VAR72,VAR25} == 3'b000); assign {VAR34, VAR106} = VAR150 ? {VAR6, VAR13} : {VAR24, VAR90} ; assign VAR115 = 1'h1; assign VAR130 = 1'h0; assign VAR47 = 1'h0; assign VAR181 = 1'h0; assign VAR9 = 1'h1; assign VAR2 = 1'h0; assign VAR7 = 1'h0; assign VAR10 = 1'h0; assign VAR1 = VAR8; assign VAR170 = VAR48; assign VAR157 = VAR110; assign VAR117 = VAR147; assign VAR167 = VAR141; assign VAR43 = VAR14; assign VAR78 = VAR143; assign VAR25 = VAR132; assign VAR72 = VAR16; assign VAR30 = VAR97; assign VAR69 = VAR161; assign VAR62 = VAR136; assign VAR88 = VAR92; wire VAR38; VAR156 VAR121 (.VAR38(VAR38)); assign VAR39 = VAR38; wire VAR145 = ~VAR38; assign VAR75 = VAR145; assign VAR18 = VAR69; assign VAR92 = ~ VAR49; assign VAR161 = VAR57; assign VAR23 = VAR110; assign VAR124 = VAR126; assign VAR126 = VAR92 | VAR136 | VAR145; assign VAR51 = VAR48 | VAR117; assign VAR164 = VAR110; assign VAR87 = VAR51; assign VAR85 = VAR110; assign VAR128 = 1'b1; assign VAR159 = VAR146; assign VAR146 = ~ VAR116; assign VAR171 = VAR110; assign VAR122 = 1'b1; assign VAR81 = (~VAR142); assign VAR55 = VAR110; assign VAR172 = 1'b1; assign VAR61 = (~VAR95); wire VAR83 = ~VAR59; wire VAR66 = ~VAR117; VAR5 #(1) VAR65 (VAR83, VAR59, VAR157, VAR66); assign VAR134 = VAR180 ? VAR89 : VAR48; assign VAR91 = VAR180 ? VAR89 : VAR43; assign VAR19 = VAR78 ? 32'h00001000 : 32'h20000000; endmodule
apache-2.0
victor1994y/BipedRobot_byFPGA
Project_BipedRobot.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v
1,454
module MODULE1(VAR1, VAR7, VAR4, VAR5, VAR3, VAR6, VAR9, VAR8, VAR2) ; input VAR1; input VAR7; input [0:0]VAR4; input [11:0]VAR5; input [7:0]VAR3; input VAR6; input VAR9; input [8:0]VAR8; output [63:0]VAR2; endmodule
gpl-3.0
rkrajnc/minimig-de1
rtl/mor1kx/mor1kx_wrapper.v
3,274
module MODULE1 #( parameter VAR100 = 24 )( input wire clk, input wire rst, output wire VAR85, output wire VAR59, output wire [ 4-1:0] VAR88, output wire [ VAR100-1:0] VAR14, output wire [ 32-1:0] VAR68, input wire [ 32-1:0] VAR80, input wire VAR24, output wire VAR57, output wire VAR56, output wire [ 4-1:0] VAR3, output wire [ VAR100-1:0] VAR28, output wire [ 32-1:0] VAR10, input wire [ 32-1:0] VAR35, input wire VAR45 ); wire [ 31:0] VAR5; wire VAR30; wire VAR43; reg VAR58; wire [ 31:0] VAR71; wire VAR8; wire VAR62; reg VAR66; assign VAR14 = VAR5[VAR100-1:0]; assign VAR28 = VAR71[VAR100-1:0]; assign VAR85 = VAR30 & VAR43 & !VAR58; assign VAR57 = VAR8 & VAR62 & !VAR66; always @(posedge clk) begin VAR58 <= VAR24 & VAR85; VAR66 <= VAR45 & VAR57; end VAR4 #( .VAR48("VAR19"), .VAR95("VAR19"), .VAR84("VAR19"), .VAR9("VAR19"), .VAR15("VAR19"), .VAR76("VAR19"), .VAR86("VAR19"), .VAR49("VAR19"), .VAR51("VAR19"), .VAR54("VAR19"), .VAR61(4), .VAR67(8), .VAR36(1), .VAR75(32), .VAR21("VAR19"), .VAR25("VAR19"), .VAR78(4), .VAR33(8), .VAR79(1), .VAR17(31), .VAR32("VAR19"), .VAR96("VAR6"), .VAR18("VAR6"), .VAR11("VAR94"), .VAR64(32'h00000004) ) VAR98 ( .VAR34(VAR71), .VAR41(VAR8), .VAR52(VAR62), .VAR72(VAR3), .VAR69 (VAR56), .VAR99(), .VAR42(), .VAR29(VAR10), .VAR44(VAR5), .VAR81(VAR30), .VAR31(VAR43), .VAR7(VAR88), .VAR23 (VAR59), .VAR60(), .VAR74(), .VAR83(VAR68), .clk(clk), .rst(rst), .VAR77(1'b0), .VAR38(VAR66), .VAR22(VAR35), .VAR91(1'b0), .VAR39(1'b0), .VAR37(VAR58), .VAR63(VAR80), .VAR93(1'b0), .VAR92 (), .VAR89 (), .VAR82 (), .VAR12 (32'h00000000), .VAR50 (), .VAR26 (), .VAR53 (), .VAR90 (1'b0), .VAR87 (1'b0), .VAR40 (), .VAR46 (), .VAR73 (), .VAR1 (32'h00000000), .VAR13 (), .VAR101 (1'b0), .VAR16 (1'b0), .VAR102(32'h00000000), .VAR70(16'h0000), .VAR55(1'b0), .VAR97(32'h00000000), .VAR2(1'b0), .VAR47(), .VAR27(), .VAR20(1'b0), .VAR65() ); endmodule
gpl-3.0
mammenx/synesthesia_moksha
wxp/dgn/rtl/altera/lpddr2_cntrlr/lpddr2_cntrlr/lpddr2_cntrlr_p0_clock_pair_generator.v
4,047
module MODULE1 ( VAR4, VAR26, VAR12) ; input [0:0] VAR4; output [0:0] VAR26; output [0:0] VAR12; wire [0:0] VAR7; wire [0:0] VAR16; wire [0:0] VAR34; wire [0:0] VAR24; wire [0:0] VAR28; wire [0:0] VAR22; wire [0:0] VAR33; wire [0:0] VAR15; wire [0:0] VAR8; wire [0:0] VAR6; VAR2 VAR35 ( .VAR9(VAR22), .VAR3(VAR7[0:0]), .VAR1(), .VAR20(VAR16[0:0]) , .VAR11(1'b0), .VAR10({16{1'b0}}), .VAR19({16{1'b0}}) , .VAR30(1'b1) ); VAR35.VAR31 = "false", VAR35.VAR27 = "false", VAR35.VAR23 = "VAR2"; assign VAR16 = {(~ VAR33[0])}; VAR2 VAR21 ( .VAR9(VAR28), .VAR3(VAR34[0:0]), .VAR1(), .VAR20(VAR24[0:0]) , .VAR11(1'b0), .VAR10({16{1'b0}}), .VAR19({16{1'b0}}) , .VAR30(1'b1) ); VAR21.VAR31 = "false", VAR21.VAR27 = "false", VAR21.VAR23 = "VAR2"; assign VAR24 = {(~ VAR8[0])}; VAR17 VAR25 ( .VAR14(), .VAR32(), .VAR9(VAR4), .VAR3(VAR28[0:0]), .VAR1(VAR22[0:0]), .VAR29(VAR33[0:0]), .VAR18(VAR15[0:0]), .VAR13(VAR8[0:0]) , .VAR5(1'b0) ); assign VAR15 = {(~ VAR6[0])}; assign VAR26 = VAR34, VAR12 = VAR7, VAR6 = 1'b1; endmodule
gpl-3.0
tmatsuya/milkymist-ml401
cores/lm32/rtl/lm32_ram.v
4,275
module MODULE1 ( VAR9, VAR12, reset, VAR3, VAR5, VAR4, VAR1, VAR11, VAR14, VAR7 ); parameter VAR6 = 1; parameter VAR10 = 1; input VAR9; input VAR12; input reset; input VAR3; input [VAR10-1:0] VAR5; input VAR4; input [VAR10-1:0] VAR1;input [VAR6-1:0] VAR11; input VAR14; output [VAR6-1:0] VAR7; wire [VAR6-1:0] VAR7; reg [VAR6-1:0] VAR2[0:(1<<VAR10)-1]; reg [VAR10-1:0] VAR8; assign VAR7 = VAR2[VAR8]; always @(posedge VAR12) if ((VAR14 == VAR13) && (VAR4 == VAR13)) VAR2[VAR1] <= VAR11; always @(posedge VAR9) if (VAR3) VAR8 <= VAR5; endmodule
lgpl-3.0
Saucyz/explode
Hardware/Mod2/nios_system/synthesis/submodules/altera_up_av_config_auto_init_d5m.v
8,020
module MODULE1 ( VAR1, VAR9, VAR10 ); parameter VAR4 = 16'd2591; parameter VAR8 = 16'd1943; parameter VAR6 = 16'h0000; parameter VAR7 = 16'h0000; input [ 4: 0] VAR1; input [15: 0] VAR9; output [35: 0] VAR10; reg [31: 0] VAR3; assign VAR10 = {VAR3[31:24], 1'b0, VAR3[23:16], 1'b0, VAR3[15: 8], 1'b0, VAR3[ 7: 0], 1'b0}; always @(*) begin case (VAR1) 0 : VAR3 <= {8'hBA, 8'h00, 16'h0000}; 1 : VAR3 <= {8'hBA, 8'h20, 16'hc000}; 2 : VAR3 <= {8'hBA, 8'h09, VAR9}; 3 : VAR3 <= {8'hBA, 8'h05, 16'h0000}; 4 : VAR3 <= {8'hBA, 8'h06, 16'h0019}; 5 : VAR3 <= {8'hBA, 8'h0A, 16'h8000}; 6 : VAR3 <= {8'hBA, 8'h2B, 16'h000b}; 7 : VAR3 <= {8'hBA, 8'h2C, 16'h000f}; 8 : VAR3 <= {8'hBA, 8'h2D, 16'h000f}; 9 : VAR3 <= {8'hBA, 8'h2E, 16'h000b}; 10 : VAR3 <= {8'hBA, 8'h10, 16'h0051}; 11 : VAR3 <= {8'hBA, 8'h11, 16'h1807}; 12 : VAR3 <= {8'hBA, 8'h12, 16'h0002}; 13 : VAR3 <= {8'hBA, 8'h10, 16'h0053}; 14 : VAR3 <= {8'hBA, 8'h98, 16'h0000}; VAR5 VAR11 end 15 : VAR3 <= {8'hBA, 8'hA0, 16'h0001}; 16 : VAR3 <= {8'hBA, 8'hA1, 16'h0123}; 17 : VAR3 <= {8'hBA, 8'hA2, 16'h0456}; else 15 : VAR3 <= {8'hBA, 8'hA0, 16'h0000}; 16 : VAR3 <= {8'hBA, 8'hA1, 16'h0000}; 17 : VAR3 <= {8'hBA, 8'hA2, 16'h0FFF}; VAR2 18 : VAR3 <= {8'hBA, 8'h01, 16'h0036}; 19 : VAR3 <= {8'hBA, 8'h02, 16'h0010}; 20 : VAR3 <= {8'hBA, 8'h03, VAR8}; 21 : VAR3 <= {8'hBA, 8'h04, VAR4}; 22 : VAR3 <= {8'hBA, 8'h22, VAR7}; 23 : VAR3 <= {8'hBA, 8'h23, VAR6}; 24 : VAR3 <= {8'hBA, 8'h49, 16'h01A8}; default : VAR3 <= {8'h00, 8'h00, 16'h0000}; endcase end endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/RAMB16_S9_altera_bb.v
6,213
module MODULE1 ( address, VAR2, VAR3, VAR1, VAR5, VAR4); input [10:0] address; input VAR2; input [7:0] VAR3; input VAR1; input VAR5; output [7:0] VAR4; tri1 VAR2; tri1 VAR1; endmodule
mit
lvd2/ngs
fpga/current/dma/dma_sequencer.v
6,317
module MODULE1( input wire clk, input wire VAR43, input wire VAR54, input wire VAR40, input wire VAR36, input wire VAR27, input wire [21:0] VAR51, input wire [21:0] VAR53, input wire [21:0] VAR5, input wire [21:0] VAR14, input wire VAR31, input wire VAR35, input wire VAR52, input wire VAR49, input wire [7:0] VAR21, input wire [7:0] VAR6, input wire [7:0] VAR15, input wire [7:0] VAR22, output wire VAR9, output wire VAR26, output wire VAR13, output wire VAR29, output wire VAR16, output wire VAR17, output wire VAR34, output wire VAR42, output wire VAR44, output wire [21:0] VAR20, output wire VAR1, output wire [7:0] VAR23, input wire VAR4, input wire VAR55 ); localparam VAR37 = 4; wire [VAR37-1:0] VAR18; wire [21:0] VAR10 [0:VAR37-1]; wire [VAR37-1:0] VAR39; wire [7:0] VAR59 [0:VAR37-1]; wire [VAR37-1:0] VAR24; wire [VAR37-1:0] VAR46; assign VAR18[VAR37-1:0] = {VAR27,VAR36,VAR40,VAR54}; assign VAR10[0] = VAR51; assign VAR10[1] = VAR53; assign VAR10[2] = VAR5; assign VAR10[3] = VAR14; assign VAR39[VAR37-1:0] = {VAR49,VAR52,VAR35,VAR31}; assign VAR59[0] = VAR21; assign VAR59[1] = VAR6; assign VAR59[2] = VAR15; assign VAR59[3] = VAR22; assign {VAR29,VAR13,VAR26,VAR9} = VAR24[VAR37-1:0]; assign {VAR42,VAR34,VAR17,VAR16} = VAR46[VAR37-1:0]; reg [VAR37-1:0] VAR8; reg [VAR37-1:0] VAR45; wire [VAR37-1:0] VAR57; reg VAR32; always @(posedge clk, negedge VAR43) begin if( !VAR43 ) VAR32 = 1'b0; end else begin if( !VAR32 ) VAR32 <= |VAR18; end else VAR32 <= VAR44; end end always @(posedge clk, negedge VAR43) begin if( !VAR43 ) begin VAR8 = {VAR37{1'b0}}; end else begin if( (!VAR32) || VAR4 ) begin VAR8 <= VAR57; end end end MODULE2 #( .VAR37(VAR37) ) MODULE2( .VAR18(VAR18), .VAR2( VAR8), .VAR47(VAR57) ); always @(posedge clk, negedge VAR43) begin if( !VAR43 ) begin VAR45 = {VAR37{1'b0}}; end else begin if( VAR4 ) VAR45 <= VAR8; end end wor VAR3; wor [20:0] VAR48; wand VAR33; wor [7:0] VAR50; genvar VAR28; generate for(VAR28=0;VAR28<VAR37;VAR28=VAR28+1) begin : VAR58 assign VAR3 = VAR8[VAR28] & VAR18[VAR28]; assign VAR48 = {21{VAR8[VAR28]}} & VAR10[VAR28]; assign VAR33 = (~VAR8[VAR28]) | VAR39[VAR28]; assign VAR50 = {8{VAR8[VAR28]}} & VAR59[VAR28]; end endgenerate assign VAR44 = VAR3; assign VAR20 = VAR48; assign VAR1 = VAR33; assign VAR23 = VAR50; assign VAR24 = VAR8 & {VAR37{VAR4}}; assign VAR46 = VAR45 & {VAR37{VAR55}}; endmodule module MODULE2( VAR18, VAR2, VAR47 ); parameter VAR37=4; input wire [VAR37-1:0] VAR18; input wire [VAR37-1:0] VAR2; output wire [VAR37-1:0] VAR47; genvar VAR28; wire [VAR37-1:0] VAR60; wire [VAR37-1:0] VAR41; generate for(VAR28=0;VAR28<VAR37;VAR28=VAR28+1) begin : VAR25 if( VAR28==0 ) MODULE3 MODULE1( .VAR2(VAR2[VAR28]), .req(VAR18[VAR28]), .VAR47(VAR41[VAR28]), .VAR11(VAR60[VAR37-1]), .VAR12(VAR60[VAR28]) ); end else MODULE3 MODULE1( .VAR2(VAR2[VAR28]), .req(VAR18[VAR28]), .VAR47(VAR41[VAR28]), .VAR11(VAR60[VAR28-1]), .VAR12(VAR60[VAR28]) ); end endgenerate wire [VAR37-1:0] VAR7; generate for(VAR28=0;VAR28<VAR37;VAR28=VAR28+1) begin : VAR56 if( VAR28==0 ) begin : VAR19 assign VAR7[0] = VAR18[0]; end else begin : VAR30 assign VAR7[VAR28] = VAR18[VAR28] & ( ~|VAR18[VAR28-1:0] ); end end endgenerate assign VAR47 = ( VAR2 ) ? VAR41 : VAR7; endmodule module MODULE3( input wire VAR2, input wire req, output reg VAR47, input wire VAR11, output reg VAR12 ); always @* begin if( VAR2 ) begin VAR12 = 1'b1; end else begin VAR12 = req ? 1'b0 : VAR11; end end always @* begin VAR47 = req ? VAR11 : 1'b0; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/clkbuf/sky130_fd_sc_ms__clkbuf.behavioral.pp.v
1,772
module MODULE1 ( VAR11 , VAR3 , VAR8, VAR2, VAR1 , VAR10 ); output VAR11 ; input VAR3 ; input VAR8; input VAR2; input VAR1 ; input VAR10 ; wire VAR12 ; wire VAR9; buf VAR7 (VAR12 , VAR3 ); VAR4 VAR6 (VAR9, VAR12, VAR8, VAR2); buf VAR5 (VAR11 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/edfxbp/sky130_fd_sc_hs__edfxbp.blackbox.v
1,342
module MODULE1 ( VAR1 , VAR4, VAR5, VAR2 , VAR6 ); output VAR1 ; output VAR4; input VAR5; input VAR2 ; input VAR6 ; supply1 VAR3; supply0 VAR7; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand4/sky130_fd_sc_lp__nand4.pp.blackbox.v
1,320
module MODULE1 ( VAR8 , VAR1 , VAR9 , VAR3 , VAR5 , VAR2, VAR6, VAR4 , VAR7 ); output VAR8 ; input VAR1 ; input VAR9 ; input VAR3 ; input VAR5 ; input VAR2; input VAR6; input VAR4 ; input VAR7 ; endmodule
apache-2.0
Marcoslz22/Tercer_Proyecto
Contador_AD_Dia.v
1,242
module MODULE1( input rst, input [7:0]VAR6, input [1:0] en, input [7:0] VAR2, input VAR5, input clk, output reg [(VAR3-1):0] VAR4 ); parameter VAR3 = 7; parameter VAR1 = 99; always @(posedge clk) if (rst) VAR4 <= 1; else if (en == 2'd2 && VAR6 == 8'h7D) begin if (VAR2 == 8'h73 && VAR5) begin if (VAR4 == VAR1) VAR4 <= 1; end else VAR4 <= VAR4 + 1'd1; end else if (VAR2 == 8'h72 && VAR5) begin if (VAR4 == 1) VAR4 <= VAR1; end else VAR4 <= VAR4 - 1'd1; end else VAR4 <= VAR4; end else VAR4 <= VAR4; endmodule
mit
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_090.v
1,468
module MODULE2 ( VAR1, VAR12 ); input [31:0] VAR1; output [31:0] VAR12; wire [31:0] VAR3, VAR9, VAR5, VAR11, VAR13, VAR7, VAR4, VAR6; assign VAR3 = VAR1; assign VAR11 = VAR3 << 4; assign VAR7 = VAR13 << 5; assign VAR4 = VAR13 + VAR7; assign VAR6 = VAR4 << 1; assign VAR9 = VAR3 << 6; assign VAR5 = VAR3 + VAR9; assign VAR13 = VAR5 + VAR11; assign VAR12 = VAR6; endmodule module MODULE1( VAR1, VAR12, clk ); input [31:0] VAR1; output [31:0] VAR12; reg [31:0] VAR12; input clk; reg [31:0] VAR10; wire [30:0] VAR8; always @(posedge clk) begin VAR10 <= VAR1; VAR12 <= VAR8; end MODULE2 MODULE1( .VAR1(VAR10), .VAR12(VAR8) ); endmodule
mit
alexforencich/verilog-cam
rtl/priority_encoder.v
3,205
module MODULE1 # ( parameter VAR5 = 4, parameter VAR4 = "VAR7" ) ( input wire [VAR5-1:0] VAR8, output wire VAR6, output wire [VAR2(VAR5)-1:0] VAR14, output wire [VAR5-1:0] VAR13 ); parameter VAR15 = 2**VAR2(VAR5); parameter VAR16 = VAR15/2; generate if (VAR5 == 1) begin assign VAR6 = VAR8; assign VAR14 = 0; end else if (VAR5 == 2) begin assign VAR6 = |VAR8; if (VAR4 == "VAR7") begin assign VAR14 = VAR8[1]; end else begin assign VAR14 = ~VAR8[0]; end end else begin wire [VAR2(VAR16)-1:0] VAR11, VAR9; wire VAR10, VAR1; MODULE1 #( .VAR5(VAR16), .VAR4(VAR4) ) VAR12 ( .VAR8(VAR8[VAR16-1:0]), .VAR6(VAR10), .VAR14(VAR11) ); MODULE1 #( .VAR5(VAR16), .VAR4(VAR4) ) VAR3 ( .VAR8({{VAR15-VAR5{1'b0}}, VAR8[VAR5-1:VAR16]}), .VAR6(VAR1), .VAR14(VAR9) ); assign VAR6 = VAR10 | VAR1; if (VAR4 == "VAR7") begin assign VAR14 = VAR1 ? {1'b1, VAR9} : {1'b0, VAR11}; end else begin assign VAR14 = VAR10 ? {1'b0, VAR11} : {1'b1, VAR9}; end end endgenerate assign VAR13 = 1 << VAR14; endmodule
mit
Digilent/vivado-library
ip/Pmods/PmodJSTK_v1_0/src/PmodJSTK.v
13,318
module MODULE1 (VAR99, VAR2, VAR167, VAR49, VAR183, VAR198, VAR123, VAR60, VAR180, VAR174, VAR81, VAR86, VAR66, VAR119, VAR54, VAR67, VAR98, VAR79, VAR17, VAR173, VAR152, VAR109, VAR155, VAR10, VAR27, VAR13, VAR206, VAR8, VAR51, VAR92, VAR128, VAR184, VAR15, VAR5, VAR196, VAR62, VAR121, VAR122, VAR83, VAR113, VAR84, VAR144, VAR130, VAR71, VAR88, VAR59, VAR30, VAR48, VAR134, VAR65, VAR154, VAR163, VAR1, VAR24, VAR56, VAR107, VAR179, VAR104, VAR94, VAR47, VAR176); input [8:0]VAR99; output VAR2; input VAR167; input [8:0]VAR49; output VAR183; input VAR198; input VAR123; output [1:0]VAR60; output VAR180; output [31:0]VAR174; input VAR81; output [1:0]VAR86; output VAR66; input [31:0]VAR119; output VAR54; input [3:0]VAR67; input VAR98; input [6:0]VAR79; output VAR17; input VAR173; input [6:0]VAR152; output VAR109; input VAR155; input VAR10; output [1:0]VAR27; output VAR13; output [31:0]VAR206; input VAR8; output [1:0]VAR51; output VAR92; input [31:0]VAR128; output VAR184; input [3:0]VAR15; input VAR5; input VAR196; output VAR62; output VAR121; input VAR122; output VAR83; output VAR113; input VAR84; output VAR144; output VAR130; input VAR71; output VAR88; output VAR59; input VAR30; output VAR48; output VAR134; input VAR65; output VAR154; output VAR163; input VAR1; output VAR24; output VAR56; input VAR107; output VAR179; output VAR104; input VAR94; input VAR47; input VAR176; wire [6:0]VAR97; wire VAR115; wire VAR26; wire [6:0]VAR75; wire VAR93; wire VAR182; wire VAR169; wire [1:0]VAR192; wire VAR135; wire [31:0]VAR161; wire VAR185; wire [1:0]VAR132; wire VAR114; wire [31:0]VAR18; wire VAR91; wire [3:0]VAR9; wire VAR117; wire [8:0]VAR80; wire VAR131; wire VAR29; wire [8:0]VAR20; wire VAR193; wire VAR168; wire VAR38; wire [1:0]VAR21; wire VAR199; wire [31:0]VAR105; wire VAR190; wire [1:0]VAR102; wire VAR204; wire [31:0]VAR205; wire VAR63; wire [3:0]VAR61; wire VAR31; wire [0:0]VAR170; wire [0:0]VAR89; wire VAR127; wire VAR191; wire VAR120; wire VAR52; wire VAR145; wire VAR4; wire VAR25; wire VAR201; wire VAR164; wire VAR126; wire VAR171; wire VAR82; wire VAR149; wire VAR139; wire VAR28; wire VAR101; wire VAR195; wire VAR181; wire VAR43; wire VAR19; wire VAR70; wire VAR36; wire VAR137; wire VAR11; wire VAR143; wire VAR34; wire VAR85; wire VAR33; wire VAR160; wire VAR203; wire VAR68; wire VAR58; wire VAR23; wire VAR157; wire VAR103; wire VAR95; wire VAR142; assign VAR97 = VAR79[6:0]; assign VAR26 = VAR173; assign VAR75 = VAR152[6:0]; assign VAR182 = VAR155; assign VAR169 = VAR10; assign VAR185 = VAR8; assign VAR18 = VAR128[31:0]; assign VAR9 = VAR15[3:0]; assign VAR117 = VAR5; assign VAR2 = VAR131; assign VAR183 = VAR193; assign VAR60[1:0] = VAR21; assign VAR180 = VAR199; assign VAR174[31:0] = VAR105; assign VAR86[1:0] = VAR102; assign VAR66 = VAR204; assign VAR54 = VAR63; assign VAR17 = VAR115; assign VAR109 = VAR93; assign VAR27[1:0] = VAR192; assign VAR13 = VAR135; assign VAR206[31:0] = VAR161; assign VAR51[1:0] = VAR132; assign VAR92 = VAR114; assign VAR184 = VAR91; assign VAR62 = VAR164; assign VAR121 = VAR126; assign VAR83 = VAR82; assign VAR113 = VAR149; assign VAR144 = VAR28; assign VAR130 = VAR101; assign VAR88 = VAR181; assign VAR59 = VAR43; assign VAR48 = VAR70; assign VAR134 = VAR36; assign VAR154 = VAR11; assign VAR163 = VAR143; assign VAR24 = VAR85; assign VAR56 = VAR33; assign VAR179 = VAR203; assign VAR104 = VAR68; assign VAR80 = VAR99[8:0]; assign VAR29 = VAR167; assign VAR20 = VAR49[8:0]; assign VAR168 = VAR198; assign VAR38 = VAR123; assign VAR190 = VAR81; assign VAR205 = VAR119[31:0]; assign VAR61 = VAR67[3:0]; assign VAR31 = VAR98; assign VAR25 = VAR94; assign VAR201 = VAR196; assign VAR171 = VAR122; assign VAR139 = VAR84; assign VAR195 = VAR71; assign VAR19 = VAR30; assign VAR137 = VAR65; assign VAR34 = VAR1; assign VAR160 = VAR107; assign VAR95 = VAR47; assign VAR142 = VAR176; VAR197 VAR200 (.VAR3(VAR58), .VAR96(VAR170), .VAR108(VAR89), .VAR47(VAR95), .VAR78(VAR80), .VAR176(VAR142), .VAR35(VAR131), .VAR175(VAR29), .VAR148(VAR20), .VAR40(VAR193), .VAR158(VAR168), .VAR22(VAR38), .VAR16(VAR21), .VAR77(VAR199), .VAR69(VAR105), .VAR141(VAR190), .VAR55(VAR102), .VAR151(VAR204), .VAR39(VAR205), .VAR6(VAR63), .VAR187(VAR61), .VAR37(VAR31)); VAR44 VAR156 (.VAR94(VAR25), .VAR14(VAR23), .VAR110(VAR127), .VAR74(VAR191), .VAR172(VAR157), .VAR45(VAR120), .VAR106(VAR52), .VAR47(VAR95), .VAR78(VAR97), .VAR176(VAR142), .VAR35(VAR115), .VAR175(VAR26), .VAR148(VAR75), .VAR40(VAR93), .VAR158(VAR182), .VAR22(VAR169), .VAR16(VAR192), .VAR77(VAR135), .VAR69(VAR161), .VAR141(VAR185), .VAR55(VAR132), .VAR151(VAR114), .VAR39(VAR18), .VAR6(VAR91), .VAR187(VAR9), .VAR37(VAR117), .VAR116(VAR103), .VAR7(VAR145), .VAR100(VAR4), .VAR112(1'b0)); VAR136 VAR150 (.VAR159(VAR58), .VAR64(VAR170), .VAR202(VAR89), .VAR166(VAR23), .VAR46(VAR127), .VAR146(VAR191), .VAR42(VAR157), .VAR138(VAR120), .VAR57(VAR52), .VAR140(VAR103), .VAR32(VAR145), .VAR118(VAR4), .VAR153(VAR171), .VAR76(VAR82), .VAR41(VAR149), .VAR125(VAR139), .VAR87(VAR28), .VAR188(VAR101), .VAR73(VAR195), .VAR129(VAR181), .VAR178(VAR43), .VAR50(VAR19), .VAR162(VAR70), .VAR165(VAR36), .VAR133(VAR137), .VAR177(VAR11), .VAR124(VAR143), .VAR186(VAR34), .VAR53(VAR85), .VAR111(VAR33), .VAR90(VAR160), .VAR147(VAR203), .VAR189(VAR68), .VAR72(VAR201), .VAR12(VAR164), .VAR194(VAR126)); endmodule
mit
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/ISP1362_IF.v
1,398
module MODULE1( VAR3, VAR18, VAR1, VAR12, VAR20, VAR9, VAR11, VAR7, VAR13, VAR17, VAR16, VAR4, VAR19, VAR14, VAR2, VAR5, VAR8, VAR6 ); input [15:0] VAR3; input [1:0] VAR1; input VAR12; input VAR20; input VAR9; input VAR11; input VAR7; output [15:0] VAR18; output VAR13; output VAR17; inout [15:0] VAR16; output [1:0] VAR4; output VAR19; output VAR14; output VAR2; output VAR5; input VAR8; input VAR6; reg [1:0] VAR4; reg VAR19; reg VAR14; reg VAR2; reg [15:0] VAR15; reg [15:0] VAR18; reg VAR13; reg VAR17; assign VAR16 = VAR14 ? 16'VAR10 : VAR15 ; always@(posedge VAR7 or negedge VAR11) begin if(!VAR11) begin VAR15 <= 0; VAR4 <= 0; VAR19 <= 1; VAR14 <= 1; VAR2 <= 1; VAR15 <= 0; VAR18 <= 0; VAR13 <= 1; VAR17 <= 1; end else begin VAR18 <= VAR16; VAR13 <= VAR8; VAR17 <= VAR6; VAR15 <= VAR3; VAR4 <= VAR1; VAR19 <= VAR12; VAR14 <= VAR20; VAR2 <= VAR9; end end assign VAR5 = VAR11; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a21o/sky130_fd_sc_hvl__a21o_1.v
2,256
module MODULE1 ( VAR10 , VAR1 , VAR5 , VAR9 , VAR8, VAR3, VAR4 , VAR7 ); output VAR10 ; input VAR1 ; input VAR5 ; input VAR9 ; input VAR8; input VAR3; input VAR4 ; input VAR7 ; VAR6 VAR2 ( .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR9(VAR9), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR10 , VAR1, VAR5, VAR9 ); output VAR10 ; input VAR1; input VAR5; input VAR9; supply1 VAR8; supply0 VAR3; supply1 VAR4 ; supply0 VAR7 ; VAR6 VAR2 ( .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR9(VAR9) ); endmodule
apache-2.0
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/rx_control.v
6,083
module MODULE1 (input clk, input rst, input VAR66, input [7:0] VAR52, input [31:0] VAR27, input [31:0] VAR8, output VAR3, output [31:0] VAR61, output [3:0] VAR42, input VAR59, output VAR37, input [31:0] VAR21, output VAR40, input VAR71, output [15:0] VAR56, output VAR67, output VAR43, output [31:0] VAR35 ); wire [31:0] VAR26, VAR57; wire VAR25, VAR47; wire [31:0] VAR32; reg [31:0] VAR65; wire [8:0] VAR45; wire [20:0] VAR18; wire VAR69, VAR16; reg VAR19, VAR29; wire VAR36, VAR60, VAR12, VAR1; VAR22 #(.VAR48(VAR68+3)) VAR13 (.clk(clk),.rst(rst),.VAR71(VAR66),.addr(VAR52), .in(VAR27),.out(VAR26),.VAR30(VAR25)); VAR22 #(.VAR48(VAR68+4)) VAR5 (.clk(clk),.rst(rst),.VAR71(VAR66),.addr(VAR52), .in(VAR27),.out(VAR57),.VAR30()); VAR22 #(.VAR48(VAR68+5)) VAR9 (.clk(clk),.rst(rst),.VAR71(VAR66),.addr(VAR52), .in(VAR27),.out(),.VAR30(VAR47)); reg VAR4; always @(posedge clk) VAR4 <= VAR25; assign VAR1 = VAR25 & ~VAR4; VAR46 #(.VAR15(64)) VAR14 (.clk(clk),.rst(rst),.VAR34(VAR47), .VAR63({VAR57,VAR26}), .write(VAR1), .VAR23(VAR36), .VAR70({VAR69,VAR16,VAR18,VAR45,VAR32}), .read(VAR60), .VAR31(VAR12) ); wire VAR38, write; wire [35:0] VAR6; VAR51 #(.VAR15(36),.VAR11(VAR17)) VAR10 (.clk(clk),.reset(rst),.VAR34(VAR47), .VAR63(VAR6), .VAR39(write), .VAR24(VAR38), .VAR70({VAR42,VAR61}), .VAR55(VAR37), .VAR53(VAR59), .VAR54(),.VAR64(VAR56) ); assign VAR67 = ~VAR38; assign VAR43 = ~VAR37; reg [22:0] VAR44; reg [8:0] VAR20; localparam VAR7 = 0; localparam VAR58 = 1; localparam VAR62 = 2; localparam VAR28 = 3; localparam VAR49 = 4; reg [2:0] VAR33; wire [32:0] VAR50 = {1'b0,VAR65}-{1'b0,VAR8}; wire VAR2 = (VAR50[32:31] == 2'b11) & ~VAR19; wire VAR41 = VAR19 | ( VAR8 == VAR65 ); always @(posedge clk) if(rst) begin VAR33 <= VAR7; VAR44 <= 0; VAR20 <= 0; VAR65 <= 0; VAR19 <= 0; VAR29 <= 0; end else if(VAR47) begin VAR33 <= VAR7; VAR44 <= 0; VAR20 <= 0; VAR65 <= 0; VAR19 <= 0; VAR29 <= 0; end else case(VAR33) VAR7 : if(~VAR12) begin VAR44 <= VAR18; VAR20 <= VAR45; VAR65 <= VAR32; VAR33 <= VAR58; VAR19 <= VAR69; VAR29 <= VAR16; end VAR58 : if(VAR41) VAR33 <= VAR62; else if(VAR2) VAR33 <= VAR49; VAR62 : if(~VAR38 | VAR71) VAR33 <= VAR49; else VAR33 <= VAR28; VAR28 : if(VAR71) if(~VAR38) VAR33 <= VAR49; else begin VAR44 <= VAR44 - 1; if(VAR44 == 1) if(~VAR29) VAR33 <= VAR7; end else if(VAR12) VAR33 <= VAR49; else begin VAR44 <= VAR18; VAR20 <= VAR45; VAR65 <= VAR32; VAR33 <= VAR62; VAR19 <= VAR69; VAR29 <= VAR16; end else if(VAR20 == 1) begin VAR20 <= VAR45; VAR33 <= VAR62; end else VAR20 <= VAR20 - 1; end endcase assign VAR6 = (VAR33 == VAR62) ? {2'b0,1'b0,1'b1,VAR8} : {2'b0,((VAR44==1)|(VAR20==1)),1'b0,VAR21}; assign write = ((VAR33 == VAR62) | VAR71) & VAR38; assign VAR3 = (VAR33 == VAR49); assign VAR40 = (VAR33 == VAR28) | (VAR33 == VAR62); assign VAR60 = ( (VAR33 == VAR7) | ((VAR33 == VAR28) & VAR71 & VAR38 & (VAR44==1) & VAR29) ) & ~VAR12; assign VAR35 = { 8'd0, 1'd0, VAR19, VAR29, VAR59,VAR37, 2'b0, VAR40, write,VAR38,VAR42[1:0],VAR1,VAR36,VAR60,VAR12, VAR25, VAR47, VAR41, VAR2, VAR3, VAR33[2:0] }; endmodule
gpl-2.0
eda-globetrotter/MarcheProcessor
processor/prog_counter.v
1,414
module MODULE1 (VAR2,VAR1,rst,clk); output [0:31] VAR2; input [0:31] VAR1; input clk; input rst; reg [0:31] VAR2; always @(posedge clk) begin if(rst) begin VAR2<=32'd0; end else begin VAR2<=VAR1+32'd4; end end endmodule
mit
jeichenhofer/chuck-light
SoC/soc_system/synthesis/submodules/alt_vipitc131_IS2Vid_control.v
5,180
module MODULE1 VAR4 = 1, VAR33 = 1, VAR9 = 15) ( input wire rst, input wire clk, input wire VAR34, input wire VAR15, input wire [VAR33-1:0] VAR27, input wire [VAR9-1:0] VAR18, input wire VAR14, input wire VAR26, input wire VAR12, output wire enable, output wire VAR16, output wire VAR8, output wire VAR28, output wire [1:0] VAR13, input wire [7:0] VAR19, input wire VAR7, output wire [15:0] VAR32, input wire VAR23, input wire [15:0] VAR30, output wire VAR6, output wire VAR31); generate if(VAR4) begin reg VAR20; reg VAR35; wire VAR11; reg [1:0] VAR24; reg VAR29; reg VAR5; reg VAR22; reg [1:0] VAR25; wire [15:0] VAR17; wire [15:0] VAR2; reg [VAR33-1:0] VAR21; wire VAR3; reg VAR1; wire VAR10; if(VAR9 >= 16) assign VAR17 = VAR18[15:0]; end else assign VAR17 = {{16-VAR9{1'b0}}, VAR18}; if(VAR33 >= 16) begin assign VAR2 = VAR21[15:0]; end else begin assign VAR2 = {{16-VAR33{1'b0}}, VAR21}; end assign enable = VAR20; assign VAR32 = (VAR19 == 8'd1) ? {{12{1'b0}}, VAR12, VAR14, 1'b0, VAR26} : (VAR19 == 8'd2) ? {{13{1'b0}}, VAR22, VAR29, 1'b0} : (VAR19 == 8'd3) ? VAR17 : (VAR19 == 8'd4) ? VAR2 : {{11{1'b0}}, VAR13, VAR24, VAR20}; assign VAR6 = VAR23 & ~(VAR34 | VAR11); assign VAR11 = VAR19 <= 8'd4; assign VAR8 = VAR23 & ~VAR11; assign VAR28 = VAR35; assign VAR31 = VAR29 | VAR22; assign VAR3 = VAR23 && VAR19 == 8'd2; assign VAR16 = VAR1; assign VAR13 = VAR25; always @ (posedge rst or posedge clk) begin if (rst) begin VAR25 <= 2'b0; VAR24 <= 2'b0; VAR20 <= 1'b0; VAR29 <= 1'b0; VAR22 <= 1'b0; VAR21 <= {VAR33{1'b0}}; VAR5 <= 1'b0; VAR1 <= 1'b0; VAR35 <= 1'b0; end else begin {VAR25, VAR24, VAR20} <= (VAR23 && VAR19 == 8'd0) ? VAR30[4:0] : {VAR25, VAR24, VAR20}; VAR29 <= (VAR15 | VAR29) & ~(VAR3 & VAR30[1]) & VAR24[0]; VAR22 <= ((VAR12 ^ VAR5) | VAR22) & ~(VAR3 & VAR30[2]) & VAR24[1]; VAR21 <= (VAR15) ? VAR27 : VAR21; VAR5 <= VAR12; VAR1 <= ((VAR23 && VAR19 == 8'd1 && VAR30[2]) | VAR1) & VAR14; VAR35 <= VAR34; end end end else begin assign enable = 1'b1; assign VAR31 = 1'b0; assign VAR16 = 1'b0; assign VAR8 = 1'b0; assign VAR28 = 1'b0; assign VAR13 = 2'b00; assign VAR32 = 16'b0; assign VAR6 = 1'b0; end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/isobufsrc/sky130_fd_sc_hdll__isobufsrc.symbol.v
1,387
module MODULE1 ( input VAR1 , output VAR2 , input VAR5 ); supply1 VAR3; supply0 VAR4; supply1 VAR7 ; supply0 VAR6 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latrnq/gf180mcu_fd_sc_mcu9t5v0__latrnq_1.behavioral.v
2,848
module MODULE1( VAR16, VAR8, VAR21, VAR26 ); input VAR21, VAR16, VAR8; output VAR26; reg VAR24; VAR2 VAR25(.VAR16(VAR16),.VAR8(VAR8),.VAR21(VAR21),.VAR26(VAR26),.VAR24(VAR24)); VAR2 VAR17(.VAR16(VAR16),.VAR8(VAR8),.VAR21(VAR21),.VAR26(VAR26),.VAR24(VAR24)); buf VAR12(VAR19,VAR8); not VAR20(VAR4,VAR21); and VAR13(VAR5,VAR8,VAR4); and VAR22(VAR7,VAR8,VAR21); not VAR9(VAR3,VAR21); not VAR6(VAR1,VAR16); and VAR23(VAR10,VAR1,VAR3); not VAR15(VAR11,VAR16); and VAR14(VAR18,VAR11,VAR21);
apache-2.0
alexforencich/xfcp
lib/eth/rtl/ssio_sdr_in_diff.v
2,943
module MODULE1 # ( parameter VAR12 = "VAR18", parameter VAR24 = "VAR5", parameter VAR14 = 1 ) ( input wire VAR29, input wire VAR26, input wire [VAR14-1:0] VAR30, input wire [VAR14-1:0] VAR21, output wire VAR11, output wire [VAR14-1:0] VAR16 ); wire VAR31; wire [VAR14-1:0] VAR1; genvar VAR25; generate if (VAR12 == "VAR2") begin VAR19 VAR28 ( .VAR15(VAR29), .VAR6(VAR26), .VAR10(VAR31) ); for (VAR25 = 0; VAR25 < VAR14; VAR25 = VAR25 + 1) begin VAR19 VAR4 ( .VAR15(VAR30[VAR25]), .VAR6(VAR21[VAR25]), .VAR10(VAR1[VAR25]) ); end end else if (VAR12 == "VAR23") begin VAR3 VAR8 ( .VAR9(VAR29), .VAR13(VAR26), .VAR22(VAR31) ); for (VAR25 = 0; VAR25 < VAR14; VAR25 = VAR25 + 1) begin VAR3 VAR27 ( .VAR9(VAR30[VAR25]), .VAR13(VAR21[VAR25]), .VAR22(VAR1[VAR25]) ); end end else begin assign VAR31 = VAR29; assign VAR1 = VAR30; end endgenerate VAR17 #( .VAR12(VAR12), .VAR20(VAR20), .VAR24(VAR24), .VAR14(VAR14) ) VAR7( .VAR31(VAR31), .VAR1(VAR1), .VAR11(VAR11), .VAR16(VAR16) ); endmodule
mit
sittner/lcnc-mdsio
vhdl/source/can/can_fifo.v
19,248
module MODULE1 ( clk, rst, wr, VAR84, addr, VAR25, VAR11, VAR96, VAR31, VAR19, VAR81, VAR5, VAR78 , VAR76, VAR6, VAR90 ); parameter VAR70 = 1; input clk; input rst; input wr; input [7:0] VAR84; input [5:0] addr; input VAR96; input VAR31; input VAR19; input VAR11; output [7:0] VAR25; output VAR81; output VAR5; output [6:0] VAR78; input VAR76; output VAR6; input [VAR99 - 1:0] VAR90; wire VAR17; reg VAR73[0:63]; reg VAR73[0:63]; reg [7:0] VAR80 [0:63]; reg [3:0] VAR82[0:63]; reg VAR73[0:63]; reg [5:0] VAR63; reg [5:0] VAR62; reg [5:0] VAR1; reg [5:0] VAR75; reg [5:0] VAR18; reg VAR91; reg [3:0] VAR98; reg [6:0] VAR69; reg [6:0] VAR78; reg VAR36; reg VAR26; wire [3:0] VAR79; wire VAR10; wire VAR89; wire VAR29; wire VAR57; assign VAR10 = (~wr) & VAR91; always @ (posedge clk or posedge rst) begin if (rst) VAR91 <=#VAR70 1'b0; end else if (VAR96) VAR91 <=#VAR70 1'b0; else VAR91 <=#VAR70 wr; end always @ (posedge clk or posedge rst) begin if (rst) VAR98 <= 4'h0; end else if (VAR96 | VAR10) VAR98 <=#VAR70 4'h0; else if (wr & (~VAR29)) VAR98 <=#VAR70 VAR98 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR75 <= 6'h0; end else if (VAR10 & (~VAR57) | VAR26) VAR75 <=#VAR70 VAR75 + 1'b1; else if (VAR96) VAR75 <=#VAR70 VAR18; end always @ (posedge clk or posedge rst) begin if (rst) VAR18 <= 6'h0; end else if (VAR31 & (~VAR57)) VAR18 <=#VAR70 VAR18 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR63 <= 5'h0; end else if (VAR31 & (~VAR89)) VAR63 <=#VAR70 VAR63 + {2'h0, VAR79}; end always @ (posedge clk or posedge rst) begin if (rst) VAR62 <= 5'h0; end else if (VAR96) VAR62 <=#VAR70 VAR63; else if (wr & (~VAR29)) VAR62 <=#VAR70 VAR62 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR36 <= 1'b0; end else if (VAR96 | VAR10) VAR36 <=#VAR70 1'b0; else if (wr & VAR29) VAR36 <=#VAR70 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR69 <= 7'h0; end else if (VAR96) VAR69 <=#VAR70 7'h0; else if (wr & (~VAR31) & (~VAR29)) VAR69 <=#VAR70 VAR69 + 1'b1; else if ((~wr) & VAR31 & (~VAR89)) VAR69 <=#VAR70 VAR69 - {3'h0, VAR79}; else if (wr & VAR31 & (~VAR29) & (~VAR89)) VAR69 <=#VAR70 VAR69 - {3'h0, VAR79} + 1'b1; end assign VAR29 = VAR69 == 7'd64; assign VAR89 = VAR69 == 7'd0; always @ (posedge clk or posedge rst) begin if (rst) VAR78 <=#VAR70 7'h0; end else if (VAR96) VAR78 <=#VAR70 7'h0; else if (VAR10 ^ VAR31) begin if (VAR31 & (~VAR5)) VAR78 <=#VAR70 VAR78 - 1'b1; end else if (VAR10 & (~VAR57)) VAR78 <=#VAR70 VAR78 + 1'b1; end end assign VAR57 = VAR78 == 7'd64; assign VAR5 = VAR78 == 7'd0; always @ (VAR19 or VAR63 or addr) begin if (VAR19) VAR1 = VAR63 + (addr - 6'd16); end else VAR1 = VAR63 + (addr - 6'd20); end always @ (posedge clk or posedge rst) begin if (rst) VAR26 <= 1'b1; end else if (&VAR75) VAR26 <=#VAR70 1'b0; end VAR44 VAR80 ( .VAR50 (VAR25), .VAR100 (clk), .VAR12 (clk), .VAR27 (VAR84), .VAR41 (wr & (~VAR29)), .VAR67 (VAR11), .VAR94 (VAR62), .VAR13 (VAR1) ); VAR44 VAR65 ( .VAR50 (VAR79), .VAR100 (clk), .VAR12 (clk), .VAR27 (VAR98 & {4{~VAR26}}), .VAR41 (VAR10 & (~VAR57) | VAR26), .VAR94 (VAR75), .VAR13 (VAR18) ); VAR44 VAR108 ( .VAR50 (VAR81), .VAR100 (clk), .VAR12 (clk), .VAR27 ((VAR36 | (wr & VAR29)) & (~VAR26)), .VAR41 (VAR10 & (~VAR57) | VAR26), .VAR94 (VAR75), .VAR13 (VAR18) ); VAR102 VAR80 ( .VAR46 (VAR25), .VAR22 (clk), .VAR83 (clk), .VAR58 (VAR84), .VAR30 (), .VAR106 (~(wr & (~VAR29))), .VAR33 (~VAR11), .VAR51 (VAR62), .VAR93 (VAR1) ); VAR68 VAR65 ( .VAR46 (VAR79), .VAR22 (clk), .VAR83 (clk), .VAR58 (VAR98 & {4{~VAR26}}), .VAR30 (), .VAR106 (~(VAR10 & (~VAR57) | VAR26)), .VAR33 (1'b0), .VAR51 (VAR75), .VAR93 (VAR18) ); VAR7 VAR108 ( .VAR46 (VAR81), .VAR22 (clk), .VAR83 (clk), .VAR58 ((VAR36 | (wr & VAR29)) & (~VAR26)), .VAR30 (), .VAR106 (~(VAR10 & (~VAR57) | VAR26)), .VAR33 (1'b0), .VAR51 (VAR75), .VAR93 (VAR18) ); VAR24 VAR80 ( .VAR14(), .VAR34(VAR25), .VAR66({3'h0, VAR62}), .VAR97(clk), .VAR21(VAR84), .VAR103(1'b1), .VAR77(1'b0), .VAR53(wr & (~VAR29)), .VAR40({3'h0, VAR1}), .VAR88(clk), .VAR28(8'h0), .VAR42(1'b1), .VAR48(1'b0), .VAR32(1'b0) ); VAR9 VAR65 ( .VAR14(), .VAR34(VAR79), .VAR66({4'h0, VAR75}), .VAR97(clk), .VAR21(VAR98 & {4{~VAR26}}), .VAR103(1'b1), .VAR77(1'b0), .VAR53(VAR10 & (~VAR57) | VAR26), .VAR40({4'h0, VAR18}), .VAR88(clk), .VAR28(4'h0), .VAR42(1'b1), .VAR48(1'b0), .VAR32(1'b0) ); VAR37 VAR108 ( .VAR14(), .VAR34(VAR81), .VAR66({6'h0, VAR75}), .VAR97(clk), .VAR21((VAR36 | (wr & VAR29)) & (~VAR26)), .VAR103(1'b1), .VAR77(1'b0), .VAR53(VAR10 & (~VAR57) | VAR26), .VAR40({6'h0, VAR18}), .VAR88(clk), .VAR28(1'h0), .VAR42(1'b1), .VAR48(1'b0), .VAR32(1'b0) ); VAR74 VAR80 VAR47 VAR80 ( .VAR43 (clk), .VAR60 (clk), .VAR38 (VAR1), .VAR72 (VAR62), .VAR58 (VAR84), .VAR95 (VAR25), .VAR109 (~VAR11), .VAR85 (~(wr & (~VAR29))) , .VAR76 (VAR76), .VAR6 (VAR17), .VAR90 (VAR90) ); VAR105 VAR65 VAR71 VAR65 ( .VAR43 (clk), .VAR60 (clk), .VAR38 (VAR18), .VAR72 (VAR75), .VAR58 (VAR98 & {4{~VAR26}}), .VAR95 (VAR79), .VAR109 (1'b0), .VAR85 (~(VAR10 & (~VAR57) | VAR26)) , .VAR76 (VAR17), .VAR6 (VAR6), .VAR90 (VAR90) ); always @ (posedge clk) begin if (VAR10 & (~VAR57) | VAR26) VAR73[VAR75] <=#VAR70 (VAR36 | (wr & VAR29)) & (~VAR26); end assign VAR81 = VAR73[VAR18]; VAR8 VAR80 ( .VAR20 (clk), .VAR59 (clk), .VAR86 (VAR1), .VAR35 (VAR62), .VAR4 (VAR84), .VAR54 (VAR25), .VAR109 (~VAR11), .VAR85 (~(wr & (~VAR29))), .VAR76 (VAR76), .VAR6 (VAR17), .VAR90 (VAR90) ); VAR55 VAR65 ( .VAR20 (clk), .VAR59 (clk), .VAR86 (VAR18), .VAR35 (VAR75), .VAR4 (VAR98 & {4{~VAR26}}), .VAR54 (VAR79), .VAR109 (1'b0), .VAR85 (~(VAR10 & (~VAR57) | VAR26)), .VAR76 (VAR17), .VAR6 (VAR6), .VAR90 (VAR90) ); VAR45 VAR80 ( .VAR61 (1'b0), .VAR3 (1'b0), .VAR97 (clk), .VAR88 (clk), .VAR23 (VAR1), .VAR107 (VAR62), .VAR39 (8'h00), .VAR15 (VAR84), .VAR92 (VAR25), .VAR101 (), .VAR49 (~VAR11), .VAR64 (1'b1), .VAR2 (1'b1), .VAR52 (~(wr & (~VAR29))) ); VAR104 VAR65 ( .VAR61 (1'b0), .VAR3 (1'b0), .VAR97 (clk), .VAR88 (clk), .VAR23 (VAR18), .VAR107 (VAR75), .VAR39 (4'h0), .VAR15 (VAR98 & {4{~VAR26}}), .VAR92 (VAR79), .VAR101 (), .VAR49 (1'b0), .VAR64 (1'b1), .VAR2 (1'b1), .VAR52 (~(VAR10 & (~VAR57) | VAR26)) ); always @ (posedge clk) begin if (VAR10 & (~VAR57) | VAR26) VAR73[VAR75] <=#VAR70 (VAR36 | (wr & VAR29)) & (~VAR26); end assign VAR81 = VAR73[VAR18]; always @ (posedge clk) begin if (wr & (~VAR29)) VAR80[VAR62] <=#VAR70 VAR84; end assign VAR25 = VAR80[VAR1]; always @ (posedge clk) begin if (VAR10 & (~VAR57) | VAR26) VAR82[VAR75] <=#VAR70 VAR98 & {4{~VAR26}}; end assign VAR79 = VAR82[VAR18]; always @ (posedge clk) begin if (VAR10 & (~VAR57) | VAR26) VAR73[VAR75] <=#VAR70 (VAR36 | (wr & VAR29)) & (~VAR26); end assign VAR81 = VAR73[VAR18]; endmodule
gpl-3.0
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/verilog/HLS_accel_faddfsub_32ns_32ns_32_5_full_dsp.v
2,402
module MODULE1 VAR30 = 0, VAR32 = 5, VAR10 = 32, VAR22 = 32, VAR19 = 32 )( input wire clk, input wire reset, input wire VAR31, input wire [VAR10-1:0] VAR16, input wire [VAR22-1:0] VAR15, input wire [1:0] VAR7, output wire [VAR19-1:0] dout ); wire VAR2; wire VAR21; wire VAR18; wire [31:0] VAR14; wire VAR9; wire [31:0] VAR6; wire VAR12; wire [7:0] VAR26; wire VAR4; wire [31:0] VAR24; reg [VAR10-1:0] VAR1; reg [VAR22-1:0] VAR27; reg [1:0] VAR28; VAR11 VAR33 ( .VAR2 ( VAR2 ), .VAR21 ( VAR21 ), .VAR3 ( VAR18 ), .VAR8 ( VAR14 ), .VAR29 ( VAR9 ), .VAR17 ( VAR6 ), .VAR25 ( VAR12 ), .VAR20 ( VAR26 ), .VAR13 ( VAR4 ), .VAR5 ( VAR24 ) ); assign VAR2 = clk; assign VAR21 = VAR31; assign VAR18 = 1'b1; assign VAR14 = VAR1==='VAR23 ? 'b0 : VAR1; assign VAR9 = 1'b1; assign VAR6 = VAR27==='VAR23 ? 'b0 : VAR27; assign VAR12 = 1'b1; assign VAR26 = VAR28===2'VAR23 ? 8'b0 : {6'b0, VAR28}; assign dout = VAR24; always @(posedge clk) begin if (VAR31) begin VAR1 <= VAR16; VAR27 <= VAR15; VAR28 <= VAR7; end end endmodule
mit
tloinuy/opencpi-opencv
opencpi/hdl/prims/ocpi/arSRLFIFOD.v
2,907
module MODULE1 (VAR12,VAR5,VAR10,VAR14,VAR1,VAR8,VAR17,VAR6,VAR16); parameter VAR3 = 128; parameter VAR9 = 5; parameter VAR15 = 2**VAR9; input VAR12; input VAR5; input VAR16; input VAR10; input VAR14; output VAR1; output VAR8; input[VAR3-1:0] VAR17; output[VAR3-1:0] VAR6; reg[VAR9-1:0] pos; reg[VAR3-1:0] VAR4[VAR15-1:0]; reg[VAR3-1:0] VAR7; reg VAR11, VAR13, VAR18; integer VAR2; always@(posedge VAR12) begin if(!VAR5 || VAR16) begin pos <= 1'b0; VAR11 <= 1'b1; VAR13 <= 1'b0; VAR18 <= 1'b1; end else begin if (!VAR10 && VAR14) pos <= pos - 1; if ( VAR10 && !VAR14) pos <= pos + 1; if (VAR10) begin for(VAR2=VAR15-1;VAR2>0;VAR2=VAR2-1) VAR4[VAR2] <= VAR4[VAR2-1]; VAR4[0] <= VAR17; end VAR11 <= (pos==0 || (pos==1 && (VAR14&&!VAR10))); VAR13 <= (pos==(VAR15-1) || (pos==(VAR15-2) && (VAR10&&!VAR14))); if ((VAR18 && !VAR11) || (!VAR18 && VAR14 && !VAR11)) begin VAR7 <= VAR4[pos-1]; VAR18 <= 1'b0; end if (VAR14 && VAR11) VAR18 <= 1'b1; end end assign VAR1 = !VAR13; assign VAR8 = !VAR18; assign VAR6 = VAR7; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlrbn/sky130_fd_sc_ms__dlrbn.behavioral.pp.v
2,608
module MODULE1 ( VAR16 , VAR7 , VAR5, VAR25 , VAR24 , VAR17 , VAR1 , VAR22 , VAR14 ); output VAR16 ; output VAR7 ; input VAR5; input VAR25 ; input VAR24 ; input VAR17 ; input VAR1 ; input VAR22 ; input VAR14 ; wire VAR2 ; wire VAR9 ; reg VAR13 ; wire VAR18 ; wire VAR8 ; wire VAR3 ; wire VAR6; wire VAR12 ; wire VAR15 ; wire VAR20 ; wire VAR4 ; not VAR11 (VAR2 , VAR6 ); not VAR26 (VAR9, VAR8 ); VAR19 VAR23 (VAR12 , VAR18, VAR9, VAR2, VAR13, VAR17, VAR1); assign VAR15 = ( VAR17 === 1'b1 ); assign VAR20 = ( VAR15 && ( VAR6 === 1'b1 ) ); assign VAR4 = ( VAR15 && ( VAR5 === 1'b1 ) ); buf VAR10 (VAR16 , VAR12 ); not VAR21 (VAR7 , VAR12 ); endmodule
apache-2.0
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/iface/ip/dma_pcie_bridge/dma_pcie_bridge.v
4,798
module MODULE1 ( clk, reset, VAR27, VAR36, VAR33, VAR29, VAR39, VAR28, VAR10, VAR12, VAR38, VAR32, VAR19, VAR16, VAR31, VAR40, VAR37, VAR9, VAR35, VAR42 ); parameter VAR22 = 256; parameter VAR4 = 64; parameter VAR34 = 6; parameter VAR8 = 10; parameter VAR21 = 30; parameter VAR15 = 0; localparam VAR5 = VAR22 / 8; localparam VAR30 = VAR4 / 8; localparam VAR1 = VAR22 / VAR4; localparam VAR6 = VAR11( VAR1 ); localparam VAR14 = VAR21 - VAR11( VAR5 ); input clk; input reset; input [VAR14-1:0] VAR27; input VAR36; output [VAR22-1:0 ]VAR33; output VAR29; input VAR39; input [VAR22-1:0] VAR28; input [VAR34-1:0] VAR10; input [VAR5-1:0] VAR12; output VAR38; output [31:0] VAR32; output VAR19; input [VAR4-1:0] VAR16; input VAR31; output VAR40; output [VAR4-1:0] VAR37; output [VAR8-1:0] VAR9; output [VAR30-1:0] VAR35; input VAR42; wire [31:0] VAR2; assign VAR2 = (VAR27 * VAR5); reg [VAR22-1:0] VAR25; reg [VAR11(VAR1)-1:0] VAR3; reg [VAR22-1:0] VAR41; wire [VAR22-1:0] VAR18; wire VAR17; wire VAR24; assign VAR17 = &VAR3; assign VAR24 = VAR42; assign VAR18 = {VAR16, VAR25[VAR22-VAR4-1:0]}; always@(posedge clk or posedge reset) begin if(reset == 1'b1) begin VAR3 <= {VAR11(VAR22){1'b0}}; VAR25 <= {(VAR22){1'b0}}; end else begin VAR3 <= VAR31 ? (VAR3 + 1) : VAR3; if(VAR31) VAR25[ VAR3*VAR4 +: VAR4 ] <= VAR16; end end reg [VAR11(VAR1)-1:0] VAR23; wire [VAR30-1:0] VAR7; wire [VAR4-1:0] VAR13; wire VAR20; wire VAR26; assign VAR26 = VAR40 && !VAR42; assign VAR13 = VAR28[VAR23*VAR4 +: VAR4]; assign VAR7 = VAR12[VAR23*VAR30 +: VAR30]; assign VAR20 = (VAR40 && !(&VAR23)) || VAR42; always@(posedge clk or posedge reset) begin if(reset == 1'b1) VAR23 <= {VAR11(VAR22){1'b0}}; end else VAR23 <= VAR26 ? (VAR23 + 1) : VAR23; end assign VAR32 = VAR15 + VAR2; assign VAR19 = VAR36; assign VAR40 = VAR39; assign VAR37 = VAR13; assign VAR9 = (VAR10 << VAR6); assign VAR35 = VAR40 ? VAR7 : VAR12; assign VAR33 = VAR18; assign VAR29 = VAR17 && VAR31; assign VAR38 = VAR24 || VAR20; endmodule
mit
ipcoregarfield/GEM_Project
Example_CORDIC/Verilog Design/Verilog Codes/CORDIC.v
5,673
module MODULE1 ( VAR37, VAR2, VAR5, VAR8 ); localparam VAR7 = (VAR23 == 3) ? (7 + VAR25) : ( (VAR23 == 2) ? (2 + VAR25) :(VAR25)); localparam VAR10 = 2 * VAR7; localparam VAR9 = 2 * VAR7; localparam VAR17 = 15'd16384; input VAR37; input VAR2; input signed[(VAR10 - 1) : 0] VAR5; output signed[(VAR9 - 1) : 0] VAR8; wire[(VAR7-1):0] VAR26[(VAR31+1):0]; wire[(VAR7-1):0] VAR33[(VAR31+1):0]; wire[(VAR7-1):0] VAR1[(VAR31+1):0]; generate begin case(VAR23) 1: begin assign VAR26[0] = VAR34; assign VAR33[0] = 14'h0; assign VAR1[0] = VAR5[VAR7 -1 : 0]; end 2: begin assign VAR26[0] = VAR17; assign VAR33[0] = VAR5[VAR7 -1 : 0]; assign VAR1[0] = 14'h0; end 3: begin assign VAR26[0] = VAR5[VAR7 -1 : 0]; assign VAR33[0] = VAR5[2*VAR7 -1 : VAR7]; assign VAR1[0] = 14'h0; end default: begin assign VAR26[0] = VAR34; assign VAR33[0] = 14'h0; assign VAR1[0] = VAR5[VAR7 -1 : 0]; end endcase end endgenerate generate begin case(VAR23) 1: begin assign VAR8 = {VAR26[13], VAR33[13]}; end 2: begin assign VAR8 = {{(VAR7){1'b0}}, VAR1[13]}; end 3: begin assign VAR8 = {{(VAR7){1'b0}}, VAR26[13]}; end default: begin assign VAR8 = {VAR26[13], VAR33[13]}; end endcase end endgenerate VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h3243), .VAR31(0), .VAR23(VAR23) ) VAR35 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[0]), .VAR27(VAR33[0]), .VAR16(VAR1[0]), .VAR36(VAR26[1]), .VAR22(VAR33[1]), .VAR11(VAR1[1]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h1DAC), .VAR31(1), .VAR23(VAR23) ) VAR13 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[1]), .VAR27(VAR33[1]), .VAR16(VAR1[1]), .VAR36(VAR26[2]), .VAR22(VAR33[2]), .VAR11(VAR1[2]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h0FAD), .VAR31(2), .VAR23(VAR23) ) VAR12 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[2]), .VAR27(VAR33[2]), .VAR16(VAR1[2]), .VAR36(VAR26[3]), .VAR22(VAR33[3]), .VAR11(VAR1[3]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h07F5), .VAR31(3) , .VAR23(VAR23) ) VAR32 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[3]), .VAR27(VAR33[3]), .VAR16(VAR1[3]), .VAR36(VAR26[4]), .VAR22(VAR33[4]), .VAR11(VAR1[4]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h03FE), .VAR31(4) , .VAR23(VAR23) ) VAR6 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[4]), .VAR27(VAR33[4]), .VAR16(VAR1[4]), .VAR36(VAR26[5]), .VAR22(VAR33[5]), .VAR11(VAR1[5]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h01FF), .VAR31(5) , .VAR23(VAR23) ) VAR3 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[5]), .VAR27(VAR33[5]), .VAR16(VAR1[5]), .VAR36(VAR26[6]), .VAR22(VAR33[6]), .VAR11(VAR1[6]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h00FF), .VAR31(6) , .VAR23(VAR23) ) VAR15 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[6]), .VAR27(VAR33[6]), .VAR16(VAR1[6]), .VAR36(VAR26[7]), .VAR22(VAR33[7]), .VAR11(VAR1[7]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h007F), .VAR31(7) , .VAR23(VAR23) ) VAR24 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[7]), .VAR27(VAR33[7]), .VAR16(VAR1[7]), .VAR36(VAR26[8]), .VAR22(VAR33[8]), .VAR11(VAR1[8]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h003F), .VAR31(8) , .VAR23(VAR23) ) VAR19 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[8]), .VAR27(VAR33[8]), .VAR16(VAR1[8]), .VAR36(VAR26[9]), .VAR22(VAR33[9]), .VAR11(VAR1[9]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h001F), .VAR31(9) , .VAR23(VAR23) ) VAR38 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[9]), .VAR27(VAR33[9]), .VAR16(VAR1[9]), .VAR36(VAR26[10]), .VAR22(VAR33[10]), .VAR11(VAR1[10]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h000F), .VAR31(10) , .VAR23(VAR23) ) VAR14 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[10]), .VAR27(VAR33[10]), .VAR16(VAR1[10]), .VAR36(VAR26[11]), .VAR22(VAR33[11]), .VAR11(VAR1[11]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h0007), .VAR31(11) , .VAR23(VAR23) ) VAR28 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[11]), .VAR27(VAR33[11]), .VAR16(VAR1[11]), .VAR36(VAR26[12]), .VAR22(VAR33[12]), .VAR11(VAR1[12]) ); VAR30 #(.VAR18(VAR7-1), .VAR4(VAR7-1), .VAR29(14'h0003), .VAR31(12) , .VAR23(VAR23) ) VAR20 ( .VAR37(VAR37), .VAR2(VAR2), .VAR21(VAR26[12]), .VAR27(VAR33[12]), .VAR16(VAR1[12]), .VAR36(VAR26[13]), .VAR22(VAR33[13]), .VAR11(VAR1[13]) ); endmodule
gpl-3.0
himingway/PIC16C5x
src/wRegWriteControl.v
1,664
module MODULE1 ( input clk , input VAR1 , input [ 7:0] VAR8 , input [VAR6-1:0] VAR2, input [ VAR3-1:0] VAR4 , input [ VAR3-1:0] VAR7 , output [ VAR3-1:0] VAR9 ); reg [VAR3-1:0] VAR5; assign VAR9 = VAR5; always @(posedge clk) begin if (!VAR1) begin VAR5 <= VAR3'b0; end else begin case (VAR2) end end end end VAR5 <= 0; end if (!VAR8[5]) begin VAR5 <= VAR4; end end if (! VAR8[5]) begin VAR5 <= VAR7; end end end end end end end end VAR5 <= VAR8[7:0]; end end VAR5 <= VAR8[7:0]; end end end if (!VAR8[5]) begin VAR5 <= VAR4; end end VAR5 <= VAR4; end end default: ; endcase end end endmodule
mit
DougFirErickson/parallella-hw
boards/archive/gen1.1/fpga/hdl/axi_elink_if.v
10,815
module MODULE1 ( VAR25, VAR23, VAR4, VAR15, VAR74, VAR43, VAR70, VAR76, VAR28, VAR66, VAR12, VAR39, VAR84, VAR90, VAR64, VAR40, VAR78, VAR42, VAR17, VAR32, VAR33, VAR52, VAR53, VAR56, VAR92, VAR81, VAR14, VAR36, VAR91, VAR60, reset, VAR7, VAR65, VAR71, VAR22, VAR68, VAR79, VAR72, VAR47, VAR1, VAR97, VAR62, VAR24, VAR46, VAR67, VAR38, VAR20, VAR49, VAR29, VAR41, VAR94, VAR16, VAR85, VAR88, VAR69, VAR5, VAR83, VAR37 ); input VAR91; input VAR60; input reset; input VAR7; input VAR65; input [1:0] VAR71; input [3:0] VAR22; input [31:0] VAR68; input [31:0] VAR79; input [31:0] VAR72; input VAR47; input VAR1; input VAR97; input VAR62; input [1:0] VAR24; input [3:0] VAR46; input [31:0] VAR67; input [31:0] VAR38; input [31:0] VAR20; input VAR49; input VAR29; input VAR41; input VAR94; input [1:0] VAR16; input [3:0] VAR85; input [31:0] VAR88; input [31:0] VAR69; input [31:0] VAR5; input VAR83; input VAR37; output VAR25; output VAR23; output VAR4; output VAR15; output [1:0] VAR74; output [3:0] VAR43; output [31:0] VAR70; output [31:0] VAR76; output [31:0] VAR28; output VAR66; output VAR12; output VAR39; output [1:0] VAR84; output [3:0] VAR90; output [31:0] VAR64; output [31:0] VAR40; output [31:0] VAR78; output VAR42; output VAR17; output VAR32; output VAR33; output [1:0] VAR52; output [3:0] VAR53; output [31:0] VAR56; output [31:0] VAR92; output [31:0] VAR81; output VAR14; output VAR36; reg VAR45; wire VAR21; wire VAR26; wire VAR95; wire VAR55; wire [1:0] VAR96; wire [3:0] VAR6; wire [31:0] VAR73; wire [31:0] VAR31; wire [31:0] VAR82; wire VAR9; wire VAR58; wire VAR35; wire VAR19; wire [1:0] VAR34; wire [3:0] VAR89; wire [31:0] VAR44; wire [31:0] VAR61; wire [31:0] VAR13; wire VAR2; wire VAR57; VAR30 VAR30( .VAR25 (VAR25), .VAR23 (VAR23), .VAR98 (VAR32), .VAR86 (VAR33), .VAR59 (VAR52[1:0]), .VAR87 (VAR53[3:0]), .VAR77 (VAR56[31:0]), .VAR75 (VAR92[31:0]), .VAR80 (VAR81[31:0]), .VAR93 (VAR14), .VAR8 (VAR36), .VAR35 (VAR35), .VAR19 (VAR19), .VAR34 (VAR34[1:0]), .VAR89 (VAR89[3:0]), .VAR44 (VAR44[31:0]), .VAR61 (VAR61[31:0]), .VAR13 (VAR13[31:0]), .VAR2 (VAR2), .VAR57 (VAR57), .VAR91 (VAR91), .VAR60 (VAR60), .reset (reset), .VAR54 (VAR41), .VAR27 (VAR94), .VAR51 (VAR16[1:0]), .VAR50 (VAR85[3:0]), .VAR3 (VAR88[31:0]), .VAR10 (VAR69[31:0]), .VAR48 (VAR5[31:0]), .VAR63 (VAR83), .VAR11 (VAR37), .VAR95 (VAR95), .VAR55 (VAR55), .VAR96 (VAR96[1:0]), .VAR6 (VAR6[3:0]), .VAR73 (VAR73[31:0]), .VAR31 (VAR31[31:0]), .VAR82 (VAR82[31:0]), .VAR9 (VAR9), .VAR58 (VAR58)); always @ (posedge VAR91 or posedge reset) if(reset) VAR45 <= 1'b0; else VAR45 <= ~VAR45; assign VAR42 = VAR7 & ~VAR45 | VAR2; assign VAR17 = VAR7 & ~VAR45 | VAR57; assign VAR66 = VAR97 & VAR45 | VAR2; assign VAR21 = VAR7 & ~VAR66; assign VAR95 = VAR7 | VAR97; assign VAR55 = VAR21 ? VAR65 : VAR62; assign VAR96[1:0] = VAR21 ? VAR71[1:0]: VAR24[1:0]; assign VAR6[3:0] = VAR21 ? VAR22[3:0]: VAR46[3:0]; assign VAR73[31:0] = VAR21 ? VAR68[31:0]: VAR67[31:0]; assign VAR31[31:0] = VAR21 ? VAR79[31:0]: VAR38[31:0]; assign VAR82[31:0] = VAR21 ? VAR72[31:0]: VAR20[31:0]; assign VAR26 = (VAR44[31:20] == VAR18); assign VAR12 = VAR35 & VAR26; assign VAR4 = VAR35 & ~VAR26; assign VAR39 = VAR19; assign VAR84[1:0] = VAR34[1:0]; assign VAR90[3:0] = VAR89[3:0]; assign VAR64[31:0] = VAR44[31:0]; assign VAR40[31:0] = VAR61[31:0]; assign VAR78[31:0] = VAR13[31:0]; assign VAR15 = VAR19; assign VAR74[1:0] = VAR34[1:0]; assign VAR43[3:0] = VAR89[3:0]; assign VAR70[31:0] = VAR44[31:0]; assign VAR76[31:0] = VAR61[31:0]; assign VAR28[31:0] = VAR13[31:0]; assign VAR9 = VAR26 & VAR49 | ~VAR26 & VAR47; assign VAR58 = VAR26 & VAR29 | ~VAR26 & VAR1; endmodule
gpl-3.0
andrewandrepowell/kernel-on-chip
hdl/projects/Nexys4/bd/ip/bd_mig_7series_0_0/bd_mig_7series_0_0/user_design/rtl/controller/mig_7series_v4_0_bank_mach.v
31,504
module MODULE1 # ( parameter VAR170 = 100, parameter VAR155 = "VAR180", parameter VAR49 = "1T", parameter VAR77 = 3, parameter VAR138 = 2, parameter VAR20 = "8", parameter VAR66 = 12, parameter VAR54 = 4, parameter VAR38 = 5, parameter VAR40 = 5, parameter VAR181 = 8, parameter VAR177 = "VAR165", parameter VAR98 = "VAR180", parameter VAR106 = "VAR180", parameter VAR39 = 1, parameter VAR136 = 4, parameter VAR134 = 2, parameter VAR147 = 1, parameter VAR10 = 0, parameter VAR5 = 20, parameter VAR153 = 5, parameter VAR145 = 44, parameter VAR15 = 4, parameter VAR121 = "VAR63", parameter VAR26 = 10, parameter VAR27 = 2, parameter VAR65 = 6, parameter VAR9 = 512, parameter VAR169 = "VAR18", parameter VAR162 = 16, parameter VAR82 = 2, parameter VAR83 = 4, parameter VAR102 = 16, parameter VAR87 = "40", parameter VAR52 = "120", parameter VAR183 = 2, parameter VAR109 = 8'b00000101, parameter VAR53 = 8'b00001010, parameter VAR72 = 64 ) ( output VAR70, output VAR74, output [VAR138-1:0] VAR135, output [VAR102-1:0] VAR164, output [VAR77-1:0] VAR30, output [VAR181-1:0] VAR62, output VAR185, output [VAR82-1:0] VAR188, output VAR179, output VAR19, output [VAR102-1:0] VAR186, output VAR23, output [VAR181-1:0] VAR21, output wire [VAR134-1:0] VAR189, output wire [VAR134-1:0] VAR69, output wire [VAR134-1:0] VAR103, output wire [VAR134*VAR102-1:0] VAR48, output wire [VAR134*VAR77-1:0] VAR16, output wire [VAR54*VAR147*VAR134-1:0] VAR45, output wire [1:0] VAR8, output wire [VAR134-1:0] VAR156, output wire [3:0] VAR85, output wire [3:0] VAR111, output [2:0] VAR142, output [5:0] VAR104, output [5:0] VAR184, output [5:0] VAR124, output [1:0] VAR76, output VAR89, output VAR13, output wire [VAR136-1:0] VAR92, output wire [VAR136-1:0] VAR125, output wire VAR151, output wire VAR171, output VAR42, output wire [VAR162-1:0] VAR51, output wire [VAR162-1:0] VAR93, output wire [VAR162-1:0] VAR17, output wire [(VAR83*VAR136)-1:0] VAR175, output VAR57, input [VAR77-1:0] VAR166, input [6*VAR83-1:0] VAR1, input [6*VAR83-1:0] VAR37, input [6*VAR83-1:0] VAR91, input clk, input [2:0] VAR86, input [VAR66-1:0] VAR90, input [VAR181-1:0] VAR97, input VAR159, input VAR78, input VAR191, input VAR107, input [VAR83-1:0] VAR194, input [VAR83-1:0] VAR34, input [VAR83-1:0] VAR115, input [VAR82-1:0] VAR154, input VAR143, input VAR112, input VAR149, input VAR163, input VAR80, input [VAR82-1:0] VAR129, input VAR158, input VAR31, input VAR193, input [VAR82-1:0] VAR99, input [VAR181-1:0] VAR24, input VAR88, input [VAR102-1:0] VAR84, input rst, input VAR182, input [7:0] VAR192, input [7:0] VAR168, input VAR128 ); function integer VAR75 (input integer VAR182); begin VAR182 = VAR182 - 1; for (VAR75=1; VAR182>1; VAR75=VAR75+1) VAR182 = VAR182 >> 1; end endfunction localparam VAR140 = (VAR136 *VAR82) - 1; localparam VAR3 = (VAR136 * VAR77) - 1; localparam VAR137 = (VAR136 * VAR102) - 1; localparam VAR28 = (VAR136 * VAR181) - 1; localparam VAR79 = (VAR134 == 1) ? VAR5 : (VAR134 == 2) ? ((VAR5/2) + (VAR5 % 2)) : ((VAR5/4) + ((VAR5%4) ? 1 : 0)); localparam VAR120 = VAR40 + ((VAR20 == "4") ? 2 : 4) + VAR65; localparam VAR101 = (VAR134 == 1) ? VAR120 : (VAR134 == 2) ? (VAR120/2) + ((VAR49 == "2T") ? VAR120%2 : 1) : (VAR120/4) + ((VAR49 == "2T") ? (VAR120%4 > 2 ? 2 : 1) : 2); localparam VAR81 = VAR75(((VAR79 > VAR101) ? VAR79 : VAR101) - 1); wire VAR71; wire VAR173; wire VAR161; wire [VAR138-1:0] VAR113; wire VAR50; wire VAR59; wire VAR7; wire [VAR138-1:0] VAR56; wire VAR46; wire [VAR138-1:0] VAR94; wire VAR58; wire VAR152; wire VAR67; wire [VAR82-1:0] VAR47; wire VAR29; wire VAR126; wire VAR123; wire [VAR136-1:0] VAR127; wire [VAR136-1:0] VAR60; wire [VAR136-1:0] VAR187; wire [VAR136-1:0] VAR157; wire [VAR136-1:0] VAR132; wire [VAR136-1:0] VAR114; wire [VAR28:0] VAR32; wire [VAR136-1:0] VAR61; wire [VAR140:0] VAR122; wire [VAR3:0] VAR190; wire [VAR137:0] VAR100; wire [VAR137:0] VAR108; wire [VAR136-1:0] VAR146; wire [VAR136-1:0] VAR25; wire [VAR136-1:0] VAR130; wire [VAR136-1:0] VAR96; wire [VAR136-1:0] VAR44; wire [VAR137:0] VAR14; wire [VAR136-1:0] VAR95; wire [VAR136-1:0] VAR148; wire [VAR136-1:0] VAR11; wire [VAR136-1:0] VAR116; wire [VAR136-1:0] VAR174; wire [VAR136-1:0] VAR160; wire [VAR136-1:0] VAR33; wire [VAR136-1:0] VAR139; wire [VAR136-1:0] VAR131; wire [VAR136-1:0] VAR12; wire [VAR136-1:0] VAR6; wire [VAR136-1:0] VAR172; wire [VAR136-1:0] VAR117; wire [VAR136-1:0] VAR64; wire [VAR136-1:0] VAR22; wire [VAR136-1:0] VAR118; wire [VAR136-1:0] VAR43; wire [VAR136-1:0] VAR73; wire [(VAR81*VAR136)-1:0] VAR68; genvar VAR167; generate for (VAR167=0; VAR167<VAR136; VAR167=VAR167+1) begin:VAR105 VAR4 # ( .VAR170 (VAR170), .VAR49 (VAR49), .VAR77 (VAR77), .VAR138 (VAR138), .VAR20 (VAR20), .VAR66 (VAR66), .VAR40 (VAR40), .VAR181 (VAR181), .VAR177 (VAR177), .VAR106 (VAR106), .VAR167 (VAR167), .VAR136 (VAR136), .VAR134 (VAR134), .VAR10 (VAR10), .VAR79 (VAR79), .VAR153 (VAR153), .VAR15 (VAR15), .VAR26 (VAR26), .VAR101 (VAR101), .VAR169 (VAR169), .VAR82 (VAR82), .VAR83 (VAR83), .VAR81 (VAR81), .VAR102 (VAR102), .VAR183 (VAR183)) VAR141 (.VAR148 (VAR148[VAR167]), .VAR35 ({2{VAR148}}), .VAR11 (VAR11[VAR167]), .VAR55 ({2{VAR11}}), .VAR127 (VAR127[VAR167]), .VAR60 (VAR60[VAR167]), .VAR187 (VAR187[VAR167]), .VAR157 (VAR157[VAR167]), .VAR132 (VAR132[VAR167]), .VAR92 (VAR92[VAR167]), .VAR114 (VAR114[VAR167]), .VAR125 (VAR125[VAR167]), .VAR32 (VAR32[(VAR167*VAR181)+:VAR181]), .VAR61 (VAR61[VAR167]), .VAR122 (VAR122[(VAR167*VAR82)+:VAR82]), .VAR190 (VAR190[(VAR167*VAR77)+:VAR77]), .VAR100 (VAR100[(VAR167*VAR102)+:VAR102]), .VAR108 (VAR108[(VAR167*VAR102)+:VAR102]), .VAR25 (VAR25[VAR167]), .VAR130 (VAR130[VAR167]), .VAR146 (VAR146[VAR167]), .VAR96 (VAR96[VAR167]), .VAR44 (VAR44[VAR167]), .VAR14 (VAR14[(VAR167*VAR102)+:VAR102]), .VAR95 (VAR95[VAR167]), .VAR51 (VAR51[(VAR167*VAR83)+:VAR83]), .VAR93 (VAR93[(VAR167*VAR83)+:VAR83]), .VAR17 (VAR17[(VAR167*VAR83)+:VAR83]), .VAR116 (VAR116[VAR167]), .VAR174 (VAR174[VAR167]), .VAR160 (VAR160[VAR167]), .VAR133 ({2{VAR160}}), .VAR33 (VAR33[VAR167]), .VAR144 ({2{VAR33}}), .VAR139 (VAR139[VAR167]), .VAR131 (VAR131[VAR167]), .VAR12 (VAR12[VAR167]), .VAR2 ({2{VAR12}}), .VAR6 (VAR6[VAR167]), .VAR119 ({2{VAR122}}), .VAR172 (VAR172[VAR167]), .VAR117 (VAR117[VAR167]), .VAR64 (VAR64[VAR167]), .VAR178 ({2{VAR64}}), .VAR22 (VAR22[VAR167]), .VAR118 (VAR118[VAR167]), .VAR43 (VAR43[VAR167]), .VAR73 (VAR73[VAR167]), .VAR68 (VAR68[(VAR167*VAR81)+:VAR81]), .VAR176 ({2{VAR68}}), .VAR175 (VAR175[VAR167*VAR83+:VAR83]), .VAR71 (VAR71), .VAR173 (VAR173), .VAR161 (VAR161), .VAR166 (VAR166[VAR77-1:0]), .clk (clk), .VAR86 (VAR86[2:0]), .VAR90 (VAR90[VAR66-1:0]), .VAR97 (VAR97[VAR181-1:0]), .VAR78 (VAR78), .VAR191 (VAR191), .VAR107 (VAR107), .VAR113 (VAR113[VAR138-1:0]), .VAR194 (VAR194[VAR83-1:0]), .VAR34 (VAR34[VAR83-1:0]), .VAR115 (VAR115[VAR83-1:0]), .VAR47 (VAR47[VAR82-1:0]), .VAR29 (VAR29), .VAR126 (VAR126), .VAR123 (VAR123), .VAR59 (VAR59), .VAR7 (VAR7), .VAR154 (VAR154[VAR82-1:0]), .VAR143 (VAR143), .VAR112 (VAR112), .VAR149 (VAR149), .VAR56 (VAR56[VAR138-1:0]), .VAR42 (VAR42), .VAR46 (VAR46), .VAR129 (VAR129[VAR82-1:0]), .VAR31 (VAR31), .VAR158 (VAR158), .VAR193 (VAR193), .VAR99 (VAR99[VAR82-1:0]), .VAR94 (VAR94[VAR138-1:0]), .VAR24 (VAR24[VAR181-1:0]), .VAR88 (VAR88), .VAR84 (VAR84[VAR102-1:0]), .rst (rst), .VAR151 (VAR151), .VAR58 (VAR58), .VAR182 (VAR182), .VAR128 (VAR128), .VAR152 (VAR152), .VAR67 (VAR67)); end endgenerate VAR41 # ( .VAR170 (VAR170), .VAR138 (VAR138), .VAR39 (VAR39), .VAR136 (VAR136), .VAR134 (VAR134), .VAR10 (VAR10), .VAR145 (VAR145), .VAR9 (VAR9), .VAR82 (VAR82), .VAR83 (VAR83), .VAR40 (VAR40), .VAR72 (VAR72)) VAR36 (.VAR43 (VAR43[VAR136-1:0]), .VAR71 (VAR71), .VAR74 (VAR74), .VAR70 (VAR70), .VAR46 (VAR46), .VAR42 (VAR42), .VAR173 (VAR173), .VAR94 (VAR94[VAR138-1:0]), .VAR113 (VAR113[VAR138-1:0]), .VAR57 (VAR57), .VAR56 (VAR56[VAR138-1:0]), .VAR161 (VAR161), .VAR135 (VAR135[VAR138-1:0]), .VAR59 (VAR59), .VAR67 (VAR67), .VAR152 (VAR152), .VAR13 (VAR13), .VAR7 (VAR7), .VAR50 (VAR50), .clk (clk), .rst (rst), .VAR116 (VAR116[VAR136-1:0]), .VAR159 (VAR159), .VAR80 (VAR80), .VAR128 (VAR128), .VAR174 (VAR174[VAR136-1:0]), .VAR172 (VAR172[VAR136-1:0]), .VAR139 (VAR139[VAR136-1:0]), .VAR131 (VAR131[VAR136-1:0]), .VAR117 (VAR117[VAR136-1:0]), .VAR22 (VAR22[VAR136-1:0]), .VAR33 (VAR33[VAR136-1:0]), .VAR118 (VAR118[VAR136-1:0]), .VAR73 (VAR73[VAR136-1:0]), .VAR86 (VAR86[2:0]), .VAR107 (VAR107), .VAR143 (VAR143), .VAR112 (VAR112), .VAR149 (VAR149), .VAR163 (VAR163), .VAR6 (VAR6[VAR136-1:0]), .VAR160 (VAR160[VAR136-1:0]), .VAR192 (VAR192[7:0]), .VAR168 (VAR168[7:0])); VAR150 # ( .VAR170 (VAR170), .VAR155 (VAR155), .VAR49 (VAR49), .VAR3 (VAR3), .VAR77 (VAR77), .VAR20 (VAR20), .VAR54 (VAR54), .VAR38 (VAR38), .VAR40 (VAR40), .VAR28 (VAR28), .VAR181 (VAR181), .VAR177 (VAR177), .VAR98 (VAR98), .VAR106 (VAR106), .VAR136 (VAR136), .VAR134 (VAR134), .VAR147 (VAR147), .VAR5 (VAR5), .VAR153 (VAR153), .VAR121 (VAR121), .VAR27 (VAR27), .VAR65 (VAR65), .VAR83 (VAR83), .VAR140 (VAR140), .VAR82 (VAR82), .VAR137 (VAR137), .VAR102 (VAR102), .VAR87 (VAR87), .VAR52 (VAR52), .VAR109 (VAR109), .VAR53 (VAR53)) VAR110 (.VAR60 (VAR60[VAR136-1:0]), .VAR164 (VAR164[VAR102-1:0]), .VAR30 (VAR30[VAR77-1:0]), .VAR62 (VAR62[VAR181-1:0]), .VAR185 (VAR185), .VAR188 (VAR188[VAR82-1:0]), .VAR179 (VAR179), .VAR19 (VAR19), .VAR186 (VAR186[VAR102-1:0]), .VAR23 (VAR23), .VAR21 (VAR21[VAR181-1:0]), .VAR16 (VAR16), .VAR48 (VAR48), .VAR189 (VAR189), .VAR69 (VAR69), .VAR103 (VAR103), .VAR45 (VAR45), .VAR8 (VAR8), .VAR156 (VAR156), .VAR85 (VAR85), .VAR111 (VAR111), .VAR142 (VAR142), .VAR104 (VAR104), .VAR184 (VAR184), .VAR124 (VAR124), .VAR47 (VAR47[VAR82-1:0]), .VAR123 (VAR123), .VAR76 (VAR76), .VAR92 (VAR92[VAR136-1:0]), .VAR114 (VAR114[VAR136-1:0]), .VAR151 (VAR151), .VAR171 (VAR171), .VAR58 (VAR58), .VAR125 (VAR125[VAR136-1:0]), .VAR29 (VAR29), .VAR126 (VAR126), .VAR89 (VAR89), .VAR159 (VAR159), .VAR1 (VAR1), .VAR37 (VAR37), .VAR91 (VAR91), .VAR108 (VAR108[VAR137:0]), .VAR157 (VAR157[VAR136-1:0]), .VAR50 (VAR50), .VAR154 (VAR154[VAR82-1:0]), .VAR112 (VAR112), .VAR149 (VAR149), .VAR163 (VAR163), .VAR130 (VAR130[VAR136-1:0]), .VAR190 (VAR190[VAR3:0]), .VAR44 (VAR44[VAR136-1:0]), .VAR32 (VAR32[VAR28:0]), .VAR146 (VAR146[VAR136-1:0]), .VAR122 (VAR122[VAR140:0]), .VAR96 (VAR96[VAR136-1:0]), .VAR100 (VAR100[VAR137:0]), .VAR61 (VAR61[VAR136-1:0]), .VAR25 (VAR25[VAR136-1:0]), .VAR14 (VAR14[VAR137:0]), .VAR95 (VAR95[VAR136-1:0]), .VAR127 (VAR127[VAR136-1:0]), .VAR132 (VAR132[VAR136-1:0]), .VAR187 (VAR187[VAR136-1:0]), .VAR192 (VAR192[7:0]), .VAR168 (VAR168[7:0]), .clk (clk), .rst (rst)); endmodule
mit
intelligenttoasters/CPC2.0
FPGA/Quartus/DE10/clock_control/synthesis/submodules/clock_control_altclkctrl_0.v
3,982
module MODULE1 ( VAR4, VAR1, VAR16) ; input VAR4; input [3:0] VAR1; output VAR16; tri1 VAR4; tri0 [3:0] VAR1; wire VAR15; wire [1:0] VAR12; VAR5 VAR9 ( .VAR4(VAR4), .VAR3(), .VAR1(VAR1[0]), .VAR16(VAR15)); VAR9.VAR8 = "VAR11", VAR9.VAR18 = "VAR14 edge", VAR9.VAR13 = "VAR5"; assign VAR12 = {2{1'b0}}, VAR16 = VAR15; endmodule module MODULE2 ( VAR4, VAR1, VAR16); input VAR4; input VAR1; output VAR16; tri1 VAR4; wire VAR17; wire VAR16; wire VAR7; wire [3:0] VAR6; wire [2:0] VAR2; assign VAR16 = VAR17; assign VAR7 = VAR1; assign VAR6[3:0] = {VAR2, VAR7}; assign VAR2[2:0] = 3'h0; MODULE1 MODULE1 ( .VAR4 (VAR4), .VAR1 (VAR6), .VAR16 (VAR17)); endmodule
gpl-3.0
borti4938/sd2snes
verilog/sd2snes_base/upd77c25_datrom.v
9,221
module MODULE1 ( VAR60, VAR22, VAR14, VAR3, VAR39, VAR26); input VAR60; input [15:0] VAR22; input [10:0] VAR14; input [10:0] VAR3; input VAR39; output [15:0] VAR26; tri1 VAR60; tri0 VAR39; wire [15:0] VAR18; wire [15:0] VAR26 = VAR18[15:0]; VAR29 VAR25 ( .VAR50 (VAR3), .VAR5 (VAR14), .VAR7 (VAR60), .VAR59 (VAR22), .VAR21 (VAR39), .VAR27 (VAR18), .VAR45 (1'b0), .VAR16 (1'b0), .VAR48 (1'b0), .VAR30 (1'b0), .VAR17 (1'b1), .VAR55 (1'b1), .VAR37 (1'b1), .VAR4 (1'b1), .VAR41 (1'b1), .VAR31 (1'b1), .VAR19 (1'b1), .VAR47 ({16{1'b1}}), .VAR24 (), .VAR44 (), .VAR12 (1'b1), .VAR33 (1'b1), .VAR8 (1'b0)); VAR25.VAR36 = "VAR54", VAR25.VAR61 = "VAR43", VAR25.VAR35 = "VAR1", VAR25.VAR32 = "VAR1", VAR25.VAR23 = "VAR1", VAR25.VAR57 = "VAR38 VAR53 VAR6", VAR25.VAR40 = "VAR29", VAR25.VAR10 = 2048, VAR25.VAR46 = 2048, VAR25.VAR9 = "VAR56", VAR25.VAR42 = "VAR54", VAR25.VAR11 = "VAR2", VAR25.VAR13 = "VAR34", VAR25.VAR15 = "VAR20", VAR25.VAR51 = 11, VAR25.VAR58 = 11, VAR25.VAR52 = 16, VAR25.VAR49 = 16, VAR25.VAR28 = 1; endmodule
gpl-2.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/cpu_0_jtag_debug_module_tck.v
8,074
module MODULE1 ( VAR30, VAR11, VAR25, VAR3, VAR23, VAR39, VAR27, VAR34, VAR14, VAR9, VAR29, VAR16, VAR37, VAR12, VAR20, VAR8, VAR28, VAR32, VAR35, VAR1, VAR7, VAR33, VAR4, VAR6, VAR5, VAR17, VAR26, VAR2, VAR24, VAR31, VAR19 ) ; output [ 1: 0] VAR26; output VAR2; output [ 37: 0] VAR24; output VAR31; output VAR19; input [ 31: 0] VAR30; input [ 31: 0] VAR11; input VAR25; input VAR3; input VAR23; input VAR39; input VAR27; input [ 1: 0] VAR34; input VAR14; input VAR9; input VAR29; input VAR16; input VAR37; input VAR12; input VAR20; input VAR8; input [ 35: 0] VAR28; input VAR32; input [ 6: 0] VAR35; input VAR1; input VAR7; input VAR33; input VAR4; input VAR6; input VAR5; input VAR17; reg [ 2: 0] VAR36 ; wire VAR21; reg [ 1: 0] VAR26; wire VAR2; wire VAR18; reg [ 37: 0] VAR24 ; wire VAR31; wire VAR19; wire VAR40; wire VAR38; always @(posedge VAR12) begin if (VAR6) case (VAR34) 2'b00: begin VAR24[35] <= VAR21; VAR24[34] <= VAR9; VAR24[33] <= VAR37; VAR24[32 : 1] <= VAR30; VAR24[0] <= VAR18; end 2'b01: begin VAR24[35 : 0] <= VAR28; VAR24[37] <= VAR32; VAR24[36] <= VAR8; end 2'b10: begin VAR24[37] <= VAR4; VAR24[36] <= VAR39; VAR24[35] <= VAR23; VAR24[34] <= VAR3; VAR24[33] <= VAR25; VAR24[32 : 1] <= VAR11; VAR24[0] <= VAR33; end 2'b11: begin VAR24[15 : 12] <= 1'b0; VAR24[11 : 2] <= VAR35; VAR24[1] <= VAR7; VAR24[0] <= VAR1; end endcase if (VAR5) case (VAR36) 3'b000: begin VAR24 <= {VAR20, VAR24[37 : 2], VAR20}; end 3'b001: begin VAR24 <= {VAR20, VAR24[37 : 9], VAR20, VAR24[7 : 1]}; end 3'b010: begin VAR24 <= {VAR20, VAR24[37 : 17], VAR20, VAR24[15 : 1]}; end 3'b011: begin VAR24 <= {VAR20, VAR24[37 : 33], VAR20, VAR24[31 : 1]}; end 3'b100: begin VAR24 <= {VAR20, VAR24[37], VAR20, VAR24[35 : 1]}; end 3'b101: begin VAR24 <= {VAR20, VAR24[37 : 1]}; end default: begin VAR24 <= {VAR20, VAR24[37 : 2], VAR20}; end endcase if (VAR17) case (VAR34) 2'b00: begin VAR36 <= 3'b100; end 2'b01: begin VAR36 <= 3'b101; end 2'b10: begin VAR36 <= 3'b101; end 2'b11: begin VAR36 <= 3'b010; end endcase end assign VAR19 = VAR24[0]; assign VAR31 = VAR14; assign VAR40 = VAR2; VAR22 VAR15 ( .clk (VAR12), .din (VAR27), .dout (VAR21), .VAR16 (VAR40) ); assign VAR38 = VAR2; VAR22 VAR13 ( .clk (VAR12), .din (VAR29), .dout (VAR18), .VAR16 (VAR38) ); always @(posedge VAR12 or negedge VAR2) begin if (VAR2 == 0) VAR26 <= 2'b0; end else VAR26 <= {VAR21, VAR18}; end assign VAR2 = VAR16; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfxbp/sky130_fd_sc_hvl__sdfxbp.functional.v
1,880
module MODULE1 ( VAR1 , VAR10, VAR11, VAR4 , VAR13, VAR12 ); output VAR1 ; output VAR10; input VAR11; input VAR4 ; input VAR13; input VAR12; wire VAR8 ; wire VAR9; VAR3 VAR15 (VAR9, VAR4, VAR13, VAR12 ); VAR6 VAR5 VAR14 (VAR8 , VAR9, VAR11 ); buf VAR2 (VAR1 , VAR8 ); not VAR7 (VAR10 , VAR8 ); endmodule
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_auto_us_1/system_auto_us_1_stub.v
3,102
module MODULE1(VAR24, VAR30, VAR13, VAR10, VAR18, VAR6, VAR27, VAR32, VAR4, VAR26, VAR22, VAR7, VAR29, VAR12, VAR19, VAR9, VAR16, VAR11, VAR25, VAR23, VAR1, VAR33, VAR31, VAR34, VAR3, VAR5, VAR21, VAR15, VAR8, VAR17, VAR20, VAR14, VAR2, VAR28) ; input VAR24; input VAR30; input [31:0]VAR13; input [7:0]VAR10; input [2:0]VAR18; input [1:0]VAR6; input [0:0]VAR27; input [3:0]VAR32; input [2:0]VAR4; input [3:0]VAR26; input [3:0]VAR22; input VAR7; output VAR29; output [31:0]VAR12; output [1:0]VAR19; output VAR9; output VAR16; input VAR11; output [31:0]VAR25; output [7:0]VAR23; output [2:0]VAR1; output [1:0]VAR33; output [0:0]VAR31; output [3:0]VAR34; output [2:0]VAR3; output [3:0]VAR5; output [3:0]VAR21; output VAR15; input VAR8; input [127:0]VAR17; input [1:0]VAR20; input VAR14; input VAR2; output VAR28; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/sparc/ifu/rtl/sparc_ifu_errctl.v
53,654
module MODULE1( VAR405, VAR322, VAR400, VAR32, VAR397, VAR106, VAR355, VAR100, VAR284, VAR323, VAR294, VAR230, VAR40, VAR296, VAR1, VAR228, VAR370, VAR282, VAR345, VAR232, VAR283, VAR86, VAR85, VAR247, VAR183, VAR43, VAR209, VAR261, VAR255, VAR371, VAR269, VAR394, VAR72, VAR236, VAR363, VAR92, VAR111, VAR211, VAR59, VAR184, VAR182, VAR391, VAR127, VAR91, VAR305, VAR330, VAR198, VAR395, VAR95, VAR151, VAR71, VAR137, VAR173, VAR245, VAR337, VAR310, VAR135, VAR241, VAR325, VAR49, VAR153, VAR239, VAR234, VAR254, VAR123, VAR313, VAR141, VAR357, VAR304, VAR369, VAR121, VAR122, VAR158, VAR372, VAR188, VAR116, VAR20, VAR344, VAR70, VAR113, VAR87, VAR235, VAR264, VAR133, VAR12, VAR189, VAR332, VAR359, VAR175, VAR226, VAR14, VAR168, VAR146, VAR217, VAR386, VAR243, VAR246, VAR291, VAR220, VAR233, VAR407, VAR166, VAR396, VAR105, VAR364, VAR306, VAR272, VAR19, VAR160, VAR280, VAR342, VAR286, VAR219, VAR353, VAR350, VAR134, VAR336, VAR167 ); input VAR173, VAR245, VAR337, VAR310, VAR135; input [2:0] VAR241; input [3:0] VAR325; input VAR49, VAR153, VAR239; input VAR234; input VAR254; input VAR123; input [1:0] VAR313, VAR141, VAR357; input [3:0] VAR304; input VAR369, VAR121; input [3:0] VAR122; input VAR158, VAR372; input [1:0] VAR188, VAR116; input [3:0] VAR20; input [3:0] VAR344; input VAR70, VAR113, VAR87, VAR235, VAR264, VAR133, VAR12, VAR189; input [1:0] VAR332; input VAR359, VAR175, VAR226, VAR14; input [1:0] VAR168; input [3:0] VAR146; input VAR217; input VAR386; input VAR243; input [1:0] VAR246; input VAR291, VAR220; input VAR233; input VAR407; input VAR166; input VAR396; input VAR105; input VAR364; input [1:0] VAR306; input VAR272; input VAR19; input VAR160; input VAR280; input VAR342; input VAR286; input VAR219; input VAR353; input VAR350; input VAR134; input [31:0] VAR336; input [1:0] VAR167; output VAR405; output VAR322; output VAR400; output [1:0] VAR32; output VAR397; output VAR106; output [3:0] VAR355; output [7:0] VAR100; output VAR284; output VAR323; output VAR294; output [3:0] VAR230; output [3:0] VAR40; output [3:0] VAR296; output VAR1; output VAR228; output VAR370; output VAR282; output [3:0] VAR345; output [3:0] VAR232; output [3:0] VAR283; output [22:0] VAR86; output [31:0] VAR85; output [1:0] VAR247; output [3:0] VAR183, VAR43, VAR209, VAR261; output [3:0] VAR255; output [3:0] VAR371, VAR269, VAR394, VAR72; output [3:0] VAR236, VAR363, VAR92, VAR111; output [3:0] VAR211; output VAR59, VAR184, VAR182, VAR391; output VAR127, VAR91, VAR305, VAR330; output VAR198, VAR395, VAR95; output VAR151; output VAR71, VAR137; wire VAR298, VAR222; wire VAR385, VAR317, VAR329, VAR33, VAR250, VAR205, VAR339, VAR41; wire [1:0] VAR57; wire [3:0] VAR275; wire [1:0] VAR150, VAR186, VAR190, VAR23, VAR69, VAR89; wire [3:0] VAR15, VAR229, VAR375, VAR227, VAR196, VAR93, VAR215, VAR295, VAR119, VAR390, VAR404, VAR97; wire VAR212, VAR197, VAR128, VAR187; wire VAR266, VAR90; wire [3:0] VAR389; wire VAR248, VAR287, VAR138, VAR324, VAR27, VAR126, VAR270, VAR290; wire VAR29, VAR351, VAR257; wire VAR174, VAR314; wire [3:0] VAR98; wire [3:0] VAR65, VAR271, VAR338, VAR7, VAR143, VAR379, VAR73, VAR81, VAR299, VAR199, VAR301, VAR328, VAR5, VAR260, VAR118, VAR292, VAR171, VAR165, VAR279, VAR179, VAR378, VAR68, VAR120, VAR387, VAR115, VAR251, VAR352, VAR358, VAR354, VAR25, VAR356, VAR347, VAR274, VAR374, VAR319, VAR21, VAR102, VAR258, VAR210, VAR399, VAR382, VAR77, VAR31, VAR401, VAR192, VAR178, VAR285, VAR349, VAR114, VAR80, VAR176, VAR78, VAR76, VAR376, VAR52, VAR302, VAR54, VAR42, VAR334, VAR224; wire [3:0] VAR262, VAR46, VAR103, VAR398, VAR367, VAR331, VAR17, VAR392, VAR4, VAR297, VAR381, VAR48; wire [22:0] VAR318, VAR263, VAR200, VAR406; wire [3:0] VAR144, VAR309; wire [3:0] VAR24, VAR74; wire [3:0] VAR50, VAR238, VAR36; wire VAR315; wire [7:0] VAR9, VAR3; wire [1:0] VAR335, VAR130; wire [5:0] VAR204, VAR8, VAR62; wire VAR132, VAR28, VAR157, VAR365; wire [3:0] VAR293, VAR117, VAR256; wire VAR377, VAR162, VAR259, VAR265; wire [3:0] VAR201, VAR195, VAR366; wire [3:0] VAR206; wire [1:0] VAR281; wire [3:0] VAR64; wire [3:0] VAR124, VAR207, VAR30; wire VAR180, VAR312, VAR231, VAR84, VAR348, VAR129, VAR208, VAR216, VAR107, VAR140, VAR44, VAR225, VAR203, VAR75, VAR83, VAR101, VAR214, VAR60, VAR18; wire VAR343, VAR16; wire [3:0] VAR316, VAR307, VAR154, VAR327; wire VAR362; wire VAR346, VAR37, VAR142, VAR99, VAR38, VAR39, VAR267, VAR63, VAR13, VAR213, VAR244, VAR320; wire VAR278, VAR242; wire VAR237, VAR383, VAR249, VAR253, VAR185; wire VAR108, VAR223; wire VAR22; wire VAR402; wire VAR131; wire VAR26; wire [3:0] VAR155; wire [3:0] VAR139; wire clk; assign clk = VAR173; VAR177 VAR326(.din (VAR135), .VAR252 (VAR402), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137(), .VAR55 (VAR310)); assign VAR71 = ~VAR402; assign VAR405 = (VAR241[2] | VAR241[1] | VAR241[0]); assign VAR322 = (~VAR241[2] & VAR241[1]); VAR276 #(2) VAR368(.din (VAR313), .VAR252 (VAR150), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR229[0] = ~VAR150[1] & ~VAR150[0]; assign VAR229[1] = ~VAR150[1] & VAR150[0]; assign VAR229[2] = VAR150[1] & ~VAR150[0]; assign VAR229[3] = VAR150[1] & VAR150[0]; VAR276 #(4) VAR218(.din (VAR229), .VAR252 (VAR15), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR375 = VAR15; VAR276 #(4) VAR10(.din (VAR15), .VAR252 (VAR227), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(4) VAR110(.din (VAR227), .VAR252 (VAR196), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(10) VAR159(.din ({VAR70, VAR113, VAR87, VAR235, VAR264, VAR133, VAR12, VAR189, VAR332[1:0]}), .VAR252 ({VAR385, VAR317, VAR329, VAR33, VAR250, VAR205, VAR339, VAR41, VAR57[1:0]}), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR139 = VAR20 | VAR344; VAR276 #(4) VAR152(.din (VAR139), .VAR252 (VAR155), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR390[0] = ~VAR57[1] & ~VAR57[0]; assign VAR390[1] = ~VAR57[1] & VAR57[0]; assign VAR390[2] = VAR57[1] & ~VAR57[0]; assign VAR390[3] = VAR57[1] & VAR57[0]; VAR276 #(2) VAR181(.din (VAR168), .VAR252 (VAR23), .clk (clk), .VAR245(VAR245), .VAR137(), .VAR337()); VAR276 #(2) VAR308(.din ({VAR359, VAR175}), .VAR252 ({VAR298, VAR222}), .clk (clk), .VAR245(VAR245), .VAR137(), .VAR337()); assign VAR404[0] = ~VAR23[1] & ~VAR23[0]; assign VAR404[1] = ~VAR23[1] & VAR23[0]; assign VAR404[2] = VAR23[1] & ~VAR23[0]; assign VAR404[3] = VAR23[1] & VAR23[0]; VAR276 #(2) VAR373(.din (VAR306), .VAR252 (VAR190), .clk (clk), .VAR245(VAR245), .VAR137(), .VAR337()); assign VAR97[0] = ~VAR190[1] & ~VAR190[0]; assign VAR97[1] = ~VAR190[1] & VAR190[0]; assign VAR97[2] = VAR190[1] & ~VAR190[0]; assign VAR97[3] = VAR190[1] & VAR190[0]; assign VAR212 = (VAR116[0] ^ VAR116[1]) & VAR239; assign VAR1 = VAR212 & VAR362; VAR276 #(1) VAR311(.din (VAR212), .VAR252 (VAR197), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR128 = ~VAR1; VAR276 #(1) VAR35(.din (VAR128), .VAR252 (VAR187), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR266 = 1'b0; assign VAR90 = VAR197; assign VAR324 = (VAR372 | VAR158) & VAR49; VAR276 #(1) VAR288(.din (VAR324), .VAR252 (VAR138), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR27 = VAR138 & ~VAR197; VAR276 #(4) VAR268(.din (VAR325), .VAR252 (VAR275), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR389 = VAR122 & VAR275; assign VAR126 = (|VAR389[3:0]) & VAR153; VAR276 #(1) VAR340(.din (VAR126), .VAR252 (VAR270), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR290 = VAR270 & ~VAR138 & ~VAR197; assign VAR228 = (VAR270 | VAR138) & VAR187; assign VAR370 = VAR228; assign VAR282 = VAR266; assign VAR283 = ({4{VAR90 & VAR254}} & VAR375 | {4{VAR396 | VAR105}} & VAR97) & VAR154; assign VAR24 = {4{VAR396 | VAR105}} & VAR97; assign VAR74 = {4{VAR364}} & VAR97; assign VAR248 = (VAR188[0] ^ VAR188[1]) & VAR174; assign VAR287 = (VAR116[0] ^ VAR116[1]) & VAR314; VAR276 #(1) VAR6(.din (VAR287), .VAR252 (VAR29), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR221(.din (VAR248), .VAR252 (VAR351), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR257 = VAR29 | VAR351; assign VAR400 = VAR257; assign VAR98 = {4{VAR257}} & VAR295; VAR276 #(1) VAR51(.din (VAR220), .VAR252 (VAR365), .clk (clk), .VAR245 (VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR58(.din (VAR291), .VAR252 (VAR28), .clk (clk), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR132 = VAR28 & VAR123; assign VAR157 = VAR365 & VAR123; assign VAR271 = {4{VAR317 & ~VAR71}} & VAR390 & ~VAR401 | VAR65 & ~({4{VAR180}} & VAR124); assign VAR7 = {4{VAR385 & ~VAR71}} & VAR390 & ~VAR401 | VAR338 & ~({4{VAR312}} & VAR124); assign VAR379 = {4{VAR329 & ~VAR71}} & VAR390 & ~VAR401 | VAR143 & ~({4{VAR107}} & VAR124); assign VAR81 = {4{VAR33 & ~VAR71}} & VAR390 & ~VAR31 | VAR73 & ~({4{VAR129}} & VAR124); assign VAR199 = {4{VAR250 & ~VAR71}} & VAR390 & ~VAR31 | VAR299 & ~({4{VAR208}} & VAR124); assign VAR328 = (VAR366 & VAR176 | {4{VAR205}} & VAR390 | {4{VAR298}} & VAR404) & ~VAR401 | VAR301 & ~({4{VAR140}} & VAR124); assign VAR260 = (VAR366 & VAR76 | {4{VAR41}} & VAR390) & ~VAR401 | VAR5 & ~({4{VAR44}} & VAR124); assign VAR165 = VAR390 & {4{VAR317 | VAR385 | VAR329 | VAR205 | VAR41}}; assign VAR279 = VAR390 & {4{(VAR33 | VAR250 | VAR339) & ~VAR317 & ~VAR385}}; assign VAR171 = (VAR165 | VAR390 & {4{VAR33 | VAR250}}); assign VAR292 = {4{VAR222}} & VAR404 & ~VAR401 | VAR118 & ~({4{VAR225}} & VAR124); assign VAR179 = {4{VAR226 & ~VAR298}} & VAR404; assign VAR378 = {4{VAR298 | VAR222}} & VAR404; assign VAR120 = {4{VAR351 & ~VAR71}} & VAR295 & ~VAR401 | VAR68 & ~({4{VAR216}} & VAR124); VAR276 #(2) VAR53(.din ({VAR217, VAR386}), .VAR252 ({VAR131, VAR26}), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(2) VAR136(.din (VAR246[1:0]), .VAR252 (VAR186[1:0]), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR93[0] = ~VAR186[1] & ~VAR186[0]; assign VAR93[1] = ~VAR186[1] & VAR186[0]; assign VAR93[2] = VAR186[1] & ~VAR186[0]; assign VAR93[3] = VAR186[1] & VAR186[0]; assign VAR115 = {4{VAR131 & ~VAR71}} & VAR93 & ~VAR31 & ~VAR171 | VAR387 & ~({4{VAR75}} & VAR124); assign VAR354 = {4{VAR26 & ~VAR71}} & VAR93 & ~VAR401 & ~VAR165 | VAR358 & ~({4{VAR203}} & VAR124); assign VAR352 = {4{VAR132 & ~VAR71}} & VAR196 & ~VAR31 & ~VAR171 | VAR251 & ~({4{VAR101}} & VAR124); assign VAR356 = {4{VAR157 & ~VAR71}} & VAR196 & ~VAR401 & ~VAR165 | VAR25 & ~({4{VAR83}} & VAR124); assign VAR319 = VAR196 & {4{VAR132 | VAR157}}; assign VAR21 = VAR93 & {4{VAR131 | VAR26}}; assign VAR274 = VAR196 & {4{VAR132}} | VAR93 & {4{VAR131}}; assign VAR374 = VAR196 & {4{VAR157}} | VAR93 & {4{VAR26}}; assign VAR347 = VAR319 | VAR21; assign VAR258 = VAR366 & ~VAR31 & VAR192 | VAR102 & ~({4{VAR84}} & VAR124); assign VAR399 = VAR366 & ~VAR31 & VAR285 | VAR210 & ~({4{VAR348}} & VAR124); assign VAR77 = (VAR366 & VAR114 | {4{VAR29 & ~VAR71}} & VAR295) & ~VAR401 | VAR382 & ~({4{VAR231}} & VAR124); VAR276 #(64) VAR380(.din ({VAR120, VAR77, VAR258, VAR399, VAR356, VAR352, VAR354, VAR115, VAR379, VAR271, VAR7, VAR81, VAR199, VAR328, VAR260, VAR292}), .VAR252 ({VAR68, VAR382, VAR102, VAR210, VAR25, VAR251, VAR358, VAR387, VAR143, VAR65, VAR338, VAR73, VAR299, VAR301, VAR5, VAR118}), .clk (clk), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR31 = VAR68 | VAR382 | VAR102 | VAR210 | VAR25 | VAR251 | VAR358 | VAR387 | VAR143 | VAR65 | VAR338 | VAR73 | VAR299 | VAR301 | VAR5 | VAR118; assign VAR401 = VAR68 | VAR382 | VAR25 | VAR358 | VAR143 | VAR65 | VAR338 | VAR301 | VAR5 | VAR118; assign VAR42 = {4{(VAR396 | VAR105) & ~VAR71}} & VAR97 | {4{VAR90 & ~VAR71}} & VAR375; assign VAR54 = {4{VAR364 & ~VAR71}} & VAR97 | {4{(VAR266 | VAR27 | VAR290) & ~VAR71}} & VAR375; assign VAR334 = VAR54 | VAR42; assign VAR144 = VAR192 | VAR285 | VAR52; assign VAR309 = VAR114 | VAR176 | VAR76; assign VAR224 = VAR309 | VAR192 | VAR285; assign VAR178 = {4{VAR27}} & VAR375 & ~VAR224 & ~VAR347 & ~VAR171 | VAR192 & ~VAR206 & ~VAR366; assign VAR349 = {4{VAR290}} & VAR375 & ~VAR224 & ~VAR347 & ~VAR171 | VAR285 & ~VAR206 & ~VAR366; assign VAR80 = {4{VAR90}} & VAR375 & ~VAR309 & ~VAR374 & ~VAR165 | VAR114 & ~VAR206 & ~VAR366; assign VAR78 = {4{VAR396 & ~VAR71}} & VAR97 & ~VAR309 & ~VAR374 & ~VAR165 | VAR176 & ~VAR206 & ~VAR366; assign VAR376 = {4{VAR105 & ~VAR71}} & VAR97 & ~VAR309 & ~VAR374 & ~VAR165 | VAR76 & ~VAR206 & ~VAR366; assign VAR302 = {4{VAR364}} & VAR97 & ~VAR224 & ~VAR347 & ~VAR171 | VAR52 & ~VAR206 & ~VAR366; VAR47 #(24) VAR79(.din ({VAR178, VAR349, VAR80, VAR78, VAR376, VAR302}), .VAR252 ({VAR192, VAR285, VAR114, VAR176, VAR76, VAR52}), .clk (clk), .rst (VAR71), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR46 = VAR401 & (VAR165 | VAR374 | VAR98 | VAR378 | VAR366 & VAR309) | VAR262 & ~({4{VAR214}} & VAR124); assign VAR398 = VAR31 & (VAR279 | VAR274 | VAR366 & VAR144) | VAR103 & ~({4{VAR60}} & VAR124); assign VAR331 = ~VAR31 & (VAR155 & VAR165 | VAR139 & (VAR279 | VAR347 | VAR98) | VAR366 & VAR381) | VAR367 & ~({4{VAR18}} & VAR124); VAR47 #(12) VAR34(.din ({VAR46, VAR398, VAR331}), .VAR252 ({VAR262, VAR103, VAR367}), .clk (clk), .rst (VAR71), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR392 = VAR42 & VAR309 | VAR17 & ~VAR206 & ~VAR366; assign VAR297 = VAR54 & VAR224 | VAR4 & ~VAR206 & ~VAR366; assign VAR48 = VAR139 & ~VAR224 & ~VAR347 & ~VAR171 & VAR334 | VAR381 & ~VAR206 & ~VAR366; VAR47 #(12) VAR156(.din ({VAR392, VAR297, VAR48}), .VAR252 ({VAR17, VAR4, VAR381}), .clk (clk), .rst (VAR71), .VAR245 (VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR194(.din (VAR234), .VAR252 (VAR162), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR201 = (VAR224 | VAR52) & VAR15; assign VAR377 = (|VAR201[3:0]) & VAR162; VAR276 #(1) VAR403(.din (VAR377), .VAR252 (VAR259), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR96(.din (VAR259), .VAR252 (VAR265), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(4) VAR163(.din (VAR304), .VAR252 (VAR206), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR195 = {4{VAR265}} & VAR196 & (VAR224 | VAR52); assign VAR366 = VAR195 & {4{VAR123 & ~VAR71}}; assign VAR318 = {VAR262[0], VAR103[0], VAR367[0], 3'b100, VAR382[0], VAR68[0], VAR65[0], VAR143[0], VAR102[0], VAR210[0], VAR73[0], VAR299[0], VAR251[0], VAR25[0], VAR387[0], VAR358[0], VAR301[0], VAR5[0], VAR338[0], 1'b0, VAR118[0]}; assign VAR263 = {VAR262[1], VAR103[1], VAR367[1], 3'b100, VAR382[1], VAR68[1], VAR65[1], VAR143[1], VAR102[1], VAR210[1], VAR73[1], VAR299[1], VAR251[1], VAR25[1], VAR387[1], VAR358[1], VAR301[1], VAR5[1], VAR338[1], 1'b0, VAR118[1]}; assign VAR200 = {VAR262[2], VAR103[2], VAR367[2], 3'b100, VAR382[2], VAR68[2], VAR65[2], VAR143[2], VAR102[2], VAR210[2], VAR73[2], VAR299[2], VAR251[2], VAR25[2], VAR387[2], VAR358[2], VAR301[2], VAR5[2], VAR338[2], 1'b0, VAR118[2]}; assign VAR406 = {VAR262[3], VAR103[3], VAR367[3], 3'b100, VAR382[3], VAR68[3], VAR65[3], VAR143[3], VAR102[3], VAR210[3], VAR73[3], VAR299[3], VAR251[3], VAR25[3], VAR387[3], VAR358[3], VAR301[3], VAR5[3], VAR338[3], 1'b0, VAR118[3]}; VAR303 #(23) VAR104(.dout (VAR86), .VAR289 (VAR318), .VAR149 (VAR263), .VAR191 (VAR200), .VAR161 (VAR406), .VAR321 (VAR215[0]), .VAR341 (VAR215[1]), .VAR193 (VAR215[2]), .VAR384 (VAR215[3])); assign VAR261 = ~(VAR293); assign VAR183 = ~(~VAR293 & VAR319); assign VAR43 = ~(~VAR293 & ~VAR319 & VAR98); assign VAR209 = ~(~VAR293 & ~VAR319 & ~VAR98); assign VAR72 = ~(VAR378); assign VAR394 = ~(~VAR378 & (VAR24 | VAR74)); assign VAR371 = ~(~VAR24 & ~VAR74 & ~VAR378 & VAR375 & {4{VAR197}}); assign VAR269 = ~(~VAR24 & ~VAR74 & ({4{~VAR197}} | ~VAR375) & ~VAR378); assign VAR293 = ~VAR31 & VAR171 | ~VAR401 & VAR165; assign VAR117 = (~VAR31 & ~VAR224 & VAR334 | ~VAR401 & VAR378 | ~VAR401 & ~VAR309 & VAR42); assign VAR256 = ~VAR401 & (VAR374 | VAR98) | ~VAR31 & VAR274; assign VAR363 = ~(VAR30); assign VAR92 = ~(~VAR30 & (VAR293 | VAR256)); assign VAR236 = ~(~VAR293 & ~VAR30 & ~VAR256 & VAR117); assign VAR111 = ~(~VAR293 & ~VAR30 & ~VAR256 & ~VAR117); assign VAR327 = VAR207 & {4{VAR343}} | ~VAR207 & VAR154; assign VAR307 = VAR207 & {4{VAR16}} | ~VAR207 & VAR316; VAR47 #(8) VAR147(.din ({VAR327, VAR307}), .VAR252 ({VAR154, VAR316}), .rst (VAR71), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR362 = (VAR229[0] & VAR154[0] | VAR229[1] & VAR154[1] | VAR229[2] & VAR154[2] | VAR229[3] & VAR154[3]); VAR276 #(1) VAR169(.din (VAR362), .VAR252 (VAR294), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR230 = VAR154; assign VAR40 = VAR154; assign VAR36 = VAR366 & VAR144; assign VAR50 = (VAR36 | VAR274 | {4{VAR166}} & VAR97 | VAR179 | VAR279) & VAR316; VAR276 #(4) VAR2(.din (VAR50), .VAR252 (VAR345), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR238 = ({4{VAR407}} & VAR97 | VAR378 & {4{VAR14}}) & VAR154; assign VAR296 = VAR378 & VAR154; VAR276 #(4) VAR240(.din (VAR238), .VAR252 (VAR232), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR303 #(2) VAR393(.dout (VAR247), .VAR289 ({VAR154[0], VAR316[0]}), .VAR149 ({VAR154[1], VAR316[1]}), .VAR191 ({VAR154[2], VAR316[2]}), .VAR161 ({VAR154[3], VAR316[3]}), .VAR321 (VAR215[0]), .VAR341 (VAR215[1]), .VAR193 (VAR215[2]), .VAR384 (VAR215[3])); assign VAR315 = (VAR342 & VAR353); assign VAR3 = VAR315 ? VAR336[7:0] : VAR9[7:0]; assign VAR130[1:0] = VAR315 ? VAR336[31:30] : VAR335[1:0]; assign VAR8[5:0] = VAR315 ? VAR336[29:24] : VAR335[0] ? VAR62 : VAR204; VAR47 #(16) VAR277(.din ({VAR130, VAR8, VAR3}), .VAR252 ({VAR335, VAR204, VAR9}), .rst (VAR71), .clk (clk), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR100 = VAR9; assign VAR284 = VAR204[1] & VAR335[1]; assign VAR323 = VAR204[0] & VAR335[1]; assign VAR355[3:0] = VAR204[5:2] & {4{VAR335[1]}}; assign VAR62[5:0] = VAR204[5:0] & ~{VAR146[3:0], VAR233, VAR243}; assign VAR85 = {VAR335, VAR204, 16'b0, VAR9}; VAR276 #(2) VAR11(.din (VAR167), .VAR252 (VAR281), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR64[0] = ~VAR281[1] & ~VAR281[0]; assign VAR64[1] = ~VAR281[1] & VAR281[0]; assign VAR64[2] = VAR281[1] & ~VAR281[0]; assign VAR64[3] = VAR281[1] & VAR281[0]; assign VAR255 = ~VAR64; assign VAR119[0] = ~VAR357[1] & ~VAR357[0]; assign VAR119[1] = ~VAR357[1] & VAR357[0]; assign VAR119[2] = VAR357[1] & ~VAR357[0]; assign VAR119[3] = VAR357[1] & VAR357[0]; VAR276 #(2) VAR45(.din (VAR141), .VAR252 (VAR89), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(2) VAR66(.din (VAR89), .VAR252 (VAR69), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR32 = VAR69; assign VAR211 = ~VAR215; assign VAR215[0] = ~VAR89[1] & ~VAR89[0]; assign VAR215[1] = ~VAR89[1] & VAR89[0]; assign VAR215[2] = VAR89[1] & ~VAR89[0]; assign VAR215[3] = VAR89[1] & VAR89[0]; assign VAR295[0] = ~VAR69[1] & ~VAR69[0]; assign VAR295[1] = ~VAR69[1] & VAR69[0]; assign VAR295[2] = VAR69[1] & ~VAR69[0]; assign VAR295[3] = VAR69[1] & VAR69[0]; VAR276 #(1) VAR112(.din (VAR160), .VAR252 (VAR142), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR67(.din (VAR280), .VAR252 (VAR99), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR94(.din (VAR286), .VAR252 (VAR39), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR61(.din (VAR342), .VAR252 (VAR38), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR388(.din (VAR219), .VAR252 (VAR267), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR82(.din (VAR142), .VAR252 (VAR63), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR88(.din (VAR99), .VAR252 (VAR13), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR202(.din (VAR39), .VAR252 (VAR244), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR273(.din (VAR38), .VAR252 (VAR213), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR125(.din (VAR267), .VAR252 (VAR320), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR333(.din (VAR350), .VAR252 (VAR278), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR148(.din (VAR278), .VAR252 (VAR242), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR172(.din (VAR134), .VAR252 (VAR237), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR145(.din (VAR237), .VAR252 (VAR383), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); VAR276 #(1) VAR56(.din (VAR383), .VAR252 (VAR185), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR106 = VAR185; assign VAR249 = VAR242 | VAR383; VAR276 #(1) VAR109(.din (VAR272), .VAR252 (VAR346), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR253 = VAR237 | VAR19; VAR276 #(1) VAR170(.din (VAR253), .VAR252 (VAR37), .clk (clk), .VAR245(VAR245), .VAR337(), .VAR137()); assign VAR127 = ~VAR63; assign VAR91 = ~VAR13 | VAR63; assign VAR305 = ~VAR213 | VAR13 | VAR63; assign VAR330 = VAR63 | VAR13 | VAR213; assign VAR22 = (VAR63 | VAR13 | VAR213 | VAR244); assign VAR198 = ~VAR346; assign VAR395 = ~VAR320 | VAR346; assign VAR95 = VAR346 | VAR320; assign VAR59 = ~(VAR249 & VAR37); assign VAR182 = ~(VAR249 & ~VAR37 & VAR22); assign VAR184 = ~(VAR249 & ~VAR37 & ~VAR22); assign VAR391 = ~(~VAR249); assign VAR174 = VAR369 & ~VAR121; assign VAR314 = VAR369 & VAR121; assign VAR108 = VAR369 | VAR242; VAR276 #(1) VAR360(.din (VAR108), .VAR252 (VAR223), .clk (clk), .VAR245 (VAR245), .VAR337(), .VAR137()); assign VAR397 = VAR223; assign VAR207 = VAR119 & {4{VAR353 & VAR160}}; assign VAR124 = VAR119 & {4{VAR353 & VAR280}}; assign VAR30 = VAR119 & {4{VAR353 & VAR286}}; assign VAR151 = VAR353 & VAR219; assign VAR214 = VAR336[31]; assign VAR60 = VAR336[30]; assign VAR18 = VAR336[29]; assign VAR231 = VAR336[25]; assign VAR216 = VAR336[24]; assign VAR180 = VAR336[23]; assign VAR107 = VAR336[22]; assign VAR84 = VAR336[21]; assign VAR348 = VAR336[20]; assign VAR129 = VAR336[19]; assign VAR208 = VAR336[18]; assign VAR101 = VAR336[17]; assign VAR83 = VAR336[16]; assign VAR75 = VAR336[15]; assign VAR203 = VAR336[14]; assign VAR140 = VAR336[13]; assign VAR44 = VAR336[12]; assign VAR312 = VAR336[11]; assign VAR225 = VAR336[9]; assign VAR343 = VAR336[1]; assign VAR16 = VAR336[0]; VAR164 VAR361(.in (VAR336[8])); VAR164 VAR300(.in (VAR336[10])); endmodule
gpl-2.0
tdene/synth_opt_adders
src/pptrees/mappings/behavioral_map.v
3,182
module MODULE14 ( VAR6, VAR9 ); output VAR6; input VAR9; assign VAR6 = ~VAR9; endmodule module MODULE5 ( VAR6, VAR9 ); output VAR6; input VAR9; assign VAR6 = VAR9; endmodule module MODULE15 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = ~(VAR9&VAR4); endmodule module MODULE13 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = ~(VAR9|VAR4); endmodule module MODULE22 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = VAR9&VAR4; endmodule module MODULE10 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = VAR9|VAR4; endmodule module MODULE8 ( VAR6, VAR9, VAR4, VAR1 ); output VAR6; input VAR9, VAR4, VAR1; assign VAR6 = ~(VAR9&VAR4&VAR1); endmodule module MODULE25 ( VAR6, VAR9, VAR4, VAR1 ); output VAR6; input VAR9, VAR4, VAR1; assign VAR6 = ~(VAR9|VAR4|VAR1); endmodule module MODULE3 ( VAR6, VAR9, VAR4, VAR1 ); output VAR6; input VAR9, VAR4, VAR1; assign VAR6 = VAR9&VAR4&VAR1; endmodule module MODULE17 ( VAR6, VAR9, VAR4, VAR1 ); output VAR6; input VAR9, VAR4, VAR1; assign VAR6 = VAR9|VAR4|VAR1; endmodule module MODULE9 ( VAR6, VAR9, VAR4, VAR1, VAR2 ); output VAR6; input VAR9, VAR4, VAR1, VAR2; assign VAR6 = ~(VAR9&VAR4&VAR1&VAR2); endmodule module MODULE20 ( VAR6, VAR9, VAR4, VAR1, VAR2 ); output VAR6; input VAR9, VAR4, VAR1, VAR2; assign VAR6 = ~(VAR9|VAR4|VAR1|VAR2); endmodule module MODULE27 ( VAR6, VAR9, VAR4, VAR1, VAR2 ); output VAR6; input VAR9, VAR4, VAR1, VAR2; assign VAR6 = VAR9&VAR4&VAR1&VAR2; endmodule module MODULE7 ( VAR6, VAR9, VAR4, VAR1, VAR2 ); output VAR6; input VAR9, VAR4, VAR1, VAR2; assign VAR6 = VAR9|VAR4|VAR1|VAR2; endmodule module MODULE4 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = ~(~VAR9&VAR4); endmodule module MODULE16 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = ~(~VAR9|VAR4); endmodule module MODULE21 ( VAR6, VAR8, VAR7, VAR10 ); output VAR6; input VAR8, VAR7, VAR10; assign VAR6 = (VAR8&VAR7)|VAR10; endmodule module MODULE23 ( VAR6, VAR8, VAR7, VAR10 ); output VAR6; input VAR8, VAR7, VAR10; assign VAR6 = (VAR8|VAR7)&VAR10; endmodule module MODULE2 ( VAR6, VAR8, VAR7, VAR10 ); output VAR6; input VAR8, VAR7, VAR10; assign VAR6 = ~((VAR8&VAR7)|VAR10); endmodule module MODULE28 ( VAR6, VAR8, VAR7, VAR10 ); output VAR6; input VAR8, VAR7, VAR10; assign VAR6 = ~((VAR8|VAR7)&VAR10); endmodule module MODULE24 ( VAR6, VAR8, VAR7, VAR10, VAR5 ); output VAR6; input VAR8, VAR7, VAR10, VAR5; assign VAR6 = (VAR8&VAR7)|(VAR10&VAR5); endmodule module MODULE6 ( VAR6, VAR8, VAR7, VAR10, VAR5 ); output VAR6; input VAR8, VAR7, VAR10, VAR5; assign VAR6 = (VAR8|VAR7)&(VAR10|VAR5); endmodule module MODULE1 ( VAR6, VAR8, VAR7, VAR10, VAR5 ); output VAR6; input VAR8, VAR7, VAR10, VAR5; assign VAR6 = ~((VAR8&VAR7)|(VAR10&VAR5)); endmodule module MODULE12 ( VAR6, VAR8, VAR7, VAR10, VAR5 ); output VAR6; input VAR8, VAR7, VAR10, VAR5; assign VAR6 = ~((VAR8|VAR7)&(VAR10|VAR5)); endmodule module MODULE11 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = VAR9^VAR4; endmodule module MODULE18 ( VAR6, VAR9, VAR4 ); output VAR6; input VAR9, VAR4; assign VAR6 = ~(VAR9^VAR4); endmodule module MODULE26 ( VAR6, VAR3, VAR9, VAR4 ); output VAR6; input VAR3, VAR9, VAR4; assign VAR6 = VAR3 ? VAR4 : VAR9; endmodule module MODULE19 ( VAR6, VAR3, VAR9, VAR4 ); output VAR6; input VAR3, VAR9, VAR4; assign VAR6 = ~(VAR3 ? VAR4 : VAR9); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/xor3/gf180mcu_fd_sc_mcu9t5v0__xor3_1.functional.pp.v
1,583
module MODULE1( VAR10, VAR1, VAR18, VAR9, VAR15, VAR11 ); input VAR1, VAR10, VAR18; inout VAR15, VAR11; output VAR9; wire VAR19; and VAR2( VAR19, VAR1, VAR10, VAR18 ); wire VAR7; not VAR14( VAR7, VAR10 ); wire VAR17; not VAR21( VAR17, VAR18 ); wire VAR20; and VAR5( VAR20, VAR7, VAR17, VAR1 ); wire VAR3; not VAR8( VAR3, VAR1 ); wire VAR13; and VAR4( VAR13, VAR3, VAR17, VAR10 ); wire VAR16; and VAR6( VAR16, VAR3, VAR7, VAR18 ); or VAR12( VAR9, VAR19, VAR20, VAR13, VAR16 ); endmodule
apache-2.0
tgiv014/ECE441_Proj3
sevseg_decoder.v
2,911
module MODULE1(VAR3, VAR2, VAR7, VAR10, VAR9, VAR6, VAR1, VAR4); input [3:0] VAR3; output reg VAR2, VAR7, VAR10, VAR9, VAR6, VAR1, VAR4; parameter VAR5 = 1'b0; parameter VAR8 = 1'b1; always @(VAR3) case (VAR3) 4'h0: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR8; end 4'h1: begin VAR2 = VAR8; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR8; VAR6 = VAR8; VAR1 = VAR8; VAR4 = VAR8; end 4'h2: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR8; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR8; VAR4 = VAR5; end 4'h3: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR8; VAR1 = VAR8; VAR4 = VAR5; end 4'h4: begin VAR2 = VAR8; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR8; VAR6 = VAR8; VAR1 = VAR5; VAR4 = VAR5; end 4'h5: begin VAR2 = VAR5; VAR7 = VAR8; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR8; VAR1 = VAR5; VAR4 = VAR5; end 4'h6: begin VAR2 = VAR5; VAR7 = VAR8; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end 4'h7: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR8; VAR6 = VAR8; VAR1 = VAR8; VAR4 = VAR8; end 4'h8: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end 4'h9: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR8; VAR6 = VAR8; VAR1 = VAR5; VAR4 = VAR5; end 4'hA: begin VAR2 = VAR5; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR8; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end 4'hB: begin VAR2 = VAR8; VAR7 = VAR8; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end 4'hC: begin VAR2 = VAR5; VAR7 = VAR8; VAR10 = VAR8; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR8; end 4'hD: begin VAR2 = VAR8; VAR7 = VAR5; VAR10 = VAR5; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR8; VAR4 = VAR5; end 4'hE: begin VAR2 = VAR5; VAR7 = VAR8; VAR10 = VAR8; VAR9 = VAR5; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end default: begin VAR2 = VAR5; VAR7 = VAR8; VAR10 = VAR8; VAR9 = VAR8; VAR6 = VAR5; VAR1 = VAR5; VAR4 = VAR5; end endcase endmodule
mit
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_auto_us_0/synth/triangle_intersect_auto_us_0.v
9,770
module MODULE1 ( VAR14, VAR84, VAR38, VAR76, VAR58, VAR82, VAR40, VAR78, VAR101, VAR68, VAR20, VAR62, VAR4, VAR90, VAR61, VAR53, VAR89, VAR59, VAR44, VAR39, VAR79, VAR32, VAR94, VAR16, VAR47, VAR30, VAR81, VAR52, VAR25, VAR100, VAR36, VAR19, VAR60, VAR35 ); input wire VAR14; input wire VAR84; input wire [31 : 0] VAR38; input wire [7 : 0] VAR76; input wire [2 : 0] VAR58; input wire [1 : 0] VAR82; input wire [0 : 0] VAR40; input wire [3 : 0] VAR78; input wire [2 : 0] VAR101; input wire [3 : 0] VAR68; input wire [3 : 0] VAR20; input wire VAR62; output wire VAR4; output wire [31 : 0] VAR90; output wire [1 : 0] VAR61; output wire VAR53; output wire VAR89; input wire VAR59; output wire [31 : 0] VAR44; output wire [7 : 0] VAR39; output wire [2 : 0] VAR79; output wire [1 : 0] VAR32; output wire [0 : 0] VAR94; output wire [3 : 0] VAR16; output wire [2 : 0] VAR47; output wire [3 : 0] VAR30; output wire [3 : 0] VAR81; output wire VAR52; input wire VAR25; input wire [63 : 0] VAR100; input wire [1 : 0] VAR36; input wire VAR19; input wire VAR60; output wire VAR35; VAR51 #( .VAR87("VAR15"), .VAR57(0), .VAR49(1), .VAR21(0), .VAR71(32), .VAR33(32), .VAR5(64), .VAR42(0), .VAR48(1), .VAR80(0), .VAR56(1), .VAR28(2), .VAR1(0), .VAR93(16), .VAR37(1), .VAR96(3) ) VAR45 ( .VAR14(VAR14), .VAR84(VAR84), .VAR2(1'VAR54), .VAR12(32'VAR98), .VAR29(8'VAR83), .VAR41(3'VAR54), .VAR23(2'VAR54), .VAR86(1'VAR54), .VAR73(4'VAR54), .VAR65(3'VAR54), .VAR63(4'VAR54), .VAR67(4'VAR54), .VAR18(1'VAR54), .VAR7(), .VAR3(32'VAR98), .VAR22(4'VAR85), .VAR97(1'VAR34), .VAR91(1'VAR54), .VAR55(), .VAR43(), .VAR77(), .VAR31(), .VAR95(1'VAR54), .VAR46(1'VAR54), .VAR38(VAR38), .VAR76(VAR76), .VAR58(VAR58), .VAR82(VAR82), .VAR40(VAR40), .VAR78(VAR78), .VAR101(VAR101), .VAR68(VAR68), .VAR20(VAR20), .VAR62(VAR62), .VAR4(VAR4), .VAR13(), .VAR90(VAR90), .VAR61(VAR61), .VAR53(VAR53), .VAR89(VAR89), .VAR59(VAR59), .VAR10(1'VAR54), .VAR24(1'VAR54), .VAR11(), .VAR9(), .VAR50(), .VAR75(), .VAR69(), .VAR88(), .VAR27(), .VAR17(), .VAR6(), .VAR72(), .VAR66(1'VAR54), .VAR70(), .VAR8(), .VAR26(), .VAR74(), .VAR102(1'VAR54), .VAR99(2'VAR54), .VAR64(1'VAR54), .VAR92(), .VAR44(VAR44), .VAR39(VAR39), .VAR79(VAR79), .VAR32(VAR32), .VAR94(VAR94), .VAR16(VAR16), .VAR47(VAR47), .VAR30(VAR30), .VAR81(VAR81), .VAR52(VAR52), .VAR25(VAR25), .VAR100(VAR100), .VAR36(VAR36), .VAR19(VAR19), .VAR60(VAR60), .VAR35(VAR35) ); endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/to_send/ngnp_added_monitor/ngnp/src/tmp/ucore/regfile.v
7,284
module MODULE1 ( VAR24,VAR14, VAR43,VAR36,VAR30, VAR11,VAR41,VAR20, VAR37,VAR12,VAR21 ); input VAR24; input VAR14; input VAR43; input [5:0] VAR36; input [31:0] VAR30; input VAR11; input [5:0] VAR41; output [31:0] VAR20; input VAR37; input [5:0] VAR12; output [31:0] VAR21; reg [63:0] VAR10[31:0]; always @(posedge VAR24 or posedge VAR14) begin if(VAR14) begin:VAR29 integer VAR27; for(VAR27=0;VAR27<32;VAR27=VAR27+1) VAR10[VAR27] <= 32'b0; end else begin VAR20 <= VAR11?VAR10[VAR41]:32'b0; VAR21 <= VAR37?VAR10[VAR12]:32'b0; if (VAR43 && VAR36 != 0) begin VAR10[VAR36] <= VAR30; end end end wire [31 : 0] VAR5, VAR32; wire VAR18, VAR15; reg VAR9, VAR22; reg [31 : 0] VAR35; wire VAR39; assign VAR39 = VAR43 & VAR36 != 0; assign VAR18 = (VAR41 == VAR36) && VAR11 && VAR39; assign VAR15 = (VAR12 == VAR36) && VAR37 && VAR39; always @(posedge VAR24 or posedge VAR14) begin if (VAR14) begin VAR9 <= 1'b0; VAR22 <= 1'b0; VAR35 <= 32'b0; end else begin VAR9 <= VAR18; VAR22 <= VAR15; VAR35 <= VAR30; end end assign VAR20 = VAR9 ? VAR35 : VAR5; assign VAR21 = VAR22 ? VAR35 : VAR32; VAR26 VAR3 ( .VAR17(VAR5), .VAR1(), .VAR4(), .VAR33(), .VAR8({3'b0,VAR41}), .VAR34({3'b0,VAR36}), .VAR40(VAR24), .VAR28(VAR24), .VAR2(32'b0), .VAR19(VAR30), .VAR23(4'b0), .VAR42(4'b0), .VAR38(VAR11 & ~VAR18), .VAR13(VAR39), .VAR31(VAR14), .VAR16(VAR14), .VAR6(1'b0), .VAR25(1'b1) ); VAR26 VAR7 ( .VAR17(VAR32), .VAR1(), .VAR4(), .VAR33(), .VAR8({3'b0,VAR12}), .VAR34({3'b0,VAR36}), .VAR40(VAR24), .VAR28(VAR24), .VAR2(32'b0), .VAR19(VAR30), .VAR23(4'b0), .VAR42(4'b0), .VAR38(VAR37 && ~VAR15), .VAR13(VAR39), .VAR31(VAR14), .VAR16(VAR14), .VAR6(1'b0), .VAR25(1'b1) ); endmodule
mit
varunnagpaal/Digital-Hardware-Modelling
systemverilog/Sequential/regfile_16x32b_4rd_2wr.v
2,450
module MODULE1(input wire clk, input wire rst, input wire [3:0] VAR14, output wire [31:0] VAR12, input wire [3:0] VAR16, output wire [31:0] VAR5, input wire [3:0] VAR1, output wire [31:0] VAR11, input wire [3:0] VAR2, output wire [31:0] VAR6, input wire [3:0] VAR15, input wire [31:0] VAR9, input wire VAR8, input wire [3:0] VAR4, input wire [31:0] VAR7, input wire VAR10 ); reg [31:0] VAR3 [15:0]; integer VAR13 = 0; assign VAR12 = VAR3[VAR14]; assign VAR5 = VAR3[VAR16]; assign VAR11 = VAR3[VAR1]; assign VAR6 = VAR3[VAR2]; always @ ( posedge clk ) begin if( rst ) begin for( VAR13 = 0; VAR13<16; VAR13 = VAR13+1 ) VAR3[VAR13] <= 0; end else if( VAR8 && VAR10 ) begin if( VAR15 == VAR4 ) begin VAR3[VAR15] <= VAR9; end else begin VAR3[VAR15] <= VAR9; VAR3[VAR4] <= VAR7; end end else begin if ( VAR8 ) VAR3[VAR15] <= VAR9; if ( VAR10 ) VAR3[VAR15] <= VAR9; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_inputiso0p/sky130_fd_sc_hd__lpflow_inputiso0p.functional.pp.v
1,891
module MODULE1 ( VAR8 , VAR12 , VAR10, VAR1 , VAR3 , VAR5 , VAR4 ); output VAR8 ; input VAR12 ; input VAR10; input VAR1 ; input VAR3 ; input VAR5 ; input VAR4 ; wire VAR6 ; wire VAR11; not VAR7 (VAR6 , VAR10 ); and VAR13 (VAR11, VAR12, VAR6 ); VAR2 VAR9 (VAR8 , VAR11, VAR1, VAR3); endmodule
apache-2.0
lee-dohm/atom-linguist
samples/Verilog/t_sqrt_pipelined.v
2,075
module MODULE1(); parameter VAR3 = 4; localparam VAR1 = VAR3 / 2 + VAR3 % 2; reg [VAR3-1:0] VAR5; reg clk, VAR7, VAR6; wire [VAR1-1:0] VAR4; wire VAR8; VAR2 .VAR3(VAR3) ) VAR2 ( .clk(clk), .VAR6(VAR6), .VAR7(VAR7), .VAR5(VAR5), .VAR8(VAR8), .VAR4(VAR4) );
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/ad9467_fmc/kc705/system_top.v
8,256
module MODULE1 ( VAR100, VAR37, VAR42, VAR80, VAR76, VAR32, VAR3, VAR58, VAR82, VAR12, VAR69, VAR70, VAR7, VAR94, VAR63, VAR30, VAR96, VAR59, VAR67, VAR44, VAR60, VAR90, VAR92, VAR10, VAR15, VAR31, VAR49, VAR97, VAR5, VAR1, VAR27, VAR41, VAR20, VAR66, VAR55, VAR79, VAR14, VAR53, VAR38, VAR95, VAR26, VAR9, VAR65, VAR25, VAR56, VAR93, VAR74, VAR52, VAR43, VAR99, VAR6, VAR57, VAR89, VAR68, VAR13, VAR36, VAR84, VAR98, VAR73, VAR54, VAR51, VAR48 ); input VAR100; input VAR37; input VAR42; input VAR80; output VAR76; output [ 2:0] VAR32; output [ 1:0] VAR3; output VAR58; output [13:0] VAR82; output [ 2:0] VAR12; output VAR69; output VAR70; output VAR7; output [ 0:0] VAR94; output [ 0:0] VAR63; output [ 0:0] VAR30; output [ 0:0] VAR96; output [ 7:0] VAR59; inout [63:0] VAR67; inout [ 7:0] VAR44; inout [ 7:0] VAR60; output [ 0:0] VAR90; output VAR92; inout VAR10; output VAR15; input VAR31; input VAR49; input VAR97; input VAR5; input VAR1; input [ 3:0] VAR27; input VAR41; output VAR20; output [ 3:0] VAR66; output [26:1] VAR55; output VAR79; output VAR14; inout [15:0] VAR53; output VAR38; output VAR95; output VAR26; inout [ 6:0] VAR9; inout [16:0] VAR65; output VAR25; inout VAR56; inout VAR93; output VAR74; output VAR52; output VAR43; output VAR99; output [15:0] VAR6; output VAR57; input VAR89; input VAR68; input [ 7:0] VAR13; input [ 7:0] VAR36; input VAR84; input VAR98; output VAR73; output VAR54; output VAR51; inout VAR48; wire [ 1:0] VAR78; wire VAR35; wire VAR86; wire [63:0] VAR103; wire [63:0] VAR45; wire [63:0] VAR85; assign VAR3 = 2'b11; assign VAR32 = 3'b000; assign VAR26 = 1'b1; assign VAR25 = 1'b1; assign VAR54 = VAR78[0]; assign VAR51 = VAR78[1]; VAR81 VAR2 ( .VAR78(VAR78), .VAR73(VAR73), .VAR86(VAR86), .VAR35(VAR35), .VAR48(VAR48) ); VAR50 #(.VAR23(17)) VAR101 ( .VAR24 (VAR85[16:0]), .VAR29 (VAR45[16:0]), .VAR104 (VAR103[16:0]), .VAR8 (VAR65)); VAR77 VAR17 ( .VAR82 (VAR82), .VAR12 (VAR12), .VAR69 (VAR69), .VAR94 (VAR94), .VAR63 (VAR63), .VAR30 (VAR30), .VAR96 (VAR96), .VAR59 (VAR59), .VAR67 (VAR67), .VAR44 (VAR44), .VAR60 (VAR60), .VAR90 (VAR90), .VAR70 (VAR70), .VAR58 (VAR58), .VAR7 (VAR7), .VAR28 (VAR9), .VAR91 (VAR45[31:0]), .VAR47 (VAR85[31:0]), .VAR40 (VAR103[31:0]), .VAR61 (VAR45[63:32]), .VAR16 (VAR85[63:32]), .VAR33 (VAR103[63:32]), .VAR62 (VAR56), .VAR75 (VAR93), .VAR64 (1'b0), .VAR87 (1'b0), .VAR4 (1'b0), .VAR19 (1'b0), .VAR11 (1'b0), .VAR34 (1'b0), .VAR39 (1'b0), .VAR88 (1'b0), .VAR92 (VAR92), .VAR22 (VAR10), .VAR31 (VAR31), .VAR49 (VAR49), .VAR15 (VAR15), .VAR97 (VAR97), .VAR1 (VAR1), .VAR5 (VAR5), .VAR27 (VAR27), .VAR41 (VAR41), .VAR20 (VAR20), .VAR66 (VAR66), .VAR55 (VAR55), .VAR79 (VAR79), .VAR14 (VAR14), .VAR53 (VAR53), .VAR38 (VAR38), .VAR95 (VAR95), .VAR42 (VAR42), .VAR37 (VAR37), .VAR100 (VAR100), .VAR80 (VAR80), .VAR76 (VAR76), .VAR89(VAR89), .VAR68(VAR68), .VAR13(VAR13), .VAR36(VAR36), .VAR84(VAR84), .VAR98(VAR98), .VAR46(1'b0), .VAR71(VAR73), .VAR72(1'b1), .VAR18(VAR78), .VAR83(VAR35), .VAR21(1'b0), .VAR102(VAR86)); endmodule
gpl-3.0
MarcoVogt/basil
firmware/modules/fei4_rx/sync_master.v
6,678
module MODULE1( input wire clk, input wire VAR56, input wire VAR8, input wire rst, output wire VAR43, output wire VAR40, output wire VAR34, output wire VAR44, output wire [1:0] VAR21, output reg VAR32 ); wire VAR38 ; wire VAR1 ; wire VAR18 ; wire VAR42 ; reg VAR5 ; reg VAR10 ; reg VAR54 ; reg VAR16 ; reg VAR15 ; reg VAR53 ; reg VAR33 ; reg VAR27 ; reg [1:0] VAR17; wire VAR36 ; wire VAR22 ; wire VAR41 ; wire VAR48 ; wire [1:0] VAR57 ; wire [1:0] VAR35 ; wire [1:0] VAR2 ; wire [1:0] VAR26 ; reg VAR6, VAR20, VAR29, VAR12, VAR58, VAR7, VAR24, VAR30 ; reg VAR60, VAR19, VAR47, VAR23 ; reg VAR52 ; assign VAR43 = VAR15 ; assign VAR40 = VAR53 ; assign VAR34 = VAR33 ; assign VAR44 = VAR27 ; assign VAR21 = VAR17 ; assign VAR36 = {(VAR38 && VAR15)} ; assign VAR22 = {(VAR1 && VAR53)} ; assign VAR41 = {(VAR18 && VAR33)} ; assign VAR48 = {(VAR42 && VAR27)} ; reg [3:0] VAR45; always@(posedge clk) VAR45[3:0] <= {VAR45[2:0], VAR58}; assign VAR38 = VAR45[VAR17]; reg [3:0] VAR59; always@(posedge clk) VAR59[3:0] <= {VAR59[2:0], VAR7}; assign VAR1 = VAR59[VAR17]; reg [3:0] VAR39; always@(posedge clk) VAR39[3:0] <= {VAR39[2:0], VAR24}; assign VAR18 = VAR39[VAR17]; reg [3:0] VAR50; always@(posedge clk) VAR50[3:0] <= {VAR50[2:0], VAR30}; assign VAR42 = VAR50[VAR17]; always @ (posedge clk or posedge rst) begin if (rst) begin VAR17 <= 2'b10 ; VAR15 <= 1'b0 ; VAR53 <= 1'b0 ; VAR33 <= 1'b0 ; VAR27 <= 1'b0 ; VAR5 <= 1'b0 ; VAR10 <= 1'b0 ; VAR54 <= 1'b0 ; VAR16 <= 1'b0 ; VAR52 <= 1'b0 ; VAR32 <= 1'b1 ; VAR6 <= 1'b0 ; VAR20 <= 1'b0 ; VAR29 <= 1'b0 ; VAR12 <= 1'b0 ; VAR60 <= 1'b0 ; VAR19 <= 1'b0 ; VAR47 <= 1'b0 ; VAR23 <= 1'b0 ; VAR58 <= 1'b0 ; VAR7 <= 1'b0 ; VAR24 <= 1'b0 ; VAR30 <= 1'b0 ; end else begin VAR58 <= VAR57[1] ; VAR7 <= VAR35[1] ; VAR24 <= VAR2[1] ; VAR30 <= VAR26[1] ; VAR6 <= (VAR57 ^ VAR57[1]) & ~VAR57[1] ; VAR20 <= (VAR35 ^ VAR35[1]) & ~VAR35[1] ; VAR29 <= (VAR2 ^ VAR2[1]) & ~VAR2[1] ; VAR12 <= (VAR26 ^ VAR26[1]) & ~VAR26[1] ; VAR60 <= (VAR57 ^ VAR57[1]) & VAR57[1] ; VAR19 <= (VAR35 ^ VAR35[1]) & VAR35[1] ; VAR47 <= (VAR2 ^ VAR2[1]) & VAR2[1] ; VAR23 <= (VAR26 ^ VAR26[1]) & VAR26[1] ; VAR5 <= (VAR20 & ~VAR29 & ~VAR12 & VAR6) | (VAR19 & ~VAR47 & ~VAR23 & VAR60) ; VAR10 <= (VAR29 & ~VAR12 & VAR6 & VAR20) | (VAR47 & ~VAR23 & VAR60 & VAR19) ; VAR54 <= (VAR12 & VAR6 & VAR20 & VAR29) | (VAR23 & VAR60 & VAR19 & VAR47) ; VAR16 <= (VAR6 & ~VAR20 & ~VAR29 & ~VAR12) | (VAR60 & ~VAR19 & ~VAR47 & ~VAR23) ; if (VAR5 | VAR10 | VAR54 | VAR16) begin VAR52 <= 1'b1 ; VAR15 <= VAR5 ; VAR53 <= VAR10 ; VAR33 <= VAR54 ; VAR27 <= VAR16 ; end if (VAR52) VAR32 <= VAR36 | VAR22 | VAR41 | VAR48 ; if (VAR27 & VAR5) VAR17 <= VAR17 - 1 ; end else if (VAR15 & VAR16) VAR17 <= VAR17 + 1 ; end end wire [1:0] VAR3; VAR37 VAR13 ( .VAR9(VAR3[1]), .VAR51(VAR3[0]), .VAR11(VAR56), .VAR14(1'b1), .VAR31(VAR8), .VAR4(1'b0), .VAR49(1'b0) ); reg [1:0] VAR25; always@(posedge VAR56) VAR25[1:0] <= VAR3[1:0]; reg [3:0] VAR46; always@(posedge VAR56) VAR46[3:0] <= {VAR25[1:0], VAR3[1:0]}; reg [3:0] VAR55; always@(posedge clk) VAR55[3:0] <= {VAR46[3:0]}; reg [3:0] VAR28; always@(posedge clk) VAR28[3:0] <= {VAR55[3:0]}; assign VAR57[0] = VAR55[3]; assign VAR35[0] = VAR55[2]; assign VAR2[0] = VAR55[1]; assign VAR26[0] = VAR55[0]; assign VAR57[1] = VAR28[3]; assign VAR35[1] = VAR28[2]; assign VAR2[1] = VAR28[1]; assign VAR26[1] = VAR28[0]; endmodule
bsd-3-clause
donnaware/TabX1
rtl/tabx1/ps2_keyboard.v
21,239
module MODULE2 ( input clk, input reset, input VAR76, input VAR59, output [7:0] VAR4, output [7:0] VAR62, output VAR81, output VAR47, output VAR9, output VAR64 ); wire VAR73; wire VAR5; wire VAR44; assign VAR81 = VAR44; wire VAR53 = VAR44; wire [ 7:0] VAR40 = 8'h00; wire VAR34 = 1'b0; wire VAR54 = 1'b1; wire VAR82; wire VAR8; MODULE1 MODULE1( .clk (clk), .reset (reset), .VAR73 (VAR73), .VAR5 (VAR5), .VAR79 (VAR76), .VAR13 (VAR59), .VAR47 (VAR47), .VAR9 (VAR9), .VAR64 (VAR64), .VAR18 (VAR4), .VAR55 (VAR62), .VAR44 (VAR44), .VAR53 (VAR53), .VAR40 (VAR40), .VAR34 (VAR34), .VAR82 (VAR82), .VAR8 (VAR8), .VAR54 (VAR54) ); endmodule module MODULE1 ( input clk, input reset, output VAR73, output VAR5, input VAR79, input VAR13, output reg VAR47, output reg VAR9, output VAR64, output reg [7:0] VAR18, output reg [7:0] VAR55, output reg VAR44, input VAR53, input [7:0] VAR40, input VAR34, output VAR82, output reg VAR8, input VAR54 ); parameter VAR49 = 3000; parameter VAR12 = 12; parameter VAR75 = 100; parameter VAR52 = 8; parameter VAR58 = 0; parameter VAR61 = 1; parameter VAR71 = 0; parameter VAR63 = 13; parameter VAR14 = 14; parameter VAR43 = 3; parameter VAR10 = 10; parameter VAR67 = 11; parameter VAR37 = 12; parameter VAR38 = 2; parameter VAR7 = 4; parameter VAR31 = 5; parameter VAR22 = 6; parameter VAR21 = 7; parameter VAR28 = 8; parameter VAR24 = 9; parameter VAR25 = 1; parameter VAR72 = 0; wire VAR51; wire VAR60; wire VAR16; wire VAR56; wire VAR11; wire VAR3; wire VAR41; wire VAR39; wire VAR35; wire VAR19; wire [11:0] VAR26; reg [VAR74-1:0] VAR46; reg [3:0] VAR66; reg [3:0] VAR20; reg VAR57; reg VAR17; reg [3:0] VAR70; reg VAR78; reg VAR2; reg [VAR12-1:0] VAR6; reg [VAR52-1:0] VAR27; reg [7:0] VAR62; reg VAR36; reg VAR29; reg VAR69; reg VAR32; reg VAR68; reg VAR33; reg VAR45; reg VAR65; assign VAR73 = VAR45 ; assign VAR5 = VAR65 ; always @(posedge clk) begin VAR68 <= VAR79; VAR33 <= VAR13; end always @(posedge clk) begin : VAR80 if(reset) VAR66 <= VAR61; end else VAR66 <= VAR20; end always @(VAR66 or VAR46 or VAR19 or VAR34 or VAR68 or VAR33 or VAR51 or VAR60 ) begin : VAR77 VAR45 <= 1; VAR65 <= 1; VAR8 <= 0; VAR78 <= 0; VAR2 <= 0; case(VAR66) VAR61 : begin VAR78 <= 1; if (VAR34) VAR20 <= VAR37; end else if (~VAR68) VAR20 <= VAR63; end else VAR20 <= VAR61; end VAR63 : begin VAR78 <= 0; VAR20 <= VAR71; end VAR14 : begin VAR78 <= 0; VAR20 <= VAR61; end VAR71 : begin VAR78 <= 1; if(VAR34) VAR20 <= VAR37; end else if (VAR68) VAR20 <= VAR14; else VAR20 <= VAR71; end VAR37: begin VAR78 <= 0; VAR20 <= VAR43; end VAR43 : begin VAR78 <= 1; VAR45 <= 0; if (VAR51) VAR20 <= VAR10; end else VAR20 <= VAR43; end VAR10 : begin VAR2 <= 1; VAR65 <= 0; if(~VAR68 && VAR60) VAR20 <= VAR31; end else VAR20 <= VAR10; end VAR67 : begin VAR65 <= 0; if(~VAR68) VAR20 <= VAR31; end else VAR20 <= VAR67; end VAR38 : begin VAR2 <= 1; VAR65 <= VAR46[0]; if(VAR68 && VAR60) VAR20 <= VAR24; end else VAR20 <= VAR38; end VAR24 : begin VAR65 <= VAR46[0]; VAR20 <= VAR7; end VAR7 : begin VAR65 <= VAR46[0]; if(VAR19) VAR20 <= VAR22; end else if(~VAR68) VAR20 <= VAR31; else VAR20 <= VAR7; end VAR31 : begin VAR65 <= VAR46[0]; if(VAR68) VAR20 <= VAR38; end else VAR20 <= VAR31; end VAR22 : begin if(~VAR68 && VAR33) VAR20 <= VAR28; end else if(~VAR68 && ~VAR33) VAR20 <= VAR21; else VAR20 <= VAR22; end VAR21 : begin if(VAR68 && VAR33) VAR20 <= VAR61; end else VAR20 <= VAR21; end VAR28 : begin VAR8 <= 1; if(VAR68 && VAR33) VAR20 <= VAR61; end else VAR20 <= VAR28; end default : VAR20 <= VAR61; endcase end always @(posedge clk) begin : VAR23 if(reset) VAR57 <= VAR72; end else VAR57 <= VAR17; end always @(VAR57 or VAR41 or VAR53) begin : VAR30 case(VAR57) VAR72: begin VAR44 <= 1'b0; if(VAR41) VAR17 <= VAR25; end else VAR17 <= VAR72; end VAR25: begin VAR44 <= 1'b1; if(VAR53) VAR17 <= VAR72; end else VAR17 <= VAR25; end default : VAR17 <= VAR72; endcase end always @(posedge clk) begin if(reset || VAR35 end || (VAR66 == VAR22) ) VAR70 <= 0; else if(VAR51 && (VAR66 == VAR61) && (VAR68) ) VAR70 <= 0; else if ( (VAR66 == VAR63) ||(VAR66 == VAR24) ) VAR70 <= VAR70 + 4'd1; end assign VAR35 = (VAR70 == VAR74); assign VAR19 = (VAR70 == VAR74-1); assign VAR82 = ((VAR34 && (VAR66 == VAR61)) ||(VAR34 && (VAR66 == VAR71))); assign VAR39 = ~^VAR40; always @(posedge clk) begin if(reset) VAR46 <= 0; end else if (VAR82) VAR46 <= {1'b1,VAR39,VAR40,1'b0}; else if ( (VAR66 == VAR63) ||(VAR66 == VAR24) ) VAR46 <= {VAR33,VAR46[VAR74-1:1]}; end always @(posedge clk) begin if(~VAR78) VAR6 <= 0; end else if(~VAR51) VAR6 <= VAR6 + 1; end assign VAR51 = (VAR6 == (VAR49 - 1)); always @(posedge clk) begin if(~VAR2) VAR27 <= 0; end else if (~VAR60) VAR27 <= VAR27 + 1; end assign VAR60 = (VAR27 == VAR75 - 1); assign VAR16 = (VAR46[8:1] == VAR42) && VAR35 && VAR54 ; assign VAR16 = 1'b0 ; assign VAR56 = (VAR46[8:1] == VAR48) && VAR35 && VAR54 ; always @(posedge clk) begin if(reset || VAR3) begin VAR69 <= 0; VAR32 <= 0; end else begin if(VAR35 && VAR16) VAR69 <= 1; if(VAR35 && VAR56) VAR32 <= 1; end end always @(posedge clk) begin if(reset) VAR36 <= 0; end else if((VAR46[8:1] == VAR1) && VAR35 && ~VAR32) VAR36 <= 1; else if((VAR46[8:1] == VAR1) && VAR35 && VAR32) VAR36 <= 0; end always @(posedge clk) begin if(reset) VAR29 <= 0; end else if((VAR46[8:1] == VAR83) && VAR35 && ~VAR32) VAR29 <= 1; else if((VAR46[8:1] == VAR83) && VAR35 && VAR32) VAR29 <= 0; end assign VAR64 = VAR36 || VAR29; always @(posedge clk) begin if(reset) begin VAR47 <= 0; VAR9 <= 0; VAR18 <= 0; VAR55 <= 0; end else if(VAR41) begin VAR47 <= VAR69; VAR9 <= VAR32; VAR18 <= VAR46[8:1]; VAR55 <= VAR62; end end assign VAR3 = (VAR35 && ~VAR16 && ~VAR56); assign VAR41 = (VAR35&& ~VAR16 && ~VAR56 && ( (VAR58 == 0) || ( (VAR46[8:1] != VAR83) &&(VAR46[8:1] != VAR1)))); assign VAR26 = {3'b0,VAR64,VAR46[8:1]}; always @(VAR26) begin casez (VAR26) 12'VAR50?66 : VAR62 <= 8'h08; 12'VAR50?0d : VAR62 <= 8'h09; 12'VAR50?5a : VAR62 <= 8'h0d; 12'VAR50?76 : VAR62 <= 8'h1b; 12'VAR50?29 : VAR62 <= 8'h20; 12'h116 : VAR62 <= 8'h21; 12'h152 : VAR62 <= 8'h22; 12'h126 : VAR62 <= 8'h23; 12'h125 : VAR62 <= 8'h24; 12'h12e : VAR62 <= 8'h25; 12'h13d : VAR62 <= 8'h26; 12'h052 : VAR62 <= 8'h27; 12'h146 : VAR62 <= 8'h28; 12'h145 : VAR62 <= 8'h29; 12'h13e : VAR62 <= 8'h2a; 12'h155 : VAR62 <= 8'h2b; 12'h041 : VAR62 <= 8'h2c; 12'h04e : VAR62 <= 8'h2d; 12'h049 : VAR62 <= 8'h2e; 12'h04a : VAR62 <= 8'h2f; 12'h045 : VAR62 <= 8'h30; 12'h016 : VAR62 <= 8'h31; 12'h01e : VAR62 <= 8'h32; 12'h026 : VAR62 <= 8'h33; 12'h025 : VAR62 <= 8'h34; 12'h02e : VAR62 <= 8'h35; 12'h036 : VAR62 <= 8'h36; 12'h03d : VAR62 <= 8'h37; 12'h03e : VAR62 <= 8'h38; 12'h046 : VAR62 <= 8'h39; 12'h14c : VAR62 <= 8'h3a; 12'h04c : VAR62 <= 8'h3b; 12'h141 : VAR62 <= 8'h3c; 12'h055 : VAR62 <= 8'h3d; 12'h149 : VAR62 <= 8'h3e; 12'h14a : VAR62 <= 8'h3f; 12'h11e : VAR62 <= 8'h40; 12'h11c : VAR62 <= 8'h41; 12'h132 : VAR62 <= 8'h42; 12'h121 : VAR62 <= 8'h43; 12'h123 : VAR62 <= 8'h44; 12'h124 : VAR62 <= 8'h45; 12'h12b : VAR62 <= 8'h46; 12'h134 : VAR62 <= 8'h47; 12'h133 : VAR62 <= 8'h48; 12'h143 : VAR62 <= 8'h49; 12'h13b : VAR62 <= 8'h4a; 12'h142 : VAR62 <= 8'h4b; 12'h14b : VAR62 <= 8'h4c; 12'h13a : VAR62 <= 8'h4d; 12'h131 : VAR62 <= 8'h4e; 12'h144 : VAR62 <= 8'h4f; 12'h14d : VAR62 <= 8'h50; 12'h115 : VAR62 <= 8'h51; 12'h12d : VAR62 <= 8'h52; 12'h11b : VAR62 <= 8'h53; 12'h12c : VAR62 <= 8'h54; 12'h13c : VAR62 <= 8'h55; 12'h12a : VAR62 <= 8'h56; 12'h11d : VAR62 <= 8'h57; 12'h122 : VAR62 <= 8'h58; 12'h135 : VAR62 <= 8'h59; 12'h11a : VAR62 <= 8'h5a; 12'h054 : VAR62 <= 8'h5b; 12'h05d : VAR62 <= 8'h5c; 12'h05b : VAR62 <= 8'h5d; 12'h136 : VAR62 <= 8'h5e; 12'h14e : VAR62 <= 8'h5f; 12'h00e : VAR62 <= 8'h60; 12'h01c : VAR62 <= 8'h61; 12'h032 : VAR62 <= 8'h62; 12'h021 : VAR62 <= 8'h63; 12'h023 : VAR62 <= 8'h64; 12'h024 : VAR62 <= 8'h65; 12'h02b : VAR62 <= 8'h66; 12'h034 : VAR62 <= 8'h67; 12'h033 : VAR62 <= 8'h68; 12'h043 : VAR62 <= 8'h69; 12'h03b : VAR62 <= 8'h6a; 12'h042 : VAR62 <= 8'h6b; 12'h04b : VAR62 <= 8'h6c; 12'h03a : VAR62 <= 8'h6d; 12'h031 : VAR62 <= 8'h6e; 12'h044 : VAR62 <= 8'h6f; 12'h04d : VAR62 <= 8'h70; 12'h015 : VAR62 <= 8'h71; 12'h02d : VAR62 <= 8'h72; 12'h01b : VAR62 <= 8'h73; 12'h02c : VAR62 <= 8'h74; 12'h03c : VAR62 <= 8'h75; 12'h02a : VAR62 <= 8'h76; 12'h01d : VAR62 <= 8'h77; 12'h022 : VAR62 <= 8'h78; 12'h035 : VAR62 <= 8'h79; 12'h01a : VAR62 <= 8'h7a; 12'h154 : VAR62 <= 8'h7b; 12'h15d : VAR62 <= 8'h7c; 12'h15b : VAR62 <= 8'h7d; 12'h10e : VAR62 <= 8'h7e; 12'VAR50?71 : VAR62 <= 8'h7f; default : VAR62 <= 8'h2e; endcase end endmodule --------------------------------------------------------------------------
gpl-3.0
Raamakrishnan/MyProc
MyProc1/Proc.v
6,418
module MODULE1; parameter VAR12 = 32; parameter VAR10 = 16; parameter VAR15 = (1<<13); reg[7:0] VAR7[0:VAR15-1]; reg[VAR12-1:0] VAR5[0:VAR10-1]; reg[VAR12-1:0] VAR16; reg[VAR12-1:0] VAR1; reg VAR17; reg VAR18; reg VAR14; reg VAR9; reg VAR11; VAR4 VAR2 VAR10-1 reg[VAR12-1:0] VAR8; reg[VAR12-1:0] VAR6; reg[VAR12:0] VAR3; reg[VAR12-1:0] VAR13; begin begin begin begin begin begin begin begin begin begin
mit
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/NVMeHostController4L/src/dma_done_fifo.v
8,505
module MODULE1 # ( parameter VAR14 = 21, parameter VAR21 = 4 ) ( input clk, input VAR69, input VAR26, input [VAR14-1:0] VAR8, output VAR32, output VAR20, input VAR9, output [VAR14-1:0] VAR68, output VAR5, input VAR12, input VAR18, input VAR52, input [VAR14-1:0] VAR74, output VAR43 ); localparam VAR50 = 0; localparam VAR70 = 2'b01; localparam VAR22 = 2'b10; reg [1:0] VAR65; reg [1:0] VAR64; reg [VAR21:0] VAR33; reg [VAR21:0] VAR16; wire [VAR21-1:0] VAR58; reg [VAR21:0] VAR15; reg VAR2; reg VAR23; reg VAR63; reg VAR46; reg [1:0] VAR56; wire VAR37; reg VAR11; reg VAR31; reg VAR17; reg VAR29; reg VAR60; reg VAR10; reg VAR72; reg VAR55; reg VAR24; reg [VAR14-1:0] VAR34; reg VAR61; reg [VAR14-1:0] VAR45; reg [VAR14-1:0] VAR67; reg [VAR14-1:0] VAR40; assign VAR32 = VAR2; assign VAR43 = VAR24; always @(posedge VAR12) begin VAR60 <= VAR52; VAR29 <= VAR60 | VAR52; if(VAR52 == 1) begin VAR34 <= VAR74; end VAR10 <= VAR23; VAR72 <= VAR10; VAR55 <= VAR72; end always @(posedge VAR12 or negedge VAR18) begin if(VAR18 == 0) begin VAR24 <= 0; end else begin if(VAR52 == 1) VAR24 <= 1; end else if(VAR72 == 0 && VAR55 == 1) VAR24 <= 0; end end assign VAR37 = VAR31 & ~VAR17; always @(posedge clk) begin if(VAR26 == 1) begin VAR67 <= VAR8; end VAR11 <= VAR29; VAR31 <= VAR11; VAR17 <= VAR31; if(VAR37 == 1) begin VAR40 <= VAR34; end end always @(posedge clk or negedge VAR69) begin if (VAR69 == 0) begin VAR2 <= 0; VAR23 <= 0; end else begin if(VAR63 == 1) VAR2 <= 0; end else if(VAR26 == 1) VAR2 <= 1; if(VAR46 == 1) VAR23 <= 0; end else if(VAR37 == 1) VAR23 <= 1; end end always @ (posedge clk or negedge VAR69) begin if(VAR69 == 0) VAR65 <= VAR70; end else VAR65 <= VAR64; end always @ begin case(VAR65) VAR70: begin VAR61 <= 0; VAR63 <= 0; VAR46 <= 0; end VAR22: begin VAR61 <= 1; VAR63 <= VAR56[0]; VAR46 <= VAR56[1]; end default: begin VAR61 <= 0; VAR63 <= 0; VAR46 <= 0; end endcase end always @ (*) begin case(VAR56) 2'b01: VAR45 <= VAR67; 2'b10: VAR45 <= VAR40; endcase end assign VAR20 = ~((VAR15[VAR21] ^ VAR33[VAR21]) & (VAR15[VAR21-1:VAR50] == VAR33[VAR21-1:VAR50])); assign VAR5 = ~(VAR33[VAR21:VAR50] == VAR15[VAR21:VAR50]); always @(posedge clk or negedge VAR69) begin if (VAR69 == 0) begin VAR33 <= 0; VAR16 <= 1; VAR15 <= 0; end else begin if (VAR9 == 1) begin VAR33 <= VAR16; VAR16 <= VAR16 + 1; end if (VAR61 == 1) begin VAR15 <= VAR15 + 1; end end end assign VAR58 = (VAR9 == 1) ? VAR16[VAR21-1:0] : VAR33[VAR21-1:0]; localparam VAR7 = "7SERIES"; localparam VAR41 = "18Kb"; localparam VAR54 = 0; localparam VAR66 = VAR14; localparam VAR39 = VAR14; localparam VAR51 = "VAR35"; localparam VAR47 = 4; localparam VAR48 = 9; localparam VAR49 = VAR48 - VAR21; generate wire [VAR48-1:0] VAR62; wire [VAR48-1:0] VAR25; wire [VAR49-1:0] VAR36 = 0; if(VAR49 == 0) begin : VAR3 assign VAR62 = VAR58[VAR21-1:0]; assign VAR25 = VAR15[VAR21-1:0]; end else begin assign VAR62 = {VAR36[VAR49-1:0], VAR58[VAR21-1:0]}; assign VAR25 = {VAR36[VAR49-1:0], VAR15[VAR21-1:0]}; end endgenerate VAR75 #( .VAR27 (VAR7), .VAR71 (VAR41), .VAR73 (VAR54), .VAR19 (VAR66), .VAR53 (VAR39), .VAR13 (VAR51) ) VAR57( .VAR4 (VAR68[VAR66-1:0]), .VAR1 (VAR45[VAR39-1:0]), .VAR38 (VAR62), .VAR28 (clk), .VAR30 (1'b1), .VAR6 (1'b1), .VAR44 (1'b0), .VAR77 ({VAR47{1'b1}}), .VAR42 (VAR25), .VAR76 (clk), .VAR59 (VAR61) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or3b/sky130_fd_sc_hs__or3b_2.v
2,082
module MODULE2 ( VAR4 , VAR1 , VAR7 , VAR5 , VAR6, VAR8 ); output VAR4 ; input VAR1 ; input VAR7 ; input VAR5 ; input VAR6; input VAR8; VAR3 VAR2 ( .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR4 , VAR1 , VAR7 , VAR5 ); output VAR4 ; input VAR1 ; input VAR7 ; input VAR5; supply1 VAR6; supply0 VAR8; VAR3 VAR2 ( .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfstp/sky130_fd_sc_ls__sdfstp.pp.symbol.v
1,497
module MODULE1 ( input VAR4 , output VAR5 , input VAR2, input VAR10 , input VAR1 , input VAR3 , input VAR6 , input VAR8 , input VAR7 , input VAR9 ); endmodule
apache-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_47.v
30,389
module MODULE4 ( clk, reset, VAR84, VAR263, VAR78, VAR51, VAR231 ); parameter VAR260 = 18; parameter VAR88 = 47; parameter VAR246 = 24; localparam VAR75 = 48; input clk; input reset; input VAR84; input VAR263; input [VAR260-1:0] VAR78; output VAR51; output [VAR260-1:0] VAR231; localparam VAR83 = 18; localparam VAR101 = 36; localparam VAR215 = 17; localparam VAR61 = 47; reg [VAR260-1:0] VAR47; reg [VAR260-1:0] VAR141; reg [VAR260-1:0] VAR110; reg [VAR260-1:0] VAR172; reg [VAR260-1:0] VAR108; reg [VAR260-1:0] VAR116; reg [VAR260-1:0] VAR227; reg [VAR260-1:0] VAR196; reg [VAR260-1:0] VAR211; reg [VAR260-1:0] VAR189; reg [VAR260-1:0] VAR87; reg [VAR260-1:0] VAR104; reg [VAR260-1:0] VAR242; reg [VAR260-1:0] VAR243; reg [VAR260-1:0] VAR126; reg [VAR260-1:0] VAR130; reg [VAR260-1:0] VAR256; reg [VAR260-1:0] VAR194; reg [VAR260-1:0] VAR226; reg [VAR260-1:0] VAR11; reg [VAR260-1:0] VAR272; reg [VAR260-1:0] VAR12; reg [VAR260-1:0] VAR164; reg [VAR260-1:0] VAR131; always@(posedge clk) begin VAR47 <= 18'd88; VAR141 <= 18'd0; VAR110 <= -18'd97; VAR172 <= -18'd197; VAR108 <= -18'd294; VAR116 <= -18'd380; VAR227 <= -18'd447; VAR196 <= -18'd490; VAR211 <= -18'd504; VAR189 <= -18'd481; VAR87 <= -18'd420; VAR104 <= -18'd319; VAR242 <= -18'd178; VAR243 <= 18'd0; VAR126 <= 18'd212; VAR130 <= 18'd451; VAR256 <= 18'd710; VAR194 <= 18'd980; VAR226 <= 18'd1252; VAR11 <= 18'd1514; VAR272 <= 18'd1756; VAR12 <= 18'd1971; VAR164 <= 18'd2147; VAR131 <= 18'd2278; end reg [VAR75-1:0] VAR134; always@(posedge clk or posedge reset) begin if(reset) begin VAR134 <= 0; end else begin if(VAR84) begin VAR134 <= {VAR134[VAR75-2:0], VAR263}; end else begin VAR134 <= VAR134; end end end wire [VAR260-1:0] VAR173; wire [VAR260-1:0] VAR114; wire [VAR260-1:0] VAR98; wire [VAR260-1:0] VAR177; wire [VAR260-1:0] VAR244; wire [VAR260-1:0] VAR23; wire [VAR260-1:0] VAR54; wire [VAR260-1:0] VAR46; wire [VAR260-1:0] VAR128; wire [VAR260-1:0] VAR52; wire [VAR260-1:0] VAR138; wire [VAR260-1:0] VAR143; wire [VAR260-1:0] VAR159; wire [VAR260-1:0] VAR259; wire [VAR260-1:0] VAR262; wire [VAR260-1:0] VAR156; wire [VAR260-1:0] VAR72; wire [VAR260-1:0] VAR2; wire [VAR260-1:0] VAR149; wire [VAR260-1:0] VAR234; wire [VAR260-1:0] VAR63; wire [VAR260-1:0] VAR268; wire [VAR260-1:0] VAR273; wire [VAR260-1:0] VAR210; wire [VAR260-1:0] VAR91; wire [VAR260-1:0] VAR279; wire [VAR260-1:0] VAR43; wire [VAR260-1:0] VAR119; wire [VAR260-1:0] VAR245; wire [VAR260-1:0] VAR214; wire [VAR260-1:0] VAR247; wire [VAR260-1:0] VAR76; wire [VAR260-1:0] VAR90; wire [VAR260-1:0] VAR186; wire [VAR260-1:0] VAR89; wire [VAR260-1:0] VAR187; wire [VAR260-1:0] VAR121; wire [VAR260-1:0] VAR180; wire [VAR260-1:0] VAR250; wire [VAR260-1:0] VAR82; wire [VAR260-1:0] VAR230; wire [VAR260-1:0] VAR190; wire [VAR260-1:0] VAR275; wire [VAR260-1:0] VAR49; wire [VAR260-1:0] VAR216; wire [VAR260-1:0] VAR67; wire [VAR260-1:0] VAR115; MODULE3 MODULE43( .clk(clk), .VAR84(VAR84), .VAR253(VAR78), .VAR86(VAR173), .VAR57(VAR114), .VAR122(VAR98), .VAR107(VAR177), .VAR34(VAR244), .VAR163(VAR23), .VAR238(VAR54), .VAR224(VAR46), .VAR200(VAR128), .VAR183(VAR52), .VAR125(VAR138), .VAR165(VAR143), .VAR258(VAR159), .VAR220(VAR259), .VAR25(VAR262), .VAR155(VAR156), .VAR139(VAR72), .VAR236(VAR2), .VAR195(VAR149), .VAR175(VAR234), .VAR217(VAR63), .VAR17(VAR268), .VAR212(VAR273), .VAR278(VAR210), .VAR269(VAR91), .VAR184(VAR279), .VAR170(VAR43), .VAR193(VAR119), .VAR152(VAR245), .VAR31(VAR214), .VAR240(VAR247), .VAR267(VAR76), .VAR251(VAR90), .VAR235(VAR186), .VAR206(VAR89), .VAR197(VAR187), .VAR140(VAR121), .VAR249(VAR180), .VAR169(VAR250), .VAR168(VAR82), .VAR157(VAR230), .VAR255(VAR190), .VAR24(VAR275), .VAR6(VAR49), .VAR32(VAR216), .VAR182(VAR67), .VAR35(VAR115), .reset(reset) ); wire [VAR260-1:0] VAR68; wire [VAR260-1:0] VAR280; wire [VAR260-1:0] VAR20; wire [VAR260-1:0] VAR192; wire [VAR260-1:0] VAR58; wire [VAR260-1:0] VAR271; wire [VAR260-1:0] VAR185; wire [VAR260-1:0] VAR257; wire [VAR260-1:0] VAR59; wire [VAR260-1:0] VAR281; wire [VAR260-1:0] VAR133; wire [VAR260-1:0] VAR64; wire [VAR260-1:0] VAR282; wire [VAR260-1:0] VAR162; wire [VAR260-1:0] VAR222; wire [VAR260-1:0] VAR147; wire [VAR260-1:0] VAR239; wire [VAR260-1:0] VAR127; wire [VAR260-1:0] VAR45; wire [VAR260-1:0] VAR44; wire [VAR260-1:0] VAR97; wire [VAR260-1:0] VAR14; wire [VAR260-1:0] VAR161; wire [VAR260-1:0] VAR10; MODULE1 VAR15( .VAR100 (VAR173), .VAR171 (VAR115), .VAR153(VAR68) ); MODULE1 VAR112( .VAR100 (VAR114), .VAR171 (VAR67), .VAR153(VAR280) ); MODULE1 VAR79( .VAR100 (VAR98), .VAR171 (VAR216), .VAR153(VAR20) ); MODULE1 VAR146( .VAR100 (VAR177), .VAR171 (VAR49), .VAR153(VAR192) ); MODULE1 VAR228( .VAR100 (VAR244), .VAR171 (VAR275), .VAR153(VAR58) ); MODULE1 VAR65( .VAR100 (VAR23), .VAR171 (VAR190), .VAR153(VAR271) ); MODULE1 VAR9( .VAR100 (VAR54), .VAR171 (VAR230), .VAR153(VAR185) ); MODULE1 VAR201( .VAR100 (VAR46), .VAR171 (VAR82), .VAR153(VAR257) ); MODULE1 VAR117( .VAR100 (VAR128), .VAR171 (VAR250), .VAR153(VAR59) ); MODULE1 VAR109( .VAR100 (VAR52), .VAR171 (VAR180), .VAR153(VAR281) ); MODULE1 VAR60( .VAR100 (VAR138), .VAR171 (VAR121), .VAR153(VAR133) ); MODULE1 VAR203( .VAR100 (VAR143), .VAR171 (VAR187), .VAR153(VAR64) ); MODULE1 VAR199( .VAR100 (VAR159), .VAR171 (VAR89), .VAR153(VAR282) ); MODULE1 VAR188( .VAR100 (VAR259), .VAR171 (VAR186), .VAR153(VAR162) ); MODULE1 VAR56( .VAR100 (VAR262), .VAR171 (VAR90), .VAR153(VAR222) ); MODULE1 VAR29( .VAR100 (VAR156), .VAR171 (VAR76), .VAR153(VAR147) ); MODULE1 VAR8( .VAR100 (VAR72), .VAR171 (VAR247), .VAR153(VAR239) ); MODULE1 VAR85( .VAR100 (VAR2), .VAR171 (VAR214), .VAR153(VAR127) ); MODULE1 VAR148( .VAR100 (VAR149), .VAR171 (VAR245), .VAR153(VAR45) ); MODULE1 VAR198( .VAR100 (VAR234), .VAR171 (VAR119), .VAR153(VAR44) ); MODULE1 VAR74( .VAR100 (VAR63), .VAR171 (VAR43), .VAR153(VAR97) ); MODULE1 VAR36( .VAR100 (VAR268), .VAR171 (VAR279), .VAR153(VAR14) ); MODULE1 VAR77( .VAR100 (VAR273), .VAR171 (VAR91), .VAR153(VAR161) ); MODULE2 VAR33( .VAR100 (VAR210), .VAR153(VAR10) ); wire [VAR260-1:0] VAR55; wire [VAR260-1:0] VAR70; wire [VAR260-1:0] VAR270; wire [VAR260-1:0] VAR205; wire [VAR260-1:0] VAR208; wire [VAR260-1:0] VAR106; wire [VAR260-1:0] VAR124; wire [VAR260-1:0] VAR102; wire [VAR260-1:0] VAR144; wire [VAR260-1:0] VAR158; wire [VAR260-1:0] VAR129; wire [VAR260-1:0] VAR151; wire [VAR260-1:0] VAR229; wire [VAR260-1:0] VAR111; wire [VAR260-1:0] VAR16; wire [VAR260-1:0] VAR202; wire [VAR260-1:0] VAR50; wire [VAR260-1:0] VAR181; wire [VAR260-1:0] VAR42; wire [VAR260-1:0] VAR73; wire [VAR260-1:0] VAR99; wire [VAR260-1:0] VAR21; wire [VAR260-1:0] VAR241; wire [VAR260-1:0] VAR221; MODULE5 VAR232( .VAR100 (VAR68), .VAR171 (VAR47), .VAR153(VAR55) ); MODULE5 VAR191( .VAR100 (VAR280), .VAR171 (VAR141), .VAR153(VAR70) ); MODULE5 VAR69( .VAR100 (VAR20), .VAR171 (VAR110), .VAR153(VAR270) ); MODULE5 VAR48( .VAR100 (VAR192), .VAR171 (VAR172), .VAR153(VAR205) ); MODULE5 VAR167( .VAR100 (VAR58), .VAR171 (VAR108), .VAR153(VAR208) ); MODULE5 VAR27( .VAR100 (VAR271), .VAR171 (VAR116), .VAR153(VAR106) ); MODULE5 VAR103( .VAR100 (VAR185), .VAR171 (VAR227), .VAR153(VAR124) ); MODULE5 VAR118( .VAR100 (VAR257), .VAR171 (VAR196), .VAR153(VAR102) ); MODULE5 VAR13( .VAR100 (VAR59), .VAR171 (VAR211), .VAR153(VAR144) ); MODULE5 VAR176( .VAR100 (VAR281), .VAR171 (VAR189), .VAR153(VAR158) ); MODULE5 VAR218( .VAR100 (VAR133), .VAR171 (VAR87), .VAR153(VAR129) ); MODULE5 VAR123( .VAR100 (VAR64), .VAR171 (VAR104), .VAR153(VAR151) ); MODULE5 VAR96( .VAR100 (VAR282), .VAR171 (VAR242), .VAR153(VAR229) ); MODULE5 VAR7( .VAR100 (VAR162), .VAR171 (VAR243), .VAR153(VAR111) ); MODULE5 VAR26( .VAR100 (VAR222), .VAR171 (VAR126), .VAR153(VAR16) ); MODULE5 VAR81( .VAR100 (VAR147), .VAR171 (VAR130), .VAR153(VAR202) ); MODULE5 VAR132( .VAR100 (VAR239), .VAR171 (VAR256), .VAR153(VAR50) ); MODULE5 VAR233( .VAR100 (VAR127), .VAR171 (VAR194), .VAR153(VAR181) ); MODULE5 VAR4( .VAR100 (VAR45), .VAR171 (VAR226), .VAR153(VAR42) ); MODULE5 VAR209( .VAR100 (VAR44), .VAR171 (VAR11), .VAR153(VAR73) ); MODULE5 VAR276( .VAR100 (VAR97), .VAR171 (VAR272), .VAR153(VAR99) ); MODULE5 VAR1( .VAR100 (VAR14), .VAR171 (VAR12), .VAR153(VAR21) ); MODULE5 VAR207( .VAR100 (VAR161), .VAR171 (VAR164), .VAR153(VAR241) ); MODULE5 VAR37( .VAR100 (VAR10), .VAR171 (VAR131), .VAR153(VAR221) ); wire [VAR260-1:0] VAR179; wire [VAR260-1:0] VAR71; wire [VAR260-1:0] VAR22; wire [VAR260-1:0] VAR18; wire [VAR260-1:0] VAR30; wire [VAR260-1:0] VAR5; wire [VAR260-1:0] VAR19; wire [VAR260-1:0] VAR92; wire [VAR260-1:0] VAR254; wire [VAR260-1:0] VAR28; wire [VAR260-1:0] VAR93; wire [VAR260-1:0] VAR145; MODULE1 VAR40( .VAR100 (VAR55), .VAR171 (VAR70), .VAR153(VAR179) ); MODULE1 VAR237( .VAR100 (VAR270), .VAR171 (VAR205), .VAR153(VAR71) ); MODULE1 VAR166( .VAR100 (VAR208), .VAR171 (VAR106), .VAR153(VAR22) ); MODULE1 VAR252( .VAR100 (VAR124), .VAR171 (VAR102), .VAR153(VAR18) ); MODULE1 VAR53( .VAR100 (VAR144), .VAR171 (VAR158), .VAR153(VAR30) ); MODULE1 VAR105( .VAR100 (VAR129), .VAR171 (VAR151), .VAR153(VAR5) ); MODULE1 VAR39( .VAR100 (VAR229), .VAR171 (VAR111), .VAR153(VAR19) ); MODULE1 VAR150( .VAR100 (VAR16), .VAR171 (VAR202), .VAR153(VAR92) ); MODULE1 VAR274( .VAR100 (VAR50), .VAR171 (VAR181), .VAR153(VAR254) ); MODULE1 VAR41( .VAR100 (VAR42), .VAR171 (VAR73), .VAR153(VAR28) ); MODULE1 VAR266( .VAR100 (VAR99), .VAR171 (VAR21), .VAR153(VAR93) ); MODULE1 VAR113( .VAR100 (VAR241), .VAR171 (VAR221), .VAR153(VAR145) ); wire [VAR260-1:0] VAR154; wire [VAR260-1:0] VAR66; wire [VAR260-1:0] VAR62; wire [VAR260-1:0] VAR261; wire [VAR260-1:0] VAR94; wire [VAR260-1:0] VAR38; MODULE1 VAR95( .VAR100 (VAR179), .VAR171 (VAR71), .VAR153(VAR154) ); MODULE1 VAR223( .VAR100 (VAR22), .VAR171 (VAR18), .VAR153(VAR66) ); MODULE1 VAR277( .VAR100 (VAR30), .VAR171 (VAR5), .VAR153(VAR62) ); MODULE1 VAR160( .VAR100 (VAR19), .VAR171 (VAR92), .VAR153(VAR261) ); MODULE1 VAR135( .VAR100 (VAR254), .VAR171 (VAR28), .VAR153(VAR94) ); MODULE1 VAR136( .VAR100 (VAR93), .VAR171 (VAR145), .VAR153(VAR38) ); wire [VAR260-1:0] VAR248; wire [VAR260-1:0] VAR3; wire [VAR260-1:0] VAR137; MODULE1 VAR178( .VAR100 (VAR154), .VAR171 (VAR66), .VAR153(VAR248) ); MODULE1 VAR264( .VAR100 (VAR62), .VAR171 (VAR261), .VAR153(VAR3) ); MODULE1 VAR213( .VAR100 (VAR94), .VAR171 (VAR38), .VAR153(VAR137) ); wire [VAR260-1:0] VAR204; wire [VAR260-1:0] VAR174; MODULE1 VAR225( .VAR100 (VAR248), .VAR171 (VAR3), .VAR153(VAR204) ); MODULE2 VAR265( .VAR100 (VAR137), .VAR153(VAR174) ); wire [VAR260-1:0] VAR142; MODULE1 VAR120( .VAR100 (VAR204), .VAR171 (VAR174), .VAR153(VAR142) ); reg [17:0] VAR231; always @(posedge clk) begin if(VAR84) begin VAR231 <= VAR142; end end assign VAR51 = VAR134[VAR75-1]; endmodule module MODULE3 ( clk, VAR84, VAR253, VAR86, VAR57, VAR122, VAR107, VAR34, VAR163, VAR238, VAR224, VAR200, VAR183, VAR125, VAR165, VAR258, VAR220, VAR25, VAR155, VAR139, VAR236, VAR195, VAR175, VAR217, VAR17, VAR212, VAR278, VAR269, VAR184, VAR170, VAR193, VAR152, VAR31, VAR240, VAR267, VAR251, VAR235, VAR206, VAR197, VAR140, VAR249, VAR169, VAR168, VAR157, VAR255, VAR24, VAR6, VAR32, VAR182, VAR35, reset); parameter VAR219 = 1; input clk; input VAR84; input [VAR219-1:0] VAR253; output [VAR219-1:0] VAR86; output [VAR219-1:0] VAR57; output [VAR219-1:0] VAR122; output [VAR219-1:0] VAR107; output [VAR219-1:0] VAR34; output [VAR219-1:0] VAR163; output [VAR219-1:0] VAR238; output [VAR219-1:0] VAR224; output [VAR219-1:0] VAR200; output [VAR219-1:0] VAR183; output [VAR219-1:0] VAR125; output [VAR219-1:0] VAR165; output [VAR219-1:0] VAR258; output [VAR219-1:0] VAR220; output [VAR219-1:0] VAR25; output [VAR219-1:0] VAR155; output [VAR219-1:0] VAR139; output [VAR219-1:0] VAR236; output [VAR219-1:0] VAR195; output [VAR219-1:0] VAR175; output [VAR219-1:0] VAR217; output [VAR219-1:0] VAR17; output [VAR219-1:0] VAR212; output [VAR219-1:0] VAR278; output [VAR219-1:0] VAR269; output [VAR219-1:0] VAR184; output [VAR219-1:0] VAR170; output [VAR219-1:0] VAR193; output [VAR219-1:0] VAR152; output [VAR219-1:0] VAR31; output [VAR219-1:0] VAR240; output [VAR219-1:0] VAR267; output [VAR219-1:0] VAR251; output [VAR219-1:0] VAR235; output [VAR219-1:0] VAR206; output [VAR219-1:0] VAR197; output [VAR219-1:0] VAR140; output [VAR219-1:0] VAR249; output [VAR219-1:0] VAR169; output [VAR219-1:0] VAR168; output [VAR219-1:0] VAR157; output [VAR219-1:0] VAR255; output [VAR219-1:0] VAR24; output [VAR219-1:0] VAR6; output [VAR219-1:0] VAR32; output [VAR219-1:0] VAR182; output [VAR219-1:0] VAR35; reg [VAR219-1:0] VAR86; reg [VAR219-1:0] VAR57; reg [VAR219-1:0] VAR122; reg [VAR219-1:0] VAR107; reg [VAR219-1:0] VAR34; reg [VAR219-1:0] VAR163; reg [VAR219-1:0] VAR238; reg [VAR219-1:0] VAR224; reg [VAR219-1:0] VAR200; reg [VAR219-1:0] VAR183; reg [VAR219-1:0] VAR125; reg [VAR219-1:0] VAR165; reg [VAR219-1:0] VAR258; reg [VAR219-1:0] VAR220; reg [VAR219-1:0] VAR25; reg [VAR219-1:0] VAR155; reg [VAR219-1:0] VAR139; reg [VAR219-1:0] VAR236; reg [VAR219-1:0] VAR195; reg [VAR219-1:0] VAR175; reg [VAR219-1:0] VAR217; reg [VAR219-1:0] VAR17; reg [VAR219-1:0] VAR212; reg [VAR219-1:0] VAR278; reg [VAR219-1:0] VAR269; reg [VAR219-1:0] VAR184; reg [VAR219-1:0] VAR170; reg [VAR219-1:0] VAR193; reg [VAR219-1:0] VAR152; reg [VAR219-1:0] VAR31; reg [VAR219-1:0] VAR240; reg [VAR219-1:0] VAR267; reg [VAR219-1:0] VAR251; reg [VAR219-1:0] VAR235; reg [VAR219-1:0] VAR206; reg [VAR219-1:0] VAR197; reg [VAR219-1:0] VAR140; reg [VAR219-1:0] VAR249; reg [VAR219-1:0] VAR169; reg [VAR219-1:0] VAR168; reg [VAR219-1:0] VAR157; reg [VAR219-1:0] VAR255; reg [VAR219-1:0] VAR24; reg [VAR219-1:0] VAR6; reg [VAR219-1:0] VAR32; reg [VAR219-1:0] VAR182; reg [VAR219-1:0] VAR35; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR86 <= 0; VAR57 <= 0; VAR122 <= 0; VAR107 <= 0; VAR34 <= 0; VAR163 <= 0; VAR238 <= 0; VAR224 <= 0; VAR200 <= 0; VAR183 <= 0; VAR125 <= 0; VAR165 <= 0; VAR258 <= 0; VAR220 <= 0; VAR25 <= 0; VAR155 <= 0; VAR139 <= 0; VAR236 <= 0; VAR195 <= 0; VAR175 <= 0; VAR217 <= 0; VAR17 <= 0; VAR212 <= 0; VAR278 <= 0; VAR269 <= 0; VAR184 <= 0; VAR170 <= 0; VAR193 <= 0; VAR152 <= 0; VAR31 <= 0; VAR240 <= 0; VAR267 <= 0; VAR251 <= 0; VAR235 <= 0; VAR206 <= 0; VAR197 <= 0; VAR140 <= 0; VAR249 <= 0; VAR169 <= 0; VAR168 <= 0; VAR157 <= 0; VAR255 <= 0; VAR24 <= 0; VAR6 <= 0; VAR32 <= 0; VAR182 <= 0; VAR35 <= 0; end else begin if(VAR84) begin VAR86 <= VAR253; VAR57 <= VAR86; VAR122 <= VAR57; VAR107 <= VAR122; VAR34 <= VAR107; VAR163 <= VAR34; VAR238 <= VAR163; VAR224 <= VAR238; VAR200 <= VAR224; VAR183 <= VAR200; VAR125 <= VAR183; VAR165 <= VAR125; VAR258 <= VAR165; VAR220 <= VAR258; VAR25 <= VAR220; VAR155 <= VAR25; VAR139 <= VAR155; VAR236 <= VAR139; VAR195 <= VAR236; VAR175 <= VAR195; VAR217 <= VAR175; VAR17 <= VAR217; VAR212 <= VAR17; VAR278 <= VAR212; VAR269 <= VAR278; VAR184 <= VAR269; VAR170 <= VAR184; VAR193 <= VAR170; VAR152 <= VAR193; VAR31 <= VAR152; VAR240 <= VAR31; VAR267 <= VAR240; VAR251 <= VAR267; VAR235 <= VAR251; VAR206 <= VAR235; VAR197 <= VAR206; VAR140 <= VAR197; VAR249 <= VAR140; VAR169 <= VAR249; VAR168 <= VAR169; VAR157 <= VAR168; VAR255 <= VAR157; VAR24 <= VAR255; VAR6 <= VAR24; VAR32 <= VAR6; VAR182 <= VAR32; VAR35 <= VAR182; end end end endmodule module MODULE1 ( VAR100, VAR171, VAR153); input clk; input VAR84; input [17:0] VAR100; input [17:0] VAR171; output [17:0] VAR153; assign VAR153 = VAR100 + VAR171; endmodule module MODULE5 ( VAR100, VAR171, VAR153); input clk; input VAR84; input [17:0] VAR100; input [17:0] VAR171; output [17:0] VAR153; assign VAR153 = VAR100 * VAR171; endmodule module MODULE2 ( VAR100, VAR153); input clk; input VAR84; input [17:0] VAR100; output [17:0] VAR153; assign VAR153 = VAR100; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/ebufn/sky130_fd_sc_ms__ebufn_2.v
2,148
module MODULE1 ( VAR9 , VAR5 , VAR8, VAR2, VAR3, VAR4 , VAR6 ); output VAR9 ; input VAR5 ; input VAR8; input VAR2; input VAR3; input VAR4 ; input VAR6 ; VAR1 VAR7 ( .VAR9(VAR9), .VAR5(VAR5), .VAR8(VAR8), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR5 , VAR8 ); output VAR9 ; input VAR5 ; input VAR8; supply1 VAR2; supply0 VAR3; supply1 VAR4 ; supply0 VAR6 ; VAR1 VAR7 ( .VAR9(VAR9), .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/and4/gf180mcu_fd_sc_mcu9t5v0__and4_1.behavioral.v
1,322
module MODULE1( VAR8, VAR2, VAR7, VAR3, VAR4 ); input VAR8, VAR2, VAR7, VAR3; output VAR4; VAR5 VAR1(.VAR8(VAR8),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4)); VAR5 VAR6(.VAR8(VAR8),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o32a/sky130_fd_sc_hd__o32a_2.v
2,428
module MODULE1 ( VAR10 , VAR8 , VAR1 , VAR7 , VAR9 , VAR6 , VAR12, VAR4, VAR2 , VAR3 ); output VAR10 ; input VAR8 ; input VAR1 ; input VAR7 ; input VAR9 ; input VAR6 ; input VAR12; input VAR4; input VAR2 ; input VAR3 ; VAR11 VAR5 ( .VAR10(VAR10), .VAR8(VAR8), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9), .VAR6(VAR6), .VAR12(VAR12), .VAR4(VAR4), .VAR2(VAR2), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR10 , VAR8, VAR1, VAR7, VAR9, VAR6 ); output VAR10 ; input VAR8; input VAR1; input VAR7; input VAR9; input VAR6; supply1 VAR12; supply0 VAR4; supply1 VAR2 ; supply0 VAR3 ; VAR11 VAR5 ( .VAR10(VAR10), .VAR8(VAR8), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9), .VAR6(VAR6) ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
Literature_KOA/ecp/ec_alu.v
2,327
module MODULE1(VAR22, VAR34, VAR6, VAR30, VAR12, VAR4, VAR9); input wire [232:0] VAR34, VAR6, VAR30, VAR12; input wire [9:0] VAR22; output wire [232:0] VAR4, VAR9; wire [232:0] VAR13, VAR25; wire [232:0] VAR39, VAR20; wire [232:0] VAR21, VAR3; wire [232:0] VAR14, VAR15, VAR26, VAR36, VAR40, VAR8; wire [232:0] VAR31; wire [232:0] VAR23, VAR16; wire [232:0] VAR10, VAR28, VAR38; VAR17 VAR37(VAR10, VAR28, VAR38); VAR5 VAR35(VAR34, VAR13); VAR5 VAR18(VAR6, VAR39); VAR5 VAR19(VAR30, VAR21); VAR5 VAR1(VAR21, VAR3); VAR5 VAR29(VAR39, VAR20); VAR5 VAR27(VAR13, VAR25); VAR24 VAR32(VAR34, VAR13, VAR30, VAR36, VAR23, VAR6, VAR20, 233'd0, VAR22[2:0], VAR10); VAR24 VAR11(VAR6, VAR39, VAR15, VAR40, VAR16, VAR12, VAR3,VAR20, VAR22[5:3], VAR28); VAR2 VAR33(VAR38, VAR14, VAR39, VAR31, VAR22[7:6], VAR4); VAR2 VAR7(VAR8, VAR26, VAR20, VAR23, VAR22[9:8], VAR9); assign VAR14 = VAR38 ^ VAR30; assign VAR15 = VAR39 ^ VAR30; assign VAR26 = VAR38 ^ VAR21 ^ VAR34; assign VAR36 = VAR34 ^ VAR30; assign VAR40 = VAR6 ^ VAR12; assign VAR8 = VAR38 ^ VAR34; assign VAR31 = VAR38 ^ VAR12; assign VAR23 = VAR25 ^ VAR6; assign VAR16 = VAR21 ^ VAR12 ^ VAR6; endmodule
gpl-3.0
AbhishekShah212/School_Projects
ELEN232/pset3/Problem4.v
3,825
module MODULE1( input VAR5, input VAR4, input VAR1, input VAR6, output reg VAR2, output reg VAR7, output reg VAR3 ); reg [3:0] select; always @ (VAR5 or VAR4 or VAR1 or VAR6) begin select = {VAR5, VAR4, VAR1, VAR6}; case(select) 4'b0000: begin VAR2 = 1; VAR7 = 0; VAR3 = 1; end 4'b0001: begin VAR2 = 0; VAR7 = 1; VAR3 = 0; end 4'b0010: begin VAR2 = 1; VAR7 = 0; VAR3 = 0; end 4'b0011: begin VAR2 = 0; VAR7 = 0; VAR3 = 1; end 4'b0100: begin VAR2 = 0; VAR7 = 1; VAR3 = 1; end 4'b0101: begin VAR2 = 1; VAR7 = 1; VAR3 = 0; end 4'b0110: begin VAR2 = 0; VAR7 = 0; VAR3 = 0; end 4'b0111: begin VAR2 = 1; VAR7 = 0; VAR3 = 1; end 4'b1000: begin VAR2 = 1; VAR7 = 1; VAR3 = 0; end 4'b1001: begin VAR2 = 0; VAR7 = 0; VAR3 = 1; end 4'b1010: begin VAR2 = 1; VAR7 = 0; VAR3 = 0; end 4'b1011: begin VAR2 = 1; VAR7 = 1; VAR3 = 1; end 4'b1100: begin VAR2 = 0; VAR7 = 0; VAR3 = 0; end 4'b1101: begin VAR2 = 0; VAR7 = 1; VAR3 = 1; end 4'b1110: begin VAR2 = 1; VAR7 = 1; VAR3 = 1; end 4'b1111: begin VAR2 = 0; VAR7 = 1; VAR3 = 0; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfrtp/sky130_fd_sc_hs__sdfrtp_1.v
2,440
module MODULE1 ( VAR3, VAR5 , VAR9 , VAR2 , VAR6 , VAR7 , VAR1 , VAR10 ); input VAR3; input VAR5 ; input VAR9 ; output VAR2 ; input VAR6 ; input VAR7 ; input VAR1 ; input VAR10 ; VAR8 VAR4 ( .VAR3(VAR3), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6), .VAR7(VAR7), .VAR1(VAR1), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR3, VAR5 , VAR9 , VAR2 , VAR6 , VAR7 ); input VAR3; input VAR5 ; input VAR9 ; output VAR2 ; input VAR6 ; input VAR7 ; supply1 VAR1; supply0 VAR10; VAR8 VAR4 ( .VAR3(VAR3), .VAR5(VAR5), .VAR9(VAR9), .VAR2(VAR2), .VAR6(VAR6), .VAR7(VAR7) ); endmodule
apache-2.0
genkilife/miaow
src/verilog/rtl/common/decoder_6b_40b_en.v
1,733
module MODULE1( VAR1, en, out); input[5:0] VAR1; wire[5:0] VAR1; output[39:0] out; wire[39:0] out; input en; wire en; reg[39:0] VAR2; always@(VAR1) begin VAR2 = 40'd0; case (VAR1) 0: VAR2[0]=1'b1; 1: VAR2[1]=1'b1; 2: VAR2[2]=1'b1; 3: VAR2[3]=1'b1; 4: VAR2[4]=1'b1; 5: VAR2[5]=1'b1; 6: VAR2[6]=1'b1; 7: VAR2[7]=1'b1; 8: VAR2[8]=1'b1; 9: VAR2[9]=1'b1; 10: VAR2[10]=1'b1; 11: VAR2[11]=1'b1; 12: VAR2[12]=1'b1; 13: VAR2[13]=1'b1; 14: VAR2[14]=1'b1; 15: VAR2[15]=1'b1; 16: VAR2[16]=1'b1; 17: VAR2[17]=1'b1; 18: VAR2[18]=1'b1; 19: VAR2[19]=1'b1; 20: VAR2[20]=1'b1; 21: VAR2[21]=1'b1; 22: VAR2[22]=1'b1; 23: VAR2[23]=1'b1; 24: VAR2[24]=1'b1; 25: VAR2[25]=1'b1; 26: VAR2[26]=1'b1; 27: VAR2[27]=1'b1; 28: VAR2[28]=1'b1; 29: VAR2[29]=1'b1; 30: VAR2[30]=1'b1; 31: VAR2[31]=1'b1; 32: VAR2[32]=1'b1; 33: VAR2[33]=1'b1; 34: VAR2[34]=1'b1; 35: VAR2[35]=1'b1; 36: VAR2[36]=1'b1; 37: VAR2[37]=1'b1; 38: VAR2[38]=1'b1; 39: VAR2[39]=1'b1; default: VAR2 = 40'd0; endcase end assign out = (en)?VAR2:40'd0; endmodule
bsd-3-clause
zhangly/azpr_cpu
rtl/io/rom/rtl/rom.v
1,574
module MODULE1 ( input wire clk, input wire reset, input wire VAR5, input wire VAR1, input wire [VAR3] addr, output wire [VAR13] VAR6, output reg VAR10 ); VAR2 VAR11 ( .VAR14 (clk), .address (addr), .VAR9 (VAR6) ); always @(posedge clk or VAR12 reset) begin if (reset == VAR8) begin VAR10 <= VAR4; end else begin if ((VAR5 == VAR7) && (VAR1 == VAR7)) begin VAR10 <= VAR7; end else begin VAR10 <= VAR4; end end end endmodule
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad7980_v1_00_a/hdl/verilog/axi_ad7980.v
10,393
module MODULE1 ( VAR143, VAR71, VAR83, VAR46, VAR92, VAR107, VAR154, VAR118, VAR133, VAR104, VAR91, VAR80, VAR32, VAR108, VAR150, VAR10, VAR130, VAR117, VAR100, VAR110, VAR52, VAR4, VAR125, VAR34, VAR152, VAR127, VAR55, VAR27, VAR5, VAR36, VAR95, VAR121, VAR137, VAR114, VAR112, VAR13, VAR140); parameter VAR153 = 0; parameter VAR132 = 0; parameter VAR128 = "VAR122"; parameter VAR48 = 32'hffff; parameter VAR43 = 32'hffffffff; parameter VAR50 = 32'h00000000; input VAR46; input VAR92; input VAR143; output VAR71; output VAR83; output VAR107; output VAR154; input VAR118; input VAR133; input VAR104; output VAR91; output [31:0] VAR80; output [ 3:0] VAR32; output VAR108; input VAR150; input VAR10; input VAR130; input VAR117; input [31:0] VAR100; output VAR110; input VAR52; input [31:0] VAR4; input [ 3:0] VAR125; output VAR34; output VAR152; output [ 1:0] VAR127; input VAR55; input VAR27; input [31:0] VAR5; output VAR36; output VAR95; output [ 1:0] VAR121; output [31:0] VAR137; input VAR114; output VAR112; output VAR13; output [31:0] VAR140; reg VAR107 = 'd0; reg VAR146 = 'd0; reg [31:0] VAR63 = 'd0; reg [31:0] VAR66 = 'd0; reg VAR29 = 'd0; wire VAR101; wire VAR12; wire VAR18; wire VAR90; wire VAR6; wire VAR126; wire VAR22; wire [15:0] VAR81; wire [15:0] VAR51; wire [15:0] VAR58; wire VAR14; wire VAR135; wire VAR98; wire VAR76; wire [31:0] VAR62; wire VAR2; wire VAR45; wire [31:0] VAR149; wire VAR35; wire VAR31; wire VAR61; wire [31:0] VAR87; wire VAR39; wire VAR1; wire [13:0] VAR151; wire [31:0] VAR20; wire [31:0] VAR26; wire VAR7; wire [31:0] VAR86; wire VAR70; assign VAR6 = VAR10; assign VAR90 = VAR130; assign VAR12 = VAR104; assign VAR2 = VAR150; assign VAR91 = VAR98; assign VAR80 = VAR62; assign VAR108 = VAR76; assign VAR32 = 4'hf; assign VAR13 = VAR41; assign VAR140[15: 0] = VAR81; assign VAR140[31:16] = 16'h0; assign VAR86 = 32'd0; assign VAR126 = (VAR86 == 32'd0) ? VAR107 : VAR118; assign VAR22 = (VAR86 == 32'd0) ? VAR154 : VAR133; assign VAR112 = VAR10; always @(posedge VAR112) begin if (VAR101 == 1'b1) begin VAR107 <= 1'b0; end else begin VAR107 <= 1'b1; end end always @(posedge VAR112) begin VAR146 <= VAR41; VAR63 <= { 16'h0, VAR81}; end always @(negedge VAR90 or posedge VAR6) begin if (VAR90 == 0) begin VAR66 <= 'd0; VAR29 <= 'd0; end else begin VAR66 <= VAR26; VAR29 <= VAR7; end end VAR78 VAR69 ( .VAR138 (VAR46), .VAR119 (VAR92), .VAR139(VAR101), .VAR141 (VAR81), .VAR72 (VAR41), .VAR74 (VAR143), .VAR106 (), .VAR71 (VAR71), .VAR83 (VAR83), .VAR37 (VAR135) ); VAR17 #(.VAR67(32)) VAR68 ( .VAR12 (VAR12), .VAR18 (VAR18), .VAR44 (VAR98), .VAR19 (VAR76), .VAR23 (VAR62), .VAR79 (VAR2), .VAR136 (VAR35), .VAR77 (VAR31), .VAR111 (VAR61), .VAR85 (VAR87), .VAR112 (VAR112), .VAR101 (VAR101), .VAR146 (VAR146), .VAR63 (VAR63), .VAR134 (VAR22), .VAR144 (VAR45), .VAR105 (VAR149)); VAR60 VAR116 ( .VAR112 (VAR112), .VAR101 (VAR101), .VAR65 (), .VAR59 (), .VAR57 (), .VAR124 (VAR135), .VAR96 (32'd1), .VAR120 (1'b0), .VAR53 (), .VAR11 (), .VAR38 (), .VAR49 (), .VAR16 (), .VAR82 (5'd0), .VAR88 (1'b0), .VAR33 (1'b0), .VAR24 (1'd0), .VAR25 (), .VAR129 (), .VAR113 (), .VAR75 (), .VAR8 (), .VAR93 (16'd0), .VAR142 (1'd0), .VAR12 (VAR12), .VAR18 (VAR18), .VAR134 (VAR154), .VAR144 (VAR45), .VAR105 (VAR149), .VAR136 (VAR35), .VAR77 (VAR31), .VAR111 (VAR61), .VAR85 (VAR87), .VAR103 (), .VAR145 (8'd0), .VAR90 (VAR90), .VAR6 (VAR6), .VAR56 (VAR39), .VAR15 (VAR1), .VAR131 (VAR151), .VAR40 (VAR20), .VAR66 (VAR26), .VAR29 (VAR7) ); VAR84 #( .VAR115 (VAR43), .VAR89 (VAR50)) VAR94 ( .VAR90 (VAR90), .VAR6 (VAR6), .VAR28 (VAR117), .VAR30 (VAR100), .VAR21 (VAR110), .VAR102 (VAR52), .VAR54 (VAR4), .VAR97 (VAR125), .VAR109 (VAR34), .VAR47 (VAR152), .VAR99 (VAR127), .VAR42 (VAR55), .VAR147 (VAR27), .VAR3 (VAR5), .VAR123 (VAR36), .VAR64 (VAR95), .VAR73 (VAR121), .VAR9 (VAR137), .VAR148 (VAR114), .VAR56 (VAR39), .VAR15 (VAR1), .VAR131 (VAR151), .VAR40 (VAR20), .VAR66 (VAR66), .VAR29 (VAR29)); endmodule
mit
tmatsuya/milkymist-ml401
cores/vgafb/rtl/vgafb_pixelfeed.v
5,047
module MODULE1 #( parameter VAR22 = 26 ) ( input VAR44, input VAR28, input VAR13, input [17:0] VAR29, input [VAR22-1:0] VAR25, output VAR4, output reg [VAR22-1:0] VAR19, output reg VAR8, input VAR3, input [63:0] VAR31, output reg VAR24, output [VAR22-1:0] VAR6, input [63:0] VAR10, input VAR21, output VAR26, output [15:0] VAR15, input VAR23 ); reg VAR18; reg VAR38; wire VAR43; VAR12 VAR20( .VAR44(VAR44), .VAR13(VAR13), .VAR41(VAR38), .VAR2(VAR18 ? VAR10 : VAR31), .VAR11(VAR43), .do(VAR15), .VAR36(VAR23) ); assign VAR26 = VAR43; reg VAR39; wire VAR32; reg [17:0] VAR5; always @(posedge VAR44) begin if(VAR13) begin VAR5 <= 18'd1; VAR39 <= 1'b1; end else begin if(VAR32) begin if(VAR5 == VAR29) begin VAR5 <= 18'd1; VAR39 <= 1'b1; end else begin VAR5 <= VAR5 + 18'd1; VAR39 <= 1'b0; end end end end wire VAR40; assign VAR4 = VAR39 & VAR40; always @(posedge VAR44) begin if(VAR28) begin VAR19 <= {VAR22{1'b0}}; end else begin if(VAR40) begin if(VAR39) VAR19 <= VAR25; end else VAR19 <= VAR19 + {{VAR22-6{1'b0}}, 6'd32}; end end end reg [1:0] VAR17; always @(posedge VAR44) begin if(VAR24) VAR17 <= VAR17 + 2'd1; end else VAR17 <= 2'd0; end assign VAR6 = {VAR19[VAR22-1:5], VAR17, 3'b000}; reg [3:0] state; reg [3:0] VAR9; parameter VAR34 = 4'd0; parameter VAR14 = 4'd1; parameter VAR30 = 4'd2; parameter VAR16 = 4'd3; parameter VAR27 = 4'd4; parameter VAR42 = 4'd5; parameter VAR46 = 4'd6; parameter VAR33 = 4'd7; parameter VAR45 = 4'd8; parameter VAR7 = 4'd9; always @(posedge VAR44) begin if(VAR28) state <= VAR34; end else state <= VAR9; end reg VAR1; reg VAR35; always @(posedge VAR44) begin if(VAR13) VAR1 <= 1'b1; end else if(VAR35) VAR1 <= 1'b0; end reg VAR37; assign VAR32 = VAR37; assign VAR40 = VAR37; always @(*) begin VAR9 = state; VAR38 = 1'b0; VAR37 = 1'b0; VAR8 = 1'b0; VAR35 = 1'b0; VAR24 = 1'b0; VAR18 = 1'b0; case(state) VAR34: begin if(~VAR43 & ~VAR13) begin VAR37 = 1'b1; VAR35 = 1'b1; VAR9 = VAR14; end end VAR14: begin VAR24 = 1'b1; VAR9 = VAR30; end VAR30: begin VAR18 = 1'b1; if(VAR21) begin VAR24 = 1'b1; if(~VAR1) VAR38 = 1'b1; VAR9 = VAR16; end else VAR9 = VAR46; end VAR16: begin VAR24 = 1'b1; VAR18 = 1'b1; if(~VAR1) VAR38 = 1'b1; VAR9 = VAR27; end VAR27: begin VAR24 = 1'b1; VAR18 = 1'b1; if(~VAR1) VAR38 = 1'b1; VAR9 = VAR42; end VAR42: begin VAR18 = 1'b1; if(~VAR1) VAR38 = 1'b1; VAR9 = VAR34; end VAR46: begin VAR8 = 1'b1; if(VAR3) begin if(~VAR1) VAR38 = 1'b1; VAR9 = VAR33; end end VAR33: begin if(~VAR1) VAR38 = 1'b1; VAR9 = VAR45; end VAR45: begin if(~VAR1) VAR38 = 1'b1; VAR9 = VAR7; end VAR7: begin if(~VAR1) VAR38 = 1'b1; VAR9 = VAR34; end endcase end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
models/udp_dlatch_p_pp_pkg_sn/sky130_fd_sc_lp__udp_dlatch_p_pp_pkg_sn.blackbox.v
1,502
module MODULE1 ( VAR8 , VAR5 , VAR2 , VAR1 , VAR7, VAR4 , VAR6 , VAR3 ); output VAR8 ; input VAR5 ; input VAR2 ; input VAR1 ; input VAR7; input VAR4 ; input VAR6 ; input VAR3 ; endmodule
apache-2.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_16.v
12,460
module MODULE1 ( clk, reset, VAR46, VAR81, VAR12, VAR94, VAR17 ); parameter VAR70 = 18; parameter VAR47 = 16; parameter VAR40 = 8; localparam VAR75 = 17; input clk; input reset; input VAR46; input VAR81; input [VAR70-1:0] VAR12; output VAR94; output [VAR70-1:0] VAR17; localparam VAR32 = 18; localparam VAR85 = 36; localparam VAR96 = 17; localparam VAR93 = 16; reg [VAR70-1:0] VAR13; reg [VAR70-1:0] VAR67; reg [VAR70-1:0] VAR30; reg [VAR70-1:0] VAR100; reg [VAR70-1:0] VAR53; reg [VAR70-1:0] VAR103; reg [VAR70-1:0] VAR90; reg [VAR70-1:0] VAR84; always@(posedge clk) begin VAR13 <= 18'd88; VAR67 <= 18'd0; VAR30 <= -18'd97; VAR100 <= -18'd197; VAR53 <= -18'd294; VAR103 <= -18'd380; VAR90 <= -18'd447; VAR84 <= -18'd490; end reg [VAR75-1:0] VAR7; always@(posedge clk or posedge reset) begin if(reset) begin VAR7 <= 0; end else begin if(VAR46) begin VAR7 <= {VAR7[VAR75-2:0], VAR81}; end else begin VAR7 <= VAR7; end end end wire [VAR70-1:0] VAR10; wire [VAR70-1:0] VAR2; wire [VAR70-1:0] VAR89; wire [VAR70-1:0] VAR36; wire [VAR70-1:0] VAR62; wire [VAR70-1:0] VAR86; wire [VAR70-1:0] VAR35; wire [VAR70-1:0] VAR3; wire [VAR70-1:0] VAR25; wire [VAR70-1:0] VAR73; wire [VAR70-1:0] VAR51; wire [VAR70-1:0] VAR16; wire [VAR70-1:0] VAR1; wire [VAR70-1:0] VAR74; wire [VAR70-1:0] VAR78; wire [VAR70-1:0] VAR102; MODULE2 MODULE20( .clk(clk), .VAR46(VAR46), .VAR80(VAR12), .VAR71(VAR10), .VAR105(VAR2), .VAR99(VAR89), .VAR26(VAR36), .VAR42(VAR62), .VAR19(VAR86), .VAR68(VAR35), .VAR58(VAR3), .VAR9(VAR25), .VAR38(VAR73), .VAR43(VAR51), .VAR61(VAR16), .VAR44(VAR1), .VAR64(VAR74), .VAR39(VAR78), .VAR15(VAR102), .reset(reset) ); wire [VAR70-1:0] VAR5; wire [VAR70-1:0] VAR98; wire [VAR70-1:0] VAR4; wire [VAR70-1:0] VAR28; wire [VAR70-1:0] VAR34; wire [VAR70-1:0] VAR76; wire [VAR70-1:0] VAR65; wire [VAR70-1:0] VAR50; MODULE3 VAR41( .VAR21 (VAR10), .VAR20 (VAR102), .VAR92(VAR5) ); MODULE3 VAR106( .VAR21 (VAR2), .VAR20 (VAR78), .VAR92(VAR98) ); MODULE3 VAR72( .VAR21 (VAR89), .VAR20 (VAR74), .VAR92(VAR4) ); MODULE3 VAR88( .VAR21 (VAR36), .VAR20 (VAR1), .VAR92(VAR28) ); MODULE3 VAR91( .VAR21 (VAR62), .VAR20 (VAR16), .VAR92(VAR34) ); MODULE3 VAR24( .VAR21 (VAR86), .VAR20 (VAR51), .VAR92(VAR76) ); MODULE3 VAR66( .VAR21 (VAR35), .VAR20 (VAR73), .VAR92(VAR65) ); MODULE3 VAR33( .VAR21 (VAR3), .VAR20 (VAR25), .VAR92(VAR50) ); wire [VAR70-1:0] VAR48; wire [VAR70-1:0] VAR31; wire [VAR70-1:0] VAR55; wire [VAR70-1:0] VAR79; wire [VAR70-1:0] VAR18; wire [VAR70-1:0] VAR37; wire [VAR70-1:0] VAR52; wire [VAR70-1:0] VAR56; MODULE4 VAR57( .VAR21 (VAR5), .VAR20 (VAR13), .VAR92(VAR48) ); MODULE4 VAR27( .VAR21 (VAR98), .VAR20 (VAR67), .VAR92(VAR31) ); MODULE4 VAR59( .VAR21 (VAR4), .VAR20 (VAR30), .VAR92(VAR55) ); MODULE4 VAR83( .VAR21 (VAR28), .VAR20 (VAR100), .VAR92(VAR79) ); MODULE4 VAR45( .VAR21 (VAR34), .VAR20 (VAR53), .VAR92(VAR18) ); MODULE4 VAR54( .VAR21 (VAR76), .VAR20 (VAR103), .VAR92(VAR37) ); MODULE4 VAR97( .VAR21 (VAR65), .VAR20 (VAR90), .VAR92(VAR52) ); MODULE4 VAR95( .VAR21 (VAR50), .VAR20 (VAR84), .VAR92(VAR56) ); wire [VAR70-1:0] VAR29; wire [VAR70-1:0] VAR69; wire [VAR70-1:0] VAR6; wire [VAR70-1:0] VAR101; MODULE3 VAR63( .VAR21 (VAR48), .VAR20 (VAR31), .VAR92(VAR29) ); MODULE3 VAR14( .VAR21 (VAR55), .VAR20 (VAR79), .VAR92(VAR69) ); MODULE3 VAR87( .VAR21 (VAR18), .VAR20 (VAR37), .VAR92(VAR6) ); MODULE3 VAR60( .VAR21 (VAR52), .VAR20 (VAR56), .VAR92(VAR101) ); wire [VAR70-1:0] VAR49; wire [VAR70-1:0] VAR23; MODULE3 VAR77( .VAR21 (VAR29), .VAR20 (VAR69), .VAR92(VAR49) ); MODULE3 VAR8( .VAR21 (VAR6), .VAR20 (VAR101), .VAR92(VAR23) ); wire [VAR70-1:0] VAR82; MODULE3 VAR104( .VAR21 (VAR49), .VAR20 (VAR23), .VAR92(VAR82) ); reg [17:0] VAR17; always @(posedge clk) begin if(VAR46) begin VAR17 <= VAR82; end end assign VAR94 = VAR7[VAR75-1]; endmodule module MODULE2 ( clk, VAR46, VAR80, VAR71, VAR105, VAR99, VAR26, VAR42, VAR19, VAR68, VAR58, VAR9, VAR38, VAR43, VAR61, VAR44, VAR64, VAR39, VAR15, reset); parameter VAR11 = 1; input clk; input VAR46; input [VAR11-1:0] VAR80; output [VAR11-1:0] VAR71; output [VAR11-1:0] VAR105; output [VAR11-1:0] VAR99; output [VAR11-1:0] VAR26; output [VAR11-1:0] VAR42; output [VAR11-1:0] VAR19; output [VAR11-1:0] VAR68; output [VAR11-1:0] VAR58; output [VAR11-1:0] VAR9; output [VAR11-1:0] VAR38; output [VAR11-1:0] VAR43; output [VAR11-1:0] VAR61; output [VAR11-1:0] VAR44; output [VAR11-1:0] VAR64; output [VAR11-1:0] VAR39; output [VAR11-1:0] VAR15; reg [VAR11-1:0] VAR71; reg [VAR11-1:0] VAR105; reg [VAR11-1:0] VAR99; reg [VAR11-1:0] VAR26; reg [VAR11-1:0] VAR42; reg [VAR11-1:0] VAR19; reg [VAR11-1:0] VAR68; reg [VAR11-1:0] VAR58; reg [VAR11-1:0] VAR9; reg [VAR11-1:0] VAR38; reg [VAR11-1:0] VAR43; reg [VAR11-1:0] VAR61; reg [VAR11-1:0] VAR44; reg [VAR11-1:0] VAR64; reg [VAR11-1:0] VAR39; reg [VAR11-1:0] VAR15; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR71 <= 0; VAR105 <= 0; VAR99 <= 0; VAR26 <= 0; VAR42 <= 0; VAR19 <= 0; VAR68 <= 0; VAR58 <= 0; VAR9 <= 0; VAR38 <= 0; VAR43 <= 0; VAR61 <= 0; VAR44 <= 0; VAR64 <= 0; VAR39 <= 0; VAR15 <= 0; end else begin if(VAR46) begin VAR71 <= VAR80; VAR105 <= VAR71; VAR99 <= VAR105; VAR26 <= VAR99; VAR42 <= VAR26; VAR19 <= VAR42; VAR68 <= VAR19; VAR58 <= VAR68; VAR9 <= VAR58; VAR38 <= VAR9; VAR43 <= VAR38; VAR61 <= VAR43; VAR44 <= VAR61; VAR64 <= VAR44; VAR39 <= VAR64; VAR15 <= VAR39; end end end endmodule module MODULE3 ( VAR21, VAR20, VAR92); input clk; input VAR46; input [17:0] VAR21; input [17:0] VAR20; output [17:0] VAR92; assign VAR92 = VAR21 + VAR20; endmodule module MODULE4 ( VAR21, VAR20, VAR92); input clk; input VAR46; input [17:0] VAR21; input [17:0] VAR20; output [17:0] VAR92; assign VAR92 = VAR21 * VAR20; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a2bb2o/sky130_fd_sc_ms__a2bb2o.pp.symbol.v
1,448
module MODULE1 ( input VAR8, input VAR5, input VAR9 , input VAR7 , output VAR3 , input VAR4 , input VAR6, input VAR2, input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or4bb/sky130_fd_sc_ls__or4bb.symbol.v
1,327
module MODULE1 ( input VAR7 , input VAR2 , input VAR5, input VAR9, output VAR4 ); supply1 VAR6; supply0 VAR1; supply1 VAR8 ; supply0 VAR3 ; endmodule
apache-2.0
shahid313/MSCourseWork
Adv ASIC Design and FPGA/8bitRISCProcessor/8bitRISCProcessor/RISC/ModmCountr.v
1,271
module MODULE1 input wire clk, reset, output wire VAR9, output wire [VAR3(VAR7)-1:0] VAR5 ); localparam VAR4 = VAR3(VAR7); reg [VAR4-1:0] VAR2; wire [VAR4-1:0] VAR6; always @(posedge clk, posedge reset) if (reset) VAR2 <= 0; else VAR2 <= VAR6; assign VAR6 = (VAR2==(VAR7-1)) ? 0 : VAR2 + 1; assign VAR5 = VAR2; assign VAR9 = (VAR2==(VAR7-1)) ? 1'b1 : 1'b0; function integer VAR3(input integer VAR8); integer VAR1; begin VAR3 = 1; for (VAR1 = 0; 2**VAR1 < VAR8; VAR1 = VAR1 + 1) VAR3 = VAR1 + 1; end endfunction endmodule
gpl-2.0